From b0b2b9665f30a6f421751569e9bdf5a4b7d09488 Mon Sep 17 00:00:00 2001
From: Angele OLLIVIER <a22olliv@fl-tp-br-635.imta.fr>
Date: Wed, 26 Mar 2025 12:10:35 +0100
Subject: [PATCH] Fin de projet

---
 docs/compte-rendu.md                          |  19 +-
 docs/img/FSM.png                              | Bin 117251 -> 309502 bytes
 docs/img/OperativeUnit.png                    | Bin 104105 -> 294675 bytes
 .../ecg-POIRIER-OLLIVIER.cache/sim/ssm.db     |  10 +
 .../ecg-POIRIER-OLLIVIER.cache/wt/project.wpc |   2 +-
 .../wt/synthesis.wdf                          |  49 +++
 .../wt/synthesis_details.wdf                  |   3 +
 .../ecg-POIRIER-OLLIVIER.cache/wt/xsim.wdf    |   4 +
 .../README.txt                                |   1 +
 .../.jobs/vrs_config_1.xml                    |  12 +
 .../.jobs/vrs_config_2.xml                    |  12 +
 .../.jobs/vrs_config_3.xml                    |  12 +
 .../.jobs/vrs_config_4.xml                    |  12 +
 .../.jobs/vrs_config_5.xml                    |  12 +
 .../.jobs/vrs_config_6.xml                    |  12 +
 .../.jobs/vrs_config_7.xml                    |  12 +
 .../.jobs/vrs_config_8.xml                    |  12 +
 .../.jobs/vrs_config_9.xml                    |  12 +
 .../synth_1/.Vivado_Synthesis.queue.rst       |   0
 .../synth_1/.vivado.begin.rst                 |   5 +
 .../synth_1/.vivado.end.rst                   |   0
 .../synth_1/ISEWrap.js                        | 270 +++++++++++++++++
 .../synth_1/ISEWrap.sh                        |  85 ++++++
 .../synth_1/__synthesis_is_complete__         |   0
 .../synth_1/ecgUnit.dcp                       | Bin 0 -> 149519 bytes
 .../synth_1/ecgUnit.tcl                       | 110 +++++++
 .../synth_1/ecgUnit.vds                       | 281 ++++++++++++++++++
 .../synth_1/ecgUnit_utilization_synth.pb      | Bin 0 -> 276 bytes
 .../synth_1/ecgUnit_utilization_synth.rpt     | 189 ++++++++++++
 .../synth_1/gen_run.xml                       |  62 ++++
 .../ecg-POIRIER-OLLIVIER.runs/synth_1/htr.txt |  10 +
 .../synth_1/incr_synth_reason.pb              |   1 +
 .../synth_1/project.wdf                       |  31 ++
 .../synth_1/rundef.js                         |  41 +++
 .../synth_1/runme.bat                         |  12 +
 .../synth_1/runme.log                         | 271 +++++++++++++++++
 .../synth_1/runme.sh                          |  40 +++
 .../synth_1/vivado.jou                        |  24 ++
 .../synth_1/vivado.pb                         | Bin 0 -> 27387 bytes
 .../sim_1/behav/xsim/compile.sh               |  24 ++
 .../sim_1/behav/xsim/ecgUnit.tcl              |  11 +
 .../sim_1/behav/xsim/ecgUnit_behav.wdb        | Bin 0 -> 18572 bytes
 .../sim_1/behav/xsim/elaborate.log            |   8 +
 .../sim_1/behav/xsim/elaborate.sh             |  22 ++
 .../sim_1/behav/xsim/simulate.log             |   1 +
 .../sim_1/behav/xsim/simulate.sh              |  22 ++
 .../sim_1/behav/xsim/tb_ecgUnit.tcl           |  11 +
 .../sim_1/behav/xsim/tb_ecgUnit_behav.wdb     | Bin 0 -> 34664 bytes
 .../sim_1/behav/xsim/tb_ecgUnit_vhdl.prj      |   9 +
 .../sim_1/behav/xsim/xelab.pb                 | Bin 0 -> 846 bytes
 .../ecgUnit_behav/Compile_Options.txt         |   1 +
 .../ecgUnit_behav/TempBreakPointFile.txt      |   1 +
 .../xsim.dir/ecgUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 25976 bytes
 .../xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.c  | 127 ++++++++
 .../xsim.dir/ecgUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5376 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.dbg      | Bin 0 -> 13024 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.mem      | Bin 0 -> 4077 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.reloc    | Bin 0 -> 1454 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.rlx      |  12 +
 .../xsim/xsim.dir/ecgUnit_behav/xsim.rtti     | Bin 0 -> 959 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.svtype   | Bin 0 -> 16 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.type     | Bin 0 -> 7472 bytes
 .../xsim/xsim.dir/ecgUnit_behav/xsim.xdbg     | Bin 0 -> 82888 bytes
 .../xsim.dir/ecgUnit_behav/xsimSettings.ini   |  50 ++++
 .../xsim/xsim.dir/ecgUnit_behav/xsimcrash.log |   0
 .../behav/xsim/xsim.dir/ecgUnit_behav/xsimk   | Bin 0 -> 35856 bytes
 .../xsim.dir/ecgUnit_behav/xsimkernel.log     |   7 +
 .../tb_ecgUnit_behav/Compile_Options.txt      |   1 +
 .../tb_ecgUnit_behav/TempBreakPointFile.txt   |   1 +
 .../tb_ecgUnit_behav/obj/xsim_0.lnx64.o       | Bin 0 -> 28128 bytes
 .../xsim.dir/tb_ecgUnit_behav/obj/xsim_1.c    | 131 ++++++++
 .../tb_ecgUnit_behav/obj/xsim_1.lnx64.o       | Bin 0 -> 5640 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.dbg   | Bin 0 -> 14360 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.mem   | Bin 0 -> 4268 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.reloc | Bin 0 -> 1580 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.rlx   |  12 +
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.rtti  | Bin 0 -> 959 bytes
 .../xsim.dir/tb_ecgUnit_behav/xsim.svtype     | Bin 0 -> 16 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.type  | Bin 0 -> 7472 bytes
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsim.xdbg  | Bin 0 -> 83408 bytes
 .../tb_ecgUnit_behav/xsimSettings.ini         |  50 ++++
 .../xsim.dir/tb_ecgUnit_behav/xsimcrash.log   |   0
 .../xsim/xsim.dir/tb_ecgUnit_behav/xsimk      | Bin 0 -> 36128 bytes
 .../xsim.dir/tb_ecgUnit_behav/xsimkernel.log  |   7 +
 .../xsim/xsim.dir/xil_defaultlib/ecgunit.vdb  | Bin 0 -> 14926 bytes
 .../xsim/xsim.dir/xil_defaultlib/fsm.vdb      | Bin 0 -> 16729 bytes
 .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 40290 bytes
 .../xsim.dir/xil_defaultlib/tb_ecgunit.vdb    | Bin 0 -> 6847 bytes
 .../xil_defaultlib/xil_defaultlib.rlx         |   8 +
 .../sim_1/behav/xsim/xsim.ini                 |   1 +
 .../sim_1/behav/xsim/xvhdl.log                |   0
 .../sim_1/behav/xsim/xvhdl.pb                 |   4 +
 .../sim_1/new/tb_ecgUnit.vhd                  | 115 +++++++
 .../sources_1/new/OperativeUnit.vhd           | 183 ++++++++----
 .../sources_1/new/ecgUnit.vhd                 |  17 +-
 .../sources_1/new/fsm.vhd                     |  46 ++-
 .../utils_1/imports/synth_1/ecgUnit.dcp       | Bin 0 -> 149363 bytes
 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr |  31 +-
 98 files changed, 2449 insertions(+), 92 deletions(-)
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/sim/ssm.db
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis.wdf
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis_details.wdf
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/xsim.wdf
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.ip_user_files/README.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_1.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_2.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_3.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_4.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_5.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_6.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_7.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_8.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_9.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.Vivado_Synthesis.queue.rst
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.begin.rst
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.end.rst
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.js
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.sh
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/__synthesis_is_complete__
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.dcp
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.tcl
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.pb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.rpt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/gen_run.xml
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/htr.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/incr_synth_reason.pb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/project.wdf
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/rundef.js
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.bat
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.log
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.sh
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.pb
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/compile.sh
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit.tcl
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit_behav.wdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.log
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.sh
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.log
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.sh
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit.tcl
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_behav.wdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_vhdl.prj
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xelab.pb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/Compile_Options.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/TempBreakPointFile.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_0.lnx64.o
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.c
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.lnx64.o
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.dbg
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.mem
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.reloc
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rlx
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rtti
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.svtype
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.type
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.xdbg
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimSettings.ini
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimcrash.log
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimk
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimkernel.log
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/Compile_Options.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/TempBreakPointFile.txt
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_0.lnx64.o
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.c
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.lnx64.o
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.dbg
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.mem
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.reloc
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rlx
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rtti
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.svtype
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.type
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.xdbg
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimSettings.ini
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimcrash.log
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimk
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimkernel.log
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ecgunit.vdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fsm.vdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_ecgunit.vdb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.ini
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.log
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.pb
 create mode 100644 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd
 create mode 100755 ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp

diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md
index 30ffa40..0176eae 100644
--- a/docs/compte-rendu.md
+++ b/docs/compte-rendu.md
@@ -1,6 +1,6 @@
 ---
 title: "Compte rendu Filtrage ECG"
-author: John Doe
+author: OLLIVIER Angèle et POIRIER Lucas
 geometry: margin=1cm
 output: pdf_document
 mainfont: sans-serif
@@ -18,4 +18,19 @@ mainfont: sans-serif
 
 ## Remarques
 
-(Notez toute information qui vous semble pertinente)
+Nous avons une machine d'état de Moore.
+Nous pourions faire un seul état OUTPUT + STORE en utilisant la valeur de addResult comme valeur d'entrée des registres d'échantillon 2 et 3.
+Pour l'instant nous utilisons SR_Y avec un signal pour indiquer de garder cette valeur pour l'utiliser comme échantillon d'entrée.
+Nous utilisons un autre signal pour indiquer que SR-Y est prêt à être utilisé comme valeur de sortie finale des trois filtres.
+
+Nous avons copié et modifié le testbench du filtre audio pour l'adapter à notre ecg.
+Avec nous avons vérifié le bon enchaînement des états.
+
+A la fin de la dernière séance nous avons utilisé dans le testbench les valeurs de ADCSampleOctave.csv
+Dans ce document il y a aussi les résultats attendus en sortie de l'ecg.
+Ainsi on peut vérifier le fonctionnement de notre ecg.
+Nous n'obtenons pas les résultats attendus.
+
+Pistes :
+- Nos valeurs ne sont pas appelées à la bonne fréquence?
+- Problème dans l'unité de calcul?
\ No newline at end of file
diff --git a/docs/img/FSM.png b/docs/img/FSM.png
index 7f6db881fff5cdfb9351c0348dfec49ff082516d..d02a3a4f53d72d843ef1158ba2724504bac76c02 100644
GIT binary patch
literal 309502
zcmeAS@N?(olHy`uVBq!ia0y~yU}0lmU^C%hV_;yYD(4SlV7SU(;u=wsTalKTlgglK
z><nS48X08d7ni6SyQmr&B^DIurDP_i7bWHv>*b}Es2Ulm8W|*}r{+Nw_~ut-=Hw)*
z8o8P38K@c=Xhawqsv0|~8X5Rx=9N~c8W~hrn8ll!K*Wm5RE-@CjZO6oG*yiZ+*6aY
z^Hq)9j0_A73=9nnRgDbXGK*5v@+(x0+`y_J)|I6e6=&w>L0n{Hre_GU3FMCA<iwm*
zh_E3<X;OYsN@@{A$N(f{?5b+usA>dqnyRrg)GMk+26>6OP@MsZ>8ZL<?U^YMtvRJ>
zq2XC&M!8vuk%@t3$ziS~U=_%w!OYC9a4$+M$neciNzG9;GDxX_7-48>U<Pu4kwHo&
zRK(oe93qll1hWY2Mk51|KyYRi)J29c(bCM6)MBV+h|ZGy{G5`^0#w1|{Jgx>WN3td
z-DzZySX7i>j;bszKL_ePsG5SrbeN40(?J5k7-0$$4bMy|$$+}V(99B{+#@wJJp*dB
zg%Q+$xrs2vP@fcMB&Ot-L-d1%Far`6oJINhC91fjVTy7qoKtf^p^X-fszz?4>xG9#
z5j<6c-3YRb4C9i@&Heoiiv99SOwtn_OMN2pvn^rit1L066dL4^AS^Dagr(rroSe*p
z;#5^*r}B)<lGNaW#AJ}t@}k57Rb!`&lH43sV;4}~O3Td2an8@lha_|3l*Ckvv}9Fd
zr{a>L{OnXD8M9=I)TA_U&I9F^f<%M|p?)q)Eh<TcC0LM)@CQ67L=3<Mg?nm#ZfZ$U
zB`AMGqSe?4Y9=(b>zW%FgG@9sC`U>=X3&t$Ku$JLu|#NcO^2Heay2ngs%qp0O%eFr
z4=Uo&5)RhbGJ-f9CAQ3RN>q)V((?04RE-P}(Pmay3QDhF3Eg6F76uhJMur6y;DCq8
zs~Q=kK_XPu2qqSqRGL>(s%m85o1d4D5LPuZO9$B%o|p-;D>yN?ASYGT2;u-$BR7Z}
zAZj2EML5T3sHY-hv{Ynfi6s@8KvN8IDuSh~!IO%h4o6Bw!6o@csT4$6eqIT*mM{Vr
z1o?R-Zi%^>IiM_unwX58hDa%4YGMKjkfB#fm_i(m6u$vQ`N^rp#hH2Ou<V*t1k24n
z`S}H^MxaIxs8k~>#}32jH!&It(O+WZRhr~kk(p!S9g>scRFq-rSEdVX-62IkBt0NU
zZAyA-FtmoxFDl8%PtVUw%yC7CIu+%Y=B1>9nq>y6#!d(|;M4-C?z2)$N-Ck*KC!eU
zAGI+6u@g(xUYuWA1a3g68W~}&<e=^^Ni0fFg*M=@`V*SWQ&UhHi})*AtPO>t)SSeU
z%ra1;M%4(`S{OXVH8ebs;?uvhq@Wa31w-p3<d`M8u{I1dt+4@-nbs0oPY<3*hIUYp
zA{pAsa?MM@EVE%vuaR708$vsKpf-l8k&&611*FIsdi6Eb;Ye{0@d<La&P#Cwb(~d=
zU6OM0le1CFTGYZiH7~_2GY8fwORdN(iBL6mG0-zrHFg5=BB9+i5ZeXR#{!k`U=AoK
zK^%zn<doge0tvb7##+Who1m1J-AJ)*3eD8Wjc=G3(M3El)d!*y3CPa`ce_BL2ugz{
zQ2&DhQq{;v*A!YF!O9s(-3QeL%DjX-kr2xuB&eGR)ocK>(h|)|Ls%IIvl9{*P;I2x
zY3vN{zQTLd_=5(j8r+K|q!QXEHZp*Xl3-0E(EL4kvPEiMNl_(IUIKAJnF*9-z;cM(
z1d?(Ar2>!~&g=w<8ptpO)*zr-6K7Cm6B7diJ#%QQ1{6l1oMK`|^Q?l+T4M_|YavlG
z1ak{)Y<47P7igLqX0-~|bPF4ufR*ypuUSlBLjtfY3U6J*>>Rpz1)AxJ%qw~Md7#m6
zq>*ma1{coqeV8RgV+*vlhAABiZCHOCmI^WK9J;9xv(E?X=|M))NgkN@jL*y~C@q2X
z4#N_2GE+bkjG)mPVh8C{(o=mBlTvd~`xl8hndzWe&YaXV(D*-Sawao5F~<=qoST`F
z0-7vxDoQQRtV&D*v0Xq7p8`<x7(97m>ZEGwqH64v12WtxF*!ROoP}U>LeLxrkp<6`
zqRD^)1U6s=odiMdo?vY}8NzZo`dpHc0d!&#>Qq%DQ1jY|zyuvg4X!yR13h@Mq)$%+
zR@=jh;{3F<V%Q`&v3&|?i+#Y__lD5c?Pw_sUIYM1UuYzj9v?<2Yz!N!h9w3Xv<1*h
zVGKKmZYhjy^aV1d2`Y5Rm>%_vM@+XEx`5jbE{3p@o!~U-5G{eBEdx;1fHH4S{j%1?
z$WYI~z{uRvz|7Lz%-qBn+Ukcj5HOM^^0YnvkqP9?hb8AhD;}st(Apc8>4stn4Dv5%
zL<nCAoLpK|2A&53P3)$UKMV;P5uxkY2{c6xvo^R1`Z@%2Q|MSKEFn_A^fyHx)-*%6
zlkCzTlmbDGD#xOtM9`WYm?xkuM<e1IWe`&!B$j+@VhBwO(44DlZUPN6Vw*}Zqg9RE
zATA}Q92w~L6|Bxi$=wvyY_LkKxF9i)n8ikhrWlKjAghBs<BL+k?NtNt;HQDAk(sKI
zv9YD9u@k7Kgt1}vsT#RKtc2)B5{6XKFkw(xhCFFP>$((Y$Dbb6pfUbx5Tjh6S~aK(
z9hisZCTO(?%eq6dB7~L)gD|W3<R_-U=lf}yTfiN1a7_>Dx)WIHi8dkt%7%#Uxv^7n
zeqKppW*&IKGsrZwHD76_Ac%Eks2K=A*E7P#06@ioF$jPcE`l;Sa^D|Xwqs;D;)>|p
z3V8q52sWo?Y6dkEp2E$cNgin$#mE>chCJP944s06<ptzC2dYB|ui6DqxlwTv4c0eA
zN!g$vL@p;uY1KielfkXQip&!5{1<#`88HD?ky!$t|3aORPDw1z04Fn$5jacG;nr+J
zA38NMGto1GmO8N1LW7nYMoPwFE!mB?fo|X!L&FaxZKE`B5Q!YNv>8-VAuWf-(n2A5
z)D=`h2WMoafp%hGwr7yrHaHtD5J#tEmcbTf!c>BsOJ+-pe1Cw72aKAA1b?U+xnc1N
z7NG(0oiSug97G~ookQJso_U!ijwvZcsl~-0kAb#GA$tj#4NF>x6~LZ($wd@s&MXNo
z%_ZGdaJC^{BgVklpvg11TW8pU9fv;#WuEbjcgxHvNi9lEflMiZ2Q!T*D&q#FKmFs=
z!2U!|#L(?C2B0{EvSB%gq$ou9FsN`vR7*zGY;_N<R<;Ff5DC`GHaCT~sF7RQ*ml$y
zTB3D12fmpNYxoc9rU;Iq6-X@%8$%fs#VUd744X#~)frjY6cPx8_s>zI!3gpljw+KP
zpAB#ei#Da`Xn6@;>5DNcVG1iik;_YLqY{>AQ&oeYxP%U{pcI#AF-_83)99!KXm|<t
zIs#Cm%{jLMx~>&A&}D)?Dq(71qGxDnfB?`X8gv|?AmoH0J6d4`4NH_X52<bGTlwJa
z?BTC~@bua6Ya~!7W2w0y6FwwV$OfQL)J=nKI|l7_S2Z$A%mtlM0Bygc?nFkD1dlBn
zB*B-a=_W%?G5{G=Qk0li3>$L>9U+1wmy?;EQKFlYSd^_%l%AwvYN%@DtZHO{pfw=^
zAW1{eo^g;YGDA}nY&C3v3N`{ndTj~`G1PY4Xzv5ZsSp^osfiJm-UqhY)MC)prm)jZ
zP)e53+SJI1zPrCLYExs20k2I7IbkT&rWNIu70zZA7U{l~zUCGNl|CNsPP)($0Hh?3
zSab)gY!F>S@UC?u1E?mX;TPghB^eIerJ=KvumKlCV`zXNbs!93$CV&YYg#}x!kU3}
z?Lc7N`ww5+jqltd#Bl~Lhyx7hf5-vW^b4K3g5*E&WQ!4Yk3tnvJ|9Z-!gNqif~FJI
z_yPneL&L|_p{aB<+k&QbjC5hs#)CT7VvS>LJs?WjDHLyX2k+XY=BiZ46h0(BV+{gm
zT1L+ISk+N2+askCSaS%uXorarT{;l6tOitqfL3*43^*8DT4L<{wScbQhE*<*AcT%o
zfKmski!?E8H33cHfJ}l_d?sjCLSlmqE9tlb0&6OP`U9!Zge<5*E-Dd=d!W0lM)xto
z+B2~9U<};@Lc=5o$`Z&)5Z%fln*^b~VU#2Y8P$S}N8lR4p=_WAeY6C5&y5jyni_T2
zBg8GRu@O*2AZHO;uM>vsYlIIi!PYfG^CLu-j9rh=L3He!8I266xFXWT$N>MI8|c^x
zECbQCgMhVUgyv{0$r8(0BNidhU?M#iUcno?;I;#3(E(`HE1m`M5C_6iG$hBNkM>~~
zMN9qET!Apu*Zo5KxzKt6x_=m{1!aV7IRb28fAA!JXl_JF{AjU0I=e>9fqxV=c1_Tl
z8HQ$h=4O@%U;*t5z|sx%8@{Hn!5nCUCgg%4+X#Ve@Ip!PkkSuQPU32XAPz>R&ccq-
zxi#pK(I^vd;0dlFGPeer$Ar!o!p4ztZ$Cq6IG~lV)T~#B*2+HgVn^2ywhM(&RSGMw
zU`1j&Jx^T6+Kx1Y7Sbps3tCK%)}?f>OLZ;jKedM1I3(nPp<9<?wjPO?T7!;PBKN9C
z(n-W<hta}!oFf%@gKuUHYk>zFs6s06V0}~cVs8XTu&E(*=yeE2Ftuwm)L9r>p7Vh>
z!BRd$iWHZyX^{b)DuImUL;G$f7SM7T);6MkchT4qY6a9vcp`^cIb`cJ*pw+!p#}+D
z<oarKq6B??urX}kJ2XL<z!C^7K~O&pnxNOD=vEHhGzc9|L`j2?F$&1+1g<d(+Rc<0
zkIs~UuMMQ;Oo^c-zL^q?95nDfT-fk6mUM|Sya7q7bRAA3a{DQ0$OblBf;46WaVjx0
zBv|~3KTBd6HXJ$2&q0H&Nb|4Y>*of3h-`>YJ;C<ALTg%6Y^%|+O+KODFfj<q6KKx}
zr946JIwIOygs(Fh-P;A~lasu+3$5*DYHF$nyXKX4%{No@bd1H;q1%GPy{G_E*WhZw
zVW}<<1t`hqv=BJ116yr~yb?Y!g-&~qN2^err%DXYDs+g=GNBbUCZJLhRL>v{<6vE{
zVFs<BV5Q(7s4}7BE~8Z@=#F=!8<=6kaP(Q7Y=Ty0nwT2sSr{M|Y*<3qufq}!^+!L@
zt4~5M7_wC<Z08ZxQatJ)G_=V`%AI!uuoVD0p$LgY@VY<j7otL!*bcV=ZnWi5rj}^s
zGWD~Uu?2LR657i$F+j5t5*0&mBLLQ;5J8n8_5tqEP8WFG4_1&Pw*V+x@`qM~jCQ&}
zN2-AuW*FU9&^hhkb8Zp0KspAcRT&ug=IQ1ZRAvPy6$M9@hhe$Rnuyg1MoE~{J0oef
z8WiH7+z&113}KThNKNCxw;Tcc{#R(z7`Ysy{k~q%ZMdLqfuJLbL2S?|$1paoGmc?*
zgMx0^1x<p3^gtURATB~1m<Ng((8<`4bP79L#07ExIHdN2v<k3h3}~wWQe{EZVGlv*
zs0HQC2clcypyWr>9mMzo1gS|0TexB{S{^{s87S4M8W|a38C@QH<pI`6h9+jDNQU?f
zxqKjHaCz|c8;~<J)*ygpe9H4PQf&b1Xb<Rm7xb;chUiD_K*~fin&+Sb3G0Sr^sT{0
zu(NbvO+!d*kYObqw+3TPCD3}4$czHria5G87_C!k1UqO1mL6!31VM=tsj-G(<&aH+
zuuCdY(ja6R0sgrG+O2poN*Z1A0`B@!bIA+-&A~>nEflaUgxuQ(rG5Ih6hYH3pd5!K
zVPaVdf<=g)(|w>b7vT+Aa65l=cW@Cn96(nB85<jecb9>p6H70Oipd;(SCf$`bRjiT
zGYac`6Krg55T$>p%TdxlC<MuEMMKBiVO?aTt}o~wAkYEEpuR4sCp~&8kShG1DHHU@
zhM5IDmT15>*}*!4SZp1-O%CV)KT67mG&$&5W{)n>Ffth88-YQMSHvP6ND><ORgOb!
zi3VCxV+dPoOsL8<gZ5qrQI&~p_5Ns;N&ho;p_As&%FEEyg5FCs(9<*l7Yv1})YLE7
z)!j2ZG|f55FU{R3-@U-m7t0B|7$dE??gmfJNh~hTOjb2^!a1M>Y72s9SfDc`(3>P-
z4M@af38?)DifeHD5j1lG)`T+00^=Ywfz-hpnh;lG=^H?Xa=<+ayz4F?F^ESU^c=2X
zHXv(?wphZ{1UmK(E0iJON5;@7sMC*iG}Z{V1Oyc0ps6s}J>4)XAyGkrm5|%JjSOH0
zQuUs3&;{1u!4;_UEnv5Al6Hb6&fu|tu0MksZ(<7NQ^|Nk6KEX=HDA|)JPW`h-;jVN
zW-$d=Inp(}`1L?lgI5j^Qkh!;R)$z$fVG^%-DAKxNQrd)1L#@@1IP^zFbZ;?16B3|
zf%+`Sx0M<~?^A~u4(+XjZm7sCNz4HkxT;1Fxw6Ef%*4DB-JHzy#FEmY)M8a*kh;A5
zqTIwB@Q4Yjy5z(HTuREJ3o=3aLGvZXpc^o9QcFrwi*$<%5|cCY(lHIsFDl4L%!3+f
z1hKFrwW359dUG_$u$<Ji5+s?-yp+_u5{OX-Fpq-ufbZW(%P-1>NJ1woRE-SEGgI=*
zQ7tLYFG@jm7The|^3<g4%o1I&nZ+eV`Pr$u(3U93IdFC0yRX4!q{1vLFG>VmB%*4B
z;}j!MGBASO`GL%UCI+yTDXGc%MTsSu`FXk}8JWr1d7#@m!O@bLmsyetix+gY$kB{X
z9a5@9F)uAAKM@+Nh>%OkEH21Ntc3V4GcPAIFICmZKru77Ait<25flwhszyltpL9@6
zdB$hv6_l1hE`tn9%*jjvE$=aQ0S!oE-v)-(LI!zF)z}Ffz`+HH$*HQwF3_MwYAN8X
zv8gzkXJlxs2fF6S$jH#r#LNJGHvqKkGcyJqcxh+>hY&YGQww;R2JFf|<SA2QwDpAP
z^gO=^Yv~3p(ox1k&>{ut_#$ZfK#B|0Q>-ANfTb-p%-TMNu(SDK$-&e}&m7v!gEbv!
z(ENcNlLfQY7|lwun?KN66Moe_p_-F|Tj;T-LTEz;B^5%3R5A>)p0b*oSd^Zbr(2R=
z04bqhWixt-<dX`z?-(*Y0%8^97Z+zH<$y)OI-xf}<s}tE%5R9Rszz=gi(z|%(J}<I
zltRh{*b1!tvecrqocwZCW0#D~l$6vwRbwY2a|;#AF$-g8*h15ct~u<$1f;Uc*Z|td
z88l@T)|G0|{spwY0~NBMVkNkwG6z&o(C7*ect;e}jU=%fs%Hw)1nO;qXFOmWN;;xg
z0|pw!kn9iXh+;nq2U0$RLJgt{Tz=rs?1<h5ymT-!7(6Te@P!9baS~jTUz7@+)k01`
zBWTgDDQtT<tRygkwNQ{s0<5e2ETLm+u$qmotrn~i3?2SOieT8e4xk<p)*1sOf!tLx
zh4vs2H3rEWZ9y~d!5NupC7}8NoS{GsUD!zz*!J1txEXwaR}g^i8+J@dDFSsjKo^0!
zfLiTFX81gU*f0zWcpP5Ce&jePGUydcAm7nxM>9RWh421HP}0LZqZZ;iSepjk&_PLj
zpp=YMKBBf)u(fiK8bQ#Wy9>124zdnbH-kF(Lu+cv9Bp({*T~pd4_eP6m6t}?Zh#!n
z`;@Vkm!Qc?P}HInm>>b9xJK&cjc)$}57T0+?}%wEA(~9kk!aX`%I2_kHmnLZv@p>#
zqw9Uj80ngj6CjOBs7F9ahS<?$I`%2Cr+IMW*2n-Q%|l8(lp+tO5YFM<fx844GA2ve
z@>hr%c#j-`)DfVHlf-5LkqaOoK@O@Q=+y|oQ(J<{MnoeJ;xr-~Gob8%RDx2owjA2W
zRat-n6%;zqg3biGCk46Ygmp)d#~e(d>adl(pi-5lOM$VMBG67FN+|;J8B)9t#+nl{
z`Uvin(E8#~q(~k3Z9*0X&=GBD%Cj`kLpq?t5;ph+OJI;-BBOQ#rADlC)D{NNVJfHt
z(9hHwy7eiv^^2VF>9^AsyuM?Q4!;>fM^7P93(0d>_gq0|e}?_|8!SMO3QfoW+{oT`
zZ)%2GG{6qIK`KCp$oL!VbbF)-hK&Q!vsDKlfHMY-Sb=UorrrP?mOcW`4gtu|*v1wi
zO>GLB>jT%@Kx!33ruXwQONL8_0Mxmm)2QG`Pd51aUf4$}At46og~G}e>;uW@qG&Di
z(H<FW=*hweIvfIRmKuW^u%OUFs(i5SPP2gOgSD6ke)7i<+DSyId_Z17ZkdvD#>43D
zG*Du|IDrXS5Of+7w3h@+QRXJllmSaorj~TroraN)vDgY}heMqUN*F_B$PyIdAon6C
z<k2pcsb86{A++TTiCRb(3+oaG*hyByzmEkC5u{QO+{c3UMUj)xNLu6otFB;$k|A`|
z0;!rCus#-eMx-b|zeE*t76~kuTj5@mSdihHpOTt`q6RFRl9`xZl$Z;Z01M?-q-BB@
zKe?(JIEF0tdBMP-z~JfP7*Y}UW-jL*pRd1<&)@X^`o8zpH(O6kik+sjG+^46$WOwY
znl6)67ERI+74(|ck+D%F<$k%|&F{7A|5w)kJ#S)M{_Nh)-)H7NuiX3W={@V`HRr$o
zwmZJ}bIrc<Mfdkl>zpMNz~tq?sllkafMrSpoFg!W<y~;1g2wyZdQljXAeD*>4mH?u
zV9~jNrD;Y37qer{=hBy0r9&hxq+?pRfMtq<fN(&fLP!2Sy;!VPaxwqnL3by}DMB1c
zOj<lFAJ1N2s*UCiuyjD8!+fmbOpZPdt&Ix%e(owk^*uxzlNQelV<;2VCkh>$D+~mV
zn8#0Fha6~dwXKY`Y)F9#@*9t^Mdha@DW|8MHGCzVzemxzov-)d>LYgx+WBNBbqcGW
zdUUi~)x;!3FLsxTq*2O*q@!J)kB{{}Z55B3uqE^IvAZo_yg1t&W}D?cxt+h?^mXQ?
zC7oyH+uyHw`>#IZ<)x)T%l%~MU$ad-#8UZk>GU%jR|YSic6z%0?~*tJ`6Y)O4DQ#)
z?uN%M$U$oaJUk8^n4svKa$`ec(d%ov>(>~io>G}#`)%fyjEhQcmEYdp4ljFiBk@?y
zl@$|9-rh2GJKldPVYYd`Sc}~4Wj-^N6crT%CB4pX<XB<wK)%0`i}_!ne2ExJNO5*J
zI0>?KuqXr_5a0d(-|wfN&)ZM$lePX;w8Q^+$ojb0uyrw+eW~mfe|~(ln=3w>{qLvK
z`a#?CVvno(&9P97+?>{X)?4kx#!!h5{kK;iYN)@%KDQOc8%#<Q1X>tb7+V-`Pg3*M
zTEFktDw|Iyl!F#HFeX0#v-|zN$(fgzS@wBO)0w#@Zg147X}Z$N<-x6u5(i&gZ~pW7
zy#1&5`~T0fyjOm|w&_4irk3NKduF0+kEU&p^F>aC3LG3Py9^4Ro)SGdU4Q-@+v;m~
zbYF4)`SG~_(*<Y#)}7Voe%{#=xxcP9xLd)B&Hj~?f=|xPO-FfLXECj0-C6v6nv7+U
zOZpFu`Mc}>Rz+^jn)>C%#lwcjnpnA8Lb|-BYPnX%*c$3Ein2ZWwlW}5A+PfFRg?tO
z)ZpO5!Mr*B{Ir?I>8FnMN<V#Zaq%Sk`nuqnwdv>Q9m^~?FN=MCW~Q>mtLpFXrY0Zn
zlVqQD_wTp2w`a$^JJKoq+vWe`e*0<f?(Vkk`}?4o|H;(wxQ|tOu8#{Q<lf$vJ9~;w
z<fWSE9R-Oux989QS@q$;L8FWd3eyYcRX&rPX;r%Fr=;R9?`b-M-(OqL|L|`2d#T0;
zv-9^&Tt2_<)<?0|&-e7({qo?Gv&lI0TfX*7prl2?f|T>~?mnG$-v0j{pIIg+|EvjH
z8};dS{{EeN{JoA8pSMk~W7~Xtd;aY8#_8vD($CG|Jgivx=}FPwU!}*-|NM2?-(K|H
z!+(E&`))k8r}DGc$45tdR|G6}<DLJmS-oz}&#l+vCf~3BfA`F4pP5FNmU@e4-rlzM
zx}kaQt&|%%cXwUAl5=8`YPZdY1I%e}@1*;mcMX}ZK5zF#W%pBEqS|L}buv7tbhS`?
zv?SqRliueZAFYEsi=Vg5;&WLl5PRTH<vgz`8V`+@=^tf({Q1B5<fs2v6mK~j>~E{;
z+Aa3==gy9o%%Kt;nTxFEp`^qM!X14E1#fSe2B*AVy?)=P6N2g@%Xg%np4Ruh@X?LH
z`PSv@!oNK|J$-uW>1i{y-U>?2WfRkj$>39tVn}RyUA5W%|DWJhVQXJiR9{&UcxiRG
z{^!~lPUgSAz6!fAm!F<txH$3TtekG&M23e8ik_Y-dT@Yo{hl3mN2O{F)9dD1mrtww
z{Osh*%gYbXxwR@(`{$?A`myiy&$A|-`S9?t?4!2dol9yT<S2{W5WD*PWxxGDgGc9j
zrOj>hbORT;7*&2sapc)_HaxN6YwiEP-xH7dPS>03nOV5*x4=Au2mJr{N3b|aHO<QH
zsOq=<HbcMPWsS_@Lk?>at~yRdR2d6cnr1qbv@~9iufJ<iBw@WX<gx#JyS+CXQ`KdX
z#l_O^@Wsnpm#z8pzW#r)f_~7Fj>0cD_Qq~b>s4-_eW<}AO;FPM)V9wj-<dTzRk$5*
z644Ce`1wd;_x#Jt{mtXuT_rZG7me#>{NBM>HlZ-1bJC8AkBegVR$Vnr{c$nP(07)}
zv-ChwHVgAbkD58m?Eidde*EuY#q*Ps-8&ZD*qq*<>}T;&)~clAMc9rHo3DH9WnZKa
zF?sXezu#^fB^+Ql-Y*~@SM$;J`1cO$rQXx0JwD!ldZMzsjQw*tL0^Yn4jrxY!si)Y
zKe>5aU_pi5&*|w`>*noXd#Px%c*goe4YrZDk~Z}PFZZ*2Hebb4=<v0^C7k{eAKL%N
z`^2<1a>&=(qSbdSW{oSjn4eq~*ADZ^ySFFPX=(kxpXtZ_H2TCBezaI7@$=u4-N}Fc
zET3Oz6u(*e_t)$3)(lqGo^SqbUVQe(y*Kaf?rwUVY5nuO-S0Io%>*V2YSsVvkXRh?
z_SRO}&pq0S4A1AwrgkZBYBRrIb6IhNf%*}#MO+(h2(cv`<1;grGT3qOyji-s1mnTW
zd^@bu)9dyemssMs#AjyEw*32fWpgX@3>GO1a0e~*N_}U1?)%Tr&(Bs{ymXPiAuFPx
z*O`$Z{%^6a>X{8IZ2YsYuQQz}v~8lBgA_Mgf6>!ZmO)+ua&dJ(Q$NS0v@iyVbhtQ5
zD0?-e-|h2D&|Q+BaIb~2{>T5+&w+^t{(4@2kCJ+(I4~&-geD%a+&=F@eb-&f+lySg
zeOMZEU0600$81b;J-*Sh_}LWmdliRU&IPaNuPL8nc6(LmYO%bvjB~Qe5^~!wh9@4l
z*_gV#{^zHs&n6YB@>Hy9esHGs7mxRJJ=5YY-NXl<o}NC`w=FkxRmeoU+Fvtn32?Bz
zxpF&@+2Z{feWl`O?ZN(6I4(+VJ3HS#ewJzWvmf(LOlp^}i%2^&BT>oR!0AV4ghNTu
zwrgvnPp^sGe5SucWx-_@v8m5HA5HO{ZC1*2e_gEgVM#{rg_+OK&Fzb=n|INvm1|~l
ztteZ?ySHD&ek)yxa?m-D(8SP~@xT|HJ_PT+|4|hm%=xH$Ph2=keREK#W0k=HJ*}gk
zYfQVI1vd0DRXexy@fJ&2AG27xr(n&E)Ne=UbicW}Ci1e#F7ERhO~-GPzq@1DZu{|w
z@Uj9WvG79;pZT`hhC97}8(ZKaWW7jnTMOel?^mqnc5Z$+BYZ``!8`3$Ute+hyxmqK
zc$YuD?%hR&Io?y4{0s$EXUHyf2((+oU~tLHL$tvBlI@#Ctu4<d*B#um=#$OGgG}lF
z54CdZO@Fx4L1WW=$LCROGA~veI7G30KYMeJt7e5T+n=ZZ!(G1H*xd_HRIpp|+H@*%
zDIsJ6O8jsBY+`=1TCmH{!^-brbpGB{r<E)3ygPa0<T8x~vAOnpvK5pB<~CgH>VMSy
z=2z8bUTHIl<JY$dD!a`%^)n)dli9^>hq%X*c}=pX{PT9pmdVXN#1P2XvOD<ey|Qa}
zcV0|nT7Jj!%RTAn^tzJd4<QK`Eqfo&Ex$MM-{0S}f3cQ%c{a20%6z~4(~3jloUG6a
z>j~Q7>on~BEncmeD<W?F=tynslBx}5)=LkDCpP>SKX>$(g!r{{YqjhDehr`O^~hJ^
z!}S00`~Lm!JN-CBqQm=El`e7vvT=ceKxpEE=<Ru*PO8tBaI>(Ow}@d5qmYze+|JxL
zHy%$3%x{=3E0wq3KbNsCY*on0g7?kUA1$BHDezanB-ps>)wYe+x$k+bt+%~N3Rb;;
z(`KvomizraA03_E+`VlP6yA_jETQ}M#L44xS{eNX1@GMwEy{B_%Kmoe<S>U<OibM0
z5AD1mfBeA(qvs!3#QTb$wQu&n=#+k#F+KdAm~F=ug`~rk*XRHCJ!oJ4``hjOvwgO1
zzc>V$|483`-YFvfTe5}msKVU0ACJqIFERL`#Z%EGQ6RqLP5Ob^1`qWA@3;9Y-}Qd}
zN&|uW<qqrDBR791Jm7E%l_)4Xp(*C>&X}(JfBvGPZHinE1gyfB>ql-{^0>Avq_F61
zLDfBl^Rvo29^PE)J-sD1!&+y~3Pu@Qf6I^O{7jNBndP0Fq}umTP`~W`z1)58@9o{)
zBW-@}=_Q$b@sJXGbE88CW*8>NSQ!@x7X8U>J9qS7T-8g}&8vGVxi**kIW90}St+!w
zRh_5c{@dTqyo~7!n`G)+<gAZ2eH73V&^ctBp4d<&w8gQcucu(Wbt6NAz=JnmCZF1n
ze4Ni+o#A)Y6@>+2jK_)(%bdL2f9ms*Jsr0WyvTC2;%bX<-P;)+UmI$ccPFFnSan%A
zV|**)BGx;LGb`5Z43~JsQSAQblN!&D)Bo2O<X712U3tjCrt$h|E#!`eN(@t=C|lXv
zTd8xbti$i`-CnueZ?25>u`O-4cbBhU=@GvrBaq$4N^-*SJZV0O<Ck7CEN+-x%;=n7
zesE`i^l^bM{|>8(JQjN_7Fg^IUglHCbMT${+Ls!0GNcpVoZ&p2xa7L+&KDgrSAr{^
zP7RkyeEyJ=`Q6X2@7{8~++k4uE+)95e^0fN@Em15j<@QY7>oN<4oqCKcfnm}x#RO?
zbE8E%JtPX&<vzG0H$jh6<j!X2XFK&iXW!eib0+gSD_Q0@VqN#%HCKl>eS3SG`})JW
z4|@VqgqF)_2QTX>+!rbQeXf-7w>(={hMoJ~ZrEL}y5Ov$pV?8t#h+{fS0Bg=^sHi%
zNlcgiF8PtISZr^ND4PX;AZH=x;#L1A``f+D@V>dTIQ{Zk#?NXzKQ8~*|M%W+w%OT(
z>=Td8+`av|;<mbVha7SyUM)l^N1CQJ>^2Y(<oLAfZNO(a+bWB)tC}qB%6_8dGFfv1
zZZGqjs})jre9l~V89yt212sRz$|o)i2^P<Oe|y_~L2~Q*hdM4UTiE6V%7lHFVms&h
zL8AHRM!B+U>tlC6Gg`CT;K7_l6Rb+J9&-eF?yvj%>yaK$LG7Veoh1hzZo7Vl^~BTo
zy;WNuM~UPvS-NO*>gj1`JJidn?SI>rCpLuiY)U<C<#+U?YtMo@X1=PAkB-W2Wu0N{
zSLET*vGVc(+byp1+jym|qI}wpU;BCQR`!w`3M)Bc&Rb5Ozk=`B*4#foKI&ambTKq2
zclyh@d;8jigH6jU7T$fUpml!o@4pY^4$E%jXs@}v%(w4#pn78!XGBU1W1qTLTL)*w
ztz)|iwsfvIu!oa*&vX6%`?tg<y6g}9Qj1(VF{%nYU|FQh^We;6?{n|gsUO~$_I!)?
zbiKaqg?kG8R`R?*Td+(_KkiLXj-JvPi@96uYTobtF2lEWdGU?4%ReaYeEZIAo$bR<
z_5c5t&-nhs@*?x+XJ?Jy@8o8F*Qa~@hTqcN%3c?(qr`SeuVm`+KWLwx{QRD9@*E!)
z!QIv^yA2vD8XmuiF=p6SCSkkq=bL%LTOXVi(AT)q9w0o?()y*Wb(u+VnYg~d1P!YU
z>)YREoN4^+?rk4Ep<usUE|=iUIj{X(zE&og&-a-8p@)P0UHjdspd$JN_rLg^(u=q!
za-D1Ps5sQH|Ih!RM1@7_@%O_~M>iP#6s!(4>@CqcCH(IkpXu(`tXv`n=jz|z+k5u<
zySBs5iB{(haxUM;;HQ_#@+Rv0%ge`?HdUtxY?k&mVQ77F!d`v4v|+=A=kD^gA)xl+
zX6<)pXV~*;<??&hD$J2RJ}00)>cqntN$(CZ^ywb2`Fb@x&DNs5#y_fYx50sp@^wEJ
zDyS9k&dF2aE0}R}XSw++whgEKtyms@Zh02mQE+2J;<ARtvOgc_@fh&!zW?OR`uP3#
z-rP8RaHky0?vH1ZZ*EFWOaJ}l<>fTHJKUE<9z4x{?eTpbpT(t;nuMHd0qbHc6?qTb
zG%SnPcKuawW2K@)6|<?bfKP|p!I|ZH78&!UuRiY#5pq$Q7p){L(AFWRpw(Df?B7wd
z0o3x3;^Gina^1k}5Yt*KPwvKvn_JaErJ24co6=`#kN!~9aP)HEtWbEM$5WBrFaF|#
zQr35g7q2h>{LRj|*6@M+cgOUF$Q1)<xIu+ug@FKPMAZtRj)n#<=3gD?BRK*EEFlsc
zJEE5fe@kZ4;`#CM?8TLu$fc(Sqw0g1Agw7HjWd)N9CA3b@ybM$PV$rnp$=uHEr~ML
zWosU1ZB9GewOIT3+f<En6_1W^76(R|R=xTou-*95YE>5|EgqF4yH{lgVR#E<jWVMs
zTMf(7c69r}13VrbEFlse9p$0dm!NqP+{!uN4stz*7k@A|qbCS(t}vJ&@P5@gPfm@-
z1qvIgzGi{OCZ=eI>&0FF{jgpB)RmRNPuK7N*Y(LJYD<P<E0^e`iOTM7l{~hAC=J9c
zmJo>!DRWzIPK`ze6@&D1G6xw;x3Td`omk}B%~W8j<U7k`V${~GldIS7lUo1z|C7o7
zEz<()|9oseUis(u`~8=e`^z7$yuB?~u7BaFqKAiCQ*UfY{J2YO?+#F}_JBOco*$38
z{~7SkZ59e(3RE#jJk+8wPqKdbN&|uVH(yVAIdC2kZuFe2#;IR+hxz6oSBW3LUa#*p
z*iiBDk%7haHId5e_kNotV^a}uX_@cqP>G6fH`99!F6^)W@32qRsvJ}xIrIoPNJ!XL
zmGty1ZPs8^71+)aSNT*lFi|0ICUp2CP)R^Gu|f7dsNJ{W=VJH%Q&(4qFU`2PXjAcX
zzvD5W{&U&B?KwA<EQ_8@m}gt<HC-=O=KcBk_VH}|ayr&!Zzi~O3VEKJW0`tuOJ>kQ
zC)VOK_W%DVCm-vXsK4)rlasUaQkPDlPxq?dd!C(TdiJ;dzaNWDa&MWOxwSfUwVHPL
zx*nm)FXnu?>~DW^ie~T`sX1@Y&9%O?%vU<|`ntJyb`(0_tNWe1{*c4{eYHyy4mN!{
z#I5g=u2r=T6od+n0s)B%j(6N*T_y+xBr161@6n6q)Mz}Q4DwUVFUMxKlTEDLD*5;J
zs2%_R<mBW@w$)|KO$AkDp9){SzOpiTU;VS0=}$JFx0^goH~Q2}<MbW@gW6vuE93X?
zyR)}C{M(zG%C21^6H8uRnrYa^FP~TRt@7!~$;aOu<Cicv(80g6=xGtd_vsyt#m~>p
z>|lt#@!^Y0&F{C{A96A8E_*vEWMz=&yE{9X-#1>81P_}gfrf1jc|zKQnF>7|S{p4|
z)=md!50`d_lGeuW_v_=$a&J8;<eI7-KJDCG>)mf^9=}*0wbiRb#G>}s7SPZp2V3Z>
z5KiM`t=!^0Cl35@QM%!#%hJ+vZ*TSS4ub>l{v7QVKO8fkgI!5B>6VfZThgy8$QX*k
z1kiZuiE{tva&JJTz&CY=>+4yjI2;h@&`oSms`i|$Hud-W{qgU1zqe!OuZ!DLQP@7i
zVdK8KzrQ?}dQI(VxUeczdvZ|2+K7!#SyxshDhbZ%{lauf@C8FcbcqsMpR9FSkk}pF
z!beBkc64M0Cn_xKd{yD)z^Re~DhHnIUY+gz0+gv=lt)}&&N9WJNl{?-AqKv`=k5RJ
z>|$+6Z@E8N$)Qk6rsNfyh(^GHD;x@}4=*kCPCUZ+{o@K_hr5kG-g!MZ-MsKngZ`8+
z5}>qwz!o%mJb3{N)AR-|=EmyxCC|JZI9VhcZwRp+h}fDHsu{g)jezKb?!e>+FPG1s
zc6)pN>GJ!v>eKb(r=6K;%susA=0ov$rEhLreDZ`}*6PZ1@0~J>SF%iWTc!>!I3M*i
za4{FQMd>eLNt)BZ#cbFY!X5%DaE|COI{hj<qRDfj`)=i-f0aM8L|Ay%A7c>xHQO{>
zt@hWKiR$xff{ap5By@34(+)pZQ~T<Q=Ff-i@=N0ORxO*xV9LJ2(?QXhg}Y~3t%!Eb
z_q*l3E({O<iaf9Wa?w3@ndfq*bB-=2W|^**v8%apai_wfuSY_)7%vL!Njo=3^ZlOB
zeV)q|&K~lc+mSKv*FJDIkl_3=*`VOX1;t?H=TE1{e|s7BVf)uNH#dK}k=*~YWRKhu
z79lA{Q8uAlvRA)qFse>?z;R}#v3t~(jE9@_x_;?KZ8`CLtD6dsfj}nX7VSH`N>>*)
zcnZ!iOm2H=)8O&plDGcJW4+S8B@V}WBz<2oY-R2cIUrzQ_u~QcOpC%r9~yOhXPE@;
zD#`r$bb5Tuv&yHZM3uD+{zq@m^E}+f+xy~y|F=8E=TAPLU;oZ%>5r_{FZ^Rl^gv_S
zjUPCEOg1p6{FHKYfBpWKI*+^mzOVmptYkX3;*jx?_n;1Gqjm1BEpq&}MNc|v{(L;X
zsq%B$Le{*`&(1E5-k#T&e0@!1@~*EJ-X6c->)Q+(6-$1Y82{|tb4DfB%+5JRsb2AZ
z7n2`#HgGY2WQo&Xjar!q2{HN~Islp}`1$FnFw6BFCUayiJ(*YWh_m?S*6i!EJhs;U
z{wA3|ciXOxD;@i4{7a-?mb7w-a+S}T<D9~1nlVY;U+!7NqO%@bt6wZ^U*g=(w`_-g
zVEvYDNAvFNQ0$d5owR=6uTy6Z&eo0H6>_XcQu%)U|Js(V)k)p2JB8JstXw|NXl>uy
zpSQ0++Pg9N_^DrCU#phBy7KAG=JQ^UkM$n*Y4vTmxjB7yhm+}lwFQS7>hH_XohuZ;
z<mlBftw!U`zipG{mTY&Pz82ysJAndmwhoo#<3HDKzc=aA)6>5VoX*HJDffLoyRP=(
zp;q4~46;Q9ajV1E=LLOqa(3=baCD#j_V)JKAy!JymAo!5-p2R1T8MRqZEl@=uaxTM
zw6mWquI(y)opNG=qEY@moBr;lU*Ap94E70On%iji>&4<3jY6$~)AZx@E-&|={_*kg
z-E(R`J@E|A5c;?{c6XWD(TN;fN-~0+b9g^8gq0Wvea;8f+28+Oys62kD$v5h@pO5E
zd}UvYPFuRjKjkC$XNRr~I$Cof5LTrN+-AAc+Q`h#cjEJT`}{*E?%uw6tN7r_f1gh4
zpEX>v>LJhmZ@04b62DoOy)iJ2FPJ5Gj`N{<sc!whKWP$WFIP@IEWyq%ClYk+#>2Gb
z((dy;7!Um_ykUEDOXlSs<Gx_;@3KKJI!ZP;|INR*C-c(18QN{kPv<?_o_pKu<*lvR
zGahPg^EQ`1(&;zXs<m={o&yJ~glV})t-@T!<#VR&+tIhBV4lLA2ktB_n<qw1Q1KK}
z{*e&AKF-vMCy?=ImuRu&w(#|FYDSAwPEOkR_s7d07gZJ<axh_h1)i;IoT1{NXD@H}
z>)YGxD?d7VCLG}w*Q>DY5pe5bUj=Ipb>uR=JH+tl>!#GxDR*`h%IW*MFj{}Lc)Yjz
zyV=+58<lDy^<Q3Gd?r(NZ)I$AF=$pq_?!R47#D`JMK=!~4^&-0--^*i?Sow9pKUw*
zzQ~#u3n<vU{qp_w_49w$9w|((Dch;J;PpM*<9?PKlm%^cyH2qD>#NpIy0O@uKlt>5
z(A8ma`R%fqh7}(YRt79|%DHd0{_*;_y-_dNQmzX<a$pgV@yHTV%-E89`<hGZgf7Rb
z7Ts$%nu8cNc}`Ya*06q7y>LL{f&K6M&-rm`G%6?^@MCmk?PPdxxED4T+UTJ4K#ym`
z>vQYO75~iRVXr&X!g(waG?;DKvZ2m}spxX2z=24`IrBW2dhS#gU3>d&*PQ)HN4Yjf
z=ZbGXyfE$gtUnbz?epeVyyD)l+W#%%?SeyvF@;6B>VH53?~gZMexKu__vOva%V*98
zpJJTI{YN%^pKG@m@8%R2p0aC_vsbX>yOl65{Ql52s=`W~@yOnSb+s~Ixs+DTe{gzp
zTZYr}Maz6=O9i%PG`Y)F9&s@<i2okNvae_5@gMArqHKR2+r7}4(jdf9#PsC#$3&*5
za|OIiL3I+SdD`f9AX4JM!(){x*XLf_K95KJ??HC?63&h4EppE%XE5J-@a)9I!%Lqk
z1TwgYO<3e`MP~QaEmPM<Y+SUH<$CJ&dDnKlOMLs}`(uUa8i7t7LI-xL-#u8C^){nv
zXYq5;45sX(X4~>bd@B?k+~xe!tiR0hKGL{bvE+fz<Zl9Ty3E)9{eHjSeb+t)#lP3r
z#eTLhpWzx}e{lNqwY9&$soi2cwnZ;3W=FyOJK2IijONKbwr2^E_%OeEp>ZISmx2f9
z1TKdgiis7>?0h^yT)T20Ug9WWI(LX+_ve~^VV|hkyB{97zApB*#jDg)QwsN-{r>#?
zeDj~L|HK}7sUN*<&Evnv<?HWQeC1%g!h7K2F~RN5S9j)pe|PunyRGW(KQ1lxUS`Me
zl}%!L>^D{KX*)U?T22NZfADmAeA&!07g@0tnGP>NL-MaahF!9nv2FjoEZH0Ct|fDS
z)cAQkcX+chX6L4vY=J^c5(G*U%Nsh*|9rW1v7bY0<BH9fjllyKhE5GJo<*OOv`i$F
z#V<R;x-LhA7}FCQV)LE;?0vg#^X>l0o)`D;sr)=kQr5oiPRSER(Skc1maw$|4PD<$
zUtKZ0$Xxc&s->iC73Wj`iAt>kpZW_Lob#mP|9?8IzszExy7HX)9&B^!gV+TWI=mE^
z4w`W>DC=EW5qLP2;}-v><a2v$B(M8S(U^F>#NpX2&ERDP+F#ta?mKXAZ?*5OR&{0F
z#uHsHq?8!4)uoy}_<PE_w&bb2Jv5m?<Zkw>pM~xYt&Ka9*M@@|hb@W+<ipFfD&T!J
zjucRYuri*^JU(+<Z*1-J*qTq5HN|c$^Od%&`m&<XLXeS-S1QGC;RN}k?+YS2loZV8
z2h_DNzB$q<ysVGmD`SSU2QPz5Sr7*skA#8?dx4H@RR@DZ#SELuO`0JdM{BiS3yb-)
zG`)Q>&$9Sf3G0Hn2_7CDr{6C4$N!dprnsNcb8Bfni^mhCUYvC6kw|>z(#4{5{OjxM
zXDcKgD{@V^<*-&!iQgmlgXy37=Qw-bo&?PvCi_`mWW3_OAdlq`|4Zh>?flcdrfRW%
zTD-b=JI4xx1^tcShRi&U4T~K;p8Wdy+P6>*k)wPLh_Su-#q_RE%Wp$*p-tIN_dc1-
zsGk#8`<XB(HNNHfY}Z$pk+A~QKHlT5!o<WV$Sfhq6kqePwXkcYtizIn3!U40Huq0H
zyK#5fTce%dMJ!ZU<d#pHTiwEx^?O2DLjU*M`TJ*n>_{mvzr-+uzqC<+b568a&;tQS
zhKGv&^K9hum0jC752weSX??-r^ue%Y%2e&}U2~q_Idvg^9``F1g$D|!3hZ}2Ketlu
zpOODu_a~sXc4b;s8`x8~SZ-)4*b7aKE&jBqH<%r|7{p%T!M&*m_?L;K2RoQ3Tx#@S
zHdPe>^?Nyv>|RzJ#^j~&fHNRmA%0(tQ^Z1evDxCFAkoSgB;zr0L4tzyi(7dXiZ&+?
z?qm~XYx=zJ^{S~2LLKf*A}<ym|2V(?pJe~$3*O+42dLW~$#hVI=gYgh(cALwW-Vgy
zWcQeBReHwh>cuGzGJ*k#3VjZ*Q^6TVK;iwQB%duttHRgMa|#5tctLH(1P+$P1``tU
z=IN=t0D13Ae*;qhlb3=X=boR>W-pWaG(Emfa{BbE+3+f?lu3){h*i?w2B8j95K}5j
zHUiYYe&Chx{M_82Wj>OinegjfM^E{Hgh4|X3qZZNfcJCGMRR8Cc7m?(D0Ev;`uf_k
zcw^luSHKPgbt_Dm{;BX>*i`WE&(BYvPV4_}nHkoYetw>*uJF|<4hBLOIvNx9yxx_j
zx`5@;oCE##|1!FSF8&XPEjVy+QhDHi=)jYclT-iy`}^tJ?fl;@v+|fsv#(8=rW@T>
z4O-QpEp3)F!E>^j=dCT7wsPL59vqji*O_geKh3)Qod<FYRC#C7(@AqIi+`DH|6#Kt
za&y|V&)!qDLKm6vvdrp}wLW#Im0LCS)RaIeXa6o~P(bje@9hFL<op%{E_QS3cKT-l
zulGGVSZp|%w|rb|`@Z<Rt@v{9V<%TeZCz#a@rdxVUD?;yO<lkL->oH|AFcsSyIPgM
z)494T)cC&ruNR9!^B^;r4nCB8E)e<Q?e_a#pPrmNEc5HU{r?k}{q1%6ZNE$?d2zuJ
zw1T7j{k^FnD}!bx=ik|(Sflpi)#~+!mWT!|sCaj0C#bC#+^<pl>&vBmwbhxImUzzD
zv{}FG(GkwdS1XsN9Bg9k`)R%3yzEUx<o>$7FOPhHHCN9RUtHvBRQ)aI=bO#vAEokE
z1>WaeVer6O+cpRky8^0AE$U^ju6TZXcUOAp=dUV|x+Q?A(cwU>#DR;c;D)Qw%96rV
zi$@ms-`o<{i@D*#SMu`GQH%9gSBFmrbxy(U)`_~&W;gfM?*8)fvU|t7$9q4#`S$kq
zv5VhTxC-vA3SFIYWksM-_BEaU<h9Y;{n~gWljhWy@2>c`s7J=~lEq^ekv|`g%Y%j^
zPHxG({77|I!p~1njcR|DNZQx!IUV9Z&qni3_L2Uwx3@Uw7k4a}VVv$aqpY`seM8m5
zR`Ex#zP&D81nRkKG+n+7PK*159`M`L+i(Vlz*?>o0yuYYGqdx_On5%O{$9&Ob?<3s
z9&ak#^zzDKLr~xI(b4YH+3WW{d&Ken#zyC~b8|8;eJq&A`SAaPW_}e=`_-rHW&N+0
z>c+<oHnTrHHB~#UefHcunOY@Qj@QQ%zo%H-3tr}9==XMK@$)4f6P28nG|RrK|NP81
z^TvjSf-D9yE5vl8cJOS4H)V^TpVPfx^SM`0{f0`x_Nc8{k_!(^7CafSyDWF6L1NQG
zq3dksKLi;c<}49Y@tkyo!|uk_)#1GY&LIvPla9vpy}i16dgmF9$W19R{_*R@J(;w4
zT!d!GtpjyCI(Qn_MsN3;YgPK>$H&K$Gy)f$n6v6QY(j=ZgX!I&1E4PV>1n!?3m+e=
zjA0jKeRJh;&-ZtCqm9zeWR%V0yee;7wZ*c1?)Oe%b*bl@>i+&xdo%xv4ZI=yMUHXa
z36^`x{qw6BZt&axQ7}$BW5Cq1d~RJAQ~HSs2QA+9oYyOVbfh!&#f61>pSK0`z42i2
z?^ZHm6g(I$cv#N1YDN^>+NiBvAMWYJ?z&R&<KOT1d)+i#EIds3BKB5o714<ZFiJo7
zMuL@*g}vy;hD5gu8Mn8s?c`DvZT`X$y=J5T0tS_p0^jeJ$D5=rT7HZbw1B8-Q=IYx
zjs<Rui{@JvANy1I=;-x7GLNUv{@fiuW2RB6(SD1c)5Z6De4U<f;O;Mp`3CZnZWKyb
z6eRTYuVsdX&Iff+3xD@J(C9e02|Vv^flS$_mxhOa338tBRd-@Yf4aEeuISB-$2yZY
z``I$|d=6g|kyy6+?W3}0c78MK>n?8+Exw2-F4@Ph`_~n@4ZMX+$3@k*JGF8>JKpla
z*v|UzIkzWH=jYj;y<@XfMquZK1&++d_ZPW#w?!H$v01<F@eOgRa682~U$$eO!m0z}
z&I~{Qd_He0XO(tl2FGjlA4R+_lY^K0d0t-T+q=Tq<e+lDO;VOef&JSku6;dAkN*${
zHH2T+y~ugg$gsa6(53wSJzY@sx}IBHZ^j&xDcQeUH_HnNC8eE^7yNxxzVY&Mf9Zz@
z>OR^o%=r^oP<QdLonG;qM~utkE_E{O78fy8ifWk40k88pXEtm$IPhF$j(geN(^Ivd
z7v8jZ$#3^#fp>>(<y(vSF4AA#-;XbPa^m5oq6r!dcC#lga!|A{TYbOsx$Me_jf)-|
zOYQ#p<k{}_t)FM|v+s226k1klaL!iRaa(Y<yKn$Q!N*5O`92<;XA{VNMc~JW!~C}E
z+DjOVURqA?U@mulabTv}+k?@%%$Gzt9;WlH*<bYZl%2g%%a{1wWoI9MH0WMY*Q77L
zNJ8l%pTV=wdXEpa{(ctMePH^>FB1Pjt2Y1N;hyU$Be=k!>vQ+2E&TR>HvD|tD13f@
z<>zO;-*N(41*PZ2Z`r=^2Cr^>#l-S+r^RFz)dtEQSkLj{Ve9X;^%{R)ZF`XdE?&G8
zrg2zsGru|a+1Afs>d(8p4;%he%9NV<<vjlV`T6<Te@YKsF8p<Rn(ph0{~wRboBP>1
zF_bbFY$-hQR>ODQ?Y-6Io2%cR6x>(xa?(nEhrNu)Qm5CRoTRF!#E|&uiebgir_*DX
z$p*HwW=RQH{eQW9ewB(%>8p^NI|>(Hk77U5tHF5f(AI)of6s!N-y2!E%}#h6RCsmh
z9mB)?;%h&DxH+^k-nV!e$msXrdVIa<)S$}LlapLQ!-Gc{;%ellyG0$EU;i(2kw}H$
zKeyHxr_(1qFF(>LtTa#h9#!)oA-plh2@&CQK@r|(KfiDftc`A8?cBy;ee2gGt^>z7
zO{+TOHrPuGUOee%y6}%?&=Ld1{~YWVPquyhs=xnFQkQU*ky&0x%7LHir3{QsD_E2S
za<cF4+A1jiW6z76E%GVH=Tuf2t@E^1%s<*TQ(JJwJgqN>7lYOh9AM_3@mYEIZvW@X
zGS>dbzILqXSf|Lep=^<1$Cm>u>K$IYUDC7Rbhx;8**y7j(2RifwW_5SY7JdJ^F%8%
zo>Z9U-@CKt(c)Fv72ePJMY62wpZu6^VCKSfXv>SWtHoxp2-KcfsUjZ;Z+aLA6o|2D
zaClhBp4*c9rp4NN&y(eolWs1<Y`mM!hBw~rj1$%U<`|T+tl&SO#$8|Bc}@9O@qq@$
zLwq*Jg_e4<FdRDk<m6=Eg-(aQu3U5exvr8xj{Ed27pCb(&njzrCpg#Q&5~J0`g2mw
zm7B>F99w*@L0#G~sb!|HCR2yZ_4V=Qj}trCN>UeyHJQgfv~@1=OUUt`rWd<QqWD%P
z-vT?P`Go>?9bGbZH9JC9g=k91ePr3|CdwxC<t^{Iqk((H92-`xeA4sr__1I{iTb6R
z>;6_L3rtw=IzzVp!-{>`{~vz1Uio@Y3}?Wcj$KSqB?0q!AeBFd7}GX`gzMr3<sm<H
z9>>V^$G)4W>^>{3uH~#S?}6uL(KZiit#$RIx8*#uRT327=#V(jA@Ji-w|-Bs&szp1
zUOri?lsUDp*b8*NE<Uk$`2j`64;EYB+))+@2%W!$xq8q2y5DDe+*O))Ph>k#<2TPH
zv+V0!ShK#-B-Fp`$A?7Ww)1;ta9<Q`d0<>#_C-*74sT=gqON}_S3DgKI8J$3S9E@E
zu<f7Bbym|)fEvj~d%k4Yt2z7-dz;MRzIdtc?y|RkDz@$O?G><OVT#ju@#mw{n}E;t
zOp%+@OuedHLfik=t6Dijyc@u@Pq5&<*Mr-UoR2kJn3ZHZOag+L8bQqohdtR=>EcXV
zS{!rT6yIH49p1#7y2yg>ZzAVHW&XbKeKkM7WPWgFx}!bMyGRDUY?Xz(F+qgQqi{nv
z>!#*+Yxg;9uwmYH?ttooLk<t_?Orh7a$zV3lSt-5rM1QWw_14G|Lp7(R-YxVSkA$+
z@7s3Y6ES}>bC=Ww%sC!hs8~O1`8iimLk-jn_YvZ7OI%R?{@$k2*I}1c8+UQ73|`*n
zxx{LkP=_(71uL1gH^4_w!De=o(aNBullImAW?LKVvu=*Az=ZPS_0Nv=N*@<VlU0{u
z30mfp$tC?WdG^8#9dCcw45xq|$l+fm1~759HgGX>KJ{ZeXtm;smw))Dw=3^>J(Sp5
z&9Y*Rb=8-Q;1g~CuWWf3*e%aw|NqbCl^T0$et!Bfvmq`N=2ja}w9Gs3I(4c8k3c}8
z0-M4sfsOtRcdDXxUtnSjRPdc;va$Xm!yYEv6hV%TWe@Dl-%4J6G>t*AmBXYXA`3JQ
z4VnUN0u2%$EZJVVma`Y+q_=%)8@^tcytVrKyG?m_t$uzu%)ivFSBf*Ib>rqa`TPHd
z-K+m!yU@rkQ~Y31y<%C0Ti%tRrCu|`d~TgLFS_$30}|yNHE7)wma?a(rWSpB6B)eU
z)0dM4Gz;4FaUJ`KSu0=kX#{M~i<LCbi)lD>YyUFKx<3_{^}k<qmp8S(aqFl;*?a!l
z8G`Hk<ZPvOi(k439`5jR;QXP;STDq;=08v8PpsPB^WuwVJDi<mx-|9lw1;y4-tB&W
zs*P7V<<b(*NoKiG{l|mSJH&25`!F*&&gI?RW!VQ>ANu6fRPUl^XI`GZ^62Y~w6n8j
zF6s(h71DXhMj*qg?2QJuxSmLs!OEZdpeq0SJ(jO$?p3|kz5K3MT=d@6MSc&it&Mh*
zdBCsyh+%!o$w{uY4z`!I8~yEmy8Qd~TL0nU4tV!9LHU8F#0GP<dDZWBS{!Yh?0n>j
zu)j^>y4_`Oqk<=A-rrYyEb{zh_5PA~cOqAXu1?#RF5J5RF?i5@-W=x?#)~sgPFCkH
zegm3e^tb=JC3ErJh0g4rvrJar*;{>mNoA6G-kk|<y;3v9uADh|cgEvKN4uYb20c0q
zW&3WZbn_?k`P+V-q7$*<fMI>L+#W<X#^J5Lzv~8Z-6)mK>F33Eb*}tr4)W{oe=K+3
ztc%~DSJ(MTfA`%LE3*up8IFn-Hax1hlKG&2TGST~9(lVvZ`dx(+EDfN)ur|E_Mh3O
zOh@*w9uH_L_)wp*wB6Iw)BBBOFuON@_U`-t@3*Rn$rYENOE)ure|x*^>sL^p^&a>6
zy!-odWorEwF+5)zwbjcFHZ(8xa-(w_&&0~l&noxuSikNN1~ohReqLD_d^WFokxS>J
zp82(kT$=v#?e5m7m2aD@?$7mI3Oq9JB*i8uQvB=;=jC;R9~r`K9kM)^AHPI@#UY0!
z6JK&}bZwB^@b>oh>F#osE_Od2G~d|ebD`h6i%l`nvgnBcljFxnN1Nw)hA@6OK1p_h
zisvK_YnJeJF@{-{lhu6Bu=fk8dbyNl*!shA=K;Y2aW*~~3kA?B^}?LJuG)`M>VH0+
z{!GFu;N#9GTd&6zb8f!BF}eNB9LwNsIX9KW_2Wzw|I~z?nQy;8@yPuL^Xq<{>?!>4
z!143<8M0L}*)p|d4+TF#hw@WSP0>8I(zg8F91+c+BQ6oQ7XG`kCkszMNTl6u&WkH6
zgJ*otzPc*4g~@+g_H{kbZjP4N@_Z+k96ObQ=J~(Vgyt*%eAE1(Id*T=RSrWJRuS#6
zGpSyCtG*gdlvMSe)^m2{s~d(64y}z64J({o<})a|xp3|Iey`f?VrX|Q(}ri_zaJcI
z-r7_rQuw)Xxz9|aXUrX&KQ=zSwKe<GQStZ_#^-H>k6!$00x2T`m>OLV#7g{lxBGp{
z%}uFs*{*_t2mEZ$S;W?I%uRnjGoW^tPU4$A{&OrYT1@}?`nq}I|0Oe(m>%eCZa)89
z^3$)C!VW1+?<XGjw`F+KE?;NxcAcPtjpYrOKdH#wBVVm$n{R5em#H)@-+lObgbPc)
zD^K;87l~Pt7cx)J7PRyhVg6k4<6-;b-0ihrUtJB_kl=W7j^*a$RU8Ty6AriW8aKJ!
z-j?ed!m(0fiTjPD-dZMBg_{OD-|zd)^g5C6(9Kl_0xjlu?@v3U{|{7$$E$sPb@lW1
zm#Qy*E{OYlyyW~m+h_gh&1}3vU#gOm3%984DR|g)`a<NU6vKLjt=AlK1g;xGinIgq
zpziaVUE&%62U<Q<8_E3O6jqaHpSyp#1fQW2<L)Oe91qq66rbuVh}l)L@?_+4Ifi3D
zwZqmJ?7mu7Xl-VDW9PQu-vuu`mxKF7=kCrDP%nrnEYc2Hk#OwK<9_=yKi+(?mY&FM
zTm8+X`16z^hbK()m(Iw)xXAUej-+7o+|pxeS_dZ!J~c=>!tq&5$$7R}Zq2^C9110F
zpHh;K^>l6kHT|8|Sd_k+Vv>97N{5w;u)tey7S->U*DZZ;I-;tlUJx|*@y`9i**1YC
z@Av<|_ou0U#SQNZ2Rje53eR(2Azoi2ka?v>-GM`4x8gQMt|@x4QPXrHAN^LSS^^p`
zbl}uzWO0DcA?%IWStJ+UKKb8+X8v6*Hzr^2`|P>J@&CWSzONP+pLR4e>d;YeZFH)8
z6E;~Ya$}NfG4Hz5dA1WLLT3>szjB|#aL)E(J-Fj@X0G*h5r!>C*{eUf$Obq!h~1jk
z!z+%`?NQtl#Wr0p)@ZHRN__{5{h#}4EBZMV|GMZ@8O;DsCAd%662C|`q2||@%wqiq
zhiZ%0yFNHwQPuNbARzHT{X5qSXIV^VaBbvZwg}Gp=-m*TU#FOJXUD~s_Y426a`kDD
z?f8Db{{9mip|%;e)n$rj{NN4a4T1$?Y&YgUubz{j^?A26U(MTFTYDG#Ma|2tssHz<
za`Jw^FS361H#eoqzPJDP<MFYT?i>s982ZfmcF3+eSDIN4o@0<$KKmEL73qYSvYUdR
z=ejZ+dg94lBBnCK!9`Z=#@piy|9`pcZ+ovwrq(XKa&_s0x}ewB);`{<-MHL!!nwv9
z!8?mmKbyg47&x{)w_CU-ytT2VNS!%HcEz6KeX_=j9BM>@ISpb8tzx-8eCTeBvHK^n
zy4AlSgRx3(vV7-(qwOMEGOJ;upd4nPv3l!&EkCMn$EI(WSD(D7zVh=k(-nR4_IXwJ
zCUZF)t={!dz&1gAU9xcV+$^V`!Am?6o&Nn?(*&Ar2+p_}t(bl2XD6a#V>kO&n{(d|
z&{m!4(H^!sWoKqiSARUKgnz-cM)l-%CDI?3I@z~M+?<&{&n46)rTY6j)A{#pB(E!P
zQrgwVao|?xu?^3Uq*q3nPbz!p$<X!A^y{A;ptW9iHeS>IYtQ&;Z$RnF7wewQXE`DJ
zcsg@^%8%xA^694MS$-rq`zb3n*Z=#uT-iRb64isIJs!eGXKw2~Uw>}*k0;B6btZ%y
zTkPI1)h;ZUaAAStGm)Y<nsvw1g%)w#xT-!yK9Rw?@KMW3WrtdZU5tUu4I#|%xrm&p
zTA@BH42PJ%)@y`#bl6S(TDY&%VT0^l<MeY92j`bHDJm-JZ5L>t|E>KDxBAS_b9ve4
zeB5(2XHgkLv63s(#Ac=Dp7w~w1&&Wx&Y8{?ogsgHL0gmlTCSIK4&0ch6T7R#@Yxn&
zS%+GdS8fV_CfGUreg>L|xczwEgKMh~IW+BF`fsnoKZ^i{V|!<G*PpklNOw0>`1j)@
z_xvR5ta+Rt7N$Ko(0FN2Ww9%4X_`U?=Z!-RvGE7)1|H=s)9X9V#<I2d#98(5xfu*D
zOrSoD%-;(a0)%^ySGy=F2nZN9JPf$Z+L0Y--Eg+Yxt&ikef}>-8SmTnMej8WJUDFp
zbgjR0u!dY!e|tdg{r3BH#*YP0KFC|bXfS`Wy8o;d49};v*6ec?aHu#jS-t;w`hyP-
z53BLauQU{2q|9;9T_iEa!ocs^nn>U0OfLLU8owq7sxvaO2e$n_X<P31^~3U=uVR!y
z(@Q5lURu1^u|YuhN?hH-)vSDtbFIsz3LEZJh9s7MwJ8XDRJkmC{rzdh)ALVUeO><K
zRqyShqrc|O|M>N3_V<1J%0Bnq+4;Nw>i!?`pWn-RAAJ6L_p{g4|JA%o_N(msZ}&NW
zk<0hU_~`q$<D>sC^O+VAwA4c>Ge~V>$jQkbS+BGAJ%1gdDdgQ|a`NnVcoUpyYD0B+
zlS8a~$g|!Ihb7Dt*|NM8K#TbVI?8n!p8L!b(^~m&6VHL!-~R`{yS;t=OVu6=fs9<<
z{`q^g()I35C>CFT`lh$}lQXQZQ~y@qtGXCw9{cLaB&A3p&vV+DNuI|}|GM?(%&%GV
z@AqHMxBPAK_gVbw>N1lz@8AF1AK`H0e(VvZXqyfH{vQAJ@7USfMUSt^?mV~u+Usdw
zw|@REzW$EDYlD*$%yy=1iJR&C?(b~HSgw;(^meA4pP^MCFE@85r08a01@&xhd{J_3
zI@I!JLG@~V0TKQNJ);GnDU%D^^$pbgrEQA#t?<eY@8Rv4`C8{L=Y_CIFB<di?wWa_
zvfjAtXaC%NTJGm#CNBO{{i{o6vrD7E>5u;TZ%-`W_|JE)(at5lQ++N)#V_}rdZ&Zo
zUy`}*#s`<KN*A3J;?#@Xs~Ns#y?*ri&tWcySzo7jFz+;4K5fp-V9#?tD^=US>I<^N
z!}?F7g8>(F$<154N>{&}<PghR&;9p%`*Ww~0un4C5*$6hMK)|!iSOE`yZ_&>)mxco
z>m*!XDEz;lp|U`K@0UrM+uY|V=>D41D>kKMmPYKZk~PVPKiU_(S@g!bDv(cZcS`G@
z`_pt@274cyFy)$Gwbn_INvD2UO;z!nqjBxI&~5P@wa?dyzAOkm7qz}vd@V-@_w^@V
zerktxISHMdue?^|)J#9F2z?f2Q&<D(qoaaEYvYdxYp#bdZFF>KZM?ByRk9RQNU-Yl
zuCly)T^lr39Z+r1^4rV7^~L7Thr@lX3LFcj1)EwddwZeNd((X(#&7>>1NkDG9E!B_
z?cJZ1Ofn6QdVPP3(4=Q^ii;99aZg_#9Vj&Y$&;Dh*Y=CAHEFe;r0;H}IY)c0$d;C-
znwyu{g!y7uLt^*{sL%FDa9>;$r_1C9F6N6YE0$NQZAdvObXi#}u;JDEEY8UXuB;69
zO}*c5_v^&P$<yNwg#28Zls`=;a?#cTuD`kV73ZqvPR(UM=XFZNQ?*@{>4uZTt0_{g
zkNAF^+B(}!!y=KB_4wNQ^>=rus(wzN!p@bl<=@!^E+zORQ3o%m56%`U8_aoxmr<1M
zQCo39Z0hfCZ<ifCb)-``_SOy-(H4cMH*LJqJr)70!*na(ZoTgFP&Vpqz?upB4o%$3
z9iAs8n6UcmEzv5!Pifo}Z7hvE?!9^0Y^0>H>Cc^h^+gI_epFi4yqVK7Z->IsPiYf^
zrg=032uzA<o%-U_)z6TI+5>wgPG5<LokdIM*wx;8{rqP1_PmofHYP6(UhcQ-?xI;j
z9H6CtET8UvKD;x2XOZiwu(erLvw{}*=~{5y*;9GBgL!rMdNW<k%B9}Z{q$mXJTPwf
zy4(L`ytRK+CX@J*fOkEU)T`OFRqXbv_&%~f%2*rMGheRa^*32<*2jBVqLdE)+kZal
zC7a@>gpX^27G``=vi?)D(+avvs3Vzaqb3iiqgUc4WmU3bigx(9$EU8XiG)qo9XoOA
zr9r^ns?y3oACKGiZO^={cDc8qD(Ya=XJunC1_sEv0130VBt}IA*K4g36Ha#ddaLSQ
zJxhqhh2@HFoHjq7OrB(2o>%$*@Au@A7}+!XIWHV5xUwQ}Q|f6kN0kMs@vA~so+%c%
zC(p&jzHaN02Ht5J6YhEmYD`hzEOK&s<h$kV3!>Ht{dj)AKK1;<%bVVR$h6E`Dm!KS
zkw&j+9svhd`7c-onqvXYv1)-P1*OgNcrG7WU-9vgQR1N%kJzL;I|`l3RW6BpPt%cn
zc1PMg&ttJ$?-S6z*r?lG8t!xEyUW*JaWQL4cd<9Rr#`<XX_2C><ku}_Z*Qr--}BjT
z$+bxn`10=V+M4(aw7)v?+8oQ`lw&=TpwS7<&{b1F)4D=JLPF1Wd^qOevF1;$t`^Ua
z-?Cf_ehQlXdp^H@*0z?cgN_XXyEdhsKDE%fy~X~-|EP1zHj8QmIDC75U!Lule02ZG
zPrk>WYzuW>P%uv?NF_h*vR!~~9mfT^LjM<;H>Imiy?Riv|BA+J=T%cr3EEG-xEeA$
z;&4ESLq93u%ZrO?pBKdMuM3R3e|2^E*<;P>(cAOpdM3^>&!2bv`_D6<{(L^~z0_-J
z%K3S=pB}c$w^{QCCI9~NQW><!_)h))=f7;WXf2s0)|YE=>*?v~ODjJ=YYXfWS;xA-
z{`VVW#Z!yx|Non$8*L_Okk9~Hy5S;f9bJ*kqI~&B%w{g;kDm67vA=)6-#>kxZ8g{0
zR~+g=+;U9yf4@!_*tI?5qSC|H-QxPQ!rK0PeAdt6W!S2*{_YOt(`K?$r$+aAUh7%8
zH09u%uw4$nD%e|R6kaUqDeTja-<#PfTK@Fg-1;@wV^@bAs*k__``^9uf+lzFFIQFa
zD^2>m{_Cc$KOggxUZ;P*S6n@RzPgu6W{|4!A`h$APx%u&+SgXG-2NVYRQY_|#CGrJ
z6K16rd!2eR>zv?w{#Ab9EwBO%EQf^IZf(!ke|u}|WHw%@9cx&g3m*S;Vxn@>=eT7)
zk_$fv{`&IWPI<ztU8S$XK7Up2w^7R9`*qsspE(W_9>34KVpp`T)a9-AEluHE2kW;p
zjnj9{IrLnt?%VJ8`)4bi%Bcuh9p>A{FaOS>?*;oJmF>B=)j<6P!AX<Vd?zWncFjoM
z_ve$hqE_S94|Phf-~Z_lRF+xE&(1Gra(9kI`^U)DzxAwab{yN7eB5XCd#ln{GtS@G
z7I^39Wq*6q<Ozpnn`Vbq{(ie%wf^6q!hbCV@e#&>i_f)vxU|$;Tv#+{MS$XGF+ur)
z%J+M}$Mk(QbDN{@rk|l?uOxl)G5?Kso9)i*&h!0scui9YUrPXJN#P%%)#2;oCInqb
zz0Kk`$0D(X$tvi=xecG3uRiG%Z$Gtat-G$F!s;nHQ(}#l`^zpru<rhzT$%c4hJruU
zU*{<_1fSFH{dy?!_`&_pul)bMwR`gWf?xlu?{lzCD=6^yDG=lT7w=P0FF*01kfPrI
z|9fUk7UT$0E$3j}mT+Ld<|Nh5;I!rE<0roT-50HN&~s&!)pDC4z2zx<ocqcq6mrGt
zgL61X0n@z}#w<SDZ#R;k6+1pVGcz{KvsdXz)32|uxpyD8cyzQ&lzVdB1<-!=%FDOk
z)%45R`h0vHwl=DDVa1mhftQ`6+h?txU;WN<Ve_=l>Ed(p&9)b0bXF{x=GZBu+F}xU
z`{jJQ+FhO#k3`y+znfzz=02~3>Cn@iW)lT1Ugq=#6nFCZ?(yICboKguS(hXPJSM4l
zD!IrgB-ngDWBg3&O4hFj&HQKHRUK?%^<AsBNax2bGl|PmQhc71)l4VObjh#k&gwYp
z-qjJvBJk{S&Km9fdwW1<3~bJ9sc2AeQgq*8HG8{ZQt>^jZRy|z+k&}FD^~j3d~E5S
zCHdB|w4p)0;PExt$SrCib@xAP57C(DEw_QC@kXA0#oJ@KmZk4Dech#$elC8mI!nYk
zdr|Ix#hZd91P}b1xBl<ZIj!B*@$uyyk{Sy$buTvjO!J!WwJF6TckiW$-9I;KOI-L@
z@cQ24O^MI%2d%Ej%Z#w>SMgsZ$nx*gnHM(T>9v0@2WA_504@H>3%$I|w|J)B?k~O4
z=3+8=){my_Dd{Wc`<k9Uucm%Zn*UeUy!-p!u3%4if6qF;gQLYP_tp%Xa+#ZIzOzn%
z7O^XF7|f~d5_>e^_QmR!6T*=V9*u|nCORH^EXcb7v~+J(=xQGqhGTOI8&(!R$UCz>
z_x3io8wWDC^&0%H`T5E6(Cd|v4o42oFif_&7xv9H`}(@vd+N6oHf_tlZ?}H_b?fqX
zGRk+4Jh;6k(ik*NoBHI$M76t9Ja|~-C9(@Yow&Dkhjkoi&DdOp^(|H1POn~0PiTtS
zpm$20$@{wO#QE3Tyf*%cTvGG#&Ll0@p!w&z-#8xki=XuP+G#Q7yr`s>!WqWP3<Ej0
zF-#DUiEI(Ge${yQPjJ`@``3PHmM^z?Ot3AEI+?gP>F~O^{i&VI?kU#~1_$jAidz|N
zSe_H(b{xDeUZasg?SZdEg81KcqN>OHc5a^7)RpY(wJP_GPJ3J1t|dHg?(L1<RrWUP
zlGshqVH%HDvOCPx{yz8f*VoriUs~!ddz4L3(!=vWq$<z+b4(U5me?)xt$v@cq4?j0
zStn-4g&y~ECKpyE-W+Ath7KkccAoUPrdHPfzHQuMtFpGSqjQR8@T>_{L1F?f9Z4IG
zvIR-}$nl-R*thGqpUq=MJA?1b=T)6@(WqFx^TA6)rO@Un{{F{qdB%3EySa#uiT@(s
z5+4KiBTWaJ*=I}fXZ`bZXl4ArN1xG9TkG(p?epSStPDP$n^EDwz;(!T-QB{Vx=o8%
zj9QmWDLVQ&;@r;XOpbxB&CY+mpX|Q&y6LBR?Jbc>#@<%#$!ESFOna^$Th5{E?jI|%
z@BCELxnH>^#)!>6Gc7=9a@nn}BR6(@-Y{opfv;5PIqj)lDZM8jmg+#-y&MUka^V$s
z06Rnbotr1lZ$JF!?fl1cHf+vo|M0oEr8&O-Z>ZhBAIbeC%lzhM6}`J#d{>ZB!(vDI
z`+Ek@H(H<1v+euVCu<#3bieR{pzewG^qyU%uV-an_GL_dKJS<3n~vM|hbOwLJcuZF
ze)Hqm?0gy4Un}Mx=(7*xEaTf(%XiND`h26*uEb}WrA=QA<Xc#j409Y0%gf0g;k)E1
zXp`ft;~;aWd;#CoS@l8zi3jZ8ci%tXbx8fogs0-Mhue7n*6p)8ctf?|=Plitdvo^7
z*cSV0O+O{Q*p-$4Pi+{VoNapC$;ql_=komK#GU@x`+Cps8%rgO7YF+v6!RD0%Db~i
z`DbyO!Fr~hM#s*$t_jo#+EJCg;>npAK~Yz=G%|yZ`Gt7&_(rgUS4e6!MyP`(7G862
zXwDHA*`j{P&&KkbZqyb7`=sM@r!ZdP$Z4NFzq;FE!|{c&3CnzDK4}$?D~MDUWD_~G
z_+P{3iCztt&-d+rn-F~9{I<lyZ8N{!=8(F+F4lN{sk;BXHz&R>H%Msbll5U?W@URj
zg<}z4hf{(1oboIomn%vaf7-MN9ARAd`lNvR4EaOsSN|y+vG6V8iRj-Qx;E;nNKFr?
zbH>7JSAKkae70bNqshZt9Ew^0yg|upuX&xVaTeEv;-_&AN7k#ao!l+Tl)Xly@#g7o
zo+}UC)Cp9G-?F~&`me)V|DTB5$l90_7Ul3SW?JxU<Hg%ddYED_@$<=SJu-Fw`IDWS
zr|T9nOjKAba%OIzP-W(Rk(sC0+^jmW%CW#H>Y9$qRMRR2qZeNyKncsCN%?^e&x0M0
zdNx)2oYI`_`Q-iWh5tY&8?^o?P<Z>O%DViWiRFiu5049Or&-UwB9U<XrtRE@5|*Od
zo}3OH3tq&|v#In6w0B|q-WU1iTX4^Yq@!KSt}z@BuxD64?{4n8%X_QKga0!q3o$&O
z`R7OQa=)36Z*EObmtV|w@b~-u?|<C;du)?l5Q~6Dhe*P|C->T0T09;eYCZdKiP)1f
zGcTW!7HpI)uMn*3pKDp{W|n_1hl^KemVXyZ65F4SnvN4Y#FzZ9GP)$KG<lN1y{*&q
z<MaM72We~cafoMGD!e=5-gu;A$BW<p1wltrwRs3Xu(x6j|8aDs*dCS)dyB`{_ZGc5
z6S^{NT?6OK{=Pu{hCg3p+x@)qw3Qg1i>jNY7TxXa;|qUYy#DTvR8BpY_&HOR!>rsk
z)<pWscD%Tzs$lf6zn5LbeObt-l(&a&-7{F0H=}PcsF}`T03PSN`)>E$kjLA9-?)8l
zQ|#6GOE*3C<Je#A#o%XX`S*&{inzU1Gp4n+^UIr^d(p_u?i1p4yic~*q2cqpd-o>1
zzM$9`#qeg!V}r|H{deno&ast<EZEFY`EkbFtPkJs*L!cvx#^?mcu6|rZCgO&3WxAz
zjm+#-dy{MOmMO@u6n^q4IK1I*ol=3}5#F*}D;XW0v#~I|(z=n!)WPIpuArfyqoAM=
z@k2;gV0yoxjps&(7Y-5&ZnJEaOj=au7_&}UV*S!X4hHwWW~`a{NKaHN#Gp&{VR+U-
z&j%k)p8j;z-&%G4(>>vubM;RNXo&6je8AJ2$3nII-M{2HSCW2iI#Tt$NyFcQajwU9
z#vRJXRJPsHKeV@^am}(Bi6L*#pKVw9awGEPg%vxVoSdb$>hOz7$h51#0+u~jB{(iT
zeX(V#LjY(ZcST<L7jf_Tk%#u3;0)&WV|raaf0AZ*nb%vdRvmYv=qU;@`>KM?-dm={
zxqeDB^UHjfqZlaV?cZhBsI0ChF)_jaMW$x3N_*u}PtmQXj#%^0nGk>d-Q;vBvFTi{
zn_cRQtePObcorT;Q8uP$VJ}^#I!J(;CC(3x89%K&@iS^q#m4i`Uo>)dAMju8oci=y
za?pCOiyBM$=IpKTHG6ND=E^x|!X=9ZuEid=LcUjVtUhYr=pCMaLX1WFwVdLwf_kH6
z-jXjnCq`{Z`I=X`BZMzAL^XfO`Rl^q_J)^&0cap-#&_TJwJZvt^WE6(1Qpy@r<|Mw
znq}^{4Xu@O;pfU=5|OYe_|tV|WsJ(Dh5yXgc>T=YCO7Tw!sTo3zL=BdK8uez>z`+X
zAKP~&t3I!bdm`5?npC;wx9GO~l8Vjhp@}bF*#;@NXJw{}szH|}Hn#XXv^KWX_m{SE
zg0{<Xe!q9@#i<N^C;yvue}5f)vBN4NLEuN?PsW?|Zzh?nj5NA5^^N&EtE^6@g+ZGV
z%vPpY&Nw+~g@S-YdF+#CZ>=|76DryE-aheGz%=b?#zDc$4<G42z0LceOy*M8UZ#mw
z;rwCXb;FGZKqJc!?i$bCD71ldg~5XJPAx1ewpf+E3R(EKBkF_TjQ__KZx+6oqsnEY
z;5qrvbt?@eq5AoIG)`ZCKfPq-<me`cxRZ12LS2@>_+97q;LFd|(;Nh}#MfSHH`NlE
z5EFKtBmV8Rx4WlVZ1DAZa$3Q6_0!K^O>F%jvyV&@8n~DlD=wFu@_O(eWO={S4i>-p
zcDcV+2Ze(Uc=FOd!4VLg@c5pwT9AUPiqlC?X$79WVMm>o?N|_XNWr1-(EaOgjbAD(
z5&w~OeQk))k;bA{<LtAH@e5xTf)a2egUW;pEsayP!@1_SMsLY@_%ZL!u2Sz~eX`nf
ztx6~LNE)*}kiD#_-S{80#NFR{2Mg#R4bRDHy)WHYiN&yV2+i1(G2Q0ohB-^TCSF;7
zbpGZpZoSQmSSG3YshDbu^_1u`&DUGRepH(=i(MyrdwkyAo!X|_B2#$m#MJi`{hf9u
zVoTsHbDgwB>{p<v>!F}W#vz8-9jn6E>-F3J+i`sM*38Rlm5+PPQ~v$=S#-blyXqe4
zSBJaUc%@WsZcZ1kX<HHH(<68_`*kJgB)$jCjrZ&S$AV5ITlgX2(~~I6d+aHk&n9G>
zzdP|^<?AO8i&&R9=-n472x6~`+8L}h?TqZpm@h2MdL3d;Z#znF_j@dE?~Y!{`m!eK
zXXF0!r%v^<FZq92R5i6|t?5Hy6I%~Z#0WURM>C%7f{tcb#NTY=mG*gB8kPV3?QQcr
zukY_Hc9$~EQkh@#sk3w5*Od7Bzgyqj+Uk9;^7&kg`0o8N8%keaYkTR;Z!41KRs8JC
zL`mbc6E`=fFAZ54^y%5`d@m1=8zo0R9Q!c6l<lyMNs@xZyCRY69Zt7)7N@_wu`yZ1
z{laCYsRx$)yxl7iva`f){<IC>KV*7~+(?~s<WQy2&yqelksmDI<m{4<EO6r&-~4WO
zzNT{aHknD%=6NTIeZAT27-GR&792Qt2tdawiatL(TcMred*lD-^Y;2vwL&M^R)6Ej
z-EnyH+uPf{=iAjjIWAv6<@fvj_a83=?c-Xx&0^cZjOqIEVq8-WYq)la=y9wF+?H^V
z>E-?X`%_L&^9AkBFwMFmFi$X@JHIC(MQVO_SfYa6%ikZj+W&f?{CR%O#YL`lHr{ii
zas(oFKAo}VUZIy<^^z(6hx2cj$Nak^q}3m_$l;vMzPB>JO*Y7h2(a|c+oi#+Tliq%
zrOKy`PB&|3eV!A)-D~Qk$R$-TW$a|vvqNTe91;XL{3SB(@7ud2`?{Z$NydXs5_8<`
zisdYeTng@;o}#I|e*eE+OZ?~GlllIB|Nm2$mU^eYyR&ms{r;(^(qtzqyYqooQ!nwG
zdrM|>_4mA=psDTW=jNuB|N8ay^(W9o=8{8xb1W|AR9&e1eYgDnRL~&9na8iLu6~y8
zaz@U+?oP*ztE)mMKRn!S-KYF3@!FcmO}V$tc9y)H^e4IW{!Ek1NuUXTna@wB$8!a8
zu4(-)tm>tr?A9}(=;^7M-}&WiG(ej_4EP>z4*MQkQc=*Ge7w(dQ_9IDesirZZBF+;
zF5@@PMst4Mua%OIPfgXHWQsiKFadPD-I~fPD+2YdyH&irw6wdEOEcs}-04S!kB>>}
zz2EzN-sz3^j&*!K`hwN>iF=L9Cl~R*wb%4J>la&h%zHocz)a(GpA%O=ox-}6t60Sw
z8|SZ|W;M0jqwkEM_0RUcxszS%-%L9GdfG&vTcrv+<V2QVowsq9Sk3m5%_eKYd_Fzx
z*im<2<K^j3rkn0g^?0u3c-luCyxiKOgJlmVv$$T&gyVA6XDr^&G~Vqt!}j-^&2Bm?
z;`i^{P~D>(w<f~S{TE~6FN=eFtG-U+64ja!Q^&&8xAE=Y@At)Js+D4mQ%)otVUfw-
zt?=ODV)s^?GMTJ>b?<gQPXV0?^x1!oMdF<NqNjx!+#(tZ&h30tg;c#xO!l|S>^saj
z&#rdY$)(4UMiE##!`4P|g2oZvESPU!AGayxWYWF+n^L>?{Cc%ot(UP;#dDI1(-FtD
zQCodp>20g}n)MStn82Fn68T|ku7O_ct}UHG9<xkVCI)WHiCiDZyYc57S*wy0913TS
z-;4;qx2Lk#;q&$QddV^lC6S_*)tf7&mCc^7O|Ay*inWtW<oMvN7rH9skbdOW2TC7a
z|Jt8={2}+H1z8_C#Ot0lJ>}8cIOpK1X~r{*lhO?iF@9}mP%C(`LRDz(CYME3(>z3k
z3bRkm4}Ux5QKj>evY#=Gd-LY*5dv@0`Qv^7GCII%{S7oaaIn?*{*J=r#|4k4BtKbN
zY_sqmXknDorTxENX?spT_q&a?&-7f~*;%Hx_xO|qZf(g-{&c4>WwuFXlG%YbQc)Ja
zcNRT0;@cVPGC`nHiCaLS;D)Bri5LN110{*DwNa@`Oa{+-n9s!Aef#b0?MvHoqgMtk
z?Gj|pQ9ffAzptk6LsgcTK%qg}8HxVa4j)#;?%q~8Kco4?t$nq>V;1qxIqx3w@mj5-
z(e=js&1q+!d_He)E+}pB&m~FW$AKNu+w&$$`t|oZgC-6X-#F~J^6TsCrHO~z)UKT5
zJI~cp`C4YNe}lvvbG3h&uUJyf&QLqVxIc13oR|1n#icbo^8E!4@_aMwt9S9dy6wB_
z>Kg6GYCGE)eic+*kT?3iJAaA)cRoFtIC&#4t9>8#OC)Z->ZN^i)9)EuvSSnvee|2R
zH|Le`p1*&bueERB;J?lct*jV0Dumf?xbCn1zV4=B`L?au*QJjC`u%=?`JWkcpI*2S
zQ2Bc8b{`f7znTg@?Y<1qGV}!r%jGTNo6m1sqIBaZ8|VM}CllR!HhW3f&1EgPpgC!}
zS#DIZ*8Jw18qPBkw$FdZ(!tfizilFug9~F5bKB-~QgdbNe@EPs<C8Qx;^MQ!XXd4r
zE0Z@hC^(5U>zYkC<dDJ=$hPKgt@^x=0`fC{2m9NmDv8`U^ZBaBVbDp4#}7wZujIaH
z8hzzqoxod%Cv3$oIubS&8&-Z?@N7P48|C@mLiwAsZf;tt$@6H=X_5TN7rBpXHj2*f
zKe^3Wd`aHaMS^kVZ?_$G%64)({`k_o{Ocjp{(hRg^U1N==c=KvKCt#|PWL;x?d<EP
z4`+Q<2~1ksRG;x*E^_CaxED`mUS9rW^2Ng{YORdNRJXQ>wM~^fA^dRh$ItV3FD>~e
zurkgqo7aWIn;)EPSr{1e4>5dYwJLp;B2$#EY=58c^Y;3_;zQ@G=6k-rwl>@8!d&N<
zcMTtuT(&%5E7kZ|fKkK3qg}T=xqRN;Dw(&k)@3`M?0bAbIcfs`d5JTRANSjf?b1wm
zb4S^2gL2+~9(TEk4as+VO=~`%HSc4p<&b#uLwIV!^9Q$|KiE_JS2K8-MA|$q=}mIa
znkP7@wCQI2IIP@sV`I)uBjt)4Z4nI?Ce`0^JlD)W{^y}t<(y_N=DO<aM|DXn7KOww
z<ysx}LGVY#x3H7*t-V`fS@mM}>BXOqSC^e-tMM}Ees*%?(v&jI$X#=4>}nUf7YHBQ
zr@i=IrL)-DJ8G<4uCYE5zb?l}-ua)pGH&%g9(iNUL$ggjY+d*1?e+O5m;Du2NnKUV
z+Ld&wF788fjcw)}nJshPetv%5tF33|(vX>4msa_zue`k>(kE8!+A+%w`O{5mel$vm
zv+2d{RE$6S+xwRJS`Ed_7;TZ=`fcmj*!$;9%G0gYE|JVOC`kZytQ&+n1exZwFz(*h
zuw1sb?9s`M+m)Ga6fSpF$YkkY{QByuao_sbJLfN}6i!&_dtrV2euMmAm)TAgwpCvW
zD*H89=G2w_$z`9-RJV;++RUfu`8i$CAVj3JVwlO>CnqP%GO@NYT9>>?=qd?LxZu~R
z@Tx(Br97GUib}@{*F~QzK{K_2>^s(}JI_g|<B-pJ<(k4F<0831u6%XW)>WE6B!t^8
zY)U&T6)YpPIorM<w?)A8zv_ZR4gc@WwwNzDHT>jnMRqUU9UOOd<+kpt-Qu)s+qyK(
zo%5nU-Ef(<?q)J4ljf6ylXl8Z=rB4l$8@&O{M~1icWhL?KdIl1ck0yHeBRS?3b$L!
zL?1e^B9S@e&<?>#>hX>%FE3b`a%xiOKf4-_Y5#XctO{BEbaIB^egB9YPUbbQ*S~dt
z@@VSopzB&mlJoBF{M=t-^qF7s>fT){zLPZeO?>ecGSjFqi)BtLqfGdmz_R|6yVI}F
z`BS_5>;1Xb<vxmbG9K1S4eOX()^3VyJ>0A8t6{~&#AnX>ui(qv@~1o15?@`>Dlj{A
zW2J4wiG=I=8}6rXpP$qssvTysmCd5<7h_EG-CQn)viJAy8mdiXusC1iXIJ`aisii6
z>auT!SposGPyf3otNdEYm7%f8gOQ7WQnkF0P!d;NGI+2e_|ub<$u2BqcOJU_uyrf^
zaCWx&Y^UayXOop0XE53;$txMXWBp?z`I2{{rzgkzsMFK+%~{zz*DkP7idpg0;Yh>w
zZT*60WAFDvcLna>&y}UJO6Ap(s1JfSc0AvrbGs%+>UzhH?SFpETzw|5V3mlc>;k#f
z(T?x-zpwk5crsq@VXw@sc(pnkqp-4fhj&MBROE@-RpF>L{fruaSY3qO@3ehikH6a=
zTWH4;ySFGZX!*|Ri(i}<n|<iO)z{m7LOiEm3srX6knpo?Wu)5fDcX;smRcPW{>lJJ
z%pa^lBO3E{@0Ragc`X0?#_e-ccdxSVPCPzWpl<hH*|$FmCU}Z3n8u*^V~M1|!!AWB
zfkcNW2J1iP%t~K{Jl+$$`oP^66C89I%5D|T$XuGf{l&5dMOoGtIt>pRZmwjj|NnP8
zXuodGqCoM+Yk4ill(jD0On7jhG44HQ6Eo{ikGTrd=kH>A^X>Lpo|Rh<_*t7WytvcA
zaA9k9xce-IMGO~tIk-5uIXF1P_PKB$dD_h6AEYB-CBXK=%rUoZ#)^1>>90ACWv?_4
z=qbN@c-G1MN7JR>hF@vwKhP8Z&12FNO=TUG9qM1Uys@hAnsv2ERN$7`de!GTs<T5F
z{mtH-jWc@sxj*Ik#o2vX`=9*VpSqM)=J)RnF?$N)CPiiyH9EOIeVM-dWOD!ZV#&6S
zmc#Aetm~$!<yuAVKfd|ZS;w=lrc95$y}e@Z#D2SLQOA4=*7m%49eaOY>EbObzAyM9
zYvE*7{&)SRf{N$AcU`-z-hX;Q-QCo~w?e}X&XW$>6k=JquUh_R=DqSyryu>CWER`;
z<&}Mil==SaR-Cg9Qy1yXG57xV_NJ!zxw%u9Tx;+B!^-8|t$y44c3<q7zHasI9)k^4
zOTQ+j{bAqqaD8ae>06B_XYXIICF5?K)xH~-U;p@AcVF-5=jfH1m50lJY=38~XPzH3
z*{t+%zut$h|6YJQwv4I*^`McNj{TA^r%r7U`Y^SDi+SH9uLH*yzPc#YnxvR@&@<uB
zoV7s){$VHQ8z=8z5L<ssw{laf$491hKa2Hk?)rRtcS~>o+TZ6Z%jI62wF^tVztcE&
z&QDwG?I)+`+fA$a$vso4X}W&=6xYxDr>~uT?c{@U`%QChO_y>0WAfj6XVJ?z(YyJ&
z?msRx?tRF`>@9X~B6rw5`_LcP|Lu%n<CV`|dF_Abt+TmH83aDbi!NS}xb@P`CEKPt
zeK`5#|0<1k^J(j|T^%xRF4%Ns&E*qUG!H!dUwm}ETI#~T#dlkGvAw>wW=dw*DYu{O
z@<!8ZcDd%>+>^L=OU<h0l&zOcGCIGshb9zU{$=_p;OoNnFEe3l0d9TzxrKGoja!ig
z=JBnJL24dzS42EbTp7E+bF25nD<TasKYt4;2lV{=BHizOzw*Hn89T?<r$2N@%Gp$f
zeUrD-jGdJ|w{e9{e^no-b$MVu=uCJ2a_8rZSfJ~%yb9cde@?ludVbw6&r{R&=Rdf%
zocmMnfsU17PycbXs(813;Qms(J!Nx<?(F!Ylrr9_@9%z<e0*Ttt*R?A0_mU5tem`T
zgY3`3^<Q78)c@V-RQEeOeuwa`?5EOZ>q4*Ha@_YWH08z5r0B?xw;vU>GX7TDIMM6C
zjmP)olj6=s+bggCBCT|@ct@N5FQ>bgqjD1yZmi(^<g)u*lJ)!byfxwTUjJI9;AQj0
zf$!yq8h%NGFU;)*1z$WeB;w@vnMc_DdyrfC^J?QWQPIQ)>#u4riT&)pB>n#OC9k!a
zW7-tBo~-UnetP?-wv1BiXU5}x@smPl^PPJ9_0apxHLvc7M((Ve|E1RIky!h_f~(2U
z*5N<UX?FFXW6%_Kfp%T#9b~Zo4?17=?(Xv0iwv?3dO!GjKFss=uctAu*eVtoR=$g>
z+><BiIr-Gq^KTQh|J43_^YU1vefhU7A==57r^5FA4Zp8D(ThLwt$uula=SDCi8n{H
zZJhSyxH8|_SL=Ogskc7!@3P5}_iNs5m0f7h!L0MBeP;Y@KW>ZH{btop^WI)M-SW>p
z<>A_^u@V;b?|MS?Ci?FBQgfF>;0AML(9F5N1e5o3giAP7RBTC;+*$LoY0V7@sb#T?
z^#z&lTH7mHZ_Aq``FVQx(hoay-hMdv_tLYCvo7uZKKs(*^9u}4@2mN`4AlQCsnYpV
zcl3(#jGv#~DxbG@ycTph5(YhBvGE6JW6z&Y*H>#dz5wlXvHHUFC+5~v0bK{fDVLUd
zv;W8{`E^n8!>1Sbm%876CCF!3c8jb3_(KK%e<fRTtZPD-)&5*rlUN(O#YNL|zT)IP
zfB!ANv^CjV(JJ-Q7J1q04h}zlG$(F)ar}MjovNM*-&0Obn$1*KpYt=?DE*{Zn%_Sq
z9)pe6VK?kD`L^h)%$a|SwfVlRy5h^&V56GYY#%P>Gw1teM0|R#w_49ZQGD7y4rUIU
zZ+ky}E3movEmHE^!F0L%-@fhHA%CXuaokGLho6_TgOAyo(tvbWObcjbqC%Zr8;_*Y
z!i5W$IjxE+5bnr3a^U&=o=JkvzfQe-ynSiy>Rmy*VpKK5Hf`Z~dEYrK*IG;8?(>YR
zm-J`vG5;2)fA976bzYZmJ$?FOqVuIsC;5Vw)u?)kPjd8|YolhoHly*b^)|K^g9m@E
z1YBQm{<Q4V#!FA1zJ5R5D(aI<+FC<ho*wzneLZ#M3(ou~TsmcSgzBY34lipmmjqlC
zy7c+~Vo{sF`X^_ZwhK1i@l|GP`d!|&E{;>9@qx;MLk<P^%416pDJ(eTaA-&W#5mTq
z0U5<k_pd%W+U@?U##S*=up_Ux@uu;<Np=5U=X|>JIz%f+=3-N8x98MJH+{eUKPi07
zV`UM)*M7(Mk_DTW)haSq?M>)n+V=X&Rn}#5cfZq3dN6hRt(lL?rN7PV{-8GDkps)&
zW3|tH8xP;ucHXYa|6cv?($y9Z-UnUGN|(5~br(0Yxc<E9VZ5s%dUkoJuV!X=maWBj
zoKODFahD>Uf62R_=)Z_!`S9T1-AfCiJcHR6J?8%Zxno({&p$=q=kMNBborsj;>%LZ
z@B`79=7Gjj>$yuA9l@7+?EU#A(zf!`lFYyr%UBlOo|^D;efN`{+kBUNf1Q8Ye6s!2
zRB66hi<(`yRQ%^T_5G98>YZixF5`W=`1{#g3#N986>RGO9c5n`S2x4db({RYXE&yP
zFJtdaQkc~!^Lx$B;$y#hmn}`0&Zqn2aOeG5Uy~+AuHJ3%AoI{fLAF`fS7*4U%1pa@
zyRUVnVZoahi7P`FHXJ|H^sRIyuVZ@4Nn<h3t+Ps%kNWQxa^adTw+1vt;~~J36f6<3
zA)&EH-af94Pgd*csmgUPH>aJIIyqH)`jaz~zw19g{@o^PRpMb)2Hy2FWr}97Tc#E0
ztb3iPEgpXxH7<HrsIN4b@Znu%i?&t$zdb)&cUFE*(~Q|s&~p4WBj<cb`yxeiX~@Gj
z5B19OUu52_{4m92b?Bw1J7RBd_t<Rpbg9F_q+^XW#Wy5A+f8I?{#|CC$s%$-`_`u3
zpFihB20t~`ej}&&@TlD1m}6ZY5<gPD7)Tl?O}L)*<6QIE4N=mUv_9@FjQ9GiKCf@0
zbmi-7zB>#5?poq2{>bRw{;-Wl?e1Ei*z<n*$vyFAGeWvftTSD8=)k?Z)=!>?TKibF
z<=*$5uhXG1H?GR{7r&fj+S@Dc8qo$v?yTnwepZ#@9L{u0@!7fP177_RN|r^>KF)l&
z=l*tY(8>XiduJZb+g$p-a5eW))6C%Q9p{r@H-ZMi8C4(5hYsjY`TzI4sZnpn)f0Js
zz0&4>Y3JwZ%GZ9GI7R2>FH06F*xszBTP{a;-M+Oo`|0cT`={0Z{&rG!wS)d~=$@}<
z^9__|i1OLm*!|YYnLA%t-S5PWjmfEhe|?=~Rhm`#?PmJY!pFxF-9VdBLw{J#EqVJ&
zYOmx3hmuPd)fOCb*doYwk3nOtd!Nk5AKfY+!WJ9%aWYANpEE6WcE9&ysl@*mcJ<rW
zJ=4m(zh#j(d$YH=zQ@r;GtVpxPW!Jkb@erq`1m~qeybwheqAxazV@Bb%7CBu<nO<}
zzTUIDYO2bY2XUDfmQ1w?ShlBACqbrc#rHRNo^3tG;8!p?DpjTU+MA&N7sZOczmvVW
zrEaUw+`p@rc+NhTx~qg|Mexp!opw|IY<_wobr(mBMSa*Y-&vBXB^Gz`zg9=Cy0$`D
zb&VcN=A|W5KR-VosVgErlj~pqU-{~ECb86;fB#R`=F;lg@u2NdUf$04D>L6krA?bw
z{nse^&+Kn$kuxJ_?OQo@X0+bQnbX4bGM}!SIm`RqEa?>wnluzNG;aO>d2jD|6CP$B
z17n->XTHaXUq5i>^PSVr<r2<)w|joa|I5^qm;c*7RaP!OyT)L{^Y6KjmiI39{jKNK
zVp;iS!k=xW%^}qrw$=PSJT2t1Mx5lOXwmwd>nq!*tPhCP%QBTe)gCe}JYGIKaWS`{
z2G`A9vFV!O3n$&#U+(X;b?f2c;C&Hunw0&deq?=(ll+zPOPzPk-LjCVt9qKH+RJqn
zQnEHy2y;$g;GED9&ACL>(4h2Hh^LRw6!Uu?`xGZkWIT5Kc=!7C>+eQ?dv{lR#r&;V
zS67LwpKn?0*2X8hO6G}}Zq$kn=PNh4`1qy?sRoHzojWmO)9WaUe|C0uptXVO(o9NC
z8~H%TwC=0@eX38^I_2G+ou3Z#+iOfbaEpykW`#>5=%l3UCO6hMas)^iTu9oHtnqyd
z{})y7X;XTo&Araf(&TR2nauO%a0Sb|JA2jF``*{nx4Y?6^z_ukXAI_V{&{o#d^(xU
zbc-_Q+BJ?-9V#7uyZ^R6bglT=mOVxr%GsYT`B(O#spwg0bMd}}Z_76&-sO*4b+Gd7
zVW%b$ofrCyuFRpVwQoKhzPU9$yFNm#AmZ>A<<Fmf|MHw$)tmB2J>F|#!<2KXe%v#@
zU9>KG@}RD>yL)QVQ7^5D55AVGrDZ9ubB&uQdS7RPu5{g`4V#yn1}b=QKm0uP)K$4D
z$E$3${WC&;eQZ2@OJ;6%T5IFwdfDmIa^<Gx&aRsl@wVcSkgE4;Ws!D1`MGJitQ_X=
zGg+0&bAE>2-nxIS<zLaI_a{t$+PR!vP1^oi{>eX*ML!<c9sHxnF@<-XLabc1*Sz|d
zUqxj8TU0qFJ<2<+JWFHpG*#8R(<M*WPwtP;Qmicc|9jraD~CR)2`MlNDKzG&Pnc$K
zVST*)(-n5VUMxO&tW%`XH2c~LU+p;wA-l_RD?gt#Us~|+(5qdEhucm*JluZu``YO3
z)4t!U*1!3;;Mc<kw{9Kgw_nr3B%#DAp!xgbarxV+Elzhb*pFAd{&p=o-*c)~D9hu%
zo}MX&$;VFYEPfucifJBbC^}?KL?GxcrJY-Yr{2j`cIycc<llBtIHCRHi#ZC*6eclE
zWDQ*%ww34ezrVjP?X4~sEuA3yxZGU-+jKidF6NiUpH^ru^PR1BVt>@Gl9ldfyC<Kt
zYCIn<H|<kum*>(=|KI#so_5hZfA!r5|L#g<Fg5yLvrglVRB8zL|A9Mk)rZA`I%;~3
zV(Wf6yH5Qfeq#Ew34U|;t3B6?nVQ%iyu{?ttwJ6Z2PTyXp-d||nJ-?vc#8AyyWQ_q
z3=DR5^vBk8u!8nt&Nk2II&}Ntfm_Su4+!Sn*|8C{_PDJ5=PB*=9;?IFE=fN>PczP4
z@9(D6)1U)-vZmj?*2XWtuIxtj_jkFKE3>b!TQ$#du2pG>oSl;3gPeXD%b-s2idxXg
zj_K!QR>s`?`?6ql`1)yjvAb5x^4leAS>*EIPVI+->|P=-FE8&ed40{-s`S;8Ae~dw
z_49X%trWk#EjRd1rV0a-2-}`FwE{vyi$a1oKMStBS+uis*KK~c6Az0oURvk*>DAY7
zY#X1SsN5-6>}_T8JG`>&b;8Y=tqm7j8o%zJJ3*=SllNiv%j?}goIW=>Xm!*6Eh}V?
zh^jJz63hn%P=L%f&ky7Kay`C&ZqBqDXWiaeo2?PJ$mM*(dL8JnWu5Cu<<h(F-I%*Q
zJpRGDFmv|x=VurmUN^aK7SrS7{o!9(1+4CGdAWaOUdC?cc0Mij`1^tGvlv;pMZTS$
zZN9$d%SHF7BZ-EhGe4X$KF{IO@#^Yo?c*{{jt85*Da))?=XRGZ<;&q+DL7+)?v})~
zdweqvUtJwOHN!CLiiT;JOQger12I9~hfny#?>YXNBS7NA9#h{^H;&0pI|Q7zWvC>0
zJFK3op8V{Op6u-K$&VOc%D>&7^44x;sH#U+n9uG6MbF*S8YZ?h9$v10a^YmbOWTY0
zmZ;aNzFM~Cc45ph`|B>Z-+Fv6igREBC65FqP-v{sZzu_Svn^U$Ce`AucG#K?Hn#cq
zY^MItpKDa`>51pl3(3###ohS5(7Anz@}9iAyG&$rt|&Tu(V0_q#j3%$Z(Drb&(zZO
z^SC(E<!c=?8WNxX-FiJPcqMa3&4KjHFP6p6e6|X|?^yW5oP{g;^i=KXs=0?ImR;J#
zmdW2S<AB7(e*yvm3zSp^BpZZfGgKysF>*1-efj60apg#-@Kh}?WyWe2v$y*-jvrpM
zwDxk=OQv07vikS`Rs~p@tkpWW^xxXH`R{u799YTHqr}tF7C-%~wf_m>w^`nS^}kye
zu2KK@`P}26y=(SwSs8w7rOgcqaIN6*P0+(vqTz7Y-Lf}v(b8RyTHD)C?|#28yHe^L
zXAs+(!@m?|a&B$$wEO?3c=zP!Jrx@td}+Rt#Sw8|L|R(A-}c*#U1IZlC#E%2F<uko
z>d<pI#r&-J@2}FzN1f_d`hLsT|5<oRaKp{EV9`g99!*I|5OI!TxFj!Snzf{@C4-~D
zJ;ix_+}<7|pT5HjSTuMfN)qSTu6cTT`s&BA3nVUiDCjc1ui5Fn`boTN6Vqk>ZtmU-
za~yR`{&c#Vq;Dwrbxz@AwOV7gxyi(gW;LPAm8u6FUv|3)PBh#UsGVe)SCD+3<xu2A
zP~A6OXoEV>i?6H`lop7KT=}@5kD-g@P++^_Dh5r)f=h)<7Ur#Vm3gs#aYn+$MXtdi
zFSc*z@vwGSVf*RB>#M7mYkj-jCH(jI_wd%gQQLA>UXt4Iy6*);wlfR!vAgSHcb9}q
zKVm+@Qr(s;v9|dC<y@Vq+zL!va&L#J`OZqQOl4>~Wq!X#*?XGKM4QS_DcdsdJy&JX
z;Hfz2<h<~xyuqs0xJ?ftXFTh37X0-4;_6F_dy`oo_GLVLykDMcvR@RJ)5@fi{oH2`
z8C0zH<Y<ZSoj8BXlm@k}r%pEWEt^`i|K$Xem2q>nvifW~CZh(5QVXvGQ4&9*PY1Sa
z1}#4q&FdFo6ghRd_@!W2|Dp<xLU&%B8y_DZXKiKJXq{hsNU-c>ppCRCn?P0mY`=yQ
z78j--Iol`&mpl7vqqRa;Et%)+#h@e*!2aOUQg5UBe>J5{Oj&sgWtRvf<P=;8oFVY@
zU%|4E#cQLsvMgeG#q*$eOP+--BNJPenuva!O{`6a&Vd<kBw2W0Gc~<5n|6$~gU><H
z<%;`_eYLxjx|8~NrOnQGZQgU;8<f49T95r)s$o_1=m@9&4Bh1~c$kXA<wO6=NMGeD
zTd_0vx$@SUkHV|$9IKW~SzJ-jH)3U+qt#%=C_6j+>NVS^ffK)}ZTuauVu8@73F}OK
z4Bh!Av3NAFcpNBXx^{>`rl2NLx=;Iv=`N0j$!rtWukU8PG1L7n<LxO8+1J;dyq&*)
z?JLGD85fm~PHcUCetx*(m-O@VLIXLO*?0mTe*Pg@%Jf0<$F;T5uS{ER9xiNi=JWRP
z33<CP-rnB+<;BJAR?`Gr1s?_+zIp6<#_L0OEq)37eK>_xS@M;fLK5i4CNBxb>?Ph8
zJPrsliLl8mO!<^O;d-k**N?~E%8c$Dcf33%adv<A`n*eYiPK94_q>VS;Ym{_N9=c#
zTp7J}iu3mmN}q)+3Y22L$?aWmWWlAQvfUz<)rMYWG8Wp4=daZNSz9!-{F96&DEcQf
z#4@eCDxsh!q`>%Cc!5E}AJbEpI0CPVP7PllvvW~MfE1^N*Mo=`rIB0ywS0LO5MJ}y
za@zDZWuEQttd%Q^o=yq->U>1MKF)pj<5D$6fpYD@ZSQQA%O}0_TwSI3D#OWL=iN8i
z$bJ7BY;G@H6~gbgpRZ9l_mdQ;Mp0l)7F=MEu;%N73=aim77d=1mT8>pYhSP39`Zuu
z)8Y)334u)Z@A)S0RbTIUy*=Aw-=3Ya`)a>G{9N>5eL>}pI@gsk=Qauyw@W{7z3KK~
z{RWSlo4#+kvM$C;>Z;D`4;Fdq_ojwM_j&x)YP_^C(6asg<5p0~?ZEU*$szGT%<H2U
zCpDNdaxu&OsdKm}F0-}ik@B^vv9=Yb=FH;eSo2ReM8NjK(yPsr)XV+at9MkWMs0g*
zt=;;kW=Z1NBB2w(+%jqDTey|C2D5*Y;hQ{T2TSHkS5AvrRt=SRc2@ge%du6udRlzq
zI^X7AGt<6yNYd(L(crNVRGY2DXfC|K;6d7hcW?NPugbZx;b1{);GL5VwU+G%zTZA~
zYGy}-ugnTX%i<>|0v4Zey}#CSs;QG#29smt$=S1aK9yve(JQWg&-W5b<L9C;XHs>Y
z7R0n=yWhFIDscVHst~6pu2adn+9{8HZkR%%*qS3iqJTa1n<LXYMTf)#rZK!1v+nLH
zWj(%qOaA?P0*@amobgRKdhK$NnUbGeW{l<Gt;|AO0*aQ1&*9m<>d=Mka6X~=dqg;T
ze)V~H_*@EdR0^^3m|Ao+<W_?}Tac%L{uQMkrPn<<H6tCW>|!pi2!6T)l#?1*=6Ez5
zY7kD`q$yNj%*e%@$M$aBySkrG#T{!K?f?Ba92>hyUSDBDUigeR7BY%&rNSo$9hF(_
zlHaT9rR`VoA*DsezB)21oO4ptEQf##*N=0bn3>$Q@grweEnns$hpk+ySEN`iB4RF7
zwLJ_^o}zJKPuhf%oHMhSo<-F5fiv?azXKkJ95OOifUd!etNZzMjen2sga&be1qKfm
zcHdd<FJF4^)rQ2wHYIIy!pa11lz&=P7Im;N^YVs8MRLZ;=8uK{Z&#LG*d-jstEZOg
z^&@?m=Do)(OyYX(r#mmKZhF+z@$5i2<NY&pH5f0ZU!HgQ)=TEAOX8*``ANvm2DPyn
zg$%eEl{uL|KR-X+IQ`rS(30aOedot59}E)?F#LSEe7;J3-ElvTO>ZCke!qV@XxaUm
z$jwGq%=N$dFp03)6vQekDXFNaxU7rat+sF9J~<0#Kh52obM_Yni><TPd)mab#PjU~
zAAa?Zev`y(3tTOidVYWBef87PDIN!s`HXw7Jd_rE#s5zIT<p{s%VLdpSG7c@@2NDJ
z|7hdq^8uW@MO2xs!X|Gz%57mI7UuzO*F2D9dBe#Jx+~(rg9J&Vl#Xb1PW9!PmzP~y
z9j<@%{XE<1C#%=*n{;`(zjsj3q$#@5VQl<zYs@a4$tZXczAi>{bNYEd!#}5|>z`ge
zzfSA-x3|H^r}9ggcvzLcoAYQFr?8sGLZ{XzN5$h!l$rW&o&@Cw$rXaLet$U3|MXBR
zH|R7Di9`#T=60bSQzxjLHk&)CBm3i}HF>Q*OT{7<cXsrI`n~GBpdGYq$Nnk$q6(s3
z;uHVaRoYBh@Oycw_QSq8dn;nkwYr~VeS4*2)xo1vC4@yH47{5iUmeJ2Kj#$?82|F_
zvPs+0W{Qh%G7-4v1NQQSwJbTUjB_SjT^nr<+Qsx_VY^(>?Hljz?pBwzE}L@R{(lUp
zVpC#=cX2)V)=nsjh?pUy<2B_(%$LQt)h2tn7yPNMsd@7A`F#Jfqf@oRSzcdQ;wk*{
z_V)E}ZftaZc5bdVXv|88b4~7p1C3rH85b5fmif2;m2O~YWn|WA+T7k?-_R|tKh3RI
z%5rz+Hg_gXjyHB?u3=fNoY`So3q@CQpEFVn*<@d==w-RSAjz9WWWuLe4grqBTwGc1
z@|TR<6vC{NKCC(RY0j}vMOS=%3ob@X<C`$Sh*8om@lf3P-TdvR9tKZ;`Y}v&Y2epK
zlF~Bk)gaA!4W?~}4&2<F{&eT_dBG3gRerwZAJ{CsKj9!#>DjZh&94gH1}!a{q7{1T
z(6bvGlQ-4;EV3+lG2zIOBOV8vSW{0;(G1#GQ(1bp_U-1wyGmb&NIyR}ck+%M7NVx0
zGlW!`6oMW+IN1CN)IEIq_v!R_t&a?|vv(~F3!kbLx+H#oosguYW=D;~?WNw+!_Md5
z+~leiwr0h#iPq(L+t)wt5L6C1;tV>u|Lfmpv-4Mdbm(b3di=QdL>5RNF@`(s?(XvN
zK=zx4b=#Sf+g}PTFqojHR2;h@>#EjFo61c&_xIh6VSb+B>-*r@TI)}5pIt8c`75_{
zP3+A{t#i%hZx&Y+N|^q8m(@uISAOqoLDr?+;*)A5*Gzf8#Zhv{!rQkv1;p1M@z^0I
zSP*#o<Z(`B0p=3N1Ch@5^)K73+BUgNthuzh)KzoB{E4#Xl1`U_O1%SXSaMn$-|zeF
zw=M6k7U*i>yPArN60WQW1Z~2qe7p6!ih_c}+dOwy*OOOP24A(kU-x@0==KN8gBF?G
znGAcYzlSL?l%08hZ?ASio1pIRSF6{rI>EAMTlM!m(CrRc%saoFo~9ej#PV+A)`y4N
zSId@dSsi_SUF_4p-|tViDt)!Wrl#gt+h*IMCmt(fcW--8y2L#pXR3C1+3!gUHzZBh
zi+$3_F1O)J?UNINjm6&&vdf>?QTUj}^tiyriz|cGBX<-mboDsM%gM<JTH!Y@?%B7g
z#(C``Y(2ZpW=h>m?zdg`&*MSAdN0cz--LcK+YNc;Geo|g*DqZ?b?Sjb=hhYqR@(Ym
z?iPReCF@-N!=|580s_`_%>NeZ@b^t_new-7F?;KRe|HJDe0iMSp|-0+D8i!hMnc$6
zmwO%4nU9}8z4b-(rHz4>nI|+F*C;*x3#zam7=rTWn@!;>0vuaE+%~rOpi#r#zPI3E
zy_smAob4(XCX2@%0u7r%XU2+pGTh#f*nI2hJj3L+Uy|RGoq4PuRSE9fn0$QI-H*%X
zRe8lS8#20b1jaKg{Jg?;fppn9>yj4>iavdnEnOm+aHeVrbKifxur)IjzWke+K5t@p
zT&3%(@b&BLik%G{Se&caAYIUjyx!CGLMQS@nx1+1>gsB*Ee#KvHg3zi8^tLxgFCxF
zb?S!n`~Hasny-l~27G;eJ=~SO_}Q74j>eBe&bTEUzQ_IP^gM&9`~L-OHF`Aryy@<f
zE4&Lle_xVK7BOyd2+%p;FRc1!$%JWD4PTh&>xf)2=K9T&o9DsZadDqg{*jZRy1EQW
z3|*|R4taR44RZ*4&AP<w{*T+`DgQrmui|t0-S+g!#9ygBO>K{%RS*Ybb|S+?hF|Nd
z@9rvn+ZS*?zy0u9>9UOvA1K`dZRr&f5t;Jlgrf_i!X^eyt{Y#E^;rZle2|oAs}oeR
z&%CrGW#Q+F-1qnP8s*+Hd3t64$79l`B<(9dEy<E!$?@xXy2Wn+wUUpF*6#(hCs;LX
zo4APKV$!oSGqcq13GOa@+$N-vqZ__1MlA3MwCieH{VgQf<rlMsVi1#0WVO!f65IcE
zT+DJ?j)AUHId%4u^Lm?%tT|nroChYx?*F|f=Vra5FuPZ_DTmjtKU*53Im>mG4w~ks
zOZ%1N)HEra;A1*y@8oFrbwxK@g-KW9o~$#|j^Ca(|Nk+Wn*WmJfx1G@;>@3Z?=V|0
zxLjPuuGCYQRnKenuBA(p9~a3fZjnemYsm*{jebx8wfoDSe>9ePXHyxJCvc!K|MIfl
zqAP;4)=Ss53HNTOZ8>oGrMt!cJkVarqF0J63-TDsj)88m_4cs+Rr_-3^ecQj_s0IX
zaU;U9MfVl&g4iXJ3*rudHhRBgzR23x*qHUlqu617#`}Kze*qVHS9msfuwF500QGWL
zv0jq@VwK#{*6^a~1l!*dw#7YD9~;Oqaxou^p6t`lq4muCeob<qkMUiBd=*A@p^lz?
zy0iIPPM>pr7^J*p$CVWkL2sY!zC5A)|N70jPiwLlG0wZ*+Qc;L^4#d|Z71hyw||=&
zT$CCA>-+ydf6jm2x%KhiocR5-OZ?xd-MwDM{9#3H=5hT;hMv{$=3mc=JN`N9;L_Ru
zg&7q%G(I{N|NAd$_)pZ}POLv;Ag8;3{G`R{>pU*cTDs(`^HP-y_kRbO-uY>l8V|~=
z31#3w{3G}%|7}6^dH&sh3sOL5xIH~JHCR7(SBWQR1+@pO!@2s~+j2v{G{hz7TUkZD
zZA&({kKb1Vx+`1rA%pdgT@QcvN}I1*)bNeRlvChjgUz3+udi0lVte@T;iq@I-$&`a
zzrTOK*YeoqmtboFPUqg-v^3}5p2)Yml&ucj5_rqdxG;8i*-Jg?BkUcV-*~=?e^Kgs
zX*T5;s{rEx?ukObG?}%-)&%T3I7!v}O_y=x%l_|GpwO1vwCo3Sr~RVcWp6)CkSvs%
z=f-ecI`6M>OqopAghx>;>U$?l^3x5P5gB)5<Aw5<`N!0?(q;Mn+57$7e_y@$ldj+Y
z{p+<4ItcUq6BJ%^wE2H0=eG6EDHmKinD$lbIyICsE<AHief9T+D;``lp8muuWRA&t
zQI)l?rab!C9NBv6w<o9r>dg_s&8)%E;j3+NbJxTFbH3`?6+H;l`S5c2{IGMDbD}yJ
zc1`Y6W^;CO3Yp93BB-#X{`tANUJ?zl`)VSu3Of9=>r*`PlGVf1VJ*WPt%es2F}HUV
zCWo>!96J2x=jYIcPIE#Uvi@{r%rMWNr!}GDP|Jz$?Z&<Hv#+jNs#I9Gh^d9)?z=lX
z7i;~k|NHfN(4LB4>Yo%gJ^1$acG-Pa*KRT2Fb4zed{Gzn1p-R1lpUrx{yNaYDZC`{
za9d>NwC`1%pdQtx3!rP7e=T+I6X|8?@q4iSu;45YfrT<3yq<P>PAzJ*=`xTO=M5BA
zKdkgL<VL7!-j-FeDrZds8{EJxG6yC}#Rc5VRke&3&#tv8Z(Un{&VR1eQoqYib9J5^
zR+eH=YSfc^P-^i@gDql_3+GoUB|!xNg(QYH4n~V_FSxfd3uFpNNv*oXTM(nP&bIJT
z%bi`N-cLU%pONb`mMMxzN?PO<?U3jI?~89Jetz!NX45&jBJZ=Wt(lps<&o%c!tPj-
zit}CO4s8LS15&RO=e8v-*;u4=OxYpvKt=YXhk_4nt}D5At<YNXW3iVq<7NR1X+h=c
zzW9lM)z^EST*SIG>88U<85s@BHGTICPsf}wJNG+k$&vVl6N`S;EQ#4Arp+I!sm8ln
zbUK$~>lriAzUh!2q5?~fzpBBB4Hi5?3XJTaZX3g-i~5c;1XlGbed%;#N>kn7FU+nW
zG-scLuHsVWB{2bloP1h3l||2uUQgjMe`j4jS;gd0+qcr#b8$VBx|aT3+Nj2M<+=-_
z6u2kIz?m|Yp|x?1(38I^6KX+SZu#ovU+?UxEEd&k?OJRiWMJL+Vuy=mk(n1?cD(wb
zb>2IL{sn~zbDo;2qw|k7;*Z|q*V470x3y%JGM~#%a=7s{V`bF3jw@>f6!^2^|J79*
z-;!Cc4sKW~G=^{nNIaO`%yP!N;ZQ^6b-&&}=k5Q8><l=ubkW3y<$@lUy8g)8mdAFo
z96#skX*b_S>*<1&1V_$G`~G~oym4!6v5dZiprrQn4@;MF-0|C?e6@*rNtj2&6^(e8
zqD*zI3!ugpD7%QVXz=W4(z>m}_#M=*lRKyP`svr}@z+-^cpl`w^@7KN%bYE7eN&Ae
z8Wz1u>Jj6USGKDx&SY{_)LhreD!{eJa1nzbqX5&o3?&DblpYlowM<Qk)+IqNorHo`
zO<<X>@#1AZ_ql#p^l0!%q$pbO2%TVI<YJ!LkhUcH)D+FdeTf&(mz!R?VId||VA}X0
zyU<I+Vl5}@bG^j!KkHb;zD-v8_jBor{p(pW;wz4PY)mtknJytJtAG99cTv$=?{#-O
zE-%t3@-8^p6yzz)sK7FX>x=R45X(AHy`;c6S!jVl!ll;78$2CAsqaXB^ZfdMk+SQX
zKF4ILI4~)FoMj*&D5)>NqZiXVxg+~yk)~GM>80N%I<eL)X;|Mc5)xl|^<&2myL`FF
zBIZ7OCaY}x$l3ImIr*{h)yHR}IfaUi9T#nV@6GlD)Irh=KI88t`t|Z6m71gB!fY9!
zQr&?`C4q&>O^9tm+U}~aS#nQvTg=&xCvY)|u=#BC`NrO994e^U;JRcY1LqPyhDQgs
z&S2RoR~)Uh^?3ch>-)AC)X4O5Ogy0OpLcTkQne>n<t8qAFj?F_`+oKz#(n1}K4v^Q
zQ@Q=gnV<XJ-=@#myykz+`+v1N%irhk>_7gyK0E&ZpPu8tZ=L7tELU|b{*(VWuvRtG
z{{L?dL5JNwT^USn6PxU!mNfUXh+W#JKgGW*t6zW>?&=hftDl{pub+Ny&cu(8k6Vl0
ze0h2K(`##^gI0&>F5i}YcZT(^f|3t+ca=^yzh4t9WuEuuh3?69%?`%!4*I`8Gqak_
zceXRMGJY>8tJ1H}PM`4m_wUme7rQSld3nh%e?IS|hV23!`)k96IfPXHl}+lhIB?!P
z|L#<aw}SB%@4}oWJ$rJ0y2{yNcSn~R{^#+v>uvx2yKIwrDRY9FjLm|s-wzF!f9rT(
z{P+Fu8oQlG{BPg=e){|V^?&}`BpttR9<Qg$@gvLrGAy~TLF%9%Y@24y>*sfQneWo@
z^>M3ipE%($(=2xu=t6}_=J|1<d#XP?I4CK1>B2(irOxeqm-beF=ehs-1@F5ThbL}~
z*;f-euj-X%<nFSyKYpp*UvMBBwEyPK?d|@5{`^U~u)y)s&f@1HXO*5-K0P(nsQO!u
z`>rRZH$55-IhY+f?{)q3bbWD+g|DxzJ?&+@XF7|=0ZtaV3yb&^u3dyVbvEY>F6JNi
zJ7m+B?6doF!8y;l`29WGmp3*puKD-#dC<xr)tv<on|P$neCE~t%6z(Ko^5rQTd&m8
zW2_$!1bTaTOz@j)b#hPT=P5THauvJF_w`lZ-j=(m<Ymy$N8S39?iM{gC3-N*JoA#v
zuWxU)K^I?wj&<O-|Fgh_DZ9Di!Q;ouphHd<-~F^JN68^kVfKOat<fvy|7d0}?Q>&N
znXngB{^{3$^8;5p2|P^O4l&4lcs{S%uXSSb^n3FTcE)c=Xx#Pe+1c4aYokmLu8dyl
zHFZ-=-J2VR2bbMg=-jTNrsl>arlS$O%xB`Kr>9qEPdL_o)@V!NyE~Sh-QB^~cW%6F
zJE)m^ecjwI@9y5dq^2Z!fxYbc{y(3*r<Ntup1K~LzgIPMRmjA;zrR*i?<#qzv~lCc
zlgk2D1Sp0cXDEAcfblAy)ARiM`*cCgr?0%PuB}}icYlBV{ssO$ob#m<?$>^w`{&#3
ze52A=A??2kzH8Ngy&A5S`)OwSJkM*ULEJ^p&#i3{ji{;F^T}I4G+=Ai)s*Xf5{6E$
zX&VA|?GD#}{5MeIgvI8Z{mNEWQC%XMN)<=s-K01tID7;3qWAu}X$`J38VosZa4{Fu
zY=?Dc8I=|>Sbx91K7RW3xN2V}CZ;RribF!C9F6?<?RI|ZxjB}ncIMpQw^v0~Rg+y_
ziJ@%K`+Iv=7d;RZ{eae$E!vZQW`<%xQSq}s-=`>bFciEI^fajXQLy!QuC7eU+gqWa
zBb`A9U8lauysGP<%e>|Pqi+3G$6dR{GzA%W49>N3i=X=V`1sWsCDp&*ZeQxu%4JmW
zzyTZ<?{97Oc5-r3F)+Ar>FY#g_mJAn{B}Paj`d2b*Z%qv7^v8><bX`+Mz{Tphd5nC
zV|fa{%iNg%m_>uf;@l~N@JIcRLB}-T+?3iTDa`J~bkC>ZP=on;yXTO!vOsu)DBA{S
zzT@UwIl?VIY)(5HB*@&#Egp7uL7kWj)01V&P7LQxeR+8~RE3dee&fW=eChISzqjY#
zUstyJ>!Y&d<9(sl*H84<Kc8DZWpmcsn#Xo;Qq1%3#axwgILpwo=k@NpiHV6>XSPk`
z6Ofxwm7uHeqWF06`aPezOtP=}*s>mEa$-ByTGBRA*&TESZirQL-V5o+oBcL>tnUAE
zFY|u=|GiyN8`4*-57?M=^vf)d@1+I1s=lsruxMP-oY8b`ZS-m>p$Dna-3oWvDjO3)
z%Ph_WS=b5unds<oMcCiw;Sb+ElUO_sq=G6|9$jh3K#_qUtQ)yo&M)6u^vyc+j0*}g
zFZb;!vzUJ)6Lf0`3oEOtb&-JeyAB<PE~ZZ(H%9l~{r~Ih>($RL>T@ys%`)+{{8g~;
ze(m>IZ;$=&wj_&7n{rGz)i9xciP8%}*41HagATRm*}eFl5dLSfzum-FS68dgKD9Ob
z`jzLOOtY^=6unX8QK)5{$fY6DcZ==+-}m*pieEblUfkcmU-gsXUOSmeX@w>7>S{NP
z4V<GK!gyDTg1VYLffoedCT$jaofh4#u)6kR-FKk{1`p!yMZSCc=x8@+@8c>HCMnJd
z4ThkAIA&EK0;%$DdLM|AI54sLha_M5Je$fO9v;4$*=D&{JQ=rUU*EQ;Z+`xr9Sc8Q
zf7o&O<#xX3?acp<N#|=Qg2uYCuK#*IzkXZGs>S!G$5nY+-q}^$$5eIAl-n%#))JXA
z7p;WpwWp`)o_ctlH<OWL{_!8B&MM3@>RV=Ohp$Wdd~;9b=9<4>uWLPZ+&<U3eAO04
zktfb9Ol4OL{r<)+kyJQS_w39}zONJaYX8oEe`A45m+NA;-Yb(=yl=?h;n4lXDc~ll
zeSD%JlL(v9G40#y-88P=+gp8A-Uz1``|O?mtd-4seKB#nyq{Xp$G{c*WiKzOmOir$
zVw~{#{<hp`QQHOL>kc22C|k90_I&+#yHI<ELyd7M0=W;s-Lyw70&Ex94!ssU$A7rb
z>XoR%FEParD>4P-<kl_XDmm<Dw=VPMrln6UIhYEbynWl1+|yy^u$M`q>|K1tL)OYC
z6Wv2X80>aC=QMaMkmllhB)3HV#k4T_muugw3|>B^Ao09GxJy{dRRyL7^+kpPFBzjZ
zCbfcgI&DfhDYTm@Anf;(zwbcpqS`fcFA4uU;K1q{A=1m@aX<nzL7XgW!3AzbHNH_z
z(B)a6pip${p~UO9|I@EMd~?Fzz0UU2yN7cQKWyPxVExj<km2b22OAW+7#9A$(b;am
zu)F5xrd7%fN)@_MTLk9BHN;je5qJ>7C~>SMgHc4nCD3_KU!me9hPDni8T$^VP7R@s
z7fcqre(Vfe8#Qes=w3Q4C5A%`i)0PrY$q{h^4>6X7dhN1tbT<p?&G7Qq46C84G$VE
zoz^%=F)8r~NH#A1_a&JBLSR(wlI@#1J3BS~d@sCroakB|DE)G4=KE3?_K<ZkkyjHM
zJ}}D(tNBc5<CRWZW_d4Jfk}joXN$y3UR5O}r@sp~+iI!q{r$s=b3#KlXMjY3Zu~Y#
zsg=OTw98<@b@2^<&veCBzkXD4<LNw`%1u^U0y|%Iur)BR?Pjl#J!k6l(R+%<!Z>FY
zCKe_ao&y4k49Dea559C;!q~^-vWt=D{^3H`F2-LKpH8YzzWTDsxpzyeZS}VmzZh=R
z9G=*|f-~Xm8>LGO7ddzE`I#-^4NwuB$nR0vz|c_0&d$C%scpsjgjz19V;#a`2iRQs
z4drEirt8jGa;<%0m^`bZ;sb{%2dk3Kt_`;BF$wEaO$JrbJ>lEpdhMGm%vdY~O+eF>
z0t*Zh=4^f70cmG!>Th_vlI5nk(D$!<^6%Si{oOx>LyB|8OomoQ;k9y~HcVLm<;Uax
z*%?=4v^Xn3D~RUpKQ>1ZT(vp;V%ZZYF~h!opTeqe|5%UtLhBV6lR?exGo_w}S}m^?
z<X=5IJA2xy)oPMf?Ve0>{tbs3j_Vt$LnliZ81qHgCipdcVU<&UcR;#jy2LDoRz_$2
z^ZT0*2daTuBuBf&XQv#IQRA%OXXIkOxB1!}O^D5}KsG=4-N<6o%FxO<d5ucfj0b;$
zS4~ulG~m0u8Z^DV>glr-(0D)tOOT>NVnaT!kbyoU7qeQ#?$zPzS8*-(P~NaEFRN)`
zafiwSP#>t`s8R7GNZIvLV1dDb?Hrslrh@`ujclL!&!5ldr{3I@swSb^?!k1=z2Q*9
z_qCSk&>4_P46TgM_iMkqvr`$=1muyi2$0?Gq0AUAxWM3n)+WE4?dj*|z4E!5KEL)_
z=h{u0LJExOASs<mInbHSGc22eB|Ln5raYftALlhqM^jkc&!_F<m6gFp6(15#7h5p>
zImj;W(<tTlV_WX+C9d6Kpa!b5&e8ex|1?3<O;=ZkOD|*Cx!8u6@j^oLi)(9VgN{4A
zw4?B`Pp^)NNeF1*$o}t_$xqJDw-3%;QYPHWxcpH`T{7tEG$vNA70CfzBASo>@ZC`2
zoZv8xGeF{mk&~GNxMlZ%5!7S`-TxIHUu$~v&8pDVYMax}7F8SzUhX&b{r>-UN5685
z=}h1f)mrg9|IQ9Y&_?ZFUtcd5V0(9ezrLrZXVJSmmX%MZhEEAj)weA_KhG9)w&5v$
z`#%pp+=!`t7#?4%s_r)@;BnL4U8Ua7&dglgHObx8RnvZ9-rZfVb{x60vv_xv#j0BO
z%4McA*6;rpweROM>CXQC=}AYsP9EmB*ZKSVySTi({Pr`7)>a2Ki~ViCPFWMXJFKR*
zb}}#HdPSp@`}=AiJ%6s=%*H$E++6GB63>2peVqzzl`r>iJk)S`qSbcCKZ(Eod_Mp5
zdVGCp-r*cj8JNkU!DGRzDy;<Wk{cK{Zc$=;a3?wZf3Nwy6CZefe|@d4zvn{}==%4w
z)elV$Z#*+mx&7|zeZSvXH-5Iyyri;w{o#lEDn2gS^Zj16q}<}wc?SwRdZkP!sn4$o
zDm5&76S1@CY1bn`5fPP(7cahg{_O1R-B}zfx6PJ2zlE)ZgYV6}?b+A;HgNZScYk?l
z>C?OA_e+1<+_|c_C~%rytX6!@N7qey8|!}DJ-Bgt?eA})i_YKKSv=K&b>e|r3UUu@
z`(8+-OSdwn3zrsEpSS%!hpTi`Xw=+0yt9=Vg-$Rraxq6X9FK-%5t}xLs6z~Msx55$
z?S5snJ?r*hm~(1t+F7ZS)Ai%Ks=vRxtF7$Tv%#icwoK&hwsek%>q1vgyR~(znZ=HR
z9XY&xmp~I6^ZI&wMO`#<?(Pb;d|Z&ESfudF(R|Oo`u}yHe#$PnKu*x&>01}u6_XgZ
z9u{z&IAMaq*6i!kw%@Od{`2>*ZtjDv`TPHd^~qQ+x^>{4&732rrfRQ#|InlU(@FKR
zV8sh3?$`g<l{U`{*?o!QXM04@x){r!pHAyfO=$YJLAy5uv~~aFx3{;iTG;>lk*pcK
ztVim2{r}(brMz4VlGAsmo}Q+4Ny&en%}If!$ArcIe!HE2iu2#=_4`A9zrDSEI%q1W
zq+`bp3()xpT@o6Bi(0aTn|xrWTpViUUj5uG=Y~SW`41loRGSibc%@7>^gP^r-cERp
zec5e6-HY3Dqn{eTJTyT$JMDY#qebe5;yrIceTjpDkFT?8x&)TIxe@5f8nQOZ^yb!z
z_0AzH0~fblcK1v?@X}-MZG}3{58Tp1LXYmW-2^o?E`!Fdq`b^rz*#UM3A9|UV9D<C
z_j&~fn3<W4O%9)(ZGO5-R6FI@mzSCM%71)Fto-}+dgv^MfBLtj=44qczP!vg*l*if
z#ai*>&B|F0{{9!%H(GoIbpR%mTWl{#dpIR&xj3IGPvYMd+--B;e|maaTafwtLph7)
zc0SojptkXHzqwN!nA`;}GH@)s{<_04qQST~gTZ1asOz%Oxm`;=zP#+9U@J#p{h50*
zf`J8JUIac>FweOW@YYWGi%hBLgBOQ?#_g%tC}gP+lF(jvWkq1t_kHE>@15d2{zJjd
z;ZMVfkMsZkaX-s@{`E95e-WSdVD7NB(c8oNtbh2<G;-yX65u_+f2d)rqe+uS;N~>n
z$SoNYlNdj;o--`Ft(cdfm(YFr)`oc%@eUU(>i_LIb@zBRgHxMw_OylOi-h&=ZOxwk
z>E)Vwb_IrmivJ%p^MkIP&Z^xYRxw{EW=Fu@>1%kmsu|>0zyG*~Z{i_`FwR{c>i#e}
zh&BniDKiQg=z*pVVx{|fAOlymEJ4C-5AGa(=oVM$#(Qo_?m7FiKMymaZckG6zT#&i
z<6T|$=SSgFhV@ZfPvxyTc(9p$s&LlZme+3&+-y6{lOA@yvHkPz)YD?#5f;xl(xuPM
zS?gHRaJapxSb(`i+O}#-S0018MX!btM|+gG+J@?V#n1gjOBYB#>zJGR=3Daf9SH}U
zrd@yFbGWdDx%U?HhLe-kS9h?uD1zHapO`KR3YZ8i>)SW+Jl{q}SMiC5`#M;+ER^17
zU;Ar|&kTcupJK9X6wMZ_Ex%XkerrqS;&uDW-_H|j`yg|KtH4EtnT7qXz{`f$iXI9^
zj9=Ld+-z?#U3b)Rl(1`&EokIu-uQ}bBD2lK{mR+X?o|tx>aMYji05xynRK-4rRl_X
zuOqlQ%wl(!g}(Q95q9tat$7gInUgKJ<aUCX-U5ROtQG6_iSF0=@i=*jje7X`nQtdI
zNOA^97(8B8cm`72o>QAJ%YcEM@0?=U8RM*iDGz+2tvkNmY6y;B>LvPA<d!i9dqLXq
ze);_Gr50aq>}^%f&E;@E&Zjr0*kWskP(yK_RKg5>87m1H*E1JyeY}4A;5yr~W<kS7
zuTM8x+gCKmew=BXuBFIe{pW^eyYmX^L;qHXuh;Xp|68)jFmby~;>*|9)&@UzwQ7D>
z)7)ITm(hjsz(bcnx0r5&+Aoa9r7K^RzPb|V`c7e=gTnz+iN_lf59`z(iflJXn)`pg
zl)uH_j)(($^6u}`O+P<x>eJKHPoJEues#vfFU}Vlb~JC{xO;An<>HDYKY@r1VjeRu
z@D?Al5KL|8$P!uK;>_!|Yww>=r@d?%4m>z9Yw7nm7Y}<Dp6a&6%E7BsC&ul*wqE%t
z+eHZhKP6@5s}rXP%-!Ia6Wy(#{5I`b6c_W%h0m5%Pq1!^=Xo6?WnOwV&!d6G$f4no
z16#t!AaI+Vv0oJwvd`K1tjnB?ld5VN-#l6#zE<wx)f=j9%sJ;DY&e{FEt~B|T=VsZ
zD!1kM`2-pGgv+iA+V#s;y@^nMvo~^c+O)I>Zw@tr&ZP({>EFdvu;i;0XTiC^c!rk@
zH%i@h@8C~rj@!&&{X#&t<oNx2a|&#WemrzYc9-w7<C^wbUGG7NF^BezN;dnN{ttCM
z@-iEg`8Kkgv$@2b@Quq>=@x?}XfAI@s>I5VEyaC?2Q%GdnU@4Ds8D)$bkD;F$#DXn
zU1GXfnf!;iTqImX6M7=JWlk@b&a!q$aMEJDRrhk~^pLwu91I37sS1DOR<ag6la1Wm
zvqwC(RQK73f4|>ryBX{e|FFC1yRqNRg5`k{1?q2kzOYW^$uC)v18NB;gBI+)`TFn$
zq$PaE`M^9-1OGtZmbj2}7cYVq;<k1z-(D;wEWCJ*@0W?UKHe*knwZugTT#uX&hzu-
zHt8admq%;2{rqq(Hz8ee_sttGb>sF_bUmBs)>y@OZQ<u@;s+<X);9nA{5<+q*TI#?
z*V#G!ek;4S<M+ce%B+m%HpGQ99BP<X-}i;hMODF;QHgs_aR<vaR~bXIJ#9M=8y-Jr
zdWrXoK-m(tgmSsh+t*E)FXg|aVnOefE}unZQj0hhro3pgRFGm!){Ne^M#$pAzBu=X
z=jK{#H8BP%XUHotv~b0&fBqo(pMbW3y-0&k{@F{_{EG^dWm2o#KE7;nsd~HQtCb6b
zi^YQ}Jb!E3tE3Wm?Y142+k9!d^7kN#f|ek&m}Yb1qLKwHmpmF+dVCuWHEg`zzE~OD
z7%Jcgjb~WjE2!CUx!a)j&8)}&Q{4`&|5z!(r^WSQX)4F!<L9*Jc)PGJ$d68!;*(I~
zDo~NJOAcQZq8T~)#@qJI?fNZe#kE2_EPq<qG9<n)2oe0%S5R&7cEy_Y?>`;dcr;=o
zci#C8+Wor{SFGyyU=)!%B3PT@EzkbzcwtXLgP>Bs27|@&s@WEq49QNn82f5Lci~J)
zXqsExAtaz~P-gKXp|N`6zXsXrD{Kz0n6hjfR5qBs`S7@oL-@q)_V<l*D>)>qe3_QS
zEA$?^74(?1kR^%fmg_>>9#KJ-f>(y|Pp&9uG1vr55je#D%1$AOA)DDbm(j(+!K0Cb
zLGj_GrQTZi<rlGW`7W|!{&mq+;Eb<0i%jI^FLlZWc^s<WcwRrySuT5#vEzZmT=ovN
zh~PETb>DwE_PXb~CXYq)BJNuU<mLW2SvvO?9sF=Zg>yoKC?gkhjWuZF5Ci9gh7~e}
z7E=x0)IC0ZE8wc!b&D*H+IEcu*-i6r?JZa^v5A{qQmG}^wEW4*WOFe#c9FgnTMueB
zU+%hF{A9!8LwoB&Wafml?3!;;=ybQ~`o`I7+pitI*)}=*_OjC7H}<wCb6;ds{8sQm
zaMAkfeimhKX56wowz1aYE5lJP!?-uso}ZfPz46G$+86AL*yrRuSr)xq??GuyzXorQ
z>`|7Dll!VtXUvvsS`XS2?*4B7yzTvVlP66IDRJLh^)=)dW7)@CUDJ62%^Ua%ZXFbI
z6%bt7_)BQTG5$g}CYE)F&lfZ(cD*<*wn*OMiGZoVT1Ix6&kYHS+zlOA8Y~iGc?6vp
ze_afAycBKhe!qF$KECCl33oTH6X^W#yV0cUjR6<)O-I|gb_*9d20BLw{wn~@VPPc8
z#w%GoJC>J!n-t2_Vb^eD!o?_o$hXtKOE)vLGA6Ha-W2GtviVY{lQyUTfUekm!~tmq
z$AJ2$uO)Y{V!!=@G5qV{vR=^C8{>60R$aN84~|pYT|i9(P#^eMymH?JNYmgei;O7S
zg!sNa#no*WK5cRO6l>4@{taX6`NAuTT>O{zOa`q`TUWia=xNAC{y9gza2mnfFH>CI
zW)fvP{mxhAG=3EaCM!lRX0~d5(3FDcSqDZTP{Ys?G|YC~s&ERV@?59-V1>bhTY(!&
zg%ldk2rMv|Aep7N=lNlN`za?*czC;pi<cc;=Gnk9$ED#=!(@j|l8~Aq7*z7Tdiwam
z-Z>1djLm!3eM{L0TMNJ#wKZ$$xu-`W7lDGP7&KbYSNcc;8bqKF$^N;iRH#6ek&AiV
zlGz8hEnc)}iO)=<SARk+q_Ao^aP`oER&H_78BWui+4;SKf+l@=anX5hKEJn*kBG<z
zy~s^VeCAjf-gNPwYo*%E&cDsZJoy+;=!@IeKz-`l+j1w1=|;`?b)w+R;_U0|K0WTY
zKlSVDYu3;5rdd;h#qZ13T9h8h(TQaeVY}q|WFI%Ee|YdZsDHW7@1`)e4sDPyTiDtt
zRqyF~Q&)$t_gd;THRS8>2M-?boRv7rHNWziWagzMouC_eKRr3A{QceC%_3TR3Lm$9
zd3DwM)%ErBog@zI6%Yb-CH?;X0xd&LKQ~A7*Zs}u{R(TZznOoOU)D-xbNcydFE1}&
z{j(wR^|iISpM5<%CRi3fJF$NMzf}_3a&Lz{5Q)n7k+UdpNIN@A6*R%NV1dHcw5zK^
zH*c93CodTl6PLpQ8k*Y3^Pi{bxQJASp@oRh2?j<kX32)5-kuGh<YzOj!8S4B)|Sko
zA0HB*Mu_P~h1mUmV|?(Oz0BpF8|UU)KYiS9KMmBYDtdAv@%y#t?RlO3{r;=g*MGlT
z&h)(g(h|?I_s3`G#qH5}zxR8bTU*+W?C>=aiUt3Ee|x+74|jofz=8%T^_GT*hugIm
zvh*dy#LU_A?N;`ui|+EAeO)bYZ*BDkU7c9@@u>LH!pFzFQW{o#J}Mp`@oZL@d>iv2
zMoUn-bDnXhIMBnR!y#~O;NrGws}y||2PQwzQff_!n;hT)oraU3$u8@%Hv$~Y++sQc
z_V)IsyEmtwKh?m<eC6Vuf=8D(E4%k;uwT74p>B7|Nuis&%h&fv7#{lcDLlS*YL>~N
z+4=i4w`N_Pw0vHbR%EdNkKNxdmqlt11XjJ-c>K!ejT<*+$whC^6P4K>v8&{z$nF;v
zwg3P9_4;w(Ylm0E;n?kYcfTxqdF8s|K8319E}cT3zTM70{rmm?{7m^nr%p}PPJMT0
z=d=v&C6P9tPAGr+a@jwch1DhfMe%W~K528mwKMZFzdpQja)aS^(6El#mCW*%$DF%M
zUM~6<0jfIBxHcSW*m<pcF=Q)P0WV{8V#0|Dil-cFzg%?Jm5l>UCDwfMocep7eSKX!
zyHUxDfY3R+tG~Z1d+!)>==J*j>n^dpx%$e<iqS6HdBuvDokd+{@9yngearodLo1i)
zq`iG6tR2EfyF`O`zb)ADP$GV3k?Y%IhXb_NfCipYHhy|~+IwHkPnPcw=Uq{fk(sw=
zvYv~`!N>jfddlv7AxiA83>|hzm}E>yYQ8R}8>JGxEhq54vMA&F<42CHIBuGK%_37J
z=U4}5KsY7z!*SNukOlWZvy_<r%1&hX`G+I9-FT*Xew=rE!Gl7kaJM-=7GDL#AFK#l
z8FcjB13}w{JwN{c`@X*{-oEb74y^<2FJh|utnAvA?<T&zwN*1t-nwi}OMAPvp+kRB
z#I~H7MGL-u_;q%+xpsQ>!$Ykzn|}ZOe*g6J_`1yRaUGJP+F@rxc3(5ky}vJZX<&os
zB1VM?3j~sv`^`-`GsE!Gnn+_+p$F+4vOD-}oSmIRw=n#jqNCrqP<nwu!i1*>_0_Kg
zw|oFqBUrs6`q4b^&I~0N`Gx<~{pU^LxBGG6q2mGuIhQuj3WB1ir&7P$S$q}XW#f~X
zv8sTfFyYUSk7e=g&iz|jPfk`>ua?zhyt}J(^OFb4UJOhue(ncq0{_ahJ(vCY`^5G0
zJr6^Su6S%nXJlmb>$Gw(VJ`cxSn@zniJe7e&Krh<EP=u^UOzh89lDC;NaKM64x*in
zQ_{~&f3_1G3%T54IvUIU=Z8IX1DCj<jUem3KFWZU3Ng+Hq9kTm7B6#gb6X`-es{xX
z{^PQiZ*`-$l~f4aI2ymVYU_ftS9lhFD5xmZYP4-Wd~Z)>vG=__Z%r%q`pvca^k(yU
zwQ5c!1{t+09tVDWoGEMlwj<#H-|oB_!e&1vtj-VF{QQCcOe5EIvAdV~>01;&Y7t5>
z%ek?@ueM>~3x#K_-z)-~AP!B)4LriKf5q<d_htt&ELemT8hb#Kqk&0#=7EYYj|0M-
z5!}oculN*S2&?&Q@DV-E@F@G<o=VAEjP0Mhoa)x^`?V@I=f>Y-=WK831uf~gn=K&M
zzv0KLtE+F<%5D|-P`SskneAkpd!h54cIBhN#&5orzq=DTAueD~#YZ0fx1br29|`9l
ztVkBWFUeM?QPgLa_~Lu3c-)37p$=9@PwVerqht#@n5_Q)-|c&TzuUbkt@);ZRsoy6
ze}40!2F5Un{RQRk?s$G{zszv>k$^`7i;Rjx;sM8iJ<xH1#bD=u;=9OHuxg@we^T@3
z=jX#Ke`%bXF4(NxeW>o5E6>{Z?i}^MzrCF*+`WRqVvB&#nzgyNw`na};5k`MtL9$W
zcj?`a3J!d1tzv5B5)JaR<NEecgqef;?X9iC_4W0g9UTG3|CnZ7@hENFnKaMhu7dHj
z88cS+8E#0IXx~>of9AHe?+>2j?r3nZV)gTLn8MIysdS66EvfSX4<jQJ=Ow#>J<5w%
zC9cj1{dH{r{6h_l*Es4Ad}u#)Vxc?Rfg_3&8WKUB@i&(W5+Ln~Je7oMEyk{^iQ@9o
z30t$ThaKlyBvj|7qp9i1$s{0pYMSosS8EGS1^(gR{k_9ugQ&d0G*Rua6~7hv_Iy5P
zee#x5qMgOLg1+XaCKh+;u(eU4vCSp%R|FhZ*z&CZ{^Fu@=)3l_^K7FFZZ+l3mYliV
zdY$VjhCaO`nHjF#VxgK$+b(iwG;ugGeC1Q%Q)p5!4itDdga3B{Xf)>B)0q#i74T{O
zb9glU{Jo1>l6e!%CopjCn9I=0xLrBD9pZjAl>}`bi{y?Q58YH4x*mf{sTj~`{p%Z6
zSByeJo+PY|-hOW3>q<e+2@M}XO{sgc3S1ys->E<FNo278{^NgF@)v<`^P_4Rzn`CE
zJE<WZlw{Ao?qYSQ;OmQ6IFW&K2B=Y2yiVHP7d$-oAcm=ri`hf-$Lsa`-;~|kkmxKr
zsXv&BPu^~gpQJ;Cy&vm>i42@O`WRXnuWu|}@w8p8%42SGSByHiK?jO}=er88KwNPS
z)Szn!iIVx7e*V+5v)cRi?K>wc5v|N9<T0J0wXsBS=R2l3Z>5BUCS{2RUH^J!8mMvS
z*>I@AICGOOq;a=ds31hb;PoGiJfROMOd@QX3QGfROCKI;-Bk7URgMhnZZ$@s6I!70
zU)2-fC6f&-HjNCejQ$a>=MF}L#{Q2@J^oSt>8YucCn~$AJ=Os2gK`K1NoYCV^M`nu
z6SSxDkX8}jJ+FpC4rc3Y&K1u!PVZZAf(tZozK2DFXGZy3iz0}No`b4In`t?nEO$V?
z$laa)*YEe9uIJe+W%}gT*Vi{qn997FR37LsiLlLao%9yc4FXR=8LyW+{_ZVk8tbz4
zgW37}CZ?XAcJllE`uV=f3ENd1n9eCBgd`^X{`U6Mm0<sqox<u%92%L<&042;>*e+J
z^WWUxAJ4hwNA#<l?#|AMda=7aGBQ?Jsb#;ou<+!r7q_;q?)p~s`|b9(Wxc;a)9Rqb
zKbzZ+eFF^#9M}LVXv;D!4B!F(jH%|tnxLg!Jo0vTOl3EO3Mnvd7c6Ku`0#vw{i^-q
z`~UyjZFR5Y#)ia8tHaluJm*+mc5#ubQQ8@agA%cc-qZC&<>loo-)uatbN2q;>hQSQ
zuc0^hR&Q5PQ}b$O<4yVZ=cnI$IrqKyc9;9dRX&|+a=UFZU)u3L+08NSCVC%0=geN(
zBi{4y&-GQI+BZv$)6Y%WRr=a%v0Lw(d;9b5T7lLf`OLSoz5Myut=ivjw{Ob2y2@w!
zL1|Exa9}Qr0uQ*F^G>+7CUTkdALjb(v|WMGygRDCzS>mrF{$#!!uB&ZynS<7JRFoC
z@Fg-VIDGi<REZ76&;1S;)c^T-JoU|ujVEQE>+k#F<Tu|=SHAwwMyd3em^nXw{<N$;
zQ~T@7M9^xMS65e0PrEIkwJL0_R{FU)f!80t$>|W?|L0RT3k%DV%KWWaSD(DNxOkGX
zdmm4)d?4Ej<;%Ov-}BsU?>@+PG*ekgY03kpeV`f*l5T_)8mF-M=<+-`Y-?u_x4W!2
z8<b2KS?;Lv=)~`f`S;^7|4f_8qFq;ZmA+P6?l*T5pRCo1D=UL_uP2NDUfgddWO4e(
zp^YmK9z6K!*1=|WZT26Zo}T^$8W|E~s#R2C=+okv=y$wdKD>VayqZs*H#evEFKu|W
zr}Fa>r&g}4c3JgxJd#E#H!aT3vt7MNd|k}WMRV@`|MT45DB%FZQ=7$S7rAzO<>ah+
zm3(rN>dHRPd=aMCpmj~*>td$W8L+Lm+o7@|czNHMxz^!(+X@%fO9buYle5tfR`Urs
ze^Xj`opt%UIbMnp`|I{D{X11V{M7RKb*rBBTK@R`J30=u52MTB#7yJmpku=S{d%p>
z$;IVWzBWhSVR!kvI}<%8t9kaz*)FO5{Y^5j8B`KNa?OMWa{+^`1`Yh*e_Z(exZl3&
za8zNRN`eK``@P@iEi6ym=-l(CB-&Z)An5RkIj-(JeEDZ*nNEHib@*rfx0~sq)*Q?2
z>;LT$Vifq)x1s*cfyEYC-D0{|&Y702xnKEQR@AKi(-Tj}e>eT@|1R0p{<wPzW6RlS
z>3Q$(?cH6p1Jr4Vb6R-y+1c5rdnAoR?w_~)K8Huj#G`Xb?(J<;L5tF_Pt<CB9w4r`
z)o|h7ZgG9D|Ns78t=**<%UImhJkP$qPL(I;<|bFm_oCWiA)g($I9y)l8#=?DMYcNG
z=@uhTV5|Up&T9_PZfPm=yfsHy?|vw+{r2W&)0HmJYGRS*z182B?eh8k?QQT&g==lQ
zSV0$oJ=HY0zpHe$h)%?XiBF%ILdsbX`Jfb>xSC5=1}%N^^?LmGU9FE>JP!zS?$AzR
zIQQ(;)zwQQHYV{U=G@)26*OsZYMO5LPPv_*PW(MTU4MR2jbI$VAk*$|i`{xBftKlH
z7s{4B)(&5{rtI@Z?tf3G$FIs@x?6elynvtr!wspH20>*vjpbt2Z#dSr7xNi9<jbEk
z<Wf;;m%GRrU;B0Ho*$38wOASNFE>-W)8Ew0@loD9Z_d)}E&Lam`USK<n1B25@URva
z(@K^Z>@JbD=T`P=mcP5R%GZTEAtv|M7Ed!<i`NgjUa&|Q-3E<SfR@2TNxKLu%vI7Z
z6&0|&xGGfJvfx33bN1P8Q1J%tJ$f9F=A02Fae)23zuixlS*F>~db5vPPHs33D%Bcd
zvz{E4w=6oMSa(CRJ-9!%=<b6xpw`vfQ-=e#@ULW>YgH=L^7L?L8w0~b22B<Z#{=vB
zEc2ax<?FSJY(FIZ?EKgrSQ>1b5BHd~ZrETT+PER<DA!Yq<n8jBEH`epC->XbEn$9g
zc6RvN3y-TsHf%CiTVi)Wz_xMYV{SHgsh8Y0-m+^iQSOnmjk0Aw%xCYyJjbNr7SoE#
z3Abi^*|~s?r-eH{{(j2k*%pP1y0|L-{d|7)^9*?lMJvX(>xTZZEE|ur-4TdfD1FcQ
z!NTvYhDy1Nu6&^7zMyyq&uf3<oDnABQ2Itl)oTU+I#8K&Uf}^>V#4ihxmoPDF2=ry
z-k!Je(K3#c*K^O#GR+E)*5_Iw{-C?BFD&tG!JLh=?`=-^7xiU$F3G3!>zJv<JpM&Z
zaU1w|zUTPf&cLY1Wc|5AK_hb0l2<~0ra{6Rn)~kNxBPq@b%s%$#i6F+%SHFpgH5c{
zs^``H$`qZ*z<%@Ij19*8&KI5E{BX`_WoWG86o{S}wV?f;x~gjAD}DtZc_x+?E*I4U
zLDG}YaW7)>({uQJ?I^ooC&M-iE}^oAhghdtH9i);sD4EJ3cG`sOB!#WjH04qmwhj|
zoehm{JI2dg%s(F3rk)bnY7838`oP1qPK@mVi*gVH>r1)HCxYINd%wI$6qj~o4HV$d
zWWC|oUfjQn@#DvjrzRdV?BKZVuIOO#1+-Le_m3(U;ad)kb|P#pyi7~@U-a3_REZcJ
zd1omT$-!EXB3Rf^P!se0X8Qb<26Yy1ZameG-L>V`(c^tq?+$h{Ni^qqBs#pa>wD#$
z>$5}bvBT{Z@(q9LwzOw3EirYF0Nn(<Dt&>xafeff#+{BYta~FC?lp{DuBPC`bcpL%
z&lT3Y0oDvL3Tqk|eZ(Cun0J{b$*d5Z5a>LG;h($0EQUV<R~rjK^&LE^2MHOhHE5V?
zP^01c(HPY1N*5^DYVaV-i=(sMx!<YA%}}}Tq(+VfcrTLzc!XP_v7Q5zMD+9y#aI3j
zxqnLKfhEfwT^@xkvZahU!IOS{d6|5&`aDN$$b0uL1}-6~qdgXCi#I&9fLrR(z~ZCB
z^B^X-WrkAt^=&gm#iy}&9M}UgWX5z6cgV6LaAH((U^=IqaH@k*<b%`2Rk6FvEc;oa
zl^BIil!4lBwVW0VunH06G<}r>uf&8yEu2MfZ<%_#7U;*A`^{vr0WCx6j|ubZLXPqW
zEIyh%5B}uL$?oNP3{K^sahKw2lZ+KXMnR1=;AafxVzzkq`ocnIArX-jxl1ydoD&>&
zv1srt>FyBUG2y`fzxV&|+)!%f%cSzaiwU&;BlUC6Y>-Vh^BP{ZG=eT9=$Es-a`Otv
zl49WlGrwA7+nGumCb0y!o!NV2nUrly<I3RWv$D>}=x|PGIPw2?{eOQAo)2@u0T8L2
zV3n9~Z%^f>%Fk($TQUN#rJdXD*}$@Cu0ut|jwyPvR#yvS_EZFVP1TzE_VE*jJ)q&-
z_YvvmE<ul~dD`RAz;eg`K*^y4pxY`y>k1MNx9yxSR;|J)RAAM}$jo+PmT9(%me#3D
zWeyGv3#OfDV&$H5PhMK3@d`(P#DnT)mNTGswB_~Q#cGT~24aktIhnU+T@`ZSy|O<3
zeuRppl#oKBkD!NcR>MW6nTJl!-UOO$6MOJ6Oz4CgD4GQAKrQPJ5=_g4*cL5b92!wr
zQ?uu|4uiTAlZrx;tm%Oa#(m10x*1v<-zc50^Hj(NHEVn2--8#33K&c^*iiSk3cTy~
z&W?$z-I-J#II`p{W|Po;;MkqLXk~ze!NsJ)6)Fj?4Tl)+^MMMo38f&rK=-qNngsmz
ze+*t5r*o?~FtsUtxa6&W^3Bc7sTUSF8WlhDaqjJH{>aB9!nP-QuBG>R(2Bp$*QOXN
zF$xvfGX`@qgZ64KbZ+<Bo_F_-f0ykf2F@K*8stE3o}QHUI1{`giXElnlb{2#oJ(9!
zN8NAEghxlarMs2fotab=K5l=1>g#OITgj7-sNb1t@iyJ2{eAwuU(bI>cY01LdB5+@
z?(f^He0Kdm|NZ-w@BeL{otf!e^t8gk_Su=A4d3>D+x&0uw;A^NdLPeDkLG%EUU#3}
z&ZGXn<?J6<->=!Z#Dh`(t8p)j5vbSU*7>nY$bbzrRUg6L*Tmv+;0}wAGLMW^iAUA<
zce=;><;~3t`{uFu_&f*-*%Q<4IpMY8c2zAi$91mR@wXoHzJ1-u?!xu+C+KL5qwMjO
zMV@grnfF&e-}3%r4WGr|S)2Kn$J=JvKi}}{=e_?&ntoTbL@elLzxBBG?emr+yC)T>
zd*(e3{Jm>&ZT{b4pO~hM>7Emt?1UE7vi|!2IbunGoo3VtcQ3Xdvnp6EO2R?QX1#Xh
z%w~c1M>nMkDKNfQOpr=Ucz0*#rsU&%^RnOBzV|(6BF&jF-CoHk?$G+9o)&V-k5!z6
zS^t<G-@jp-a=b(Jm(%Z+S!+LgIllefw9n3q#h^<yE6FjZrs+tQl4DNIq9d92?_b%M
zmm$b|@m8?_yBGT}&y^1GnxR+xo3^;S$XwVno1vBQeO&#yd&r%%#{veu1`Yf<rrFm-
zL^KvpWZ<-z&LH!Dv!G#-m5tju*CSbq|I3#~B-(R+IKTewmfv21_3>}3<4?}jUS4c{
zuI<`8wY!&<WFM(|{oW<F*ww-1{-hJqg;8Bjd7APe0b0|vR7AJvbFi7b2b~?V``YZy
zUu8fCG^FcV3JWPTzEMt)N?Z`Px9Zc+=kvWEAM4%RFIKI_$ge0+Wf^uZL+QZEZbP9}
zoJ@96_v!)?6gA_vEcg}?@Q3@!=Ls{d?0R3!-o90%Gh%0vZdi5C#Y-ZSR4i6Z2+@l5
za57n_u*1dixb)+x%Ru8cQ%)aqoZJA~RRyjOH~Ae9IppBv)b!)$PtT;JMboC|{F6Ps
zMqfHwp|MBMVd4J8&(~$g2NpfaneycPboV9`K?iZBKcAkTjcAT5D7~}RMO7r`T1%7n
zt;cIytb-QUG}uHaI#lZ{;!?U~)6LMzcs|Pi+_7rVTEux<pZRz;u*A3@5IMw<#oZ%g
z8C3T6)>A8?oS7^(Jq{%?i-Z`FHjJ6A=$N7%c|%*7Y16Jf9U2_FOPtTS$4uXF`eM-5
z7{Qe*J6Qy{{`}QglQMO^;5+k41_Dx2TA=gJrpMRaR5bZvFDWXjdUtnu`HQMJ7p8fj
z5qR~rYR{dRR3^l-_$c!D%(V(VH^)->@^b(4dVX)Fv-mhC+&{Vc)A5hmQL`Mjgy;w>
zq<V{8bb7??J@3vjO}-4N87q0doV%-{%lf#jB~$6(%<jObU5)!qR)od83e`;ewzBf;
zk6sm?GxP1^|K69juiN9C{pr=}^<JyP);{@oT;BiluTEk0Q~&<{W@WAA7TYpkt{Bu_
z*;W4GfupCF*CaXHsuQ4P6>s0&+Z*jQT~BwZ*VLknoST(_+w<-|Ict9ZOo@~d%Pdd{
z?r;BB<>%+;=g+O&@ZJPeYTs@KRY8!LyuYt@Y3%N@SM!Z~Sv(X}8AbT+Ebe6dZ5p^>
z$uy;ajX4WK`1q#CSU6937R2=Z|G(&eT)k5+KUaP_X<FHb32J;MS6rI7qH39D?c}&2
z%yz6tQu*M)gGMPQ1h)IJ_4&az3Ej7?{&oViVi7d@daml`rqt9A4-SF`n!gr<MxBrK
zNCs_8I%;yfvsxhX!&&qD9sT|OUD<^`FO1LID1%OJ{P*{__dJ`*C-?SNKYIQ9yy1M0
zx36z(Tny@9^eUQy`h7mL&3wbwL@bnzoMkI$I$b}0+VXi-Uf0&eW=rmWTX9+XInwgQ
z?d$BVPfLI%g&rSW4esm9F$Qxmhpq|<{68c6%8G-bDvUxKls?>k$Z_*qddr=wSsWo+
z(LP$!xkHY#U)t2p(C7X~TPMh`HTJ4s!3jm(*DRi!XF1+9miRU+5p=Z0{aG)XWuJe)
zSM4uX^<p8<_USjJA9Ni4d2C%RXwvM-feQ<rQ$c&37P)etoT%L1(%Pzd5Hy2Q^yNjM
zq-oZaHIbX$_D-92cW-sL<*#opjCZydKll6j<8lAf+xh#q-oAEkZ*}UO9fg~+u4?VR
z3o5zAbfcCm5?U3ydfM8Fb)c!grQXv|iO1JWOj^^|_xZBFedwBb_5XgJ(Nlk4%*@Ee
zoY!zh&!d6GW?sX?*2e7X>sHE6c<~~mKc2^L0*j1dfwrROwttd()_krW9~tad3I+vv
zi)*|&v3Ad~UY2v&Upe~b?NkY0^k_-tT7}He<x`$~Pqb>6p002>_9hoIXh{!bKhyGU
zuX)w04>qyBnl;-zUn~8`*X!~AVrlhnZWu=HtJ!%#vbVD{Q1K6JCzD~)^t!vdN>}w3
zKR@Rg6Eo-5!()EtGPN#T3Tx!~cH92`^?LnO<36Rmpp|r|rfPF5$$kl_7kT&g!op@D
zmV|;ua@B7(rufQQ7P-XLd^{?0cDiP;+ccfXMMpXtnc0_B{C(IiALhjP=FiX1T3;Do
z@)VrX4q5Twn+Nx6#wO_>icE)Y85x}uRCZf&f+x=><;V4tYs~{B3bgae+?Z4zEM(!)
z=Xr2g$c{(xPQM$|Js$<5U)yr-$?IA3w`V6g6s`>1xP(RQ+vhZuWizUu^jcU}{hFjE
z6f<$zRxi)jyTCiv`<hJ-C*9;?zF+%&E@-tUXv%T-VRskCvR4m#_J6yTEhHeYpy<2c
zs{UIR25<J9pK0uFIsNVJ?cs_4_d<^6ShTUZU#8;8*TsGeO2QwcKK%&fXZQx1*V&YM
zdJ02g{&LU?+X+gpT^h$_-zHDD4qq1o8n#iF-afBrfxFU;*&<593Q9}Dj(nIJ9v8Tk
zr|R3AnXe|jnkbfhyiZidbWUtr(@{l5MIi}^8LxgRDygh3T*QzvT`%?t<FeG$>qb^r
z^jjI*4L&LfDKH9oOm2AC%2>0Zv%i0Pjl-j=Ne$ZtJ$6i1d0pOqa@t?<rEy;`eOjQ#
z@u9-;^Iq<PKMx-UPfz*(vHQ~p=hP+6i#I)*+%CxC7Udb8$gum}jjwJT`)!+<?X$1x
zJl!C!_s9PV-=SZMLJH=xf=mSw0T$vDSqwVvc=S5kGDy6;zpr-psl@Buw+{xcjoP~E
zRDb)yg~$DDUU4Uc%Wq{bX%^-?F1q{MF5!Q-^Y^d(w()X)ZbyuSUCj=u*?XrjyXe{c
zda*cbkH^E!rX3O<g$}nnygbed#5P>`y+uj)&0TKBC#UuIZ@I1WN_0uMz2f5cOV9Kf
zFaG<X>N@D`tg2&g8d*FJeCCvhlQ^)>b${L8OLyje_>=mGXKzdLoPGud1qM$S$B?N9
z+V+Y+nQogHw6(^taz&Kn$FtLqM+a7%+4DI6{>3AW+(nP>gl68~Fvlinf6wtVXWs~K
z6k~f(Q2zE-=-XY_*G6A|cjdtn&_<`o4qK-e8<YDpnlCPP_YMdM*rd!Z$l7p%X^F;y
z3G!uY?EidlUKziC-z(<7kN)3V;yHPWMMCb0_wCIwd#g$vr!dT^UShq#V3Ay7!|VD#
zAKiccd_G_IQS&{vMSKCy4<`HDZM2rZ8+dGQhs6d{4%v<g4l40cTX_t=>qc)&*|Cqo
zj4|)-uGI3JglTbqTYC2WH?lt0^X>5KSjc*h&Fn%7jOW!8DiRswSJnOhTdo<tZqD=d
zZ0R0M|CKj9zabjA+?5l0NXykAwtp)*{65PtO*DV>^uWi@MY_MHmE?r@NrmaZvz%=F
z(q~O8<C}Aj(=86JIFp}U@Zk2A%*8TaZ*9$vjyrbVJnv2bD|cZK!<>IsrLQ95>K}Eg
zPYLE%WGH2Rka1Y>y7SeG@4fZ+E|ICN?kmX2S+k12LBx7XckH+L`oE?#IX)a@mtSGU
zroaDB(Wb=Z<%gKEq!da(`<cqZ3qC$NTJ+#RV@S5_3-hJd`vdRErAaOitdOjf5n=1u
zV<jWaIiVqvLn2DT;auwBHr}U~mU^c>JXtu&VH(GsJ*DnpdA7<AujhF#p7k^$#K7C+
zY@u<N$U7?;wWUm-ywA5gFL9`IYfZU+Dw3P|hOb*keb(;RH{QCRWf1v$xi$OG`a>Ic
zd9WTRtk1o@E%YLD)k7<hi>@XNPCq|CJ+031v%P?!J;uCdf8k@d&^Z_8DbA6f$W!od
zq7?%p6O)yaKuPtdlj>7<TmT*S>igh_qsxQDm~T9_&(6#YzG}50T_XG9BG=1nF3i9A
zi)p#v+@MVHZ#<ct9cv1frIyV(C&PTZ2z2tqx#W}6JQ`T`OmA4&$|%#`^2hr%zwf=>
zA#-*v7rwF9m2=IVs;I0mt%aRT2j|(vo;%-m;N9VcQM^s3KUJ*my3}!z`R1p88^qWG
z1OzPK7Az}0yl&rDJ-bzR7e_p}>~F98@Zm$PKk`ZkcE8`ZI?qwjUSxms<t7cLC(o3p
z$RA>O^Xcj7RecPvm>)<uU14xo#Tdi^S`^_}!muv)&W?>;3=JO)>i^Y*t`HFYUi|#r
z+DmT)8XKmtN%(y_xRT+=|CjII&+k!|sgtSiC|o#?;oWvKiw7C}rEG6*y35xtIo2Y=
z*&(w%|9;rpgBRrH<SsGW^WhM;)+dHmAz9x4$4_!*+@2?@6>=i)id)(}l}Oq2v|mqb
z*Qq-s9{AdGlbLfu!*gMSEd~v-;(K3Adalo!{$edl!Jh}4e3z>{irQncI@-}oRQt(V
zjXibu9)>4B+0LA<x?;upFL}Y8$EA1ulwAluM<Uu?)Ney+q0O5j|9Li%vQOJ(DqNTy
zR4&X-+{5Q?ucPDRg}miSq2~N-bAQXDD`XoynlD@kc>3n>$zxkVJ3MxN*C@ELB2YP$
zN6`Aa0N1ZCFBf0p`|`~!|K6Hg&MM7o6?qvRgLXu8ac!{MB=A35k;9=vBYNAK*iRPE
z_)WzP^m<q?3To7FG*8%+e7rB^d!&5oi)TxHwpwrQxva70j1eCfb6TWk-((h#11DH`
zba^&>STOOvmCS5ojyd}wXTBX=%Cw|#Z9=-qNi&;<1Mk_LRdtlSewD9LOYIi_&>%T8
znQPl}p&7|n&Pl4eFuPbOTxxJ*N&|J4bF`0roW#H>F%gu$-b5|fWZ2ie2y_m^jq*>c
zbfW5GZkepnxT-bf!<LtYc9ALtf8M0t-12;j&FzJ=uB;By>0bM>%$=iRu|b0f`x1`@
z0`f{qL96v2aM;H=Gu?D;IOI?^F@3*^1JgX00~-!8IH_b`U8Op4;>3AJW)~|mx+dIR
zXK=I7!s(Xjx<gkO_o)Y|He6|nFP}T*nQ8Nq<m7p09=+P$WzNOCe($$gGIli)b0;+z
zRNLFzhbO1~R(VhYnpW$Z!aIq9vqF*aFc<TQnJ%UoGLK!98U2+Sn3~h|k9yvAn|f5g
zKF)uZ)bmG7OoiKpQV*}&zr<<6{4ZCygfGRoACPWkynZp|w8{fJCK0wf$7k72WZ<mO
z1DW>tc>nYrJ1jyqI^CG&IX(EDoBq_tWlc$DsB_V?6Av{nKeCDOc`z^RW9`{%i-m+j
z0_3t!UeOAFxXj>z)~1~4EHT~<hZ?{u$`lyiD<niDGPtWf)OmJqZ*}!?uYzfE{s~|6
z7Z&M!*`>y1qfuG({L7D@MNQ{a3!cBT^_8(uy4u|BaXszex!gHxHJL=%ez++x7K3)6
zohyC3!n1*;#}yQwTzrrIJUca2Te@4q-I-~oLc=U3cE8x*iA+B~so7iG2%r5ZrmtFH
z{c)WT`>Qanl(UTDd)RG!B@TRSTyZ(MR%fB<HtX_tCzj8z(_&`l3puWP$>YEp&<tnk
zQ;R$y1x95-gCzzH{6+#S0lG8Yn9|f6G#XuB`+L0SD?Mr+FSpsh{qgxUro!#-Pi*?i
zz9hbVl~z^5GaIILB5c>z#cIdbd~DtG|KIPR?Rl}goA2$d-abVyHp*+N*3&7T4_g|=
zbfZoP``aXL-)sN>Pw-r~4OL&WN{t%LrTQ{-A~!7o6$Ufs?K8f0qU8CWK*0qD2h5p3
zE2>iFHYm0>#@GFHy()HNOJ?x3^b=wp3eub?ho5G?JCXW0s3PQgy1v`VKIZh)FUDV0
z_xtPh&x$VdJ2uat;K6}L5%(XVtHXTL&d!>;aADoIo9Ua1o_fu+tKD^FMWFK0y_}q!
zmo_H5gU&sBup+K{TmApKrwpa9u6X|YJpccaoAp6Kld|%U^+>*waH{@xH9Y=gr?C2y
z!~FJVF2vZpOj@GTlsQ?=_l=*nnws0OUTO7+&x+1%H}<OSHM8lQ9{AiT@xTi0!-b$J
z_fC+1`t5#swA%mszW=|euy@~7mLP!{yML|t@#BZb;;4g5oSFPoH~bD*abTv*CDWyo
zUta0kvtr{GCAMXLbG14<J3k$h&QF=;QB$+$$FE<v_SV0@xAzod?AENQcdrY6`+ukS
zyeFt)T)+Qc(DH9fQr-LIrUou{I|&*zbk}P2D-20o?A9A%E1$n*wt2o+znrbe;+mhI
zRGkH+rL{XgMeM8D>Ei0jd2N5(-YQLlrB~a`jn1yK43;>d_vy`a7LNmyIV6H4UfkZk
z-sabf#h2E{+xsV--B}^oDBG@-a((0KOM6Rw^=Dfyf33%4pJS!dn=LX~Y-`h<t4rO#
zPo8Gb@ZbH{f3<9m0=b6~aXSheAMCllE;jmJ&1YZDm>nB-<=ohi2wDO7wf6P3wNLkc
zzvq4I!<m`JTF38SldJoYs4DWpEd88}s*%zy2Eo#Th_$?nnCIQzl-eD$tHiU@4K)A9
zDXbQu=ltdH_WO0(?tL<X7W=+$y{z}_+}!MyPd6tVWO58)de(Hk_}LjvfmdIzv==`=
z2Rd1T<?VvnGhg3szb{r_U+*pdpwUiANl7LD-X73xpOSVpJDz^k4qtcT%uM4@X^YAg
z6(1ip1$Ug9uK)hqcd=`%#s7Xh?hpR5Hs8m=Lejcy%>$0qGsmx8$~yd3_|qdkO`eL6
zkBVP`RthR7cqA@JJ3DJr#ziI2c?Or&PPKU)xXW_yXVLU6xgn8FOx!znXMcOH%A%x{
zocj3PWTU)-Wc`^NvzO1DWgs9ct9y5M`E<~cf=tZJlX;UaEO7KXk#~RJ-h}TGeHB+%
zg$6H??~yQck}}Vm^XlCn?e%+<)<$g=nsXvK`{BcfO*Ul~SANJTz6IS!_;l0h>H6Bw
zrFVXEZs!vfdGD&*{OaoJXuofb%zH{+22J(mPyhSt>+4rh7N0sa4y?2Ib?ltQoRT*S
z7N7Iqm~`~YYv|UhRVHj4Dm#mxi@C@+9H{tqGyQ5r+1p#Hpzh=LdsU!=7g+8Hq&B`U
zWqusBHA|DP^3xMR4&@*5^P@tVwZm;pOhPynB>c15OxtfXi3n6b^N?OQhxztD&>1WH
zghA5}3a?ps)Oj8_vpDI#zqj}G)}s?P?OT(hxSKQQR(W9G-2Q3qv%^lmTezW~U;V@B
zbB}}8&e^}Ea>bVR^H;uJ_V$&yv8Qr#!g0{%I6pIvH<v)OewKF%P9=iQ;CxW^I-vf|
z8Rh%(ew+9HV){|P{5tc7av^>t0nl7lV+No5Ht*?rO;I)-3JnKux?gm%cv2<k*m6R7
z6N3_~Oqqz}9NX$`Lc9s~|9&ifb7yC;E6V|cv<~(ag$dab@$>C!r)*#}bl48+B@`5F
zuzDugHjA~xVuo4nth+}+m#HrGoo)82)I~bwir;*@xjMfN{Q7sWnSFWHFDJL(8~4fG
zF*ttZ6?e+g+<UKWK-J#yNxGnlN}usCCv)|;H-Yj+A09TjF{P<2sQot8u()n|-`Ulk
z9~+p`<}e-4QDO>Tly_>E?D5n;#l9xXFSsz9KL`jGX4}wR_x_&k*5th0AN8!qtB!Vw
zPFpURB`+p6O(*rilarG_J?hq9rChP`a$fe0UU%Du(%09P-mtvCJ%2vvY~r9C0VU=j
zp$TRU1~qeZ7}#rXZb}W>kl=XL#Oa?PZ^MW3H%A_qFm-TUJEt4OAP~rKkdZB2ws=d~
zpRa|FZa6P7P55q8|L>31RfgR!1Y87;F6id<NOVYHS;X^T#qHaj?LQhe+JC(gtmUM*
zbr$!xdvYFE9Sj|++jidO{(An@Z5}7L?Rj@Yv>NyRVv5Y1{oM+*rTO`%lx!6TrhA?T
z3Jx)RlKrU2A}^PrlHkS!y6Rx!g^bE2g`&oM_cfFGtjnYH;<=`~`9@nVwKbb$))-%B
zuetTqZ}09~YfL9h{qB`@h~X9Y0zL+rqU^)}wQbMD*URy7m0bf})UZ?J5ToDCpMO4|
zf6JHu@zGJO=l9I6%PKK&@y)X;)jC>xWA1T3vwz`o)@44RliPQ)@!7a=+q9d3rXjDI
zYrQ`F;6vHlTcK+H^KvTr`fOa7VzRRZtf%QjCTU)1|HXOZqkD+c(XRjV_kM2Z-u3VQ
z5AE#_++-{cG#azNYpq@%li64FJ-B#jWS`O2Gh5Egd^Rt2mi700D=mXULPU--YAsmM
z(U9@~{p-X3I|?~Y+%0_kx6bBWVa4~qb;mvzmwvCTy|=hx&FP($>Hm4yRg7ypc`fDp
z+MSqe4NIEbysn*-_-=IO;p2XLKZE@ZhQFqm-S2DQV9r18`%Z|-$${gDN<!HohQ~UR
z_fPyk+0Du5t@7cs8^4I=6!vwk#nF#GJnp^uf1&)d^S|WO``)y_x0PRVQD~B-xMtg}
z(%B2{t1C}Dtyp%(R5~MU_ZlnCJ3F3l2{kbI?pbk{^T68O{U1EpJxgXz+ImTy=fx*(
z0~d$s0Rh+9{U1pt-``hT{Z+{Sj-=251E#xbbJnXrDO`}<{*(#S=`dSp*el1j#^J#G
zqce^LFy;NKe6;>{f^p;gb87zcdYoFhR<i6{xNu>{y*-xGbfd*&U!OnH06G9fj>pGf
z1$XCy>x_E^9!Z(MKjS$eqVvd%?|~c(Ee_Oul|0JX*MG?U?ygedSTin}FGde)9!0H<
z+8T1m-8nkxCo9k0`CGn!V{wtFs4gp7E+D|<<luhbO$+0{6N|c)Co6YyGI}cud<-f+
zI=5P++3LF6u98fh+#es0y?$OT{&seV^M%O{Cb>7|mfl~xz-WEEO}*6eKKWzs9#0Qk
zFu{AzZx;!Jx0l@A_8r?(dfc#fgSF8T|Lj}m)~?t-;}C=Sx;s5`b~O=4?|!~A=i~2P
zDwPu_PSg^-|L5oD#pZYB&6}siHc#)*or2dr%YT9Tm6mLmmU@fp&uMtbaYpvQ1O_fa
z{kT024-d752HAJ<?E1sH>s!UG^C>N$q1Byi6}+F>3xdzbFuqCu@Zg}<f5zT<40mic
zI~`i=-hbt*&DQ6~rXQ1GIdf?J@A5mUPxnYDIW#uSW8!H~xMUlqTu@pXI^B570*6MX
ztUDrt5=jnmC5M-@vamcj)XJ@OQNh)@%_HE9f_;^pN?HuJn#YxNo_r5K52vKszy}K_
zZrr@tct*mc*XvH-pK#;vr+qIuI}8%4o?TijAi#7D9JupNd}vY0dzSv%S>Je*8`Jak
zzaM{KwcoNTTXyTy<$k}Iyr20Ve`I<)aJ%E?2=8wu792M>{SRNJ^K7;f&y0VU_x?SR
zdS&$l`8?G})de%^Os3sT_DO6|zgy_PduDI^^bG&)k=IhcKJTe~<R|`bha%%0k>C$$
z>ln++zgMVozrV9{@hXmr>T2!vEufZAW5ew4JD&31<kwa)5NGhewKH*^aeANC-{l)O
zF=}&N_}usLqe<+FgL|vLPtcFIo9Z#)AuqR>PJk1uD{GjUgneC26Sw=p#FLX$wMv>c
z{yC$4;BsB8rgN9Hi2V%ahWqzL7-ob!imN#UHr(SC5z){Ia9EHqQ6!%+xNg>oIf<f$
zy^jL2ri7S0y`#HRUyx0w@@vW)CkKuh+>D!r*nTYTFr4FaM@5ZAXX1fdf3Hupn=E@u
zwEt8<-b=Fwi_3nzzTp`2;oAHU+Ry%Ih=geVeD<NUGq~RE`n*8NGo{;dFTao2S;4n1
ze(##p$n!m?u4X4+SpGO*+ni{d8lLPM8^7|;u`QJ`UstVk;J;#1vz+qUZ97lC58;^o
z{a^2zoBy==Px@Z|b$?pY-SyrDcRx?s)fdNK%iia*I4xJFwlb;r{^8U74-*%@xV57t
z^z7*glM~<A3v-mcy5gC?ne(ZH!4rukx{3-cJpK+XjE9Y<inTTfY_MfYQ{@3|THKla
z@8|RR+qMfCC9hU6sNc-qC3>z~iB)DzlAZ8zo}=qeKTCZ5uUI40>|xaXId4u+7re12
zZ|bb?XI92%o1QYxlKyj}aQ~ez;<|BKvwF`dH_rab<{S06@`Q2D{XJqLlFxJ^m+uvO
z%C&a0?(6!aD-POD%?MaqR_n{7v7)8HW9^(-@Bi-pQSxQUi|qK!b@%3fdD(LKcljdM
z+us8>7L~Tx@gKa#n{5*KkW0;Xc00dam+a--lig*n>lE_tRdzJKRXOkA^x7l*L(I;i
zm6z&l4)$vcbQmO@{LgygM()f84(4rpei@a6eC~Q+O$*~LpG|Q^XH>k}X06zw%;Mvi
z@aom(6~Q|#K0P^=)b+0C9=rdG+oo%mC1oG?o7MMht@SbO<;(H~JULZ=yney>rDnh8
ztSve1mfhD~w@XWW;FueAB}nPuy~*JdZL7~!9BSBip>4<GA3vAp8|EJ?k66^|cxA=s
zc(Y0_iP+oM4(I0U%lv)b_pB^8y`*p1iw74U3&>bkGsk~fk<;}~XH)+S!$*94d=HvC
zf0ZybaxjbSTw|1>xIie(*u>3;gM(2~f#u%3hDc84HJN9G{C}O2-uqYNsh?tk6;rbK
zJcsAY@;02GbFgHt)XwGZ{56J|cMRU#-6+{Qr+d-&|7{Bov+upPW1(M{fx(*p>^C+i
z3xE4pD$2?&-f7BRC0Ap&#ayk%Ui@wqug5ln0|HG^Pq`M9?L8)8`>?&D#q9q^F8dl|
zHf{4a&b((oACP+UvztTbU#<AAUC#qAC-RsZU%nhsKCkf2wtu_!Jt;I~ZQ5J?eH9l|
zl9K~RgczeBn@;xLlr5k<qz=kMGw#kvWLfI<_jy*%yc;PYP7d~sipG428Rq4yk324Z
z-8PLoLC<Vm>_QjDyj!!kb!lA|yFN*Y$7DrROlsc!@=sBFe#`&5+rE3Y@vYK-0+-YN
zhrja?_nI){_<^^xuV36!Hq+($!}gupAL5q3>x@g+6t6KVvbn@EC-m^!i;LT<E`+U*
zTPwHiUzJnj)0sZ&b8c?ZI=!ERdD^GU>4jX4|2aAg6y~!jDzLOToZ_6(YXG{}$w+du
z&*T{b8$y__y)YCg*Nxa!@MihnjrHH>NBsKjSE}{j>`+7W$2l62%Vg^ASE=0lYx7Y*
zRzdldSx%qp{(sYpRaPE4aP)J1_CpTSiz~D9P4ZXUUzD-d4P2%3>8XCkO8M;(kCz=k
zFl%mo@*nrWg)C?O%u{rp@|?@t$H?dOwfKH*o+G^K69Sl4|L}KIOKfIwk=St1b-E@u
zqhdk<Q<^f*hBJcMI`b`yk3E<?g{`$=B}YVbUt`OTy%mC8yYlq34*x&(wfb@FwL^R7
zge58nn`MSF2^m%KY%u%rFZlZ7*h3#$twg!^Z#{k>RzBZkRUA{URmssWm4TdsYwxNY
zcX*_|Mwl()^E^(DndjBgn4ARJgwi#_IT(vo6b?05uIuXY<ltcRR!%TG#PC{K-nL5Q
z^mP60CT&J>iUPg|Zmkm9lbLzjbY)Y=2aY!uMTYlMWoLyR-@N>wR=tCh*`LSjZtSg9
zE|cHibV%=LQtY;${a1g~c<9&s{VP-Q-qhgN-X&E$7alh(<an5<zu|d{dXc3?)ZgVH
ztAtec9T8#knx-S^FL2>*rcWYhG4~Andb@o;pGoi7c~t-CNy7kz<q3fc92$?PCqE3o
zv#(ZqZ`IdBeX`aG>EFvZ9U@O=TwfPEspQ-td+s)geH_ef3p8>$7!?y<vz&30kT6VQ
z`SkpJf8b)bo4;nA_@g@mQq(V&J+<@M_6L!F%uD{e^FNrO9=~U2%>F9Lx3fcB_a4(V
z$iLZE@xEW;2DA0HHNp27Sh;q-NG<&IL$T({M#ER;d7VE`n=9q+DtToUrdqK-HCI*V
zO>$_H%+5)=JRjJ?RA-pxGD(@`_Ri$Ko*~CGb8V8Z(5#mB>GRcES{7wL77DXHKgB2S
z@2{^Py+n@X_|G>e`1hxhN7_s$Z2MC0>3-kRO3ux(ys@KD`PsR-t3OE|*}7qa!Iq4R
zOrSHDJCz^bdU<*I#-gWQcXkvqPmI#^i@Ur%KmN+PSZh^}pto^(FHR;uJk-kBmw10)
z?F`FeHM5)>49^-nvV)iTTwFCR<@f@}=Ac};OB*W%1QHL}Tyu0&WNB$g6*iDgT#$Kr
z*{VA{^%9_FnQFnmUG{wOADmb3`dI%X`qrF^SAOMxcqEM$O{;c(q8$)0Rd-L}UE$sT
z?=+Z1JvwmTOg`u#OX1rSjj=DTuQmPjq}XY5nCt}AY%_z(L`~i02O1KS7wP}6d-OcQ
z>c6^(ZX6fytZj$7FFe1ov((mUp6$^!uKO1ke%`immfx!54EbGGl3uZY$>Hy7eZ<Df
zaeU8D!Cy8k;rj6ohtqN|yncK`+Ri(A@r=iJ>z)|B3O&KUUFh0-*~B%aRg;f1OcrWu
zZ%_X6;$r^Yzn`C<KWM;Kd(2>$=VZ0S*Vop5ytl9Nvl<(pOov_VuLF1Q#<o8A@#DvV
zqeoePemW}t?p{@c+MdF1Z*FScdGWa4zVG_$qut^gv#x5*u`cHmNz_>OV)MPd)!Kmz
zvaYUDli694+Q;G|VQ@Tjq9G@vVnQY;#VvUKB)<Od((g--Tv~9dB6qsOk<;N4mftnl
zO4s$4yu1`))1k~>p8R{+R*U*)`ZiY{{fpn%<8ZHrHDI$JbB)cD;vFKTz6E_e>=7Fa
z#PTYuA1<Bt=iJha!aw;hGPb<Ep?fc_{_3QiA9j_w&V3cWDoVK7PPV;BboG&JYs*6n
z`E_4kiJGm6Z0mg;_UN0hJ`20;HJ7e88gZLU?BxDfN||J)e#~CtGU3Ga8Qb4kEaNY8
zZ~KzDHpy?LVW&AKvwZCr!CmF=`_j(NIw~dQ%(5-__A)Ogqm#+4T%rbLZz7(YnHd~=
z{EnrZoZPGTY`jtlkB)S1eD{3WeCazo!uHqIs)}5Ap;_?o5UbC8yI6g(wnrQ1zTfv-
z@7LeL$H!J~;Ox77|Gs>D-A~oLyStV;iT?Pt(78QePetLMFPHtb0++7rjcfW+(ec{$
z;HFt;{$5z<%r0YD#Nyg52AZ9G#M^)9Y4!WP%lGgFCI4CWO!e<xP;1~5ry!`mwPa31
zBnR`Ki-&|-8yg!n=TF?Cz!Eg`z<FugfF%KPJH*b-J*7PHU2bc`^|=gpcKzm<U!sw&
z9c*^WMp%fgsj2CT+LVPHYvu`GuITdL*btY0ex5D!-P=EF#mxUSNI#S^&00|W{9M&>
zyOI|HS5}Af%UYN9e78$}d3{~%>Y@)Hrr56ImA8{I&A#R`T`zXg{jAH&dQYVXY)o<$
zZTI(Q=@Ob>`)#I>{tr9zJKbWsPMt!_`0W!jA6;7;t*x(8`|bVy|BG^OZ<}F|$fOnC
zw`z;vWr-@shYfd*wlr`sf06`sm^dK*W9OGUay`C&?Y<{RTN;)MTT~qsm)qWSVM&^&
z_x!G&`%WBhEDL#`c0X&VW>x2w+*rwHteDtvKk$yj|8KYRH?p0(&=9sZD)H<rQ!RJC
z+|SR>KKQyh?QGY+Y0Gc(On&@w&%QrQI;tnXKR-KrdHLjXbC_&g)9V;_ox8p&R6FeU
z+1cjov7a?PE=Z&~9Bk_RD>2)?^i{}{lat*m)xNyA$Sk4=I>*`UnF!CO)YH>mG+n4}
ztmJzn<*aN`-)1gx=>_9K1LnIjJU6#(Nv#FddizYLN`i7s6;qlbkAddo<;$1<3Iw&p
z=QfmGXw?`0+bUM-rM%ub%zJ)c&;2u;Has<LbC276%>6&>*2~p1`LCU6pFY9hz#k?a
z?}wSyK9AjI&dyUie);C5rQTPM*`%E~06O=wZJzq~Uw7_F2A@B&+q(Q+&11INl^ipA
z`e#=?vwDy=CzoH+$mQ<ru+zUCAE(W)eYr1qcj;@;si3PaFjm<a{AsNH_vy60*3HDA
zHzy~nYrRa2@m{XVd$rY9W$D}{anA2~+N@Qk#4D_Pd!lrkqeBbh?TGZ5O9cd&j;SVy
z9Xim!$Q-aP#!|FfQ;lU!<AKKF?+;!Iw=CQ06@9oQg)hw~VS8BLMxTc=84)`dNcLYc
zYMVB};DAN#yluwr4nEO)Dh%J<-@o4GUdb_DE@!r+U0;JOED9bl)cyOJzE>e^eH?F?
zy@y7-!<pIh>}tEdy}i9UTT<<S0dwAh<SLB|yBiew=bV3hygyWxZQh>$f4|??l4-Q$
z6w`@lm@q*=Rbs|sLv^Of+OJOQC-gm@YPeL7QIIWY`u;C^EG-SC0tVcP3;gC<&9JH5
zq-J8m0$U5vwO&M+>6%l*pGlLW!v0SxDDt`Edp+uz&SwK%#`ymUp+ZGL_obG-z2Q}T
z89Fkd@b09=XAT2T1@l)XRiXwe4YNzqE?<vyl4IZXeWr;)`MWz+#$S%Rp54IwjQv5m
zTJrm^ox-3Yht9vCvw9Cn&6wYCkI}GKjz^|^vYM|@tZx^;i0~Il+kagmnv*i5PwrIM
z_=o9aruVw7yi7_wH;!4I)@f}JFwkS%EWq|7`Sj`2-hT~*KyH3;!!`a-=}*C1rc+u9
zu5DWO%&gy+U2jp^%srE$4>)iO2TMG7Hf1LxXv5x}w~|T+Tq<`(RPDODJ?Ey-=jZ3$
zK|{A35<<sa8f@lX-}&`(>AXwxtxCO~N-TI?d#8Y@P5FXw!kHO{3j{tsI?BCc*REC9
zC4?OKS)MU|`26|srqt6RtSn(#3D!J{4{q#mOiyw;_WS?8-|t`De|ma4yDR52$pr!n
zjKlmFG#s46S^4>y?-V&(ZVR>AWAER#2yv+0DwxB4V)mWAd-po}JE>_rnZq-8zrxPP
zlU|>l-@w8AY`>e4lcEC4nfVT@xS4y7E;-In|DuDFF<W`VkMpNrwda2Qq<78Cbf&+S
zutn)dvl%n@#?Rig(njd@OS@$T2Y4;y4SSz*RrdO^BrIh-_WHHiI-8m4_ZoL7GBPqU
z{&=-|eTW?cq+cJos9%(2hvKq;d#l6sr%FutpEj>yb@+NE6O)j=;d`q}r$z**FKKUY
zU;XVy{xqG)3+rO7L#Ivf6kHv;dYO~Li@x~XWp7`s-0(&5ce2cWOUctVa@J)!*Cr%Q
z%QacP@l?c_ZjfiT${9&1DzNBG26@KjZGzF#ySvMy)f^?a3REyRUfAH_6IshvdZ%kq
zh9i5TDhrR(f!}coeRIDbn;{pPFkN%5<E<%f3(Wr7B#H|&IUU%<(P5Af`C4_cD3j9x
z8_p%W3>>a|&6^e=BOuIl&EvtTx5p#4zSi1hQr=wqjOUt#>&(fVoGp7g4(y73{xR`$
zkjCRlr(0~J>%*0JBK}LA=2<(rfrI(l&UHrGiV7?~-U?S+8~^?Nz1VB2*2Q--m(AVx
zMGdr&^1G(|oSk9~W?xS$6(9Su>}5#RMk^-$L-9$k7kaM<x+HjZwRQB}KS9+_N<2%x
zae4fAdhnK`!{9^NohN$Wo{A6CN<AJASEip1x40VZ`|q>uWskaEEiQS<{i?LMFq5aM
zz{gLb+hq5(7`YZL^wpid<eu7f)#e5c=93Mo)43Rpl@ty&Nb0unxN>kXcB@W!b*Q1a
zx%t$SIqLp$-K`qT;!K{Z8(wyp=l!y~dZcla$80s<p!~_NbLJe-){XwX?eO%#Gn&%3
zuIpw!Ht8|16=dr<9u_6WbPUu(FnsBC+sT3B23KQ<C|gZ!ZQ#lvRhx<r2f`{&+q5#6
z$?Rjyzb|02E|4kmjS-ii;s-Y-#f1-VRDY7{dV0RA?`t==uCkCo;(?BWrJ0HeE=)>1
z5`ybYbXi&&&I)*hCMNv<_xH!Q+xdkb9yrcs)DsqEQrYl%34dSZk42unlQbr%?{*Mm
zs^Yv;FS5p^(dy}(3ya#Gh!}i3?$W}TEIjR7YeOL@wq@2`S|uRBw9Qo^w6$?(@$*Ng
zrfRS9+dM;HgDF$`dfQ8@L@O30ZhfQ?YchYWZ~U{_9E|?&*)0lwwT0C#FwvSmB|tm4
zCZR`{=g!`0ar^&&jP+u6G@Ll$u_gOD-~5_SowK4UDlF{&{YZXte!l$u`v0|SURG6B
zF3i8b@8bH8)}QZ|-|uZ#QBq=Z?~`fVz1!L-ZA(nP%DKh9v(0X7O64wldyDm4{goAg
zY=1dsEaGqGi;${TW)x(5!mPy7(jeer2@2RB%_~w)PLi-H$vBm+q|3p`ty1v(q4Am<
zFFDiI*{`g5kkn{AK~cK-Kxcj4;p6%Od!wefJ=_!7d$D!P%612*LkF&{joz4cR?4R8
z3&*;3>m+QeOk!dteZT)YTi4&EU(PmQbDHm$H#dbPjZzM*4qu;;pTFMi)030Jd;b6X
zy~@i!Cb8jrkjIYF*VBIOyR$Kw{nE1D`3Jsyd3pKAyWQ_MRDMqDj=SG2uAlVqQ0s$-
zjL)6Zc%@7_<^@MYNQmi1wd}3_uGQ8nWy)mU_I}T2zb~fG&(A;J#L8Xp`I)bUrR7Rf
z?bux<Uw(IfO}xmETkt`N=f;CYr>B65YzvUrrOa|V43m$6Hpa8fpJAfGqSNY-5utKT
z?n6`lf#NG=+FA=)r)?^5lTdeN@0<U<EjX{bB=ZH=Zl9Mu7rISm3NY3lI&fyD@xzA?
z7n)7mS^WIi9LwSZlk4+*CMT==%{lPp<>imZ<?9uEnL6E_ot-CY1}|f|AHToOcE`{8
zX1TNOt+QNK{rz3yqa&RsC1>4?-JN)tt>E+hz18JUT^;ixH@k7Bh%kY!p>7e%16>|t
zQ1ryZXRehfX#Vi}9Ggm^$H#gPFK}!QSnS5DY7}r~rhR?gmzeuk+HW#WWK{KXXlZm2
zJjv?hz`@bz!ntIXfrIFL`ywteof$72o-!#Wyk+r=t?cNHN;*`u?q|T>Io(_R!=H(_
zAJFW-{ozAZ1K-!K#Z@!+98wqMI3mi{Cu_~d#e90YzWX$t$SWOt_U^s7I$ZzF&CTw)
zkAydSPuE+?n-!xSvO=Kl@7M4-mc?w!Zap1!)lW}N<*ZWfk+l|kdV2bCcKI5C)s|dr
zo72ztU1vA<1})HBZ(sW><m}@Q4-a#2aRuGqUFd)D=f9oB&!>Di`1<A41H9X3&yG&I
zZ1Uo_Nc;=iiTd$&HD9lW|9Cb#f6?vb8#Wa_cGHR5V{z+tQ=(X}w7J{$b+L<CS*y}t
zJUdr9$0T!7l=0lXEBTxK+@y>-83oyVnj)<PnVb%s;#@MzKtMoXL5$H$J54o~76*~W
z^o4>y9zWo<srch0%swa3qTbIj`6<i0AGQZI`Q@LSo+|m?T%&JIndF+9W6!Mj?l|PI
zzwYl9wylwy(=IlriOxMY*LwAha%=0|D*kB?4m5%$HSSfvx83{wg7(AD=k3E2nG9ne
zm5LkBSy1}=n%4@Az(p>5%;NUdth}}Sy2Hgq8@;1GRZn}wa+>M$mbub-c|G!WH7ooc
zXEruAzPPbbIql4hMkUuSh2m#tUj3f=UFFHc2~SQ+zi_G&EO~K(5!~FjoYCKS$aTJ5
zt%&r#?O9i~Kr5U7o(*8xCodzjX5RMP+i43XdKf=*b7)~Kjuw>c7Z6~Y=Am%4rE#%)
z|D$gGeGGTCkG3=jbof5l^2~O_=PCR}&o?wjzdTfMMpCq0C(V}S&wQKXmFKNFIJjK9
zU!7Z_7RR)5-wwl8#$%r^_s*Staz^K$9gXQ6F-2xG?_3FvdEhp+vh<7OUUmub|Bw6a
zU)A2fd^uS4LGnK4TIo_XlO^m2zP-Kuc&~lpA(lJ)>*G5at@PWsW?x^$yXD;8c~={E
z+>G0pbToSH;bp$FH>8{t+WS4|j7FTz)1_uVcQ+=Aq`2lYaH;qlxv?qLyXNQ9=__J)
zZxiDDk<TT&r_Uz;{=T()SYM<nq|Ie<k=SsqbZR81;UC4cQjN#p+50OigE{|AFwkXt
z>B})gy|Fg^UDEkX`6*hH*r$4lH`S(D`kI}e>h){Iy!{;qEYI)WQT1AIR#>syW3ARn
zb(>={LzoO(8PkrWd*0ol_p9XV{<61HYX0-)JlSZ#DCgRCcXzpRtkvI2<sB=RXI$7_
z{+^|kxr<rn<LdJ}7N*}g#Bcvc;B{^r%a+{RW>#$T<CsJgB4issr+%xKGJoH5KYh=?
z+c!W12r`_%&Kx{=P$7=}OWK2%_N{z&!A}-69CkS-;`74v;Lde%d!t&t0%QtGN<!vI
zfKK80EV|i`Nr@-o`L0uIS{eilbQ?p2*?v53e%I5c=a>>zb7{7KfnDQ<4v#lhrR?qJ
z?p{68*c8Rr^yfrU`p%^%di>AWRC93hUN7SKk-6xA?UiEf4l&a?6E&Mv#7&l8?b77=
z^XatyhwtCR4c}QkK51|Mt|xPS^!B_-?dO93*#G|{oMWuGSlh;Y&l;}<=YPzNT<$mb
z#g3mlu7CL3C93`CFu#4zS20(Hyje4p8y}yaraRjzb2&S+|BcdPmWFQgH~xB-^~>ys
z{ogOb60=UcVhvv(7s_;IU+wRZtL##f`HJFF&VO(<d<|+4l&)KS#*2f4@v@MIX=1~2
zk)HcL8uu=3kyv7`nDCF~PjlRZ2S0yX6n?ZjC3d=d)6vh_ifn<L7mu8o-td32K+L{}
z?WfJ<7lrL)$_QA=AXA)TcAxR~hZ9L$%sQp+;$Jj_m$?WhN;=q0(~F(8Mz|m^Zsv*n
z8yga<UVi#A`+jGRb@;lNs`U%2ZSFogJw<cz_u6Mp50353y1HuPz4RjId*6S*-><%7
zlg-=wtE)sM;wG5qe-e(bs;t!f=s3S{@wwm{XNjLvb)&;_|7E@@KhVH<u~JmR&oJeL
zz|)r#?P{z3{C>ax$FJAxL(j2!ufLMOQFrZ58wc~XJ-dv|L5)9Gg{z>shfnSSXLcQ0
zHfzflWfq;845y{#{yn$ri~Zsn*RC_mV?z8Sky#I$j8;B)I=ikyLZZp)>D++zNsOBI
z8EvmciaiWl8K>=8yq&@PYEOUqrh}=cr>(T8ReSE;SEzjYNS)ziiDP@_>;3VaZ5BE^
zqKdV^*;`R@8R#IGN|7sTB7=9HKW_W?*-8uZ2Q>!s9lpJ}c~xyb=kJK44TqS#nV+AS
z$h>dgzEx-9zrMN}Dn3X1`N>yo`N<C-K3vt+X!qEnWcl~}%VlS(C9XO0bF>9-C}P^n
zQnAA!pIvIQ>Lg=U7A_T@-w98ZtGEq17tQzzYPD5e4@*;HX=!-Lv82o3z^}u979L11
zK3SGy_2klI0Rx%F$8!5-c|7QzK5_lSS991^=gLmh^?sy!g~yyJQ&X*RxnkmuXOH>y
z=ev8%*W-N^THuuHD<ZI&hpBM2kU^v@>zj@aj*t}rj#EWb)c!n?*#BIEBOpX0W=BEO
z_M+f(PwH*<E_Zi|`Pi6zb3<bDuKAA+{P_4ddF#<5HFqqEi_Zid(8-Nh6{1;swpZFb
zgpYC8t;;{^c9*>kc~&P?{BOf8r#ohojs1gTPETN*rnjf)DOb_+b8DSg9#ttDa4NAf
zKC@n6;lSUt{bQ5C(V8XvhXn-^4;1JuRaI1A$(io3ii7!2&~tvFyZs`!kLnwXGqou`
zcq`p=c^-e^yIaan&d=pN%5A-<rCa$@hTfK>W2zsbtncovyDe}2?#E&M2bx+_&opN(
z{k&*JaM|NBowd?)9AXj=2*`eDnLUrer%xRer05OT7RK0~Wq$%Tt>vG6=9&r1xvWPF
zu1(V4n*!D>V4gF#=-^RJb)zNIUCP^{8at*>-0}9eOSMhg_q`4x`ZJhAO$`@xsd05g
z>M=TVG284+&#^xJ;^JHTg@2f)d3(F*v7BiK^|LmM83}><Szzx4FZT<LY<WB_bW==^
zQITRo9ZQqbp~92a0&=$=uR5P>@%(h-p;;ae=GdzjrA&QNelm9Bf^_`{i=XoDSlr2I
z$KMoi%2NE4Xz797x0Kz*RtimwnfI)sHmH@cO(bT2UF>_2RiUew#e9lXJ#hH2bExEk
zX#3Sn9iSRO2Gj*;U%f?(rKKTP&_gt_p|dIL$_h}Y>b6+!%(Vg@s!S8t$36bE_PCeI
zjv{S=nZ~IBitImSHy`w@_V{`D2lwGmP5b`&KRn(pru0EchiPf7Ou)Vw%%{Xoww7dG
zUUDhRYx^;!2_cCJ8X6u`|6NX(G)`;TyLa!#HjTGjjEW0DA@OM=bbJjI&+p#7n_*K~
zwCR<@@|snbngj%xteCdT+Z;Xn`{0LN;%jyuW>*eARx-<BL8{^PBTQVZ+g=1Z^fPcV
z@|y}CxNa|z_;6wrhg;bWu`_*1teXz2#+&_Qh_q~6!o?ic=eSIrMW?TUgIR2Qictcn
zzdiwEqmFdR$49PDPESA2Gx5ZPmWH>2JLClxwKPf33Me?;zx=WO!x_fhMNc<4zmxe^
za6zudZ@yj`r>VEfSEEm&OwIF@AN-eZbX>?$A+)G6a7nxOznp@rE(~0ZtTIQx-WIxU
zu9_^CsG$1gNu1(@Di#+B58H2-HVX(aU2{J0<WR%@{q|ndbUKgs%SZFO&g>M}V8nFZ
zH1WXo|CSmOcWOnL?l(ODz+JQM=%sY0Z);{wxN0Z7X{EbcsNBT*^fL)|CwUJmD}DS@
zDG_1!;brmKW4pfn(J|pUc>a*UlD~8BAC&Xk`8H6)Urgl0T-VQ&Hni_m<LS6*#wf^^
zQ~fvf+ca;77RJ-D){;8~1el(ITyx;#<Kv-0%O<P)&*Ep5>=Y1S@>FstR8X+s>2Hod
z5MSN*E4n%(?}tvW$3%tTwl62vw5p|CnJ2kuf~NBSwSU)gvj4I6omD=;%vWlO@9+QT
zZ|%Q)<i~ey-Ll_TSJ#K~d|!S2e^&pB`p-YNetW;+!*q_zX8-hmtdW~|Yybc1WgH7)
z+hS%lOw^86J9}ACSY~r}efs&HJGYEo84VQ|^n*rWcM32~^8~p$#$0kM$jz<?o*X)`
zDfM*0iwlZtA~qh{<8dMb)P)mle6Amt#pEb4Z+{1iE#Hs#=?nD*7ukM3c-`r+(pKMp
z*)Cbu<!UZZ?%(<I`Mq_Vc){~ql2>wWx(oB&3q1Mv$DFY0XZK(J`~UvT%u9;hWxM99
ze*SO&X3@OLNBQ$D=L)gB*;?Pt+4w|AAW>oGktbD(338y>hyKzDfuQ-Wn=C$hJUx=e
zZc}qXOBquqPreA6SPWn~-Y3ia|3Bz__U3Kcy_}6pKnAQ_^2ACpAr54~`mYl%f()1m
zGN9zm4MF*u4~(G8qfV=+Ef!;PI-tSgH^-teaIstCL$@R+1yha=g9%bsmijABC}L9L
zSu$tg76nib)?lYWz(OZho%nq+d3kwG@t|pL0pACp6}3OzH3T|18?PuR9CFACm~PkV
zaEi0TU_wzFIG;Iz66D^!wsJNV4QnDdbJc=}LQEQim-{8&*pN7BMu?s8N;ij=#t>(H
zS?)#=L4iaC*B?*PK*}1F5`q#Ryt})5Mc`uag%dwo8w3QHHge3dtCezY<6&fFGE|%(
z1xo+CuP!YY@UR38cAg7}0_Xf0Arcl99~9JlW;7gb=jW~jndqRylzwi`!2^xVAHUzP
z=ay8Qpas$``sUI~0S`lv?w$ZCi0*622^SZ+p7O0YIZ3rC4(#9V12;FPAMBOHZ|#-j
z2i^Mn9QtG|Lp+TP!M6G^JwHF+{r<jM&;@9W$}B;kn@vDcI+G7Hv2yDaKQPJyDQuW2
zV6f6aVJX+yl&h%{P6v26V|ElU+E#x%V*me7a@*p`ikzU;1udXZ0ChJRU#lhrB`!!h
z+66jIaf;TKd*>&g@!{BG+So0w4{8pwfSd}BK(MF4YZH9j4=g$4Fij`&!Sm<pOSycv
z@4GZvV1oqHbiG)o>+514K75#Hm^`hK3)QA<g#@F-2lw_?uLxT!Ra0BLwsH0uM-C1~
zX5k$*KaF;kykz2H0WBl|TLE+6jJ}4GEsf6Ye2IU4e6%Qf((y7)-4;|q7_!*d+8(q~
z311hpafab(2XMTCeFP2$QvrjS1{*3qCe5)ZWb&S_x0Nl=h#j;t#OJ}QtE)5a@3RFZ
zIaCLN)>o(rv$^%l_2%5%l=$Yx#$~hTeo<j*X*epdqwcTOtu2|&+1J-ao|%!l0AUko
z)!G3mju}1@FRrW<wkm(OMh@(Y#vLqs_UyT^DpWf_K|mNZ5ryQj10^gzYCJo3?reO>
zGF3a=?CzT->YzHe?ZDaD<`>sQ8sFJbcsS{p4znn##%m4-QVxL<M#1ZAx=+r`yezfj
zqztGNVZfN5pKp?RiA99t2rTSi_8G`BPUc|lm$P-6X_P7;Ads+Yrl&t>#fC`Z?d|!C
z9h=#_97M3WP>E-bRVkNq8_&U)mzVpR8@~jt0q9nG@c40a)z?>zOpP-@o_7GHY-kEu
zrko&@m~eKM>5WaP+@RfZm(TiC2{AbxSi}JuHQSnf{n%XVa-FO@MiJm>g6mIs$>O8L
z<1^1jQc+QHhEZzQ($AAVf(j@Vrtk0WI-i|o>e%Q2T^fV%-(^9APJ;`e`Rx^f%zuA>
zH@|xZ5{_LB>(}eA3R~Ot`}_OoD?3s*pvBiE&KWKe88<d49_y8Eck7jkw9=Q{FCf6=
zru5+X^W~>z24RmfC7vBSc04%H$gB~yWyQ-hHE>D{WRbJ0>EII8dT_9rUCcC3at+*9
z;8596!sI5-7Pqga^UO?RWh0|Ymm*JsiXj6f#`^mDDZ0^OI{8|lwjPSMmmCtY5<AM?
zN=0qY<JH&KzkJpU9FUJVSXfvVl)SvOz(E1KrW-qp)zi++>AbtUe0E;grO5&UOk(a2
zK0ZEPP+IDmkg(urZ!DV*s6<9&Xq}l27h4-EKR-Ko_%QS5=jXSl_!*TcDzNnUJUBU7
zT_bFb1oqtGCe8-h-uvY2Z1Kfzy+(J}KtjLIp`gHE&fK|zA|fe;$<rCRQS+I%T0%+U
z0_S$V7uVKGTa~^_IXMTs@J^zUp?E?|Tic_rudi49`l1QSZ=l<+>i1WD<=R>F)M;nY
z)1C{PO*By*-_p<ra=q77t;CCqTvyrc1gA;`wZ`}R|I1BQ^KF_tcW#xXl9E%XVI}BN
zzyO2FPboFOUM^?yMM}Bg1fnctu*=|puzi?HK|z7RBa<bWpiDWD;q;78|9M3iA4Pq8
zb5nKA0U`0wV<;h*P{`7w#bYpYMt3*2gtZPhUM{#d$T1f`Ki3<&?83#1g3N3@0rxP=
zH;L&Dfvt`8|9-NA%IH>Z@g8gL%vjLyyqd%M#u-;RHl>_o;_7~RY3b${l_wwQb|3iv
z@4NkJsSQ1092|`qOrYbCrNC}ioWR87Cdy`(f3GL?^t8m&({wc@7Tp20pSKFnFw2#y
z`}5KLsi{ML<G$M8NvEc0UbD-cIe(_$0t18OV?4&vQ+eDuI2w;|7>M_BFn0z{`rZnv
zBJ$NhCn#Q96S<@Evs&8udAhH`NxDLrQC(gA$IqWDpEQ4wJ26FbvVbs?nySK~2G2t8
zbf*IepoW8lSEdIC2ctB|h=%`*^+Hw%xb;XR9_~ne<>bJj(t6-fE4N|EivZAp7oo}m
z!c5E56b?14?DVd8I$!`YfX6)(G*NLIWB@q1+}mqyRrV(1_LS6bAQL(r?(QnxSo^yy
z<?Jj|&+DgMoeoR@=~MB|^yZiWYEUmr)&*zLy`bm`Srx(wnqz-@YU<kQ3(kNF6W0en
zyQf$D(ebJ7JMwwMbdHPv&R+lVee;DC`<)Lf3;uj%8n^dXxUKuXzF)tm{<3~$nS0B`
zWBN1MuXD`aTHm^VYkz5d_W3f&%Lna>t5UXl^Z)jFInnj^?Ela1f17z(G5ng(tNTe#
z3OhmV13kl?aU3()83ozSB+I6VfJ$F8#>HIBXH4q;{wfV!9s_dDY4r!<KV%pWCRWJ&
zVQTb0EFiGNcFS}1TaV|KH@t~7QY=39<-`&9wvrPk+P`hD^8Gz~{ql#);%~nxzPx_k
z*81h0AK!=n*B9IK%=jqN+=>OZ`>*|z75gWYC^)g=VES9Zg@0u~-#@6BctOv3UCzu0
z3v6YIU2LD9nkTt)bGlO<d-dsfj+u>tpotQZHJ4Th7+5h1vi0;uSP3vW9bn^-@Ri`;
z;%eHw*|@2>IhSFvPasExIODuezkk^u|JxvN=lSM(jvCWIh4yV9&##<&BK_*T%{Nk=
z%zpQrSoU}BS-Z(XjAxD>GTr##<!1w%t1eB;&mR_C^!Kk%warBvsirO0HTXnI&NMOg
zebbm=%{^yJdX)^GE<whj1NQ&_G|#iGesrjn`<ZbxxKmKYS@8J%Tj?I@@6Cm$zps4u
z>Az5AQCg!jpY_`%cja~#-^i}Ntz5fni<tk1)F;|!FF&+o+IswP!dYe|4UvETPFn<8
zzi2#~!h4iE#Xaz?<3Wcr+dyFv{aSbPRyojk-TA0fKA>j2Et8ut+qRsWO{(705?)_h
zyVBhHWh4g&<6f3L`--mFvp61<>Pu`lbyS!vw*CE+8M2kd>6hniR&>91#>`#s#ZB(B
z-j6ip*le!OYu;+m>aoKjwLSab8;xb0ta?8;-INiIp1pyCdE2%}M)BE-3WplDu32)%
znS-P8ib{e>BItZhXil6v>+|G&tqlSfI1Db{e;VDt)m=falKqfgF`GrEQiC7IiKOX)
z7Dp8YgrwHBG_lVLc(B~Iz0Gw&jv)J<=qrxZ=avd7bw!FZ3bL)qwoNtL<_ub*5Scx5
zC1{C)GRUeU9fBGG3mR5=>Vb;0mz*rEE!u(yoU3ELR`NWru?)DODL&`(^DUnJ4O7-J
z*amMcaf7V|;HvpE??K=D<R3O1PMXhSG?sU=h;S992qvm7tvUO!PLS<PqG$?_lfq9>
z#;`Nq=?p4{1*SJ#Y-!|^wOUa9{oRWj8y5$NGdUe-VL2C9-Ll_SJ}Z&QQ6ugIW9#SV
zmtr0jD>+wv_T<ZOl5u;f^(b|TSkp%qyICFupW_yY<xOA?^?vU9bW&x{rTHdVpz9xJ
zv~B*P#KPn2(8A~}JoQ^kgTMw?P$YsH2$i3oHJ%4G*d(Si2(!<-cBG+rmd1p5Zb6N>
z8-l7aG85ZOS&sI2J@~r+|D#{I^>=nW=C{{<vtW`MU&v*brbSV8OopdH)2gX!r}u<$
zyZ|pNWd*fu98Pgc_)46ZZN7e$#{~WOeN*~_z`Y9n#>aB|EI#$?$7NZv#Be`%<V<%D
zwF}TG<=9~Js8qhb;-}3eEsG_Ncf0&n#GcbEWmDd(HXAgB>!xPT!MIsL;ZQ@QPBV`a
z2L~g!dV)yegQMNzCuSO}A3AhMN2Z@Ao@0hQXfj*|G1{mRt+QWDZ^Gq2Y*~+$cP)OZ
zyrbqaKR>f`!16u9uR?VgcKZmOOFSpfEy#AJvNR>fNg<I*iRZ*}aI{P)VsaB?TNk^#
z%`ErUgI`}?Ysy3Vb4m~7zc8_%zk8gs|Mx733F4{U&)bvF={Oxf!}-IW^WanIx>+6{
z1h$?McVOPmRB$G-`l-U%nweKVxJYbh37urf)%b(OMPh<~E2z<<@RX%TiRaDj?fk*Z
zd=CEm`#adqDbtbTh6Q94{AsiE^LGo2W;RuX3$rZQv*2m1M#R4hhfniAw|T#?ZmCm7
z++G8tQ~`db;wVo+wlkTgDLPIHFF}dRN_%GzC~-{?U|h_>>^)uY=*7kE8*^?NDeAMd
zIB;>iu`U*`_WQjcWTMTAkX-_AjMF_Fvx`<+3RL`4auQ~L_vqDH_l&5slD(@%jM@`V
zN|=BG=C}h#gc@kAbMf7jE1<Q`;vmC?)%}jVzP|ooI;c}N$^XHZsqHsbR&qW$m&m^T
zQtQ?UjK80lE0xbMW&E#xzVO~>KBgw8i;H#{ubO%?``Y8Jtp_7#8x*{`Vff?s@5Qyh
zzg11wS5jiyoPOTz{k^>pA3R9l_SPxh5(FxSULHEN1=Ql#1ld~q`<v_8S*91)$J<Z#
zbF&g=n&$T)GK0lTZeQnb#)T{5&P7h*iu%>0miWgfsN&LLc2=1;7dF-zrfd~nKS3qI
z_>}53H69*mGoE+v-o3c8a&lbU|39Dk_x<_g{paWN`4z|Ayl2<_{d)bxe0zH~9*Gav
z=4(1{`FL&a>E15Tz=tEa0l8#OLtsneGT+&cHlMd+o)4Os@{no_o;CfzvBTy8=S=6T
zaqUoftG4r07RQ`VJJvo`r~uDb?yBHla%$HrVYYMg?fLUIBuBlxv~**_L8i(5AMfle
z&SJg-nlAcyR6PHUy^)cSZq$|odn!Lac=c-4_gho7!ymo6x*9a=>|-Zh{BlF_^K)IA
z{Kj7*3knR>d}pz&t8{9Y?cAEESG@IEVTVD&+11*`pfw*Mpky{hE0k-tS#D7L5>T$+
zGn3&o|2o?TtoIw9uXr0K!ulyiJjKlG!N0``GWPFzm*4sJ;?jlCQ_{136n{|bZ<I7n
zQ?Rj-S?u0#_Ho6cU5jtm|Nr+laBY-n=*RSHYa;Vyjz2v;-7xElM$YYRyqTAlT&#Y3
zVxn^3-m21q<m~QmZ*CfI$-eGqcxsMi+0qHi{pTnB{`NLvd!8&4GxNc(>tl8n+4$sV
z9TuCp?Ajbx2@l;*m)3)Z<XjGD9BKfKfY?^C*mZL<Do!wHwC10mc+}5-he&}#?<c*c
zQ!OlNy|Qh*`}L21IIq6odxO94jp9eKe@h>l)zmOw-0Yeua&ETiN9iYA%>osZ4L)3S
zmtR=@{awbjHIZxf?WlZm?9;ouyR$yWEp%c9O#xdLKMT43_xJn#2}|ecMsIuY?Ck7U
zQtImJXXe|>M{aVNDxKPS|Lg1Phc90a&UK34Q_;98boHZl`MQpGpz}CROwklRHBGns
z{r>-Pxe>{?K0iNyHM^ZpwrT!+`9p^e1?(=%4OR3=|5Eqkald`R%S);Sk59)qbc^e|
zbqc9oQ9V6fpM9^8h4{YtX1P(IS@gWTyjOptx92sUY`w{M`+3a%U$3;EoS3M*i~m!C
z{JwqrPRuY=mNLz1d3d<pegFRt51m0%V5@p3Ob`I|OzzkH*6k9}OqyWo<EJbqCzo~Z
z@^b(F^4tCP|5n5;E-*?w#Pa6GM(4BY>-MhfebVE)&Q}sNjC0KYofwmo1IL7hgRP8Q
zOn)98YH<gp7!{ufZ??KeY&|W^yIR!!<)WV~Q#(0BG$#4pZfISUV`-jq>-YA~YX3df
zki{cxb~$lUQ@x*g{$bmxbd*I1yr%TSz3TTX&+cBlIC)JS=$wlqOl{{rewiU%SatU0
zA^sQ3&ij7o5LCX>X`K91Q{aQt+(O|~y|$k}KRGqkyOZ-y#cLs^d;9C<dy=2G&8ok=
zwD$eIy%)dRSA1~D-6yGSU+};|L^n$0e$8jzQ&TjX^<sCec)f!$ZgX01*V5-{e?LAx
z{wgZ($;&_o$3@A<`(CBYnO!n(fqmsCmda000^i5)sR(T4FzRV!^8EGXrDK2dudlBk
zALh3YsRb=#0L}b?y39#OyBhDjNIkf})a}^Q)6>J_xFn5I0(7*(*34KC?%XB*m6sQE
z3^S*KjK9+{)8#je%HPH8ovyN!H~F07tv*nFStA7Q#%ro46eK=)b93{lyAzl5fx`EN
zI?~b}Pd-_ROY4pO=36u^Kj{DA)m813le2hPxhxlFp8od2JVV9kc4xa^kzKyM?@zAR
z_0e64vyMAV=l`w#JZy68=?hJJ)z>fdvsB-*;$hCFy4<acTUr{M+4-B)zwQ6~En3Iq
z&YA65SG{s~?9^PIdvA~Ao_Xv3*`&tpC{PSr6VdqQrZAu2qhqsX|E>D^>ZPkcf6Kbq
z-HT#&7G){l-c_3Y=H_PiN`VVIZt6#DSa55?>q#7!T<6VW6;arcm_Es|uXPb)uN)8P
zToXA)lfIdc7>`R`2aN&b-QBg&tyjv6=fceyhRF{eJz8|i=F*JK4fp>Vr=PoG&Ceyr
zD{U6?{_<^won?=Y^+w!dH+;W*#iW3*txvuQf37NeETcNJ$V)@&f1Ds&&-sWdQ0x2`
zr$mfILLTG44G;R2LG{lg;e;l~{QUx;Z61+t9_?PHc4Up?)FhAfb2ohb|HQb|GvGpU
z#T@%)TWhn086SfpcE8owls5Sf%e8MaxjA`{@0)x*@QNn4RaPhG(H?gN#l(i?FGL<!
zB*|U&n{T()?3%P$PDkC$b>Hml>{i9-o3FleWPj%2Q@wSdE8NSM>HSeilNY%y*|osi
z%;WoiHeRU}8yI&z+`Ngw{6+Tlb*|fTZeCei@^iU4Lo4UJZ<nhZ7df3dtLx10BGBPr
z<FT_=&n1c<ua{;&Eoa0i?|=U7n>QgV82vA5c3kLoR5vqdX`Cnc#puDEuXB$C*F3mk
z|KkDk(}fe}OmJ>XG>}|i_xR4&oxhepx$LC47<7@w6o>e_#wlBLze`PP;9zchs<{?4
zb~u}bM~`QN+NSB##h;y-nLOJi^_tTIUKTUikH>uD-yT^X7rDe&K{3K<d$7cU_5-hc
z*^^>7rT$$nVY)+|t=5X~@ctPZf7VVopq*>H<L!r3k>XQr-%1zz)g0yV2Zhy7)~fIC
z)|x#r?EU!D%Dn#W>pKfRr0jKW=gR;c_j9uUrI&p9l{+PyiyUI|S>oIU8{{hf`1NMn
z%zf@@W_~MtUChBPnU@#oKV+M?h&4W0TITq9`~Na?1!hRcbqStHT;MHqrq{-mDNg#j
zMLX!^Co4yJR-So3E^9BW_;@2p)cpOM`m3u#yJqe?(l&?xxU*v8JP9ku!ymL~Z4#4w
z_Tl4kdG#6b3aM*P-)#d;(<^3rMT6GuX)!i)GoNWXbD0TT!F-ShEiXJa^>)8$;Dgu{
zkNIXdGlYcLZUm@aj{$9ex|U-pb9dc;XZtrdezBjMXVoWt-B$3ye}RLuraRs$c{$<!
zN&&O%!moz5JW5K3Hm2Mw$@%v<{Kvh|jn40EGA?Y{s%FvCnk@I%Qh@K>RiWO@cR$3>
z%{?~xIODC%MSF$xqqfz`|Cg4suKt~yudg%1R@9yEUD)+!XJ0S5C2y5wQ}m>y<7o57
z|K894x5VByd$8`ju1nUsGnPe`3mgs}dhvDt!;Fh+WwB-U_jk{*FXrl#z18i`UvpKa
z*wSLr2JgTc(@L?f=^d*kd^+%6?$xfHM_#Qi{Jd?(_L}6T*9TQv!`H_&oL@V;Lh1|4
z%PluvEjsG`h*|f~g5d3TRj>E|-&x2TraN=>j`r5Q7tHJ|AH*z?&<b1Ecu}~nV)d+#
zg8K29j}E9#DLmO~wl(>@;!SzAosRm9wTBw?_BZSkuAO(p_*mR?!2`nO(>PKj7>_on
z`OaF=yIybS{C$2q9n<odYOnH@y}h+mOMpk#%H-PGFHR4Z%~$`oi`Pu}$IF~gPflut
ztPs%1XlZL(RQdT?#I~HsEe;2dfOcZD+)i(DjP+pI%lD#H;JO9-GZl|DE=gy8^T}8&
zIKudC^?41yf*KLKSJ&3gexW{ziG44R#rz#%tHX4sez<sHT0|YA^LO>Pdu<s7+3wAo
zdj~wic0tf!hrt11_IWM_a)CY^9E}=GXEY1$X**PMrJS4bZ|#OXo)RnK)a1D=#Si|P
zTkpDk?PryQqP<yLUuP#dII6M~OnzJaU(Zg~R`XX>7nAM%{8cV3Y`#$s?{ZBo{J)NW
z$HAozA8t=;)`<AAf6c?3$D94_f(|+79pAI!`ifQ|mI&*+w_j^{?T=<;ZvX$?`S1G0
z>;?<ct5prQyuIO@eOYk2{=DPM?86prC^pQw@pbyCnF}`myL<b=3N?ifw=TU8b79k!
z{_&Wh{?3jvR^9urd(zfgyTt!CvEa~sJ=J~3v^8sMpYu<ZIN;mz|1kT99R+On&qp=Y
z{N!HsTGJxu^0OtIHHF<4wjF!sd&r@)=B0__p~y~&oiatr0n*1im46q$er8%@^vHYa
zVufYCKYtnPL>mMPGj(a}%`{5Awrb0dhc6oM-rC#$pi<w5Q#?Oe|4_VE;eoSP1B>|f
z@E&k^*Qv=<@c7jEiw_UChgQh=pOxIdUi<Iy^H#ziH|}aUr`!<AI)h~bX!Cio@>!K8
z5rG{K)0*t_z9i2(wb_5yYU6N6pX5t+&*T=ISa3RiLG}Fm8-3g&mU@X!&2G4EP|xr?
zVI6}SgN#i@LCNetanGLpoa}EGDB4_M>;HD=$EAksjKT`9{>h39KR+tK$R+YcB;k>x
z+TCPRrtt9aQcGuUE)k7}L#^D}f{lu7Ph8Dwq8uC;^kQ}_5aKneX;2grF+R~hkH1s$
zdefgTl82=y2~V035ie4ak@Duv8y>Y8Pj<cjWUf-Se@}iDlM>I2c{{&ou(ULM1*N11
z@80pv3sZT@a^P3Nsh$>x8m2EoNK35qdo>gjA3XZG|Kdtl-!Csda~`Yz9-mu0*I+}%
z*|ICS0=thy-EllK%Z$<f{}<h3ezW@G<oUbne*c*{`Ng+5+mN*n`=&~NbKP(Eceno5
z%m3el_FvX4{W{Zfb8OS+N5}SVoR+fDX=&Dv%9~ZX!awUPMZdkh)8x3<I_b!ae1n7=
z5?9;ah3wz{Owu4NDdgk^^O<?`K8NQxEl$f7+HG^~_tsOQ7yLf1R@dU$^8SzDvU71#
zweL?)7kqJHjaAK_{0C1`?Qh(4pE}pNu0p=5JaZjO<Ih>*OnbRYz8$?_cVkOlYF7*6
zHqlQ?OxfjgkGr4s)_l)scv!GQljp+{>vx&vn?Jr5di&Ds^sY7kWLW+0ygamCyZ(4l
z%^d~fn1-D!FPhF9Fwb?Db!D!SFwkjG|6`c@_0`p^&*x%T3wuR{W^gy$-+afwxTJsi
ze-kx>6j#PDu>}ttF1JeE*<H^6^V8GdL$;rlbRsq|yfm5EwTMYux<}sL&T)o_4Cu^I
zCv}O_=l3w#yuCivC}mx1@N&PEG7M|(I4~w$Sm1aukx7L0#a#!-#zRc3EL>vGzC|~l
zkqi^OuEDp|&C~U8?XI=ERR!7BRM)1Kf%?I1pqBE#h=fHdu|`UleVnTVnVxwnnCJ)v
zhs}4C-M&a8%3#~>nj&|fM1}miwf}Z*V|>;bw&1_=9LrLX&nDu(IC7geR^GpVYvo?=
zlFgo?Y&$j<tdEGu%`2DPy~fWf`PPhwe>Xh*{`5xW73QNc-r1!$4hOC+iL(&Z=y}_&
zuTs_JHvL!rG2U=h#?McBPu-Ebe9NTZ@-5xgcysv>eRf+;m$T(_0~Y%+pNZU~H%-0K
zF70<&?$HHtvLP>+_9~R9@A7>3d)py~+j08}#P&(s2ldWA#QAoz-P{fF(&yJLp8g<6
zXO-EWb3Cd%8*JZKH(He4lTNM*X^dUA{j;41mwfbYwS_Xw+m1Ot(`M1>ZQx*@wk^ph
z4z!kIA}Ca@siy5&vT!r=Y-JnC$pRid2Oin$ubz~2_4IC)vdl&AgC%yXKl<;u$*yf-
z>qGsmx6bFiJ}EV6@AQzwhTKhWzi+MhdD33D$GmT?bX{;Fb8woT+j0J?gOBGMzqqld
zEzZ2EcCv)w2KH^azy9`q)_xP@b9zs`?k}mk%Vt+HS(Uu(?2E0|3UrzusPgkm{oN3|
zrbnu$GCsfP-8OgEYJrX;I;w{n&fRW&)T+0ovB_=Q8_SKqe>|;-l@5|fc)VQiURd6%
zzqh9N=FIw3C&bxdFu^6DXr_RHF(`ZAY&uZ@8cg$GViRS1(RJd-;dXxcC>0*bEdmBA
zjmhG*g`Z9-@43EUj^nJS&uqKHCS{*#k-w^G>;EIRYiHKii^Z>U?N=T;klOz_<NlIW
zr#J<S-#4tg7_83s_%L7Zho3**U(COde(~L>c>kHb@Ak5*T5Yep8}<0f#^xE@l^(Lx
zOB!cA>QpN@vc+5c+#_+_n1=`E<!b3WO_eG&NO@9mr6gZsz5lW1V2Oxtr+NMqf16VD
zFrDeh!6?3l9qQi=^Zhyv4ybhf-L>sdPkf#0>ay67%}eJPY52E4eO)FUE0MrHo4;a#
z+fADS{r6K|Se;<)6c$KSD0C}o6)<oIdH(UL6Ei@bHvxHmhEK=R+8;V<EID%z{7_ZD
zxT1>F!ZOXAqsv@X{>pX7pjO7Y#~D7e)|e*;?pZdYq2S2^{>8Rz>*DrxZ0prhy_x*r
zv*O+@UhJzkK5tQv>2g!m^6s<c`*Qr-+3c-4|D$R)h23nZWS>#5pqLzVpf@`_@oZOo
zh|!Uw`*WSAzAF6^7q`2{aZ35>Rfmj)jMU_NW+yjXe<jSeYRZ{jr6`Vr^45Mwvd>+R
zV}5hs`hU%D^LMp*)@G})uZ)?^z$nP}WU(TP4ruqvw4Hh2H3Vn68ys63b)&bfc;XVh
zJ<oJ~<f)97hE7fyi)#MxcPtAdb^pG5pKmOa%*m{EykYicFNGbmE!iHWoRR;XS-&QJ
zGmmv&#fnY!XWpFWExlL68q(KzB<WXu^gnL39=SD>xQ$LexE)ndAz}CGhJ^UmfVTa%
z@~X3{4}AY8Yf#T<{>pH*>5(_{5Ak{{9P?QtQC@RWkZsqNb<Nsx{~xUP-uLlXI!|Il
z^3n%Ew=CJE!n#)NQTX-^etwLHFKEGuTJ6hd4i3g_#e{&w2FZ5)_<cQ<pPxl?%g<~V
z@GxUMyIMA0?-#f3t0``A?Q2f%vtKCPqsp^k|4n<JBX?fjPk6H9<d6Fk^cS@+SS7S&
zb^oc*?8L9jm@lsX|KY5J&aa>BqW}MY{a$=8Vyc403&UAg^}5sjn>V_wp5PGv+g$Q6
z(>y!gOVUaUPlp%W`m9*=q{r)-#4j6{P^*qe#)kF#FYRHK3M;<qWs-Jyo3r?rvXer+
z;afI!x}Ur6mS$bO_qvL^#E;USH9j)7E>E+6l>KUYainL?Wrwvf%7>MLg4PAxXOL&J
zJA5vA-j8kdzH9B9YwzT2QWRv%DY}_@Da^s4g;6`gWoDCr0Mkmp0}BovxUn%gRQF-w
z<6}#I9Z6wuQrOBNv-d%__x8nJUnDQ}X!2~hbijS?tOs{q3THizTNqUOBg>Ps{O?Xi
zOWC5w=DMHlgjrto82)emy>GqQE+2u2<#obOuCEetxXoZ+Qy|~S*l!}3;Qr;SJO8`D
z>+PBM*v_ba$ZOTgQa*pFMg08VwV}>CL~7rDT|GVY9P@WJ-@rvBmS>F>?GJ5LsZRfI
z*SOc(Z1toT!`T1f`hO)iFY|S2UbZ&i#?BQ|{6{<XMrpb{)2s-7(Rgn5!Y{i;x7=av
zJ+Ps5xugGw)2Gf}eC*k0aKNRbcK5a;oyxxhx6P4Wb#-0;aVc&to$|c<A-Pgf5(%@b
z|Ltn~<XUuKZ_^VgkCXMq&s2CethqnG{$Hn}bK8;>ucGw=8#qB*1CJP;RsroZF=A}y
zVwSJ{A_y8wnrB-rRe9sm9sz@RCj0y^4ilX!A4FMfcVy4>No-(Vy87Ruo%RW<rv)E)
ze@}Mn!FBhSsM`H3k@@uG^8SY@Z|py_$Ly=%^WS3B{4L7q2vh5vz}<PmQ`Z<QtGvIX
zE0sHKrSIp(?E7A=-Sd-s#gG5_b#vBVH9Nl`?(^rp-A`|o9`Ap1*x5e5#fCeqQ*3Ux
zi2LTSw->!n>mNvIwR*g)`s^uIuPX}_1jW8NRZ36Mik3Sw`@*ij?40YE4{N;8-I)2-
zi{*#COWyH487nyXeb%hl{DgyfPy3>imspKARNl?H^Yx?KxtN_-BbelD8H8=)zV>=-
zIg(stZxL6{RPg$pa?PcK2Az77Z|~n4lkW#v$oBb}Z_V$w+pjg5U$fDu`SR*2w{_W@
zhPiX^MxQObyv+B;)@*Sxorn)%-%tBq1I;A8j`W@hTBo<t{lJ1l46ck-ydNTcIBqC4
zc1&MyQ1mX4=T(gK%S%f)y0REOXW{trb3yAV`+`rK<{y-FMLn+R!?)a}j<tslOpni+
zsv8q?0W{8?^uTR-*xIOy|9`)S?qBXd|JbRi+J%pg@lFldT=4MFi`(1vv#zhpy;LZ<
z$~f<ZdET9lR_@2sJ+6M;n1A2y%p6N$X|tRQ(M*-6rfAOMm?7KDrWz-EG~n^HvNNDU
z@Yzm1BQ4ORKe!N_r0U(o$>BX!D>YIiWsB1R2bOd3-;Y1!uFD7w&pIv5u`<-eIlpro
zul8b44{~QgZ{V{n@bi&mdN1wMHIWLJNXYBhmU}zt(UHy-AuA`H6fjU(>e?-qa8E8g
zJp8GpLBRuuFE1~%zkByi>*4bL{(d2yPft&$Zz`1BwD{)cbmib>KC31L#_X>6s1&v~
zs<rs}xrHS!FU_znUw3a^_4jvcT|i^6Qbie;rm+eMBpzTA_iY2MO}Hs&u)<)1s<)U;
z#RrBOF=3`{9tm&%z4n-YlJ9BP^X*4p-u^S^{r$bYiSp&Hs=ASjo%7uHEY5w9cmK<w
zzP0rkaw;!3<oz}j(an>2c;)bE|I<eWy4Ll2-}qyt!m_}vSL(-`&F3$y3|8Me{fH<V
zXp7PQ`ueBu<{2it?fqULyuapWQR&&u>F2Mwefxag-o25Db<z6$MNhe|t&L{St7vX+
zp6Kh{@$<63{X&n4O0T5awtx=%+gkYgnr=%=%ZeJ?+uQTQl^ASUL0jxzUS9rMmU%T}
zpbcomf6@K)b@E+bc|Lyr{+-=c{zlosCf2L3+xcXjK0Z2n)#6h2PdlGnWw)LHIsVks
z$HW5P-`TnNkh)dLiw0%)z6BW<7gg;wJ@IDm_j|!{>?;lHf<I6CchAS6h4E(#c)3)N
z-+_cf2Ob~qzuM0O8VQM3MI4c|$mm)3O|iz?_u_thJMCZidDrq&<p+F||1q_0Inu^!
znD9b8>L084V#b}N_5$YbZH^o$o0P7gc;bCVs&vuQQ%lW`$Jc%hwP{pX2foWk#;!)9
z_}Q6@w^eMp+<K*!?)&c6C&S6k&aM-;N20N@afV@X+pg#R_Wuqna_zpNwj=ZMGA*73
z-qZEGSRQo#^ww2pb^h?~-rnxS!)==9S@xB`x}sV0zcyqZ-?2;UV|Ryf3hYsb9X$~8
z_SG8S*=C@vSdfzk&Kn*2QnSDyXrUA9huSvxf*e(^8F4;INk^}(jaHwL@BDnNVd5c{
zkaaPZ_vRel(y~N^??i|Xcxn^8k_R-k=_B#L(*ty;Q)kBvfeoQd!Qt~==bkz`QGI&F
z*&DCY6}CS6`=XGeZ|>ek{i-APU6x*(ab|5i7qiu4wR!y~C#$=2vlO;6&fE0)$Sl1-
zzj~xA)b>4YvrRuY=ata3H%mPZoVD1`zcX>6wv8=kM$hc$n%mc{(SL7W^<_oZ*6XSd
zAE(Vwtdy@2m})UOiOK)s%=m_NpU>DXFrGKZJpZ0d$=U0z9}UkmBwwqv0!<%OetN<f
z`~Ih`ZOMy(DVo7<xo0#bE;K6|&Nj)MbgEe3`iq+z(#}dfy_w*#n4RS?&%GyW6HokG
zqI(*&93^%A{2tKK&Cd!628j)M6aFL~N;gzYSj}=w&!+L5@7;v?mRg7R%@8mUZG5h;
zwBg71*cY$nxtE@NQUbcLxBj!qEWKOX{#E%TI&9a29GB!a|I6niQRT1RJ$tsST(b1z
zTks)sH~#1?*?tf-BK+iu$m%Bwdl_!1JBw7jIxEA*!?+@7X;)U$-%GA_x3*+{{PcB>
zWwD#;<HyVDzPz}YWnB06mg!Zy{neM3^?KQyJZsm=IrqnfwbACPVHZ~S=Nh{-E;_2e
z|4);sc9_ab<zG)u3abVjF>O2X!eye0>69bgC;A`qaXuCINHQ*(*$X=K#Z4?~nI=n1
zLm;Pwk3>Sx<4$4q0}wyAov~<Hc=t|j=`W-AA!;8^p7`h?ToBgVq<**tcG}V|yTpcd
zGo{60YXN*_*f(r^cW2IPCx!|ue}1OC9mXjg`d<o}?{7$S-Ws?(d*8Vq`FV?8Zo9uJ
z)qCs9<<|Sp&A8t%yXxD~J&ZiAH}+PSZ}GPMEo)P;!AkRbIoJO$FN3$_-;aALov@q7
z_yJqhwKb6!YcK3RaPeYb@BBo*H<RD~{rmm?sojnDFMkP1&|~IedzP^>l=qNK<cS$V
z>I#P(9`!uo0#DB;vGAzz7)&!%QgS+K(#6Rbt*Ri$ylvN~mG=2nJZo<LG(T1^BI<RZ
zC1)3}?*IE|BFducZPytHn9os2(_r*p^YW2=@nPBGsg<|R|0qj4UtU%gmjCnT&xPUZ
z;}SF&lK(~SntqRY7fZFHqvNY1^OpadX`FuS<KyE~3l0d^%>DTO@9*!cmo)t9dF|oP
z*5+XFZJs6XyZih5*YE#l_3P6-+v-(w*h*htJ9@C0J+zLgiv5U5m32Tu#-$~mi{#_F
z_&GcOo!P&@d(n&xXU{#La}GRn-1Igpf*Layl1_(!8Z%Oi&790SOGEb6RE7q5`f%LP
zVmxV>uv&Zmug}wDm^;@u`bi|j*a!=JO0i9AZJKe2L73^%*LkyZ=6?J)WBHFSClWRt
zSQoo{)mh8C|23Ijn7#aCrxUhj#wlY5eWqRCE^qET#LydBGsC^%<8K}36R%i#OcT~I
zgo!RFXIP(V%KD6P!G{ewH;qK44tcSP)W5j6q2y)IRE3G1oRaVV|9-!Jb^T}SnQ{VO
zIzWdTaJlNV|G1sMUorpQp3bJl=?A;T^}obTw=RFjA`Cg<V1|C<B8JtDJQ4;BJ^?2z
zC9Ucmi;k;apKT9XPF3HV(gGTNum^eg?X9iB>Z;)C&dXty(2ZB8mjBzcRLk*E_JLko
z@2^KiSxU-ZwJ^rkG3K2~p0#>)_L|AX`uh5RJ{;y>6{4`0{{^qZM2GDYpZ>n{!dNn4
zU(L@e)n8l|I5_k(O4+jP*q9!FM%KZ<`S$kw)dkFX6Dr?cT^%kcCABK)=j!nF>x8(A
zoc*}@`NI>reRxg;9sI+W7I`RaZPdc3tyx+R6;|_uPGPFj;z?XkE`L19&&}}Jjg840
z+d}r&)vC_v;A}J(6i9TilLxKlYn&o%FvH-0yn>I=5lG&<_HKXQ7s<B)=S=snRhm$_
zT!@ih-@c{2by0Tm<>~Xhmujl-j?QaIuHrb7tB@Dklv1u>&cTShI9-4#%<VwJA%?p~
z{_|{B-Z4Jf(y&&@qW-RYyZ(=bp?5cJ>vDKD*NbJF{LY>#(NBlhwj^uy9{99dH1WWv
z>j7yAvqAea&z4S)1TD&qU}6(ydtr5QiN~%Y&o<d*Thv%|njKJ%GUAE8e)|T?J>8ss
zHs9#PbB{`oy*rh2t6uPzO!M!3{l?4t9ygV5xY?t<lex2}rnmBSU-GYn@)QsG&`o|i
zh=oBjm_bntUM}Ro5n;vH%)#u_afHPO9K(JOp7+XW1ZnWcaJwI6i0-;M%iuxjTP{2K
zJHpd#&na)7yVsSQ8Q!Q}IA>|ioijyqlz3)5-E?9>i^C$&3K5ZsF$$pNq#jcm99tSU
zr=NfHxZj=)w2EOZ@)<haPKnxw4(zqwzM<k?$)As}gQn;RZ=JaBW$D#Lt&O(o>lW5r
zlqp#MASH0VWwG=c4(4aul#JvQCxF(AsPs;bQ3Y91Aq;Z9j^>tvhfbkU9zGm5tQgnc
z65AK^MLg<?X73)pNL@DfqSnUty6=Yh&&n-!q+2)5V_B5&P$}d3PWE4a-Ulzad}_tf
zt=-T6AKN22x$xf^PZyV#)xMSM``Z^*zV6$o_jPYQ`{reS2cCAP7rtNDKdsc3XQ%Av
zMQ(3@y|DjLJVj&bra!#L`QIO2lNs;+_3koZw(l=n9=m^wUSsgV;DcnD+~*_P|7K^U
zKGu2mIBgoUXyO5#>8@<5EJCUZha47lJYfQtn~PX@lz0L}7HkLYI}myspeMkz&Edg;
zoz)+{a5`O%TB@72>!cuCP2OFH?Pa_lOkQx_Dtpsb<HuU~@Q$X8<p$}i*;fy5+PXWb
zlexM)=h%n;rOtgZd>MEDF|ReNOnY;GujqAK{lo(s3?&So&Cc*!?k97sT~=!0p6Jjk
z>#n<8HQZnSp06w?vfV?s|Kyva*@62Dy_*YVudHr%<!0XPU$F>$XilWi{$*dB6%RRh
zai03?q)-all45mdX}F>S%bIRbL~{zOX=v?Af9<5QS&%8;J>k!!$r&MgRp!WS-W$uT
zwolvgki+(}uUAk0-<5kjU|rJG7Zdg7eOF%QE7iMj@xEgZCdRM4KHrAV;qt7d6LsDF
za&CMTFM3iEuzr8lSFgF(<Zo=|U2XQxee0~!tFNsRAN-E=zOc~y{EKZbJumDGm0hb6
zFY#^eo~}2RMUpKORJob={X2N0{*{&5*R_cPV%zR@Y;0}ZUH<;j^ZE71TDipqBqck`
z-rjl$8pgkI`0(LSkGOw-et!J>{r>SNE`5FdFK=&~|Jqvl`PoCz2@~661O){xik@)X
zxqEk_VX|9ytlv4zBPQndYyL8L(Xe!*xe%zxQGgXW9R>&FjYC(31lnhTb`f+a6}(xb
z|8LhHj<-@#ZcaOva50<jwYhj`uZ&gQ1@7Q9y}qq??!4JvP%nOde*1$LmGT>+POd-w
zJo(WJ#TjPRGsUt#B(8b={#S+e`mVIKRte7znZDZF(!#iJJM{d&p8t7bRbM)91uVUy
z6De_{<bhJww3FI9S%ZEl{`mh}Scq*~-rX+K>}wA$E_N>{Ej{|;;^K#o9!**k7r(!*
zSI)KybnN?!o14{*Qcf&5Vpv^Wef8XAb^j0le!pM+{?d*^4DXjt0PU0Dk+l-BE_-t!
zn(+nVu!?6Xy=U*}?W_2>h|7PDb@{qk{4-56E+|yZNStM{Bjcjdo4dQi4Rfxn5Zqx{
zqwc12_UGs4Q^g;joo)W`$&)45)|9;bZ?i+__2D}+PE?@v9~2HTOs)O5AnWd~(o^m#
zx*Uw!N}%I*PKoZT`uQYRDTvuIsI}4eb=afsg|#0J-w~}?AN;+-S=RhheN@tZRf~dK
z-cMyEY}e?Ut&43`ex9!!|L@1UcfMKE4tc+A`EVgJ|LV2bEsX!&`2%*>IEwE3@c6to
zZ_~-3*2ZA*{ATGTb-6*)iVrFXtX(2V=){YtOQ-Gs1vJgH<L2cJ{lDMi#ow>5uQ$*B
zpLu!N%f0iHca^`FQ}dfMW6r{vcehHL<pj)s`|j@U)y(Wgr)QaJ#dEhdJUra4y|88W
z!3h%tdSonxf|vWbZq2%S#Z=vY-jN-Jj}0;|C|p?)=-kO8Qu^%te0kgJ=3f)b98w%Q
zg#;260$qw4!HfR_nb-u`w8Gb|*;nyXM~$WBKs}4oVI{-VuaZ{^wYwIvE{=I|_Ua@p
z9-ZH*Y|DHkTA#iyTO4-R?c*}z=f^!CBp+TkS?f%~0r$5dI~tzvHCW<1UGLsk+gs)>
z$L-S#9$pLndT(|M<G%Q10cle^3SPdq=54wp)XMlCc?kc94=>X(Ht9}n9vSO09uc-_
z`tf}_k()G>?%lih;_7PgZ*OicHv8ClbE)_AD{Q9gM72UV_W%2(eQu6rv!Jq@%l^GZ
zPfxMz6+G4_+x_Y3>Br6db{$t`SetKdPCx#-dG=D!0bJMT+tsdmR`}yXA`2_)!i0lO
zAN?-=gdLu7d71BOvpactd7ZwO7rYOyS@_*$U(L@CSHt5U&d&dL+R-U76|@v&PetLD
z?W~7&q|Ebr*2V62<L3Hu?!wu*uPrh!sccQNst%TCo7-cOCIlLtX4zZ<IyYvjutA5x
zff*kEW<1yo+7_{qGiK*siPdGXuG61^50TCJs1ho1p_0dE_GX#4_udQU+r`(JF}x1b
z?|W`o@Z*l|lX5MQ_f>5|E*T%MdVZAPxauULC3d<o?Cq|EWBU80T9z*5WLDHy+K_j>
zvgXDfkyAS))Xq0KIz%}t7q&Lm{r$C)H*0g+*+uE!9~@*Zd3PuB=)T)GZ>m;aV`k@b
z`TXqc$9vW9U+!&><D6grZzq><$4jC0yJtLP`EqJ;K;R;m&WhYUSA>c;)mHI}=th}b
z`^^))z+$4hzg+39bG+u}$N1&#LY9Bra^QdiXkVgn+8Kw5O0E+ui_=8!9jF%il;H8{
z32%wwUJg+2|GeFApH7)SXB{6d@}F<l#d|+`YnCXVtksf#X&OxT`T6+5&fngaYka|D
ziDa>|!l8zpYdd<}K}VjdOh`&>$g6$1^-{{8i%Oui&~;3^{eM0<vz1}X%6PGv#>e!Z
zrt{sIq{Z{12tGZS{X*-w!`-5ae{WWbSv^?nCj%Y-?%8pYrSkD!>+Kg@gxO|o%V>}6
zt_#oIdonrU|2uob4e8ZAGk+*o{m<XwxFK?q!3U*3s@vptwP>lzUfi>5v*R=U-aqaN
z%M1=w)a}|>Y%FO!QQrJR^06MzYig74_lt<{2-J<-v?T7y7rBI(KcMAp-)sH-{iinc
z|K$6Udu8T@2@m;hZ_n@lZYRuqAN?SYe-92eXKmh_bhK;xFOQsCTR6R^>0GRS|9@k-
z+=IZ-+v4#8UJVS20vxhV@ApbhShS#lRn%pplGqh>jelP|MXT4JU!HLI8w<BmQwLL%
z(*&iKdz=f^1e~}s9fbas%g&KFwB)?cvNeBezwZuyXZy4~?^Im+{927Pll*&oBA!0m
zlX-JfYMLZ#q@h#;6Q{5tpR^fIzx_XpLx&FacpUgHVpSnq>E`M>GlS7h^2DCW4K0lC
zWx~IDvA7)A%HpNN^I<-yHhA#i;o)_;JF|ot`IQaKZMb^mcC4wd4$*l3ylk!h8iNCE
z_Y-nMcjTSrs`>dh|HU<Jo!$D|VmaUa-miYJdD@Hu8S&`d#w;Og+wz~aEAJ@l_-S)F
zO1b9#+v`aeo8&+KT;rNn$#7PU=gFO$4fE%Fcpdo~{%!k_77d*(+g@<5dpv_f)nAa!
zZ|=Dxd+wVr&b_P<Q|BnWy}EACLPtx`86@$0Dn3>!{^I$1e`7NHy!wAXoyuo&e$X%i
ztyI)VPq*adUKH$qG2zXPjb*2oPWCQ*u=Dx6&A)ABtMsBPzFrMK|8t%<)4Cmxy55-n
z=n-1LaPY3+jreCAi!N3!-*EivucI5MOc60~Z?at-xp|rMB0h<;2X5AL$=ZNVBAF|z
zF~cCMsrK)u)B0zFIJ=k+9z3Wfv^a8e+Oqvd?^P5M4_F@$-7CZ>SfJY|#Lb+2ece&n
z@;iysgig3PuzXTC_}%aE#&S+dC-d6})7_aiT}tvuT#)*@Y{x&b-~Vm4XWW&WyZfur
z+1uO8&5y-c9^f+VJAC1^`=Yq!V>cu=O4aNA=Dl0}{b>6Ae_5_Ve>dkOJ^UkY@NwPi
zwJb?j4c~MHe%S7`VY=QNemD6$Ki9W^tnc4H;co71g9H4x%3rm~?fo6e=guV-&2;GF
zj)={|Op8UmlI+j_<vTg;g2V5kU)47@7hBJ(`uIlbZJY0`)NT>gm4_#OPm3}>_qpzV
zQ`*;64_9%Y=M^qbvE3IJ=9if8>dMNF-d@w}JGsYZ)?2-cxm@?KHGTVaot{Fm`geDB
zCd{mqbbk2qLs2+WwOjk%{QLWEzJ695fB3z1`MaLkc~<4m`MVfOKu4pzT_3h~*38Uw
z7UuLDxyS4Zd+n~j+P(T_@rU~rk9lRR%g(%s+fe(vO!<IL)Rq%(emNvxa{Si)X6NFT
zGuE6Q5+BYLhOT#UV2SZwaO4ofb!Q`+N$E2=IvftNr2X9|J+XaqVd48}94p?~Rrdx<
zSd_j>nJ2sBX&-0Bo15mF_V?S>FudRQPv+l`Tt=b3;|uE!tA(9wls0%bkNvzLYmT|g
zpRGdg_kU%oICsJ#*QH~2{{Hh%xKCu7B_@2>Aegq2ac)_C_TJ*%eX)jhhm>Dj&JQ>^
zfqTcJNB7olu+QqhySgp5Qup4hZ=s#93qlxAu2kPx@RZMFb+qVp9*s(iZHsR`?S1-0
zgl)%FS?3G!H6M?@Syv?OAUD6}ljp>=126X!MV>v<Df~9+@f-8>cadcQ6aMZI<mpe3
zsJXo@_wBTUF&rgxBo9u%Jmb!U?`P*!maXiweVp_E!^6V|pR$&|x}y2%!n<2rPj~Qt
z>*=#f|0tXI<odeU-EY=TjC}v&<KxYrwF)ec)_%X6u4KF8$j37?jVoTQT<&v@&Hw(&
zgMxqd?BwBOzGt)R)ohNAhSeNXA|xKzdz|@kdb1CU%Yp)yy11SEr_E)LC7gC#8^62i
zVcw^StGRAXJ>YIIBTvq1R)$OCKG|1^8Tn5|3clTVF2c6ssqA9b7a_&9Hj{b%=G)y}
zb2+_e-y(^*$!gzD&pcxA{4dW#d83q$!X!yihxTbsPJX*zEb8`gahz{g>+_@L-Oc=S
zU6&c3A5^Wox;ot4&rbMTp*S-acQZS`TSCHu$X~~E|5bgpl4d-zZ`1rccNbWcUGkDU
zrON%S=k12G_r#aKne)BiIjfsPfhB9XU&0iI$(Ba98jq!PKJj5}Wa6A&TTt!p20DM`
zOf@Ju-P;&?9+aHiLCNXA!Do4%d8tYYOs(o0%8mzSZ*R(#xU$*uR?EEDa((TJ-A?g|
zTXc<%-MX2$ed})r>5^>K<;O%H&#Mk+Qd|94=ikcU<)DH6&1(|^%kQ7uP?Q-Uuwcc7
z6@iOwb~`SgbMn7Mwf{of?LQ7|J2T7l^d0}g2Pf6fKl`vjQuy=5!ezxV>*H(-vJYQd
z8$Ek{`TKjhMH~iK<{f+Q-XoD{^!@UVy9VXaCt{ar&k$eArziQ~T5I(7JlC~RTh9nJ
z-nq!()8ygU@RLu2&!B06MWBFd=Ja*CwM;^6UiCazm;?nF&#MO{B_<qd;Y>4Y1!tIx
z9B=H4nCAc8!`u7%s>^&o->$OF)8p25FeV)RrSYb_@9<jZ+Y18cu8Om|^zQoFJBJ#S
zqfSWXxiJ}7&hue$Ibg}6!ei0C+DnvC@PjUssu<gj`JYcrR6e`!oROeF<04@X-}48q
z?|*yfVX`L2YoS-4y}4^5B_3RA{LtZXWpya)V)m^LssD>y>lc)`Z{)NHl6Y{&_WHJB
zY1_8H&!@&OxY2vHS4n~CCW{Ksi$noNL4n3e!WtV54xBj?^FDkE&so*{ZC(P5@0B-X
zy%wy=S5<p+L5XFrmC46_e5>4l3Po(y<eFV}^ueotXD_bKy(oNuGyf!~NSMTfNlkOD
z%WtjSkbZuin#%m$EIGHfoP1++U_;$IE(Kvm!2&);PUdqDR|UB`u+(%rbha|)Rh#7B
zv)TN2A}AxIu=p*RcWAqJnewxWS*m;{Zi{!BdW9``S60pRd-3CqS(oivSV9l2XgnLZ
z^^^T=n_ab!_pWJd6=f3=5;}9O{@a?Qqg|lU=;;#!%Uura<@As!c>gi*wTlCbO*6=@
zcbzpK9yo3`-vkO?Lzb}Ui_G@xZ?#7?PQAF)SDEGdgvAdfHoXh>%BkT}+V=MN#+DGC
zw^t=>MO6b{H3vr|F1RASimju8V~(@Kp$0h)K><cV4a-I$PUbtk3Mwi`-gtq1Xw&Fg
zFMDA9>hB9nFW-7`YnkGzkV`q69&7I2c4W$y;E3&S8MeK*?NYRre*EYiZ|rS`tJBmO
zIhm_0Tn-q6a?6>*;MJ}UEO)vcI$Id?8cSX-ojz;rgcKzOrgMrD>a>`-!`>dhRLZVX
zxS(-r^8!64t?&}RRIRI-ER%x$qbyk#=>|Sf_*I_SuyC*R;r&gMxUG0A47sKm1n^|+
za%H*G(9ptYF2Q2z$l`L~G3OKyiHLnQmU5L(1V8A2Vn?s>MvI9~r$vjXH2=cb%~l!L
z_pIA`#DVkd9PhnPmL5N*o_zXUM$XM*7V(l5{f)7&l`S>|o#YPV<WpBjJn+yXbOFbX
zmWCF_=?P(~N(xMIehXX<9VowF+dk7cy$O^h8nQVGst@14E`R7#Z+qbKv<6k7E1{E|
zt}oCJ()H2Wk?L>KcSLn@{_(08_jm5wCa)vpcs*Vx@xa$Jp^G^zni^Ud-2*}-l@yrv
zflc21eqZ<7+uNJLCcoxv$+ybsWN9z7Qs&l`y6(36*ufQI58nT3TjA!cplK;<{q1Pd
z^?i#q;@9c?Dqq!Z;4Lztl8up*d7hzP)>4iqY6^)C=UX^B8aSrRap-JmJT6}^^Y7ok
z2a#$_N&!_YO8n|cU$-eoY=5lr>e{NdXT41qH+dSInx+|C)%$7Utj*`<96Yxo;>|tz
z?ib0PFK(?etJ=1*`9jsz+LngzCBcUpzV|GxdKAr~!t>)=#p><g*bGogeDLjdzWe)o
zdk=!DfdjT2YqlO?>|)u?ueKnvc~(Y@K8NqCs}8N7yh@(05VR__Vh(J7y!Nq$692J<
zmCX)cHXLbqH%&v~a}1LZn@#zfD@FI!6cP_?KOVnVoKdiV2b40yV+vaZSu3V>HgN0^
zZ)|xo`G$;SyYID)wf756_&8mZy;U+|wzDy<*7_M6=&t?HMe18*CG)rFqyzhAux!bb
zY2jOFdhwnW6Y~~tm%z$hkG~4B-6;&Yq9&*@qoJj-N%AEZI1_Y$(yANxp0C%U)v_Ga
zn3NvuV=*$?ydm{{p|ViUUFmkOf1+n5HSaojHQ>C}mcI{wCLe#x%70u<aaQ|Q7X{tF
zBDYLdcJ`gkS`>R(VajLi*ZY{)O%v^IXlYDJyzHwK@QOu+XUZ>u6^x)X<*>lzkV8g>
zhM0a_&obZHRg7Gvjx06p4k125-(<XwtZVKJv#3$}qdjdiOVg_r$(^hsTs4M^8Uz_d
znEE1=4m`Z!vSj|54bu`r+`HMXUR+aGYQnklnKge$|F@7s4gGw3cD?<`qQX=0M_?7R
zk^)m6*t)4&p`a6Jz<K0D3{!ibs`SEy?W!VgdY`@dk;!tM!yzCc^$ORTrdihx7XK`&
zn|J%<{^&X@W#K|jO^a{e1*cEwO8$5<OvJU)WMwq3u8v@Xlf&N!4E^s{TLqXf39)q@
z^A7KB*ex)@;DMg<!m^GAjtZm3ByQ%DCr_@3-md32$Kv71zu~uC9a!E7cx-HGJiJkJ
zK|;ZU3?n{yZ^x3DuC3GV$zNUlYjKuneTcEU>t<V}`0zCk>`wCf&pYK1<hARjoq^`l
zC6@C&eBB>)mZ(Ime|>FDQ`Od03liTlTv;!g;OZITDC#XS;inlRC-Xkrf3NmF7n)%3
zU}y63Y26JRJNQ9vnK*HxgiVFPw>LK*+wBeXc5z^t<JIu!z{VpbGX6f!Yf9~Y-?Ca`
zP?Oi|G4a5Dr^=8`)6_z?oQqlXAb#Tewb!Q=9Jro(dRk-r%}pOZ|Nfn`SAX{7+O#k+
zBjfW5-Otw;Un~4(dp`2o?=O>=Pt3FYmuWLw`Eb?$^D~tiN+p|g7?oGd+AsR@LH~nS
z*IvJx8gSYwSY$$HgDsN~+n1P*hIEi)XFgsQ26C)8$g%bReyXea&SC*KG?XSxD!V1b
zacSbDX^d_%AO3b;x$Lp+oXwBOtg5!BPtp|+f4VbMVB-3he?EWx7c0G?{&@Tg<2PpB
z78=Quet&$EKKJLYJNMUX|D5jJV7gmA;N|<ppKcnb|5+WPmCn+rb**|Phse~1mc~Uw
zm%l1KkYiEd*>T)rg{YDO(>&h=Hx3<`V_9ra`>O;rhcnODVJ#?Uax<Ntu7CW=$;qI-
zp4&6}r5;v;3Wj?#Rv*9T=y0f^yk~KhB9l_UE|xA`o|+#I+aoro@!I`((0u7_W0p9h
z;0G?yZ5juh`E6T1d?;A|qTlLaC5r|Rs6%8k`M{RU%Ql-IW^Dx(Wji=Qp>f{+zs;pf
zmp<GnKEKm5{0fht0HeR!fmBa^yB`V~0w8TJ4lHmK;|_9m3#agfJ(b1aBrni7Nho4#
zmZ+NFoQ|p5;nTKg+|_4NQb0lhEKEnm*w*cSXVoKN$YgUGWY~fwEMe>8dVjy$%`d_M
zs=ttRtWyriNd&Fpt$5U_4q9V!eQTI$X9GutZsY!czqrfq*KR)<t0c?_DqcX16ELGd
z7UY|^x3?d^9$(*E_V(7!bz4_?vbY?`=3EoITg>O5TO+9OL3Y>!E0DvQnwvM4z7B(X
zrlX_dz>dPl8}jb%nk2ULw=0tGT^v}t92P_za!5;4(+XL^07*d)IGCi(at`Qjzti;f
z^>yn-7E=|Ge6PTy6yU*hRETZS;>8!YW`{%UVRRR`v7=BqZ`Vt;0EG#i4WRhwfRQ`;
z95%Kv{$+l@i!sPo>47#2=wOW3>-WpeHp~4qRd4lt<iJvTAjZ<A$^%+?p}*$?6Sy?*
zXi(<Jxw(mTv3oxoE7MFA!#f&YbBK6LJji3&oPPe;nVH71*MqLAFeyE_3c41fEqmS0
zV=AUovAL+R{C=(Y?d|#O=Z!!iU%<ln&u{0eRjVDa2CNX<GM|}EMyaPBtXw{?DAqf4
zGDk;4xbTgg#p-(d{}jEsvGMTg-z(3dTC`hGW12z1`@P>mH%EYLR|Td%g$>!)^?2m%
zWU!_box}&XvezFyF;N*@Pdc#3I3}E*XS?IcB<~H?-}Br>mK%W*98xTDc`k@JbYOvF
z^M@ag`yuTE4vQv-loS;<emNd47O?LG7zH0YPSj*zP+;(MaSVYav+E!Sg0AqW`Fb@R
z?mz)i(bh(0_N4ds_V#J`rNSc;!VsC{u(73aSLtgdB_$?BMa9-NZqq@jS-Elf{5mNg
zw^!?qZPWBzwY#tHmMT)hd7uZ1*D2cJd@o<Vgj-ec-~eMwYir{Fe}A!CHL<nv@$vq}
zm7kx%^e7d4c;E<HriC^9QW6`gd*Ta^ir&h;Q<}VFmdgQk4$%4@+o~@I7CN`rEZTqW
zO-fLZkX7lcmVWzx5uQnNIipg$VQICaVY-0ECIbOExxRM!ItfVbJ`l(PO6_Z-%>xt)
zwylldFZca^z5TyGpZ$fGf?_x6%8J0su-yd@oj|81P1OoLbaJx#$79m@3-a&pJC~QX
z@$~r<Ck%Fi%amJNv&DDI+^hKYCG)fO{HGHb-%fOwJ9ufScj2QWoS^BE^?N>XotmZ_
zy{M|IX!Bk((WiB>+DO4zpx3Cx&20bY1GC-VFTt?f2byQ<nEAA?v$HT{;?HS^Pq1_`
zYKN`S*s!nu|L^-d9yD<mJe?YzbhwRI!aPsr->=u}ncjc?!d>_CsrclWPcJSmR{NE3
zpn-9jckHeb!$n?4yF?4`mR^5&$y@*Ejg85%m+tPl^<>hi&`w0wtI!0w1GGdFv=olt
z{?7&Pi7TR&6quGeDwvsxy}h;7*l&gQo7wsM4$d;o{_tw`da;Pu{dIp0s=wu2SrvNP
z#U!Tw@7EuHzu!MN;cs-_PS(!O&J$<OxcvV1_T&Bk|E}+;dp0xOApM*S=-jJ*+iwzi
zJ07y7q^8dN_3ZroelAfh(2Uaz%i?7#|4;U}JGdqD@|nGJt;^Z!uU|Ux6x7nJsoAr#
z{g96A=RJ1ypH8YD<X~6pc>2!JEcsoVbpD=;TOQunn5_1yar56TuH9k}kBY~etlyr0
zzwdtCZ{D?0TMs=uJNx2>MCT3vwZqrBJUunl*Sd{gexB}%4eKP0(`J0jzq3Q||F7%&
zCrp~uBxRZ<krui-?5Mx}-<Ij|bvIq)KY-T9U0C8NeE8W#clo2Ao}QjKXHL!{iyPPf
zoQa#wCF2l_TyRg&2ZiOI=M(?)-2T@o5)UnotXAjV+G5DJUc1LnBmIDTkHp0M(vrBH
zcM{&-+IsBa-p75`1z)d*CqFqcF=9gk<Fm7~+sp6QexGABulk*(i>s?7<5a%<@9*yV
z#!dV9`1s<uy;Y$4Ct#V+%s17`{O9MbTiWdReD)y*=daAn%x5Px9P5>SyzO@0;hDzi
zXKeSFuRovL_is()X3!j7`t^@T#p6NckQ!6M^>wk!_N1PkHnU32cUH^${r~S-yw1J7
z&6ICn?sZAES*b1uUR_=78(H#6Q)bV<U$39pPM>NxtKoh1_jkSd`~Ql`T9>h~cDeOR
zNxIJ$6f?hDGWp@zx}xWu>GNx^b!dqQ+^c%6t8Bp|V<8aptnmBY^7+bH8|1olN?%<u
zEPa+YS$m%NRiygpp3j04hYo<Id47F;J-c27G}xl_K!d5|Thx|}#vMB>+(l>RTkfs;
zdg#v1V(ysc^Gn%87%iI5MgH6UZr5oJ<}JtN>+iU58qB$uHs@oy{f;LMo1d0Eb9Q##
zSn)CGF~?=e^SO6kwg$4;{QvX$e46k7Wxlh|?5gcz?XjqMxpaEZ<DAWV?4E!3xBqK0
z%PhBRcK$xg-&Iz(ug4Vg>h1fHRKU(*^~!sij^R$B7i)s2JbYe$uX1^2_gRgaKfhkD
zuXsMU{EYjYhs!S{COdpo)Yav!tE)S<bNL5{<Q9jmSyy`|`!8&mn0Dskho{ryr5c-b
zZp;TAB&N}HJ+AsJhuHkuZ;_3U=kNAqy|;iHxlZ_`8jzCM@c#_2l*x%d!Rp|Kg}dN_
z;G1hAji=|ddbv-tRxr@ntC0M{-ua*9;d!@T+$d7p=h)14GydYvr4<JvOQl*{#p5a%
zx8JMMzP2_xJ?^=QTY{ldLm+34I4g(R&&TOzw|AGX=e)T8)vDF!IJcgEU3~7t<%#(>
zH>H+I#_ldVDqsJnv7v!MSoXkD#vZF39}aQ*ny~b4{;M<jP|H~jmJ1uVcgoNC`utP-
zgb4zVFBtD?Ok%rzrf##%tqF?GKJl7e1uq$kg)XT1%t%lYn4vI{$@r*%TWY~hrqc;2
zPUn7Ao}Xcue5ST?>&gX&SH2@R9)1dIY%(agnL2%DK?Jlhqrnto@1Jg=sCY2Y^;smJ
zY)aev&l)FoTxr;RzvM=-)Z8RB?>oCnUt7*E=470kVRfkFm!<RhHGfN5B=gSStZ-v8
zU~kyJe}7@u;X{XfTo}`DWbT=^@J~#egZsQ=?{}KbH~vyto0sizexB{e$NlzF&*!FP
zx93_iDDQu9p!nJRKo$|s3=w;a!~PeKq=62_d&EASb;J2PmQCKgJCqsAi!<)-+S;kK
z;Dce+myF5V8?H~*Jt}zaow3ouZC@HvjhPZ}Z_AxwRl2HE;K3!1==t1I{B`p;`?l_k
zyAG*}A><SP1t$(Mq;H+Er3*Ydz%iwT;riX>%a+Y*sVIrl-~XrRvB@V}=TFT|O&1nA
zw@(TwytkL9F?|VxY?0O5Z7TJZp@&Sr@0?p7=dJ$x?e_b}{{Q>F|8w3`AB*3P%jZS2
z8AU2i7b#GiqxkiW^x;>Pysk`Ee`2ONBsQED1)bGz?0r6^MTALW<AK*dEoHy#vD<Fi
za{qJGJCOr1TxXv6J2t&tTG-CI?j}DUpP8lbj&<`(H_Y#mc(94#nd1WI^LNEH6bzUe
zb9cO0)P2T?)#~$}>teRYmh#8!3fNau`Puff-i8`C#()(GTbPSY0$37jP3n%nVC^$5
z)}Fxr`rPR^TRSHTz#2H9Mor@+L5)oY2QGx1V|dCFs2eF<vYn%&VZG3UU6pC?mj`<+
z-t6~$vY&cu)ARZDa{M+Q8rDB5tlBTh2#QY)E<M2o3qa#qAd|qY@DI97u3~H!tB;s&
zne%WWsB!1u$zf14XMeB;)01tluCCsl63LkUshw9k?b`R}ChM}(mF)7jRlnPLT*dN<
zj%~ThgLe#fI33lHD)0x<EL|!*ALKLsF`qPu2RCLdni!PXB+BL$&vt5CU_703|74I&
zh$ab##dL>>EsWvsjo;l_x4J}7fU#WhzzW8^@C@U1XP@h_`W%2{a!|biaXP4#z{w11
z+!~jB290(JFuDsST&sJRe0~L^8+VViIiLQ%ABz@ug<B%$A0-8*p8^__K+T`LO<6FF
zLMy`8%Pm^G*!Pp88-IbeJ=o2Vqz5KHbBcIMJlJ>R&aG}p`m>mHfL*?(fm2xR!9jL;
zlih+C-7e<^9)~~|eVm;Z25n+pWYOF6!RgPJ%l<2(wys*-9af3vuu71_4wxP=G&D@p
z-QWZ2!xag8cv-dzuUtN1PT8$F{!8X<i<@uzdH0krmrtCqXzn?qQ#|M2?>RGno=<=J
z=TuYs{CLS?z5m~<KUeNFTJb1e?&_)#hpVTa3I@D<voq@{*R|K1)9cU!Qp2WEiIaJ<
zy1(1jtg8pWBUk2}Dv^BkIW<yA!WBy%t@t|Y_{Jk9AsX-7PfdGV_iY8kf3Bww<2Tx9
zMZVYmTl-Dhd-;8<Q_~Ee7wx>49+l*nc1`lboW$JTS)Xf{eYHC)B!0<np4+a{8JoY_
zeSM<2<Wr99a=-LNVPCyC_|~*oIogDqt*`Vj`EWKpY_AwNNkSs{#C(T|EsfUi_XywJ
zT@LBNyjK^fSCakRuM&Nn^G&zsp~l50HIhqryKVJa5qH&!=}(~U0wJcg*KIU-rfdt8
z@UWPe)_3HIiHhoS<%?Ca^QW{Khdq|M#^>;OLRLn|@>QiQ3*16gEp^@+2LDBliKX74
zs58AD(`=Z0tN|R~-vw{f8ZhqWQ&T!6#K;$=<Zx}KF~`bC(SxQ;0jI5AUEwj9$S^N!
z<;&#-DteN~A5C7nqCMrvk+}{xjZ!Zh5aON{k>m&(p4#KMV0oGUTV!7fG$sjZOfoP?
zIKbdD(})$ERBYxuocS2?=8k;-+iM4leYM~0bDZm-%yfm1YlGAKz4d0cnyRwy{n1X1
zr7~8t8Z5FaT~521Ubtmd!N<Ak%}?gFa^N%zYsfm-fpTNXiwlg#X=faMe|yUs%Hnds
znKS36bbCka@eQvX_J|5Hm~e5r?7h{Pk-^DyhV{YgV=`>)eJT$&s0zL5_uQbw)gZ>3
zy}-LWD&zW=W$PaY{zvX(@0kFKsH(5865rn1x*~e}I`KsT(_Ic!a~dcm-0h3Vxg*=H
zpsl5_#Zgz8g(dWQPKD#*Ivc~Q9IO-B`ycKQ^}VpM(qPv%E;B(^<YJCd@B<r@s}S3t
zzkesrn9<QCs$J!L|0=uShb*Su{HhU22MlvI9AU_NEPiXlG(nab1_5C<Or2p$&Ri@L
z+52X`m9UnaxIk>y_3*f+M}k*lk>mHB!-5Nk9K5`^UR_z){NzbWdB*lz3QS59*c<K5
z-IZ&Cw`?tqXNxjf*PF(h9krW7!|1?8exI5ktupzJ!|Q6@1CQ>PIb?Okb^f=mWkn|G
zQ`M16z8a=hF*ZIKiw17J9Sq>eywmNF<0LgLzvtK~-HR*YcS}W?^EzJs<@oNZhkoAK
zd(Ou<*5>z2-DAMH_x4rS`+cQ?G7%@ctJkbUE*~p|8=bhBrOoq>_?q8s;gvS42>yRn
zT<}8%Q}yD>8(ysc8>V!SWlOePli4(dfW@<9E?ttk@?(ifPIu42X|{%8hYmT!+}SHQ
z>)P6|sI!54k;6hMKm+9BX?n3)+q9m52gi4@e3Q3qj1PbB9^xakYg=Z+x3jMo`ABNl
z?mMH)0lL9wcDZ}<-EGYoLP5OFRc20$twQfE%FP0gwZjwM6SaVh#Ds5eZvJ@Gt^eWg
z_xs(8I653O1uaBo_rzb9IpCMQ+L4QCZuC|CrPEg2IwTaa|M3Jtj;N?;Ilh+{1->>j
zb5*Zi2X590LP`Sx#_0kYTMRyYJT9Mnv`ZA+Q+^P|<fHQA@h1<LH(jpNuYJ9EREYJI
zmeYZ)%>hlfHm%`k;_#M<*#1{w*A|XrQ)`_AZ+b;&pqg2(5Rj4hVC(g`!xNR=K|Q!#
z&bzO&34V}ddKeaTQ2wy;MYAn@Rb?4HMRlpQ%iiC+cCqJ-&YF8$_@kBkA9QU9Xo>XX
z0=LKEeVu??EL^%gHosmh=HTF90FS#o7q+M{k=#7pRq1btT2*OA)2@SFNzZFuty`&R
zWyZPM%=O^@Ci!)*#JkwGZryXh^hszpEbD^mM_W+*yxaFXujJJg&d105#XSWD7}bSm
zY){gkw#K%D+sfSW__VKcVrF;g>oQ4~$sC(6y~togHgk;FwJlp_Ev!P$sWo#P7PdBC
zT<re%)#~+Z5XT94c-VF7*WYY3*67|B>ns?sb5XHY(8@e#1<S80)7E#pNTxhI<{hPI
z;E?>zta))8Jc>IS`av;bQ1Kzb=Ff-2kQk|8n*B}Ya5#7QqTtoLUR>KY@v(M5XP$4u
z+iQPsY*3a;X4~%4p0@SQuC-ZnkUd#0sIkT1!rtm~&<+}Kwvq8p$g2<u%j-PWAb0lS
zlDG-U+~JvW&I+$S6zaromfm~&>d~i(zBbG5X01RrC|y`%i-CZYRF`YFSR=UTe#}wx
z?X#K6vXlk&{bJX|%AU%GZ}9r$!L;(_a^1oNai-N%4(mGKu1Jx;k|797YM|L)rGSh?
z(B89-o*ouZNHtCpK5@#bd1bNL;+UO95;r!dp5zYUjB`ym`D*ToGbawjhHrDfxhZwe
zs{B_y$S$fD)R<y0Vd~V@Pft%P8yjEV8@2vgM?<@i$HuhQ<!5g;EqE0waLqN)Le8qk
zFTbq9MWs)DS;Qj;>ySW`&dZgnK{L@%cYO$DY87I8^ytxrRiWCTWgp>|EAJ~kFkm`9
z`I2s6g0WQePL`@I`ENE9g-$3Jir8E!|1EMSyM(1c$l3eW)+^(XOOz>EjZU1*yUX4l
z`tb1Z#^UGaf^yeri8K1EPbhuHbMKE86R%U&qU_mh^X|!Rz3<9$E!Vn(`C{RWl34L6
zx{Fg;^$xAeLiEQDq;rUPNkr_eGJUuEz1^Cqt*6ottXQn{fQL!`+})<4Yn$fmi=Ab;
zxMJ$dqb$?h-#8w7d3nd<Pi1j;PG5R^E4KV@=q}!Xw@M03N7W2+Z*57szgilSXd9e3
znfL$s#C@;kv#(CX28T1C(Ol05w^erw3eWHJK0GCJ-wcl0f7@6e-WCWtEWen|?0coy
zIR=NshWgi_5$bua4;tC!8d@07OBrtsV{tj~7v#<#zh195tp1jBW{&0Mzf}vwIvdyp
zBEDQ_TywKdB%JpZhyDIdau<?JFUoSJq@^W(d(`{l;xaS6clR#H&VF$*cap(_i-(sV
z`)$C;$!ury<JB&Xj)ub^V;68q3ko)_3|?-vCP`F<X`j=BfE=T^*&F%HdEU3ZV)T-G
zuKoDknmRSL<&RHSW`DdeW8DgQnFnjKYBt4iGTT|cd9_6xG-1Tryh0B&X=w$DAN~D*
zj2=CH{PB{v{?w}Gs|<o4OqlZPcQf+7W?SgoT{Z7o$=2(>oH@5jZ+N*?$OyZa7F`yr
zO53c$Q}Jxa%BxDCDNs-m0-6F{*wT1%vijnxudgbeOmz2(TkIvkD6jP4_O0d{8`Sxx
zf6agIyf-X7)-mDU``H&)M*8x+T6R}g=4kYxhQ%JMWCROz89ABPJzaat#erqdWQT<<
zjl19Plb&l;dg#Z;$9pF?RjD%hDHNF4@t&{UCY9V)>U#Fo)VTr8U0+g}3OiTMFszrA
z+r3mh>-vf(r!{1*m2)!lIj?MTIj|Hozap|-OPEpcLl7u+uiO8xDyH)3)E)13z1}0z
zyi1j-Pu(E-A>V=+*OL6zDusQ^R||P2oSnw(@XWJE@{X5-jDy3W24;m-9D*9Gpv4=E
zyH<%X3VyHx8P>)p+tn$o{^*jo{#Pr8R}(lanjM@zdHVdUP|e%F%Uml=<9Ux($TE%x
zPge5OeEI9;BY9oAkx|rjNejyzPl=AMF3^Zd;r-h0Q#XX~ie=d|zoCWky?pZ502Y@6
zKUug`dA_{7eEiMZJG;yCpKtdt?Pw4e_>mZ?A{1mKcun;RchzFO9mb&L8!TZT4|mj6
zUGuW?d#A$VGv7|O`rDg>#pi9qFXhcG{{Qao?uoNzb@j<wTWz^Br*-F|zC&jJy0q6F
zSln+H_2z%P#)Ugouh)VuqSp$)Hfh75qVlh$LToX<F<&8L(oUSrYooUx<G26A03H$e
zDQq!!V(ZDvhYWvB(&JdOYU}-~xH;Ym4_&18{ZnPqzbD%E>FFt}?MC|gcjp;^M${L3
zPFCCT_uK8*Th&%p;xPq`ZoN{euX=lXk4ES3Z8golwjk+f7wGo-W4+ShPs|L{1s4C`
zVRIq!S(g>p<!>`)NNi^Lw0wS@(^Rd{H}^JWTvR$-dTmW)TXtUbh6Kia|Nm9jd^pJ7
zx-#hc6d^`V=A|rgSGyWGDnvmkTv1UmVt<`&1!zY5iGRY+V~20ZOzr5d+A5+YSisrX
zTK~@B_yvbAZ&&CVer7(mV*CH!`~M$4F;V$#+_Y#3i{fWI7LP$4s>RvY*Xc=mhfSI}
zlT+{E@AvipyTx>)KxgOg_<pbYV2%CZc7FGi6cxFu7mAnly4YK{e)&=YI*Jr@m8PKe
zoWyl27H@d_?{UAqLGm#k&<&K&?`|r5>{j#fs5t1vOwi%*<@al^=WTqy=QH1*zkds#
z&n*|*78M)L1)9ueDhbtOQhHDdN)sRYlboEIem(}ZG)~Mvz+Sw4LEc-1EqOt=Uk4tQ
zweC7AeQi<x|AQa2!*}fzxpXPWH(JV+&vovuuY2d!p5x2wx9Xa)_;}&*r*CUk-FJPP
z1G+>(M5Kj{SL#8h`aBDNg%i3w7Z~?H?z8rh*tz#+%535PFPG1smF+jjB5}?c(18Be
z*Z)8B<lWsR3ObYVMUR@A+M=kfSrw0a%`a@p48GjDW{u9DKcCMp>)BiVUCGk&=9z-x
zWwYIumwb3|P{OvVq-?t6$pcmv*8e{qm!F-=uD|Dl)3@)S(dnqIS*^44_uZ^1yO}y&
zQB(7!Ov;_M8I?R4f`Wp}WZKRxU%GT*;p1a!`yHq2#h&?IvLI6ZwoBiZ_xpbHJ$(2u
zZDnHOD~(qbQ@{KIoiLYrdfL%m^LrJ3fxo}MH}^JKx9?Y0fDH#P?^$D|luJuI)r=O$
zTK~<NT4FP&a(@eB`lZmDpmicn3mg&~{wrKr6M1=!=akL{4iTRR55G@~jut6%p6Vsa
zweLn}c)_;Mv-Rx{UB4!{v%3B1jUS7hpXcrPJMX{q`+dx^L7yk{-*28dzrNvXwNSuL
zuLkE+I(drre?OSYNz^kht2Jb2X6M(>GyHjPd;a^p9$8zFv(eiQvd8~>F~zDYIK%6f
zW{qjRZrsl0`GtAkXIAI%=~|!JpKSwLW1G{cu-(An`1kMk>yOv}`z*h^=ZEpJnZF+&
z?|%#$B6wQ+<)V8>clYVCY5V_viw4aDAKNKol)_<TWYp8_FW8Xu`{m{3XQe9KSPf3<
zD+~X)yDD_`hfCi2lE;7V{eF*mZj8PC{x@X-EDMs)do=xizhC|g>y%)N9e+NZ{`h9|
z`9;Og&)xj~Wcv1oKBLq7pU<m4GjsDx5yle@Z~wg6e11djZL`a2vjbxm2HTdsx#4v6
z;Vbssr>CZ7Tw5dA)ZF}8#@?+3)cw4>t8{ZUZ>w;ZmgRie@bAuFMSUC&IUMXMX$3WD
zL|hg)B!U(`zqq&88k%JlJ{2gX>D+3~Ui*h>Y3~2>_h)|;UJHM5IbYxxpG1#Hwph`*
z?eR*#J}~-JzKo42FFxs<T<a+j@#l1m&A$h+2~&<Vbhq!EYH%R^t+e)ehyP~(PIy`8
z$=SAbnP*)&vF90&W_nZY-{R_Xv3`vS4-d88<g<+|Y@5^fK6m@wwC~4f^8MM8dHFy`
zqm*gZjO=YWH<MhL*7>HlFvyCvtu&t0aLn{=%x1sz`Nw`w*NslQw&C`q+ZO{<{p8p6
zgC<OTQyl%C&;DMhwmbj+KDFx%#cT#o?oMORxWBJ<+1Z`SvKlr8FCG0D4_-5@GfO<g
z($d;GvtJ`3;6%di9djDJ4PMw3TQ;AKFuy&$c&2MzsmK@W!f$VGs%0IVXUOmH`Po@+
ztwkR9jZZaQl=$h|BC^sUHYN*HSV&cc>M$uiaAn~VVUu7w`E>@kz57XhLqe)ZpIYhy
z|K*z%4=qhC-ao&Q{ryhP<m2`YCxhe8=D9^>apmoLmXvdUlc4?IeT==cr@fKSjTd0M
zwvOxgmGZbl@4M@dO;yf6aA^MX1?ls-@A^q_#P1iMedu{Y?T;GcdpwH!pH7QDlYQ=6
z(XpG??ayaln|_)_Ld#F^j+of_42IilcI(cu`+nXy{d)D67lnP7&s`|7dO0U^zD=dk
ztE;Qa&rNHdQ@C8>pek2P|9Q#mbN@EyNxe=@IuIULdDP-p$-enjuU5X9P#5RCeD3BO
zXB-dIu<-nR9>fyua<Je2Uq%b(>>mlc+kdj&tNWe1CHMBXIqN_z*gtdUo9D|hF`aY!
zw}DSD{z38KrQ&CDKitY*pW1%K_)z2d>AIg5F0kVIyxTxkRrUM}7o*3U7~G|fXS}?$
zwDOsFK}xLF>7Af;mDAUIfmTxRGO=<oYlp2dFoukzd{Y1L@=xwH`@fA#=fyvm<z4;w
zz`ngdl$B0#G24}=xAY2T8x&>8->G`;V)N_F>t)VAEq^fYHg}#|_0{n6ar;fy^+#P}
zdv{Ej&b#|l)E?8ftKY1jU!Np&ZGCL#iW7IQ{d%dA-CL?~tL#P3?EL-j_iXt8XBx|&
z>O;9R{+@k)qs+k8dO73ie{T+#f6DP*`@kw<<I8}J@^`n#&up@_-Yz+DsrUDLFRpD;
z+x3;F*gCPH5_Fet-)z0i)L&ler=EEf@>=u9|F_w0FrnJg@!V3DJ4OBPUlxR~DEPNY
z!RkXZ8?TY%TkFzSQ~uU`tgWfp<H_UUKF6>ATtS`HgMOP&9fC||IX4ofDS_7f-(2`=
z&G-2Kzor-N$USE%{Nm}E$Pc&k_e-|VoyavOb$PLO`~9>Su>&6}x7|<cNm=muNYUx1
zr`R{8oD>30nx~y?KKsLbz7kuxN)iiGd1``n?)RdZjECPW_nRBF!m8^p^NS<W-r2`F
z)yqz%cTY2Tu-SQiUv~qC#RP}OLk#~r6C_l?9jHH?dp_S~+md~eq1TDYn>RG+P~n#>
zX(p@Z`Fs8^y<2wSrhfj}N&lqk%!F2~ICS99PkRMLJHFz5zvaXJ|74$6^-=b8<*)SB
z>)U*1EiAv$@Mc$Z+Z5-!RlJ5@P6cxCtVvWg?me#mdEfDc%z-<~FFjT=a()O~3ozry
zhbeEeyR~@M#P8<Xy)FOPv9I+HU+dc)@qPTS^;)iV%Jk>)D~<H_|C;~d`6Rxc-CWGS
zlOJtNy{_mZ@w0z&)TMn@xBr?YHgx{A;Pzv;dd|mc*~)lM&G?A@^22i{v#0--%sRjI
zhtC!F?!G>=>U+hS4A=j}mt1sJt2!`kN1tbnB=3Qnf4rt@&HTeC!k6R87ReT`AGha*
ziuw|R14a^Y=L_njKL0g{XLNqR&L@*mBqd{2a>7OQMvw8&J<kqt>!118Q-Ap7iQA_y
zoHsMN)$o%mW6n-_`#KxY^qa8ghwJh6l3^m8Imb?L-8=l0-R$)GzNUFgV|SNHK0GvU
z<NBU81xs$0%{igJzd`wRaO62vg~S6pYeIK%bTs4(Xaq<+&|x~vT?uJ_J@|S4dP2bZ
zuoa>i_2+ZKKP0F9Tdn`H)pPRvqdz()zYVK=pR4?F_SUqz)ux~Q(pRoH)Ufu#AwAUv
z1_f8Qnm>M8Zg(>8{K?5LCF(zOtoe~_Xg7Z=$6mb``TMk286;?55IxBrIej-5^Kqe|
z54TszR=jy8x31!h%_cs(*JrNGj~3Was<zzVKubf+<-F*=gV`1J=L*Wwc0d1BwQl$F
z;&UJByBN&W=UTHF{FI$5<|b&cWxnx85w;9NLqoOx1IFiVn(O}lnyFenXX$exrgvHn
z$+IPN53n@*WSw|_Q_oH6g2crGZ`o%mO!Q=uj5R2J=JR=9^6|c#kH5TLzkgN-0~d2i
z*Uh+S73S%t1({5XCAQ_?&r=e7b!BDptE3hNR{n1jlUS!K8XRhvC}@7C!1>!c_B9&&
zt+=WZ{N~wI-do4Ht$Iev#kebOj;XhP&zorc#=d;cf*+0>Tkgp0^;u|<d)fA=x<cZD
zA8$&QgDTP+93mP#ALM7AoozmUb6DUH7YCL%!ZU12S=UM55}o?@^mM63sor%*cf4+&
z8Tm*0*uCWKvi-ihgzwjV<-C?--}S1|aj6o|hD7#nu1Ovd(J?hIMSq-kzdvJ}mxRW>
z#gk{%Ng3D2wTmAYuZ#cv=<1W5<%V1e=`9ZL=G|yvjIL|EbNhGUHM{g8n{!3`f4y3r
zw%S3@JHdoOHe>eWlkZ=~7>n4es2r&|Zua55mNMI!&v~vK7dEEHmh6(uJm4}hCePvV
zYw`FR!I-22R_peDyH)(lp?%hAv9R@Vxu2K~)ET$ir1&+=v#m}mpE!}N$L~RD$tKC%
z11g<8H4i4Sb1~$YPYyGhsrXs@$sN|l+$Vh8DTkM|zw!V1>FIevzfY?U&z&d1Wp{eI
zzWs7fW;Py!M?GhXIXxsk+}Rj>8nleM9bBX+KD>9v^1FN4{Aa6Gl^(>gysIwORO@*e
zzN+_>7+c&g{=6Mu^LFP}erdG+aJT1W#AfAdw?3_LTgl0sb4NAt?V1lSQgpg+s3$IH
z@jquBuX}j+WSPyPse!W&-OP!;v~SU!=Xuwk-CK9z?ycuN-`2$LzINtcv1f}9i_O%A
z7Dj(r%dOEYE(hj<qOjnal7WFi^|wQFrPgojXxJ@S(B9E_R{HwGSBkbD4=A61@b0(#
z*6tVcHeOFSx&E_Psb=Ha#O-m_oY(&B<ca26;w7PRkU2I=khw(5Qduu?0ZVgu*LKkM
zj~w@$=v@I_zJXVj0&+kLN_+jxyBat;9LyS-S{d_>Us>qPUa)qF4~vUJJ=41h$qI&s
za(i!GIe0<a?cv##|2N-$z<Adwu<;~&c#iS?{U4q*|M=3p{p0gzhgU?d{$~08#_g#G
z$_`F(|JS<SZf;k{-H$7T0!;laz7_G@(A$?)z%OGf)cc{A{j7Vp*q@H-yuDKSwFb<G
z*VsKVsopJgW8eJ$kGJpmf9|#6*U$0>87ETxszatGKZw~P#5U*Kik(Xm^wb}GYW*`U
zV#gB2RW2-E4i1MLI3K(W1C3(+m<@`*$=ro&AI&gKX7OclS-`-QUaq<#|E*WR;*iK#
zYa5>G?{AE5i-v95^y#_D?XuQ0_x>OGoIi8DUETZAJ%7&Z|9ExI(iituzqh>pT%G5G
z+`ZGO45hEGo!@-El9Tz)%?1ALza$DS2JHENGpBgJZlzMW)`LFQR#CQTdb9ei^Y<{V
z|NFf-rm**OUHs0~1{>_v4&IvjV8Q8f)d>axtL$H`ieYg%02(*xZv^GjDRV&16&5JG
zt7<F;S_KJdPlPpXaM+Sp^lr`W)jMydoj-oB)Lza0Z&caW%$1ruUe4;?nERRSOte(v
zva{#jRqijnT-76SN7h+izSDe-M)Mrc<DZ1tcGx};=D*t;{_nG<ThA`T_(X*@|BwE7
zQUCeD_wRDAe3HJMI8q2|MLa+ADLpMkV&j5cTh~4;=l*A6DUdTQ{)oesd2`h!K0NXI
z_@h?y|2O;ZUVL*mRN{tQ>6KGa^Bbbuw-%O6|E%kOsKL8%wUM9zqcvz-$VSlcCTLqo
zfW!m46X)&!@44yasm7!<!M>4IdvSq^A+vZ%Uev1<FG}kFe@zEB;dy16raVdc^Wp7u
zi<$!Yc{LB;elFA5@qeA+4EyKOTV7vawLQ!h5nT}q+Aw!!<Gak;8@bc|{WxA(+htuQ
zBK|dt)9UkK_Z~Noy=)KCdssHSH99a`!q8#${RM`4dtL--&#q)$!xP^$_qJK`l^M4`
z{E?QQ6a1~`{IZ8D>+feT64;^Ba9Vnjknn~ZQE3Gyvi_T8&vu=CV8Z8P6P<rI2nsUm
z$+ca3Yo%zbE4n&N=jip%(`Nq@Ieux4(X(26<=@xR<#)^XI?sNbrv0et+S+glr`g-}
z{4VnCRkumff5kHG@P&K6GEsSst)3DZ9TWC}7V?6YOP^C)^9i(>rO~1Bki&Anxjx$-
z&H1hPn@QqpAWN4sytkwOGHA|i*((0@Cp$kMICI|qz`WSoYjsa)EpmRICsF>rdB=l8
z-RD+oKmL-r=l{3v8QGQx+xg$QUz@3OWkuj+i-XQ>v8~bX=VWZJmA<z2ShT)<rY>Vd
z`;#XsSJuT|?_lA#{~7e{s|cG_>D$at0w1clIDKbtKl0W5e(Oc^yNTc0&*$c_f0lE3
zcP~-qPeVgi#)TD}w@P2NrN`Iae`mYVJ^90{&HW00`j2+l*YSj|3UOV(;h$T@3CYR;
zkqd?w*$We=@0t5{dHg^9b^D*LTO{&wO7{Mrs!Z48?=UU=y2^U#pFLbt4ITvDS>?mx
zasaf!r%DGj*1O;dsK)|Y1ElIbZN}bS(7Xq$aK(?kPbG}kNUsW674YGxU6E_uN%mTn
z#^BvECzWx<pN;Phe`jfK-x93-cXt6N^ST9VtU&ubl|e(3?eHw-5D*~n?aj^Pvoh22
zjJ8fH4^{1G$mXcADCc&{VxL+ykMa7KZ3SCBBs64}KZ-m0hv}kw|KoF~Z;Nj4NV>0{
zxZuW%lEoYy4b2=L5(NStqRLE44=#h;I-}*y7SW`bZ8?$i*_NK`c1YyZxnChM`<vRM
zNB4BOtGlnqt0x}V>AdH|dD#~dQXTtbUt4ZhvR>*fQD9jVs==f*p}V1l(N+jFUlvfr
z^plHO-EWS=-m0(QN%92+EPm-JiJ!I<^lbaY!xE+&cWC#at4}P$JtYe6MX1j4ID9><
zu2K9wm-Ek}b>ankeI*L+d8|wk6ktpjnqihZ%eXrnG^C;Q;48}~Q8qp~n~r;XtHEnq
z9UgN2*`OoDsX3G5wNTWL*(FOkM4BBcTvV7=|44M%f2s1?9#07kwz|7Yh?&PYM}_!A
z(EVT%rdcA}a&A5nog1p|av+pNXp(=@spHy(zpw5M+<55b+FvP34>Fk4{Zk(-ejlE6
zYR5eeo)s@%JmL<W*V5>ec*zyyS;%D8gKHp%ftG;!%rfBwcT&6D9;|M)4mh@6Y1j7b
zh%M5kTRB7~9hh~Ny+G}=N}j}}RTIiB{uyyH_oc5Af(+q<63&6EAcMqoA{hQ%J`P%6
zupon_@7VH3JI`N>h!!sc4Hb8nZC)qV$i#6(by?D}Kcy9Krp;RsyZB2{q=&?X#aak&
z&huA@PkaDcak(aP^M|k3<E!iZuQG#%e!H*B9XV!OxMNNI@1Pa2p;g9>mHYGEmZzB-
zu83|9db_NpG3e;}Q%H_d1Ubs?&j;r<aeJj8zH@)@Hs5?f;$4N3jEBG0KIyuiboc2y
z7PGfjJ<J!68y1Ev56WNF(ikMqWQw#l*~<syq^;NEoPB(Fz=I+p{RbA_NZDhSESo2B
zkM}C`pYHIEcS4Q(IaN@`{sUgcAojsPgFPS#l+66?eyW_BuHUaduVT>=(UlB>A5xjR
z|5-Jd-tK?2%2D>=^XI?zKC7L%*Zixku`&1N`r93HYqK9ediQSPm7qfn=C+Bi5HpDD
zg-(P^%&;g_a&mSCFSlNh!m=-RleGJz%Y~j1vnPoz*<CyBfd0eV0n6L$-FS1}nenLb
z>^K{+LJ{gD1tw4%<R=&N_Pb@$zrMb1-<W({Y&X+g4JM@z{Eht2^BgU;xt8ZoPdlW<
z<f^p6CW+t3Z~iga>D#q0)t=iIaj0Q4=js|z-4CjL7zGRL8f#h`0~fm`etB_G!m?<|
z=jcUJE(h2-Qs!DVUr%>i;JJ6!i#xkYHlO^>khlKjqhD{?H@+{BQH#CKntFOl;p#e1
ziGtM+1Cl`r3la+pB0w>zxATb*Je|roKd1<@T6Xqk&#|vf7Z%qh9~W5_v}f9ZS!aLi
z1U|5Mw`-Ga)2f~EpS(Dk<9@$bnGE-;oeL;3o4EB3yk5V*&#v~@4*{XlP?kCV3aWp%
zsPg4F27J}xcrEnm4_9cjyAVfz$B~amM7%{Z+O_^Yy}OBDi0w{M#1##MZ#9HKzU7m(
zN;uXd2^v?L$aVFok^&PeXf82XiT%&1sfQ2D)8*dh82&?~QFV2>lCm=M<Fy`*n^Ny)
z|8zLlIKkjSaQ{*(`10rnOF^Cmoyn1UdfLLk#cpx8YD>LYyyhQBx6gNW@?vBAlF@i7
z&q_Py*OZ#&tSiGzPEIo29k92^vPbsWKkEn&i4SwrgKb3_(*<Uj=g%|l3Z1E>z@(=D
zT2Z)jfmrB6El>h<bacG2Ih{Z2%8G}pzO9fG{NTw{e0cGOBJVA#d?wq4gxP+oFqcYo
zY%1bJo{MGbY+>w|P%{l;xijrRZ28?*Z~eVja&Iqh>ulh-p}ryEAd}B*GvA6m9S-{r
zIaFpxZ{4!RWXfizxuA;Voa%<m^M*BB3)HQ)uIkRq6<zu`=GMFLU$@r&-k9iaa*QXh
zlkaTS&Uog}853I=`z5tZLs;%iVrXqNzh84%_x3Wk&IXPrzM$#82?h^R`90<xa>&Zk
zYHDttIBC+O)Y!0cmjkt&Dk}4jOyB?JfaDa{`|B2RtcbZ-E4_M}Ws5`6D^Hzx@lK-?
zhkB=PaY|@N@7>wL*lxq7<-$@kt)Yc+zHC+Lox`Ah$OpzPm;czbHqMwKQS$DNB)Hs&
z@lMG55vLPdE>LS_x>1Sa)y{22SDzVyC*!+#10`;3ZQNS2VCCsor75mLY%-pkKcuQI
zopY%3O0b8Yb?!O!Aphv~=jZ*DEw?*KXiZm|dQVoU)`G03pEy>Oe2dvy#QOT{P2>HO
zI~_bZJtQ<V9q;NhEp>J{<nXefJZ)_y7kGwuL*ij@jdkEF3!j|tu{Ws`J?y7;#>viF
zkXK-O-Q3C4s@0(+M<^_}tEryVKIW>v2yYg<#ZtL&5v{}nl~X3`wA-86@owa_2`ao&
zb@p*Yl9bkz@5U#+&dZ6eNndI6M|+yP>g(F2`_I><zWfs1zI8S0`KLvD&u=-><da=4
zGS7I^n(LPL(xcvA)+tnc`y_Kobh~Br`(XchOu{LjEK03}x2_6zDo9Yh!ue?DrpmL<
ziyA-A<IdNPJk+qgcg3&A+Mw-w_dT9#@~ny8&R2e?kp0@a*w?jt!}?tgG;_4%_Z;hg
zI59&yirv=Q#LT$b=)B5-nYXXb{+5{iL@-8BtZPGGsqJQE%P8H%1BT8L^~lS|7`-K)
zs7*Cp73%bL)iuEf{aK7t1v2(Nb4*;YrT37-p-z)x6}9DxnwGMi*IxfvVv=nsa^Zr|
z9;x;a=fzf`Yn2&HSN)n^HaT>^G-$(GTk|5dP6tH}4+#wx75?2S{)q`MFD;#6l*$FJ
z6sG7kUirdvCQ`1mWA4Tmx0c!M+LGC@DfLcy{_jgmpVn<npKIA%dYexv>9%4?o?wq)
z*c6A<`u85Mv=SFooWK39ne#@efneY?jsq_g?35KvS1avsW5|khpF4|DP3S<duIXQq
zz9XuSBWgB@ex1-WV~6mwa<Rq9XzR|(6c{;~`C3*kayifnns^o1*1hUfu*3}Oay`2r
z517F_EeiOV+LI=Kd_9Z#My0|%Ar7ep#jAh4yJ)ag$)D+Uxs1^whtqAQ220$Xuke4n
zlgj+fN;7dm0e?N&>(yc`X6!n(n!`il!>WfN=`|goDOTw>Hm!~S|GuvW&3S@DZ-+Qz
zoAm!(Rr_b=E^)Z9uQGN@AWP$Io=cM1-^xF~%TVShC@b+e@1{2AmbC97hkJ{6rRK{h
zmB}8~^FFb8+NZ^V9ty9n^0_#B3nb_<#G5}euqaSrY}cKbQ18<u8pyC^1IrdY-K>SN
zmuKzkJD&baKk-0%Ta@fqBPJoXJvk0*-#yXf0WC~<eSJM-9pZPD4dzyy+}Gc*AD(pE
zjC<oJftDGjCpTSEJh1g@^!FnP-YGqzTCyDnZKW1w#=iHH(oB4CC%spY@pajeq{r_f
zSRw-%7xHFFDk`klm3El>*GijtGqPHmPBb4cxYMQf;)abxY&7fa4yKvkK?!Sb^6Gh&
z=8T-o_ssWIaxt&p_e(1&Ir-x$?e!J$>#r&celTJ>6SeP-SI~|kZ<ANo*R@^qb-u8r
zZo>4hmuh!!{(hk_=e~4utGoKb^4+^$WUGp%q?N@Ra55iw=gc`xqJT$Q$FSk{k5;oa
zsVt0YJX1vb9TrVJF@eePNn>)F^)Wv;l@+bezD}LKpu*+ucRfz#ch+WEGdZ5PI~;2G
zZYy8O#q4kMkp;f9Zl3dl^Jgzc?9;q;%TI?Hv~Bxyo9S8&rn6yIRV<Gu2W|ZAAXE0%
zy6cqa>6x-@dKvG3?&cAhI$58a`A;PG`H!2g`PgT>*4A*9)}EWcRV(b$xea`!7mu^o
zU*lpu&8$Dk;6Y>aGPUjoSFr7Dm0ZlAT}rb|GMVOqDv^2a4x4B6$s3<dns@%n^%h3g
z=NA8-uhLNcRaD{^Xi<4-s}P$_=9VjAf<M|p$*fxHlL#B=emVGBJ)7AL*KEb>|7>|@
zSzoqKiN|36@kRT;MR&3YUszYkaVz3<>6G(}f7pks?w$P8W?SS=n{5%6woxWLM~>dz
zYd_!JbLP(1e}&lYl%`zK6FdQ4El}<BNrcU<U#@rc`hAdA=AL#2<E88G*Ph9ldCceK
z9i!fMqowm@lcSe?X%3rKqUNL>EtZj*>h*q&&&#rUjy<sw7x)z3{oQ?jdKp9E`-vKL
zukV1DCK%l=xnF+2w)NG8Pft$^ii@{vuivvN;QDJN!4GPnWSePn{+p_QV#1FP4|hDD
zR}HBEtT_wvzsgQ?*pM5)XKUQO?cc-f#pd@kPZKz>_v-9uCkJV5;i}cgZbk21pngBP
zwb6Ow$seU>8Q*NF)7zn%@jUD+x6{J+-ZKyUl5IaDworHN{QHWwQj6*e95lCkTorF?
zu;ygGyxjlzJlkr}-c-?LFK1X3D*gKYK40z9r_IyjsyJU?TYK1DzP2Un>YMi=#SacJ
z{`tQD|Is#H=?N1jHVP`cJ-Ao>{;Xcv)m5Px7ZxzSx?cYOzH4|)A*)>Nm%uOY?(Pnd
zDUNq(e0D85-}Lsf@O5isJdZZLXqv6Uvx8w%_v#bD5)brurO&H8_U902dHD}E#=Pns
zuM?COB~2>$kg-WLuz(Gv<XrJ4{$O(Al4bJ`P27<Zz|yg`Z^jPcYxiEJURY4ZFsmhG
z^EQb!eb26$HE%j3{J|`-!PB}vMy|6XcH@gnyX<yFEMk~1I5S<~!TU9+>kQZ4k9=yg
z_@mt|uj)ew9{1bleTunT_xr8hQYZKJ(;4odwH2{@t4!_w|0#ZRZ|`l3*S%7vM&3Dx
z4;?!5JMnPa!@b|{Ie&h3_H(ZK`^1!kXJ#5dd|&@BPc<?!a>vJG(ifNe%ik$Ft*iF4
zJS|OaRmjSuQ@;NG$1f~&{&+Ke{!FtcCT?fX%rs`V|Mx>#L+{FpKxXs%HO6|o-xy8b
zv^i8vSh)H1y4`&Cf4>Bm{Vq07xwy#n!Tr9-&1nmhj&?oYT70mH^~b;8?>`=7m!IM#
zACmbHRH4bJ`|r~7Ph1eaJ@3bd!~CF4vHq|6Z)q_pJ#b+9sD5eVe-EQ?vX+e+*}bMm
znSOc1M8|?xV!yqyDtzm?dU?@Pzb!X>dn8)=szgo5Z^=yMiS1k8N}m6CE%ERFDY{H1
zd@H-$N|^!{ui7?6TkhrN^2*5u0(rSVe<oL`+-ke#c%H8}@Z9+*ccsrBOp-GrBlc#>
zZ*!A7D4Mu1?Yh9sY9Y{)h>K5qK412?e|zb_<I9fAKcB6s?H1GZd2--x`TgG8dAoW4
z{`$Ik+SI8>_tpOHNe~ngYSN9~_F!SV+@U>{pU;&4y!rC-^4`Vy{vr=*wZqncTmSj{
z|6a?BtpEFU`ph+pKhM7{BrJST_t?uG<3$QKHg|GN|6L7_pXya|5VR9W(m3tG-|zSB
z*RKUF(iM-dNnE53TQj1!t^8i)@eR8^pR>OEd12^k9#B#KUEzr)&z7vKr`BxFy18lT
z_ped5LX3hMW{pdx1Sh1G$+<rYE;RY~=C#G^1qJ~(_uFXwt^E<bS?=b0?RkIiod3ms
z>U`0TKkzm8|1YFRo#d}Lw;?UCXy5rQ_l(&cZk@b*t*qzTO{+`(bB)q(S939&GHWZ|
zu*$l=qQ%NoYxebn*Is8`llyFRT<8N^VnfsQH>ne^ZJq*Y7H;?(5Py79aOOG%0omCt
zp|ck~h(E|5{*~MG(1J62Ii85IDJd%#9+xejVNg`P`DBjm+&BLAf3L(8KRUwsIK}SI
zhr`b;4n=RzJ8FKvhS_Ry$vnwJ|3O<tGcPY=V@Q8l|L<pd@UeS)t3ewE({@;1_H~~>
zk%{N^^22%OUsPN^$;-iU;N#=tXaAq>DcR8aYrajT(Db;fm6@y0cJ2c0#?s9C{OoMn
z&Ahzq8ygh=ec%6IvM}k*jg8N1?Gp|#D2v=Mb~ECUGU2$q%-1+3CijV3T~EV_Ovo~m
z9dDW*Ic8*J%o9|ctmbPpQS$Art;MCEL*oTO$(%`tf2WCmV#EF$*VaTfSABg|=@EX#
zM^K>glA6QC2QIHPRhG{+doH{({k&)W{NOd`jg8Jnv_Jhazxa<XOT_%bY1cT|>Qc|V
zF77v45wy}~RoJSARiUS(-S=muzTR8P_u%=pol&Be|JT*<*~ChquHXGt{rpt=@u{V`
zHwq={^EwV4SjSrsc_Z?8z%x0PB5vmUwclmy{{Joi^W6Ub#@h~VA_dFlo%;dW5;)5=
zd)C(9JXh;KpEW;gJEt=JiuT;oiq35_Hq@(KUdC}?X2!V(#k@Ao?`NtvT-^Al@AZ$F
z{0(N+-*RgHe!cG2u)+ND{}amn3K|+GEZ*tN-+brksi}uIpSP>_+nwvpmot&$o7K@1
zEL|Lxs)ln65}P7#Ri8DzE@F|vb6K6e?d5aPg#ihQsyh;}tR(62+m=3O#*?59qo`w{
zO%n_LtrcRMbN<Fv(<hodA?xGr{yB6;+p}x6^r~Nwp8TEuhUHw$oF~;kKGnA_U`xHY
zJzIlGYySRoSN~?Lc2!lJFsDlB^mW%oJZrw*nZ9MyG^fln-iIy+et#y$X7T#m&6Kte
zw~ehn%;8;r_}teoCT(AXRUX_bapSm<m^fos?y))NjIOUSmS^bwt{=Va%$mgQs@oSY
zzO}0~TX>THV(0UcdC$Zjtg$QRztQuEMK=80t=n^=+dgcrIrrtciJRV?`u~5I<$jwc
zV^H*PlDD4W+te+2d5b#^s`BaVUZ4@DXIb&-q`L1Hmc?9L$s!JR|9&Lzp8n~3wc}4=
zu3-0b*%GV=Rhd95K(_kC##@0_fbf;4Uz@eu;KB3f=^|H~uK8MSF4T$!?e+m3a#XR%
z0JST8-uKDVdt0trxeG+>F10s%({XIZLEVcBBX!+HRvLLZC@eQ{X#f4`w7&V~cd@%l
zHb!gB{UT>uHAgt<{DqQpH;iv3e0p+H?Uw2DY0W)M2`P-zH4G-or>}{xc*t7v{@&dy
z2dgZj&u{%H!d@gbH}~JX?AzOPB~%am@7{T*u~RTtkYmq0PKNrQPp9X6JQ&8dm~V#j
zgSXr7Z@Vo&d-0iS$-)V@HA)Penoi4o`Za&fX;Fzo=gl_!-&^%n>r2OyB})`cO|QQD
zn({2ez9D%_$;(SNpSeYLJ>0e73aGRCRk5Ig`3)EIAJxF!Wx3$a2WW_>aSunuECT~`
z8!qkbF3Ar4&oYAaJ(yoFW?951fBcf^?u)x)V`Jp59dXy(;l90?i+Rr6=hapR&zzZm
z`^ACczc%&nOI}^k{GGk8zrWv{lY3_{!`y%8>YJ|`!qx)JwN9_MFM8tf_)0KG!aWA7
zTO~(w;maewytO>!>FJqJ$M8%#VdtFG_#FigEtL*@K5w7DD5sc9D96FkTE}2Hqx19S
zesi<R9YB|tySTVKnfbaQH+c%f??by@oY}ou^vL14x7Tf(>kV$E3YN7tD!cbBNIu^8
z0JOmZJi2;Mfd^?>x|-&)Gut^05?@|2-7OHYR3=aI)i0IYeZK$a-+L~?W-<GW!2dmI
z*DY_KpTp?$>-vtu<Y14Ik}Wqs&+}&7areHfcx=id_MFbwLPA15CJfF$wL@2>Z2A^#
zvA{XccwgL}ijAIr8RsP&PkXRg{g1J7U~CHH>~Yv|bL;iE>?5t*`g=aiQQGlWVLN}y
z?l+RJQ>N$@%ib{Fwl!>RRGIOY$;bD8zt{ck?d{pWyRCNb&%Um=`OTquhIi&q6zwy)
z-6#C>sdzz3Eo>D|e&&?CaEXKn;p^6cj<6#s9O77P6q6KA-+G$#YR*|TpYjC%w_6r3
z{~5#N9roU3+17b~)@k34?wh(*e)XB9EJa+*J{%`Z-<B-PJvQ^h96tTcca0+-e7zpu
z@7&He6SNAz-}dX2ovodJX8h^%<6g|M!)*S-Kd^-W44;_`q@A8IJP2aeQ>=Ki@%RDB
zin!am%kza99UdnB`tnlkz1ZQer>E=ZPhv1)YV?-MINm2~eDmARxd{mg4=n$@*iii2
zd0Y2SmWq16#mwhE{ixq=TmAFtbl=%KTpE<zqoQVM_Pbqd*llDW?i9D@leEvfIR`eq
zl`QVP*?mjn+~I?D!6FZYdOkirKAVG6%}r<3gupqwO8Y;9W+vorU(&j{&ftK7_P?OG
z{dKjOTfM)6CUqM)Di|2c#o2<^L_W+c-lnjkO0=bv^DD!mtfWi<*bt*kM!Qy*TH#9p
z=oEgR*4zhkPJXmF{rA=C^|RLgkuW=-!O+EGrat-p<%{>X=gT`eIh`r*aarJSpo39L
znZ@qs6XC}q#^nskyo(ks>e=l7eCqYQ`}^*$*_+<vyzXYYk;}O|!5R|AX+4o;3EKH5
zC#f#8aWi^irpKI6Ez#;dQQ`TtR-Xb7$A&}Bi`~9`o?9^6{#i`I?i*rm?gk$fZ=aK_
zwYqEB%fvoeYuDt!50U$7ep>pT%0KN|p0)edByi{Td&vz^Hi@*a@9Y2n-Xov%{`I~p
z74X1*fDqF=9Ud8fuk9|4XESo13ca}aFXzTaAC^x_8`3`8z1dvEZ&0MLV%C0#ogEJE
zVmV_%)<%aoUu>Aj*JCoFvtha51cL{e%3%f7Z@HL#P8_lg$W07q6<@3ksaI+yHtgnN
zjtsl;YIeN)0!I(ulHAJ&#M|9!_1GFqZ}UBJD1nSEZ*8wK<PiHS7B9lK<H*5jIuis1
zE1!yMSoz*nWLl@Jka(bUO>F4o*u;cuYa&6H<#6tu1l>>J5XW*SRN_a$JFzL7uYLOV
z_L5JtI&36-O-gZj%)NCU-(Nm@B>2HK5wuzK2xx=79w*Ocehv-|Ih(txOiMi+4moHZ
zDi69^*4lX9?l(`2{@0hw=Uc6e5`_%>KHz1!6DaYc>}1}NBg-FOGDw~Ey)Au>$*&Cx
zHPTL5Ka#H;c(ycfum9DT-G>_dc{f<S-U=QxyKqaC?OyHo*vTcW;H@yA`L-vn2ky2s
zf{wJC_13-c>Vm#&VhO3H1xf)rOfzRj&e&U_T(xCoZ})C<oiNE=D+^ductrXpuM=V{
z2Zh01w<S;BZq(*6sMgx<@(^B_%~Rl!>GD06ZG7!v+rzs7whkvBf4MTP$04c6YTumg
zV*I@?yM7(y`l=^+cF+511`l*LX@#@Q0TqtLKc~69ENcZF&UWXG{wdJh5O_@A#!;f;
z_if&c2y+hEs4JHnIvbohkaszFRBAn`VY;WrQxLuH#*G`r+f>iod>y>p@8R6?dk1f9
zOg>xIZ6x?11ayvC>61@++hY?S{QLdheX^P_xE~C*<4&x^kKDJ8IX8scPygC}J)yI~
zne)u=T4O8I)t!!ax9o0pKKL(ohH-1-{JLM9@Av<=n^*fSvgGBZqj{gh*G9Fn@k%YI
z`}>RUrChnhi|gy<-TGuQx9|D-`ugn7?K_K~&zj3wb8&}j^4(pfhIM~_B$eM6OcmK(
zbT<Pu(zTEM;5vhX=X1*!x%bQMc-&{bXZ`V46G5>9+Do#-xvz2Y$IOa%o3Bb3uhGs5
zTmNRmzLg4s3XMCCgq)eNpRfP!CE=~vAwnYT!W-0iTwGk{Y;TR9ZI%n#Jfn2=-~9i7
z*zec>-+OZA)pd7&?)F)-e0lPV3kwr2y>;uAx~W@zcUS2#Mk%u#iGP3J*FTT0cXMmg
zd=FY-yj^iiI_PBVlaIe1;?@^gseiBJBdCs;@$Kd1<9XYKgoQtzF+SgrT=)C!_S<`x
zEil^CIeqsx*Fz1v`+Pp$P7RmX@vu$$jJ^YSECw{CGC`cNT!1Z2=MLkP)gAA0r>gk*
zuPWwPbF+xygiWVmbF}4hCV4&6{T-k|d|}Wr?#lf(K{-yEnwp?fAMgJD|Le8><A#4f
z9`~2+PrqTWezNM@o6fn`<&WNOzkhDKZ1lFAgP=pRDnCD4m=pQ?r2o#)#PxA|9gbfU
ze|w};Si&%=B{5{>rJYXEK|w+iPd}bDzptRD$0zeY@9wU-?V9_IY9?l8evLfjkU6nD
zZf{vD<G%y<s$Q>s#vZN)E|?UU-f8iG<_xCvhd=tZx_WU^Ymt<OU;$U-<mE;mE`HZG
zOpVEBVGAkolHC`TQFrLTS@ZijYAf}2KABYf;X>t-<jMyJ7%x=5zp_#oG=OnsMd0C>
z^7nhcCtY6V`|Rt1M&^%SF8j|f{y)#Q`i#{7J7$pH%bUae_9m9wC2|k6+$o7DmU3mU
z+g9>2$i>~gS&$<!F|p#~QSr_C$zKj{zhBpVcD8wQUw&AanBA`z%3E@7UWz%q_Mqg{
z1N%Ny+uPgk`Sa=YO>g;c@9uW{+x=wGX@9zTJ!rU&iJ5uxYX$ymg+D$dhOCJY6pt;r
zXnDG^U&gX&W$^M@Mw2E_4qWahYxDh1amKAJD^JT?_i_IQ9p^DW{CoELy=iuf3ye}v
ziJX~lfB%d6_NU=(r>&nH7WI<&u<zh@Z5|#;qazygn%Vik?UP&W4oU|KOnk}#w*;B(
zb-G=gywncuYOz}5ujRq=Mv$Yw!zDq~zURgA^s^KFJ>m{Alpo*q>6G@zyXE&4Ei8V_
z(aPWb)=XLK!~f88a+d}3@9z3qd72+|D9gRQ)z2-h?Gq2Ne0hIg{(4OD*~(a}k{1Fy
z`sVVP#v3oG1uYi8e)Px@iRZJkpT6JsJFlg!ZB`6h?(J=$n;kaK{RcYaX6u)dYyI-}
zdFz%cpYLEg*R?tCT+e0C=R8$4H8!Ao{r278QK<ay{r`XZ)?M3cett?g!pPb)J8##?
zD;tZRdhPjmOd8b2xUeSDc=KwB#X3K3rKQ~2nCyOdp;If@0)tCCi=W#pmXfjDxASyY
zSC<hJ$L-0}3(sh7d$KjRD)Cf7ntaH&NYG&2d(REpJOOWOo=y$#d8G;6qT0BJW6Cmv
z0xM<i$;(@lzo^CvM1*GV|2oBLI%sO5=C3zP+#YS7r>Af73zz3{@7eriPfMe!_p}D%
zZ}GKXuUg7J*ZKS5;bF)2*?yL9+vV#R)}NPrb$({$y6?*`=jiW0@bdEV&7T=>q`fZ=
zJHpZOdi{PmS*sF*zBj4UW832E|6X+wxbWhQai*edQM8bw+byF_4N9!CWonXt9yIg!
zWH`(=PUj0-6OpLIl#yecetza-h3y+_laKWn9%4JnFxz*Y%}o^!b#tj=F@r}9`@7%X
zoN1hHka0obv+ZKuuB_eV@6Q=a{@hXc_|u)*g)6>3*)#9XjpKVhaZg#2bN96clMtKC
z@k_B@o3wchieG<udAWBMXg3YCcQ9p{!G@a0i8*~<M_l)wYkP9a<-koAv41<6Wu2KX
zZeP6ZNQubnJuQsq7Nw`9&64~)XIs_Rtj7`OXPIjMPHwyP{`U6wb5xnF%ibKY{eGvJ
zm0PUA`0dW#%^!RE{{DPE|NLyr_}~BE%w?^ZeEs&Z_Yb$Qa=CWx-tApj{@t*KN8+3O
zhJ!aGdKj6HwOKbWJS>@aIJR9(KW}M(m*&zF`@Y-#ouumPb=fR)@|VhW+TpjJ)b{`J
z)3E$r`Q(b`n_FAG=jTjNVs;jiFmo`k2@`w3R(rHd)MK8_&a7E_|G%&;_-*a~J92Kr
zqNaz>rYSOf(OB@n;qiU{a~BI9ua{OoEoZc*artvSJw2@}4a;AMxV$*JKv-Jhki&V7
zD#?PydGA!_Jz_q7Z(DBk(}e<Y=U9ugPX+L*F`J~%*=-sA|3!_NMv6(^orqSU8K?CH
z=5Bi$_ZoD8<1U-G3r#m4Vwf7d%d&NP;8i&`y<`C<CkGCW#vAGavkx7Z(<?sFE?4Wx
z>1k%)9B)*x)cMbUaOh_6iio9RkJKl`aG4#G&HFq(-}c~Q_46Mpl`r#r-)O4l{@&as
zvEe7{4E6@;BIo3qedo@`f44H%u!+3B^TX#-F81B!?~i?XdHJkT)TWfquAThSul)oY
zIN8_7?oKO`_kUhF>1^+}rQXx0l&?Lvqj7o0!Rt5N&dxFoy?<tn|Kqmpfs5T{7$i3R
zGtGOVDfHpi2DgpLFE1_q#Zr4_{sH0oD%B^ark;LLly~pu|3^o=MU9I)c`fDp+MSqe
z4NIEZ_SV)(JUj3z<Ka{B4ck8+d-}1%;6csm{4j|G-SB^fo5Os=pC48;76OL>qfm!$
z;)B<c>|2FeRUghWndq$RR`4NPC)z+*`kLP1ySME)E<S3sHBNClX%zS3&SLvdq9(n2
zW&f4t|Jj+r_iyJze(`Yg_8IveC!}>|nTyu1jpLgCI((vo_13d<Os%ENvb)#W{$D=#
zk=3<bg1=T@SB%*9ROHzAbuR?6A8<6AsPnw|#BJc>Fg+l^TzuXU<74|GH>bT)owvg{
zr6G}Jm#;-U|M|xb*ZEGfF7ulkmFvXYE629R;lLl}wqpTIdA}+jt-qaM+&KRnX!_yI
zOylMzw}1eFSJ&3A-jiRt@t+URjg`UbPY)hoY2Gz~qe}Jyx8j<@&*$c_2u}4d+0*aD
zag^~}_Bjo|f*KJ!t?+d+505u9vG3)vn7<)xp%d%W4;OEV1=cZUe^-C&I=6v?IWRqa
zefca=wjb^@^a9%?{oj@{8R;p4Z@cQSW!k99bEoD5)9Lb9=WN~m4a$=HGq&ePi7xM#
zcRRT$PUCr~uPXEIvZq4d-rs9`@AkKC*;?nxU6QkuxtMdM!j9N4?_22fR7xXklT6%x
zzC(RyUl+XZ<Da$VkBIQHna4t{4@IrJ@`-25>Y37(MoS7>9^U#Z!Q0B17xObe<^H}}
zt#|X5KkK=Fed@pJ@9*Y%9WgI{=JU7h_U+rzM-O~%nJJw&_hZQa8yl0gjyo`&J*}2w
z!FX73hINA?qli-6{<>bB$W1Gj)QL!z{Nyfq`*F+slb{<~mlpAUkb1`dVA;H#OgH@J
z+tr5rW0taI+)<g<+M@11Z^i4%tn<D4y_Os^TI0W0-)McbM?%S=v1uNYjQ!+yA9wFO
zw|M$OR+}S_cW5dZ9BJ6|qRqeh*B8xm^K4f;HA~tZ5a8^ReByq-y`v}lAWP@7o`<{>
zIXyd8=yTba7@eDCsy!oN((84n?+aY~`>E~>=%(;zGjH#5-+YK+|B`@{CgPJ*Uo(3C
z24!MK#RnEFN!}7S%D#xrW7*^UbCF?up0?HM&E?m{zPz{~5&z{c8*_WFSytCPmOD3Q
zSbLVcBqlt*^>kLd1D~&m#_IXcXPY0)xO;#*TyO62gPY4ITJ^s4%q;nF?8x5se;*xp
ziEsw2k2xZ}dWJ;*;_rzXJRg==zsWQ|E&596?Mt)MyVm@hW9@(E<x?p$m4?|#$G#X}
zcUbB*)yGTh-p*q8P{AFQpO<~|N|>3)#d2p)yKer8x(LO_oeUM1&hdV}vNHJUOZ(ob
zyb*y7Q&}bC{V%sl-Pv8vKX2YVt%(YUrPI#OTie3b#kD5KpytPig6R{Q4*&W2IrIff
z^3R#!4a@(YVRc))!|`LYB}2r{Mka>OS}zW<XmDNF-Ppvm|8lUyf`r7*?G8S5>rTu`
z6iw`X6p%9|r03*L>!Nri9*a+}X3FpGG&o?fH09)^l|kxBkf2mxv2!~Rc8Fnr!{;qJ
zdnRmajo$O{;lp<YKUu}j{Nhb^=Q(!k?eTyW87DdHV|ufv9C+IO{LtQYb(62nFu84!
z{d=dey20b^7cGiEtq6Pkm-myH#u_J)S7s)<C!hWPp|~w4qBZz9-@{*_`8Ho`d&K{p
z50PvBB59QT_nffDv_JbN7v^<@>Hn71o__9l=zH#0yZ*FxEs9>>xFT?QV9V!+tTMNk
z6xu&H&-*)Ykq>M4yN%1cXQUrEe_np>>-J-P-L-QV{EtL$%aMHN`tYfQz&a-fjvMBT
zf^2W9YZn<=2`4su4PNQ-Dbk0719IhR4hQp@4R2q+UTsuuTwD>+m~iUVW{nxv!ka2~
zSzlqwy}gC)@2>3!Hw5lqbou!)!!ys+HWi<)`eFY)xbWBU{x`?@lb2^KWbgbsJ?-4I
zU~cyntNzcu&i`YP?VaGt6%qebJSRPR|5o~n+P?Jv3x8z2&{&*z<J|+hxd9sr3a4DW
z<+=W;)dGPJe%e#NJ@^;<C;vqMuh;jp^X|l#<#yKA=ezDbwswlT!-drjIT4#<ghllp
z9{*^3VcX)uJ==C#`pVeyY+l}XxbN`26VsHpJFk^zuYP{9IA%|o{NE_IeP3oD_Pxf%
zyiD7|`03{__vSb#9BL3%@D_Gb5aZ}D5a7O$duM5<fkXMyO{u4w*7?KZJ;_<(fu?e@
zPo>k@3)?m@9em-c9<VnjZb?~r=?c~)ncVB!z7~9X;rQkEFZP;iU%k60aYhMwefV=T
z_=?_q`IC2plg@5aF7SW3@WzJocDLH<zW4b??D>{IMe~3BCBwM}2KhhJLe_;nU#zqI
z|F6kqx9r(IZ_Pd(`bR(b&+YAs8P|7k<=x%W#{B=z#h6vGhjQDM+?!V&onYy0_GjnE
z2IsYN^EUYZjZgf(QCs5L#x2f$_RHAUb_@DX)e<#IJ(Z#)&|x5OSzGBZC*x}Yfy4t=
zGH+Lk3MV$qjF_3wY8Z*^#f15lpPz-^JeVmbC#S_Jwz<mq_xCNm+b?d5<J_TQxYj@K
zy|&x;f0~colRo~*^jPuqOXlxL{+erVy_a~;H@vejT8T#|)<Rgh{maoGn%q7@68HAj
z3UAeZ;y#z{tYPN4qFVcDT+AXFS|aB-)@zv>rW|3JT(~ZNtAwx{@6ko3yL)UTc=VSX
zKPWCc`$3CZ*P44(EIU7oZTP;_$a>#`2it!Xzs~G=`?4ZYU?ZbV&L@k1(a)Oy_q}vf
zIOLGCrt6C)%OZb=mc|#xTege&v@l*Pd8)}f|7c4C=*}+(4i^=k52qW~_Hgc<p&OI#
z(|0<?qPFgCbKP6*o2zb1WPU%|{XAmn(O3F^Yt`muZ2Y&EjpwS|eW}#2>%2#V5)(ZB
z=SA#(#Q(;=n0ww!<C$j`hW)ImSiSLf^{ccct&P#<>lSSbKO$WjCY5lsaf93Xrhm4#
z67R2DJ7Z%3@2V>+#Fcw;ub!Ne%5LzrJ~Z_6>FN66U!P_AOga7}@6)e(nM0?w-amWR
zW>fiTh0cE+T@BEcPsxG;i3c`bJ3Z;*EDq*&KG`7CV17B9jFW$hdLjPdV4N*b5Z2$g
zVS~hZ`{GX#K7XIOfBARcfagcr5>9{bmd1IqvsV=`zOO#0rI`@%`obEkbN`El(rcN%
z<VU_TJkZjn`+BPT>9W{>?S(%&pL}|vouTA)!Ff^s_G=a!%GsBliEW%!>b!ZI^{Upd
z5<BYtTIJo@v2fYpSzmV+Jq@xy{d%Fy8BXT5IA-hecOr=g0{<JH*zwqeQIKs;{!iZ)
z#%pP*C#S!?z1{kLPO2NE<a{8&G9$Smbte-u^TAtBOU%<g8N~`Yxt}%sVEE(iZSw;k
z5C1NFHEX`-$wPw9zY=a9inJ*HYZ-HQ(#%}9=Y|{JZ|Ps9A#hE?OJGr`Ojp-&24}&n
zDQBDA&fZRZ)N@p<zD=X*%bP1a?tE{KO{vt@Sr?N~$iA^4@rDdD&*lfs$9BE0x$xv`
z0AJf7hZ_b=`}7yGZ2X`&O|P{<l%vBSfwiOTT1zMRj_eJ2FLsr_ev`ApNCw()(Pk+L
z<vhg2wCiVknCgiYp{gD)O+1eMGvMG(^FMU4n}6ad<=%^Xj{W_?`|SLT4(9Z{_SxMH
zhZtE~=BuA~|7<q5=iUDD<Lp~a5*L*1T{gq!yZ_(nX;nN0lcQHwgze$1Tf2(0MK->o
z`@`P{aau7=mAq%BdY2qIy8H8q_|;wo{;Px{c2tP4#l*&jJ~}wR?$^mBMvc0yjb~?@
zCqFwg6SUB~OI$y%?8xyx+2CaE^(L!YFPC(j&bzy-_1s+R<kQo13ko^yJzpGGJN)<H
zw%psGV`<xGZ05OC2D)5T_V$gen=TRwbM9PQ8@(~*<fI!?lfP)QfOAaYoCBcIiL2r9
zt-n)iAByGcHqNyEl<NIDV9AWB_K}-J)Yko5&lJDN_3o#NXU|u+Fy39cIj=}t>^!Ua
z&Bx32TrP*no;mlk^}q3zD_W*IRK5GAM)N89M){Y@PX71x?LDd8+j0#&`7%}-94L#J
zn(*m|;EK523va#HEb{31n=2cgGp;Y;+V|o&FB_lq+kn?!HXe-I_tnL_<z@f5ub-{Y
z&dITycxkEkYJt$=rCc}HTP8MCu8ZhAa^chS^YSmxzPPe7cw^byD4*G8ysX?}CNt9t
z3JhLdUA>&|$flH&NuU0Ge0+Rk#ziGjF{ksYahKOdn^#m;-V~a7_SUnuc{b0^%oNU(
z2c3$u#B(y}nr;=pKk=C%cX^YK_qle7XkOS|o<F-cSgMSNNr}hen3ZeV6tzPP|0CvD
zm1<q8uTj3dG6ouiP6}2m+hq5)9@oFO&}rkFi<xT2-v8Z|pZ@0lUfHRuuDX4jQ@KU|
zp67=T2W@li?-A>lzjypa=jMyAF6XH|{#5<TW#^~j$@dpzN>`+vVA<!kF!9x%slQ&A
z=SBAai{BFb$ocQFwG&*dMepn`=FW@x?AC7=pM0S_x#w12kHG?N?i>57XA8-$y0)g@
z<mDyh7oiGz@iyJBN~IPS_y0F5c4jX*KFeM_>Bfrqt(QG7Z<?feCwO65bDH5kwu^5+
zT#%GsQtaPQ^>mZ#cFmpkGKmiCZJwO>Gal+YxAAluX-~6Wdc4Wfy7H4s-Jg%{IX5;O
ze3JXD_r=xK)4fi_t_)IDy>@qBt@O=Jsm;sNSG;(ZVU~JI#P@=ClbmhU44%Jtb{0qM
zsW9}LZ^zqZAQF5ga=KpZ6b*-i?mBtG0*MEHUc2uivB2wuea$4rYd^)J(^NnSvOtW<
zTz=1iPqEi8?CPuho=`padFXrYh}}hkeDCkKwts)8^x>-aj%?{Ci&Rf7xcvNYz`mfb
z1?_d;z7+aMnahZun|G~9pG6_}))z08A5}ljyM3QySt(+y-pe@u{@!YCHI_Fw_O9P$
zw#L;>;=$hc_xB&4^_2UHOy1ocY*m^&GL>A`?fE(NL%`ZF=34Pw>F{GOAGaT#%YRxl
z?_T-2xanGV3fJDLV|$zR%&m_{Qzq}tH;V`TtwJSlZUlx_tq5M;ckzOx(uT~-YIpWl
zhlgrvMs3m9_xD@$5+6yas4q{a$3Ob>^Yg3cSyxvjKJj?mGVjip;%4b<tHRc<YGIPH
zWd(HzKIP5a{PXkk)k`KPAN!-ejaS;tXKLir9ksQ!ph2>O$B(<WYJK>+xBC04CsX$S
z`?Y$SyUH`~wU@ZN<@gi#s=vFlbMYaYS?2kDe}8{hcJGsUac}SLlUpQ%t}O8sep)IZ
z{doKF^Z7AylR22rZU3cqh~f3AO(r7$9XdF{4Z#M14dG1j=Pf$Eef2(MnyFMRyQt^%
zNsc{LvQ4Sceu*{jyZp>H-<a)Jaj0Sc{{6RFj07`hnH;k;ujumMxS;Iqt%{$YRA1bi
zryp-O`2%xa%jv1w?bp^u&z_sGzwU3qQZLah85a*dsXcaSeZ)p4ZgIVk<!=&m4>T}p
z#P74YwdJROLH3mu6HlcFtcfrbZTI(Q=@M#Y;|+SmdjEM_^}9PeSA`_|+&<PT4LZeo
z^He2^Y4!jAiuX#JAL|fQ4%n39Ir*XCm!?CEJG(hSmD79U1SOt;Lf>gRl5KpluWB|=
zm4tfovcQbpAC+t_FDu$%!|b=2p|C*djQ)oYCyl>kq)4roV=s(IJ@t^2x%%6iK<O8$
zr>9ANJ$#{ojaMq+=clJ0^X>Ll^>=Qr{QKnO<geF1mCXLhTw#^3xBo!&+9bC7^9_^R
zZu(jkbA6c5^ON<3Rs2FH*2UXiUt7z)>sj!G1s88mYho4tZD>}1XGh_zaQ~>MGeJky
z2efDeENHkjBiO)Wo=s)TpBH-%*sv$|E)<+oe<Mak&`jbW>z9Uv8I5^-7jymJmFIy<
zqx-*RaWIECY)(I)w`iBu{VVXg_XG>yyy}L}cKQLEW6sRqV`*eAS$&1`jZG1&YtfFy
zNfUVj^IhJVC<)9nI4~isZQX}0cQ5P+e70=%$&V%9e!t(px_4*di33x$!>_c>Q~&<#
z&OOOs`-%?<Qwx44OXoaOOqii-{`E}hf$W=Q_xIIa-TU$J@$kbB(&pC&W-Qp6e_zgL
z(WwiJRdxn{8f(wZGWAxq-g0D~ef_#ga&22#IE7vuR@2EmekuFK+=B(}A3kwM-0F)F
zH|GEi$-K@{;@OZ_a&uGa*<)gs_rJjXvx4Q`zTXWCH}fy-otA#U_U3WpC)TfIQty0o
zKJfo(_L>WyxO@H{;^q`SvUz@^<qwZT!fX=D&tEQk#$m9f^7FHYylkBA4A46Q{{8*^
z<6*n}7yaVqLlYRCx7Q&?ri7Bd>W0~K=(jgK^krmZ%(%8@=B{apOgzR9*lt~LZoJ3J
zQ~97~o^AEARR$lP{5{estR>TE$r<rT-@qV%lkHo^na%@Gx}JUFzVWys)n}u(Lrde0
zr}^FYd|Mc`=JYgXU0*j>_t#{zR%in?qW8crX7h*tU)k>IdcGlQ_N55|2JR0o%$!|N
z-rK)y>XXfBXZ<@Y6CI|<Hz+dgYH8YK7kO-gC)3Wr<rh~sC^FtzVmy1_xkH~VF6=$O
zq;X~Na<kbB?ce;7C_C`}*qOseZ&VjQa#`*-HzaBQsj1qlH?TcZUr^q#@M3f0<8`5{
z!&*2r?E-uZ(sd4dypPL{a{0-+=H~P*Pi0tKBntXUP3E+A8h}m?T6*EqTTfUIvB6gO
z1Ak4k;OG8D)t`$z=4dq9@`oOKc7Wwx%bvq~wz?ZGiniRbd*-#W@&_xA{<;7fj`5o*
zop66&?Ww!-6q)ANeCoV+b$;I0udlBkKQq&KqH(&PX<2@Lz5&m)<)5v#*X=tO{44o*
z-%1(glD+)Weg_Izs+bIxi?wp7-8(nedV5u`BI6f_1i6NGe)*(>O{}Y!n6yPV$hHX-
z<lI?cY^eD7@Bcra{l8XERB{bT)qf_rKwyD!nE!%?gL61vURv5c!P=JFLao@%u{mZ<
z;uGI@=K1$r&d;+w$kIAz^48Dd5y@*Vwbg<e;rp%~mRf8m$hIP>?(<pm6_J~lnckXi
z25uyQy3kV&Y?>SX!Sl}%jZlNlzP~3Pd#u+eEdK7%{qOl7GT-hg6mRNH%hTW~U_RHr
zaAS<(`iq?mYfKJQ)ZRTdRsHiBYXLKr2QM!#2d%dZwQB$!5HUq3rPD4<;6dArIL^7&
z<zbzSKdnEr#O$k)baZrlW!rYcXrqGplP%`?_f~wnv3~Y!>53J{HnsS4MJ)4?EIr^>
zUZbzC|2HJ!*Mz@@efQ_}cpeA!8%$IVZ|ePK5+>P=;-??(Z>GoB1*%3If&0h0QT=@L
zhs*NnTQ0S#KA3pu=)HFB$HfLrb^1*kYEEbJybfW@4EECF&eo5wuw+|vw||Q|OG`s3
zXNN&T?7VLmW_21ISfW*OKWT5MA*2N@z|`mP;C=A-f_H85Qem1;I1W!Wv=V6a{jIkk
z!;e2if9A<Xx3?C~qKO9zuX(v?vG8z$vi^K_;lzfIp*?exDn$_nIVF5rwfV#xJ5jFZ
z(}IfnHl=;DJNNmz+=F+A(_h^8;`7eg8m7c^qif9;ZI&EwhZe@$pL%<*`L;CnNE$bp
zMqgg;FE9Ogq8%*9xpW?=z3=d6(;pMN+yEiXJCj^i^6vEHc)_uUgXh>J-{l57YB^JU
z9;+qfxHz;hN;ghTYi;NSc{KUJ#tVBo4IaFE*LQn+zOrkV$VLO1mqD<RlPjEWtSZIT
z_3RxtzjEEe^E4>i?v={pZ*$+@uRpNs?(+bv_^2y7s-C*JKQjdq4@@grnyZ*#&7{P0
zqc1mSE2zlh;Xb{1!<KH){mJ0Yle_YUtn;Ndb#gscasRKHly9*-QIS0Tz&Gc69@C9D
zqe3Td@QPmF!oj>vP3J8)<84qM-z+A1bEtA+!_)AH%~Q|AyZACw58UY$pXeaGOIwV8
zZK<9bi;il+hcmo;s(*{kH`mH|saq6l0vZS5tteV8(BaCY#B)TcM!Gatg~z7;pNwVk
zvm=|+&*xm3YXWQJ9{9#$_vg31+P_M+n?@B2BJ578<ySvCck!_O^!E?HG27Q%-DdHq
z_ETrE&#80iiP?z{-re0j!>-oq+&tUv^7r=^W?o+Q<I`z<QBk>;uP-h-KfU_v=kxi!
z9<jU2c<=5iy}aKn`52FgR!Y=Y6%`eh-{0T6FZG%_!J;t9db#Ys4;KAyy;2X?@Bepb
zTkh=xKl$ZsZmjy;*=e`NDk1aDVo>3=kH2H0LBacbwo~-u<^CvZf%?{<4)lSEoHpDX
z$KLGMQ^;-$(TLSpt?ci5OpckwFJ{NuPNwr8TqiquOpTcoevF~$s%yoe18uz08)|+Q
z*;IaFSu?{l<%B@W>1nz_hkt!|$ZS>eV!^)KWv{Mqb_%H;`u+X=!&k3X-Fny<u{vyR
zz^V{U;lzgTK^`AIestVl_jg0;X))b=&zi~q`sMBW43m!~{QdQHqSWlYu{H1RSb|n<
zUtZ=L{8@2x*40%n+a+aWdUh5+PkMfCZdYQjl<9%qhw6zeCi{+;>Ba3?am#2+$FB|M
zYDV+=!A)m7MYlr-uC5NB8ddT1l<4!>E6;d9(&QtV#_)srriBlbf|eGvhJTbP%=>4Q
zc$!1Ts#y4Z+@4D=jZFo<lP+wU{X}cEfPp#>Xcy)4^Yg=#99~^nX;}Iyq%`AbZ)VDy
z4-XH6*7Jw0jcTp<ct%~Bmy643l8Wb{+WN1ru0D8H|L4cYwB65URBSLSdUE0f&)IkD
zVmGJp?)drt%Vqzm;;cJWy{Gx~PM-ajk(o_nVax1;2M##!$XEzGKGy3z(<t?dY5vv^
zvzgiX5)L-8R(yECcx!8R`@6flrO$p&uDu~EAQ{lY;v&%@F!69~f(p+Z%VM{kzH{wr
zZ#`5~Vito;)+i?Q9cbtOuXVohM^w<=tkh2&DvAj%3Fkkq&N_TK__Iidxc?#cq+gE(
z!z3&!J}7AL=)~+0$je)ps+50kPba9)e7sLKOIUtl?2ZD)z182%cIp&AI}@?1WTn>W
zzgNTKU*3=3k`cJ$9>@K<-@08QnoZksZ%b`;KbUZ8il$m^!R9M#B8|URIXE=TI=-*=
z_k;KE`+a5_X>L@m|My>L@u%9l_sc#UeEo9j0p9YDkB%zOS{8bL`46Y}oc{j)HUIy9
zmoUwmvagm)8+0S`p32W(?up&ezp^GWn3G}4+1cjWi3@yZn@QN!Sh)2_G)_|Wmg@bO
zTpLkpbSa`oKp;`U&ER;3y4xX!{}TMNRv~{6iwQ%+<be;%zZ<W3|A)U1*jkde<HX7h
z#=5yvo(V0foczYJkXJKCXn}X_$^=2xj<6k?YYYwqr{x8_zOr(0{@;s>-9dLZbk&v@
z7H-^eJ#KH+!o0h?GA=FYyjlHX>W8n_<5wFrZqWO<r|}^Rue4c+lj}U2Ws8*jPu{fK
z%U1Nn;G0L%45p)<TQV=Nx?GmGV<%`G%tou;<v)M^T<F>@_T%mL`x9)d%T#=4g|wP~
znD@a(MrYohsd`Jq9X~%iYnX9CLAA(0p1HMqUF>cxvzqf4K!Z>=d?&6<EY^$QoF8ni
ze5m2G?)r%a2X-vv6jsx44THB$HVfXUcp=soSF@s%dFPfREi32bRvF22bKbdB#QDay
zQ1&U;GI!<mIb!!zr{8R9SO~h|JWtC!_g2c5l~35_v4_{(4SKw6-Xo^RcRoKmoBhqK
zivL3Nx9Rb9hQIf1-@e`J!0{UPXJ)T_GCcSh=1ps_ov;4v`}_OhNe$;tCm!t*y|KGo
zKkeL{mA`IpPVc{!^Z(;<`DuQqK|@Q|694`Anf89^jEUOeUry(K+AtweB*oRAgG<Hd
zNW}iS+M4fo%RhYjWb|tOJdrucH~ugM&RTFM<vM7%=JnIgOAmTl82>$dEH9C!A_|Y*
z9+q?WE4osx*DuPr_eCR8q1)Im@YxqZrsaBf58l+~zql_<PUPIosEDIRjLwM-_wE1x
z`<*W=Uc2j;@&BtYE-u!UTl?-w)$ea_Jr=YI&NR+@r72bN@{(%I!-hu({O$jye5=iS
zA-U~<v#E2khr{Dzy<ZJ~d=Xl}aPSq!9R1Ig7k5;q|FL`gb#c=AxV=opDl?4v`T18@
z$X=NJ@MyQVmPx~T5lf8+8#Xb2=iTMfx63d<Ct=cw4L?~I8QJeVz7JHJ-hVAT^`ayv
zbBNJOyMI5Q&kxM>ekTY^x&kbJnC~Z?{HFaw{A0jI7rq@kE%Ig>wln0hH}mjRH8(HM
ze<YkS^VRDot-EKh*(s~j9T?gaxjF6N_3PnBcf9UV|9@wP@v^-|Pfv;Q&s_dP+B}ct
zHqZGNT<3Z9R}0NB&by%<wr0h7Nr7)t`{LI`7}k8dneLV!$ns!Wr=^g^zb7Xruby01
zWgszcL$P1a#q>4%_DVVHMx2_{)ON1!@7Hjf+Fv1|`UYF-w;F8}aXIr;e8cshCwDCJ
zb7*N?v3c`#>)sZ|*d~!Q5kyqIVKI~YbnxEgsFn8?-8A8E;yGt`*TQ=L=5V<OA8tmk
z2veFYW;Ma~T+xQ(ozhi2XXe>TZ_m4X=*Gt6ug<BG4t90_|9<zF+fe-ZluI4xq801h
zd3B$k%*$Qwm1?)9<J~77V^F8@rr8YcM(O95mU>I?J^!R_&--NdT${J~7Zxy9XiV3O
z-Q}Twc5C+aDL)d<N%S4$-DTSIhf7Rng_BLjo9*f6=cRqt@~C6X7AT)%<|0urXX~bt
zC2ofplIKYrKYBwE-V`frJg`^2F{1o|T9fm=#W@diJm#xa#>^<2Gvl%IeFhopdTvjl
zqwbr%EGKB@W=yL#{H>Uv!6Rc^6;jDv5PbGWg_`t}MA64zX3o(!I@YTr{997Z&}7ei
zy+2#CuY*ppU9g9#;+C)C!3$YeRxI?}e|oz9`a92ypP$S9V(0&RC*$+xpDaO3JQkY$
z^to|di%mo<>*^}j^78V~L;b1$PEFHYed|GtrT?)f?nm$Ksa(8DVuo>_a^NDDz|3n;
z<ig?>u(KT2jIeBsWAb_Ck<UK&qIT1t7o}(RaPDb8Df3pfaZ#v)hq4-^_0T%ez@c<%
z{f&~FL38tW87V6&u)LYtu=skzw(xaEdZ#(}6rXGg?|f-FK{Ayq-L>h*v)A*Y?=6av
zjApsF_kY9V=lPE>ojsoMW-4=>>!st@0+!t8;AmOvbzoA3%~5_%PREOjTtg!r(+>6b
zZ2Y6b%Gkvfxv6Eod&}&is?(po%#-6xJj&jedUlrSs_ZUiox|xFK4*^m8fbH-oS49<
zlRHB{-mdiI*VorW`5I48*I#}AXWivxzF#B%JU=s&IV_svc3R3aN#W~8DGf`x^<#In
zTv-{s`U1OiK1&zVmpv6fKP|mjz;oK{*b$4R{WH`R4mq4L-d@`8c8Fo}u03^st?sC3
zZ<FiUqR-Oea7XpPW!8CTIyf6|sC;;@&N*SbllGjQueybP8ZWB&=hLyg*6gTE^8xm^
zS3Yg|Zae4WzW$`0mlv#jrutygk(x)A$7f9Z+;6nTAfZ?CbIa^`3_gA8pk`PuXzX2j
z-Nu`%CK?>@S+r)MRnCnKH)JM%(Pe3IkWq0+Y*^Qk<a9ue<IZ>9BYnc<g<szl`zoAh
zNvbryb$CMxkG$fC^HYC&iJX{hshTzYTu)D?O3nd6JA-Q?Y!yZ4|9k(aotF3i;ZyMf
z7Cj?3#f0~uGW5G>*PkCfEsSa5XJ;5LF1jrQE<}&0Fbc9IP1^P)RkP8$KR)UBN9!G5
zH5@ouT8oy3OY<B4R&X%<c<5`pm%xc<GiA1(PH~)8a`H`bfe}|XM}!v7hutr)t`0xD
zEpnNU<kLy#-)}rK^{5te$?Nn`22g&<aR&873VP3m^|mzL+grW3;NhVcS67GU^m+ag
zV{%g96B0;N;M3d1?0fThB174{9nG)5{|QuBuFm!3gUhKWp0XKi=S;6WXIx+S@|beW
zo}U~$jdv~%d#Q6`Ufd)%t4CVVT0B2~|6YBm{+UkUqay{SrKLw+o}SU#a2T|>Cvm#o
zoknR+<~736OT9!(-rc$RGffM$wB^7$P}zI^v~u(BdZvB<))#)b<1DlHhk)c|HTQjQ
z=AD>#v1X-lV_Gef-S_zqH=S3$Q!ms|#Cm!0qG=%py}LO~uBpow>qcqu9J$l6L|svV
zMMqKLP=o3enb%Uvi499bXPIWVndRQHxTce`#mRxg!iG_hO{R|X@g0`Fn2L_(=kiJ#
zKV*y2eY<03+M4JyO}99H@S1R)oIuO#^1lUdzX|V9@mrw96QUuqQ|Ie8xy4)LOPQ2-
zT;|Tbqsfvqxq*Xuqvw6IT4_#ZoyC{7W`{2}QrY%0EJ_qqYe)zSBs$m$_IW+{Ra-x2
z-zSmu^}m&7dMiCSF-OYMXsH|5c9lM>r4jPqyALGX+4Eh*{Jq`L(+X>IV%M2yOf)ww
zN-gRCy745#8c_f7^`|M<S{!@?1rimOc^r?icRR$8y^l}Igkx*g)vld_9{x@a92|_j
z4IIp$3=7zproXqj?!pv5=dw#v$U8kRg%fkm$*v6QP<M2B{riopz&pEd;Y|mO|0{?D
zCOJqwIX%f}QS{SVb~S}V4b1xUcx?T^jreKzJtS7d>@+&oBiY;~s%>@O2R!U`!xS`E
zUsah>5U5aa@i_ngnEI~Ovm!n?u0Ar;Q<&q&f`ADoo`*EHyolMphp%pROG9=4pR2`t
zDjee0UP;(^XJw$l?)GBeury&kMnSeGhZR|5K*89zGf!T6>qLWqT_u@kW|?xUdQU6a
zH}h0aOM}3MY*3f<>!%YB7I{9nxLG0QA`8>|+vm4d{Cxi5!X8cyp(TDV+qSH{GvUtF
zpNILF;#eP_-0WaeE7wsyOW?usO4cWrS{nY$a(B45M)ToJuRU6eei{~^xa}%Ya3XcG
zBR3<clP}MtoVXxhp;N@pB2_LCjR!Tx;PKc5Xme-c!N{2mr{&^ye`ne*$NJ#jWQVhh
zAH-KwsW?mdYAlGDaPBa#o~Kac&g(O>^)xJ4`u{q)GQ_|Ac;db4quNiLMoUYb&CWlG
z>O3fNV(C;#5n(1Jo)hT~92RPzq*#1c=bOZdna1j6Z*R4}xw+XIBbd$9KKw~FcxS_R
z<n3|&!q<r_A{M+|yj6?cbK)Ic%{yCN+B7F+p8x;fV~WAPUU!kg&x-l?5C4Cf{k7s?
z`!s_I=g)6#Usn9WwPDS?lM__uN(ZSG*RAj9Sb0)3k+Z`<VLqqggBhS2=1l442#FID
z6q!NuA^!gUuuj`<P^yU4@8{7I<6zmt)wuqD_ScF(HXQ!*+W);-ZmYqwBcqaQ#_EQC
zl}@3*->V;8xc(^H@%P8a+5HvsS3GA)tgHY3kNIBqN&X*y-Zg*QeDMAIt@md#KlnO(
zdz7Wy+sJB`_Lddtci)S!+1#0|)ceh2wvpzgr6qy(%P-dXtqV;T5N4VtAdq-K$g8MV
zV1qrA63>nMvs}tu+!nHE1}|&r@8`dB_vl{zT?tR?6ct$P{1P4<XpGpD!nw?Ue&00R
z=vS+SKu0R=t^R&2eSU3Qr?5Jgo1y~C8G!{E2aM_(*WX|De7fVp^Nj!h|M9B+d-r$!
z*7j{L&8z<G7g(@&TEikn*5)7cSIqB~pMStK(@Dj97RSjL;Z?nLM&fVF-`?z9pMCyh
zZ0cuy`ExHo3!i@REM-*`kOp-Pd0%aNd)Y(6W0DGI+@6ZYBb~yxw}ofMa&R<S_&@mf
z_xFh@nv;c;Hm96yDt>-$p~pm}9mUW2{`}qQ^Yr^W#pGi>h4UsefR{d67=xw@Doa!I
z&iOdBFg}lt-D~i{u~^z?hQY#TUO(>rOw$AxqI#TPUS4MZ{Os)E+2;8VUcKrHUmvIF
z*u?VU+S=KxCMbcbIROEtbG{%m;^Jq{{R}FTe_jjI=lQ^0xvS)*fP}=0n$1%{vk(c>
zSxVxV6WP`8F_rC%G135!o?Yb(kN{n$boKUBw$=uL4Z4lFx3?vJdvkN!TbHQ*K&Glh
z(CFcb`S$iTwYAA543}mK2rw1Sc6fen?#9f^YCnGdJb3spGq1GSkwdNAkIh78?gb5g
zDD(+DxL*&dn`9<4?BCpJ$<Mggpy2zv*ow-^l|SM@5-kosf*h|+749(^y2ky2HOIkg
z`2?62vU~xLGhFqQg)Xo#^laG4_Uz2e=5?{Vz497ou;|x9CssiAfeK0I#0PI~ZhmoN
zqw)_OaIkcEGFh!>{3zDp`RdYim@2TR1vpd}e3!5&dn57d`}_XI?)_ZSAaRE!92?Sp
zZJvIx;_ENjIM)54Td)3<s!yve{U`I~+1ypj|HXwz$F6QUH2?nQW53!jq=yFE-cx^N
zX_vkFIQQDM>*}t}ixpDmSi5%J)!=g9NdZ@bpTGZe(=?N5iPtojzj|Odf#V*s{;A<9
z$dmW>RvQ*Q@pyA{^YKr+pL!`Ousq^vY_D7Y;ft%myuB5?`x_E$zFwER^||=%$CK&~
zT-#MVW?3js`Y=(0QRwr+ziZ+y*FAgvamUN+X20*eSe^d%dj;G6{r~GXHarc!AFOks
zll|6b_P5_3nCA=1eBxXGbB(RjmifH(^@0*SZ;h6C&0~?frQBQnqIi{v=R_-4p(Wo7
zdW~PJ3WMu8s51own5GF`;ARHxwFXUmr=Aw`en0hfOM^gx6O+x;`Uk(czRzcSWH-N-
z`J;m{>z%E=^<HmX>}Gy@^K25!$yj0Ko!^R!uB&*lY!I(r+{wzgzDaOFCkx~HdkO)+
ze=hx2v98kONA~(anG;iv@k(Cap_H6uo^oN{%R&XT0DGjxxYuAq+1n_c*j*yo*Vc5d
z)=9bNq~Is?;Mo6X&Eh-i{<GG|v1I7|&!1?vRNCfF?3T%8;Y-TOuhx~h9%A_@JMaAM
z^7p3x?cpCT%bkzQkQ4al_@P#AMXQF2%Qv2r6Sb4Mo?MU<;eiiSL%i=0qqsnvO@r$~
zcEZU?s)ecVjQkY^)H&}|i8M&h;wX4~kNeIgmPVDRJ9TX)niKR&i{4sV-kGf0TO9Kz
ze&4k{Doh`KWHx;J<HQ|Y(Vin2q)@(0<itu&)|)nyk6Wr4C4!P2bb5xPafh>mKF^ui
z=KMYm7Ul2m>@cpLxl*8mnPtX@_lwROzkS%wZT|PcBojfS45bD?j)G!O8Fmeqysk#a
z{3!t+JcPOAvd%jmRMePpm$|j5BIEqZ5G5CIPJ>y%sQ5sK<;x+4&)hM)%XovA`4mpu
zdD5oEVUFqp`5$hb49~Y7e|r1;gsYcjBaN0gUHhW>c!|41i9N>`jU9hKSiZBVXuIA2
zXQF<#mdG_1CN9=@c}i<srmw%F51K!RC2WD+pj7?i<Ku_VpSKr2K6dn7$C7eI1(roV
z53WpAw|MwBf?N25`?@o7CnniVeI_(ts8i^cf6)OA|8stMJe9xwxDQtJ-Ja!9@Oa*Q
zvAhR;mwsMem~wARnURxZ8)_ErkYU_wa3HAl|G(e*Zaor$JH?)4DJrleO=S>fm%DzX
z;qokv331$lC#I_MeoFCfu2`d}krvD(zh(c-@cf^Te#yq$Tsg$&mGQ_y(pTlg!l&v2
z#X3y!H6L4(c_ZAkSy~zd8*gq-=N5!SPzM|1UIT-a69P+oW(wV}`Rr>lYjSjJgFuHS
zQ~Npl1OMvf9;}__tWZ8}%ZbURy;|}bV%97Xavxvi#>edb>$3WR0RPnP)Q0efJR6NA
zUYcHF(ct;>?RNgeeg1g@Oil{lIRCt_|KH5Pym3FD5tE_<i_GkXIEfec_uCgeJJVVB
z_m}0jo|G?62Rb=fSoa^^SO4zugPrUi&mXomSzgY5$fP;9;#i;MR_iFcrfze&M;qf6
zw8Ty}XdN{=q}th1eB*OgmqEh$)ym1jO!DdqhZ<^}z*8|7)fb4fRegEUcxkEk<Im^q
z`|DO;Y7!7&3R8XX`oC1Q-~HncKYuqhRFLI*=GAYad3VjNc9XPPrhVs`3qSsH_Eo9q
z;c_)v6!o#k<FVZLQ;t#d*L;l@Nj&h&XQ`{AKrpDT)Vy@5A5=H_IOy|~ytyH`HT$|-
zJD=>F%6&%WiVp%<nw;+?-rjioM)fZ_FR>FXPO}sgp0dhjab8V`{P*F{)^>}xwfv#p
zDQ<T+MNUweSRruZvR9Wug8yp8WI?8h$_j@Zo>ZK?3T_`4vwS(^@cP=?i>pGlzX}M0
zgA_DFV%>M&ZQq;BK&AS$9csQJzndosx^y2{eO+;uMS=MA+Ib7gX0BNgsa3q?*5ui1
z-4EPsX>4ZaKXm=N_}N*e#@w1JDlSvi-(6bDZDV7zhCL`sh-ssPLrY^sgI+8bqvC@S
zkgb!|d@rnxHaE$;bK|9&rmzrGpUZ<EOOM}JRmr)etlaVApU5o}7{lGA_Vrw4V%m2;
z{$bB|S(~r?PJ1^+1}<~3;(j;DJIC|D%@)RIysJW13Po+p$rQV7|Nl=j=t>jNIUnkU
zTbfSt+y80!^78WH^z-uq|7Aoj?$s#QGk*nY=gG5yQmDfh&KIqXyGma-)knO(vQqeO
z*iu$S1r{aW2dM!Z*WT@J{O#Wyu&p4>Q%fSVsjr-QvWT2*y|kol%!Nf+ek#R+>nGfZ
zaxFe|V5V{U0p4v3pPrv@AGT@!e7oAHzw)vB>;7`AX5U-=o$u?btA~3ejVD-_=WX^7
z*Ntj9JzYQf%nZYgtv1(wFI}!ObDDnqy*Ck{mXUn@AHkT<@9yrNy;p6KSyJt!!W0H2
zo+A@mr)6?6DkcOoRV6Nn+L{Hr@CS6f%w@i%g^CI+KAbX__pn@hx4ZAqPFvGJh1m*S
z$t-<y_b+{_P|@-%v}oa)3jQOfUe%vTZb;?1zo(LU+Sgv*#kcK+nWt+8vxTpZJNo40
zWP7WgZgKsj4-XFB*peyy=xBHPw_A<O?2B@4ZhCOcOz+m|>G~J9WCkDA$P9YivVf71
z@y4D?<FIuxoc8wip~p6*oSdXK!|s|}Op4U(VzER8vzOlYK^;tP#=Qms3!PX&d(<?-
z*Ui~{#`l>b%bR%&r}@|2sO9CKx34Muqs)mJX03PoBiS;fnZD28b6}ydxk1yhf3URx
z6BV~=-wHXe`;<4g+44@9y&8{!<@@FH>#`mN9zUyWKCS%yJy!GguH9lsK^yO;XgYg$
z{QLcW|BbEL;{SfV*1xl(&^dOpqBGl>IhMkCc?&~b*Tw8?y1F|2@a@~txlZx>>(*+q
z_|7nJw7<5q__>njBo&Q_4GMMtex`3tG~E)uK5pf8&_2C(et9Je3yHY6I0@^rHUEsN
z?AJtX<r3G6VKCn(Z&!0*Pvz$c>i%-2XAiY<JFaIr*ZTf=zq~wX4&~#cqgQ|1+1Y{b
zn=iXz_x#u2@AnViy&HR<y>df8JHMPl;iDrf0vEHf@klJF|Nrsv@foJs)3THe3<O?Y
zT6);u{%;GYrSl<+88rD*JkO$Vk>~yWe?E0r^&aBn_t}<nbI~*5Yj)S%di*u|$~6u-
z$oywG@deZ_<zn1x;9xo@UZ^CVY0afwCQ2+V4m()h+0=E~Z>v&{cH^I-J;~SOs6wOs
z{<r<6^?FAd*1Iq8KL7t{!g;~+(kE|p@6<hL_xt)u*?U)t;C+Sgh*k&idLsK7&F!<l
zn`B;+_<D6~cDSn8hDH4T{W}HEPuHJs_opH6*BzGnuUErg9htfDj|fLa@3|eEPmj&B
ztP5J|we$!}-kwrU#`pL4^B>z-?0k0q%S-!;pZop&+b?GuG&}#;sqe3@a$6QXIj|yd
zalirx#x0qb-C~dPe)#nCbf}K4`RnuZY=f^CDf{;`aS7>0Z3$3+d}qmLmcKtgKlhkz
zwzlg3ot?!JCK(gBk{38kGDvi~@OVMi)m1;<Y(5_%@7^yL+RlBrjo10y981T%FPk2m
zw^Dwr<l3d7-|EsSq$zf^OO*Ti>VsO`(tgU@^X>*QaU2((S9n%)o6l^svu{+VZAm<B
z>2dqNAZRFq7gUHgz7W2^&D_c*8l=wm$4C*>_{!y+v+EN}_x8%gRWoBw%s+g2+g#7r
zYAju8{_EzRVOyB+@KMC}&n<TaKkfU;vAaM1czWsQ#h}XC+%8=vpyJShdA8LDgnxt9
zGWpJ){gaJXY6Y*k`O__5Ute#&e);PB<!6eYodKV0B%*ofJIkYEvu6MOaOlU2#tZ9W
ztwr==B63rt&2l<qt;;m{nWW5r&ooL6k}irBa@=*|^5zC67V|egMkh7~m@K&1{P4rW
z!>5!Rju{5qJcu}a>-P5ii~DM;pZa<jI;1<d^SN%zx#^H+@=RyJ@q<e|C!eXa(WnSn
z9~ZmVKfn3Jt*@`I_wM26dB1#xP~6wn6W@|6Y<Cv+J$x$O;di@eJ#^v0rCFeU&*X+U
zi4#+`#kb|(&s*~DxUY&)wqimwQ*{5mV+TL;2ds{X>vm@URhHlTxc$5GgQLZJ>i^5-
zot&hc{A|sN*!_~bzRPti(F@wCV6^(%>l^PkR^5F6VtpmgmY;7vpSUBHeBEeE!t;yT
zD<UkIUrjwNmHhYE)xJZ*Y!zMS>Wgg*&9^*0-oLu{>nzjkFZ!3S{`>a!Ht1;EPYe&#
z{v}I?<$aMh&05038vCO$QGU7K+@OUV_iH})R?U3({|vi};QYKFYjh6q{^}Cdo?ug1
zRLUFs?<KE8V$<@!KJz>R4;B=k7GRKL3Mg1mxcQu=RNkM`#|bx`)gC;4xwE^wo6Gcp
zrWLDTmEnscY;6V?jGN-v=Iq`%xuT0-ruz1_+^OwNt5-j*-!9R1Fs0#HPqF#)SMqbu
z8@2L;WhQAf?)<}aF*EwzRy#&Pwl(KNqC}XS6y6D5;AWm_oPKPgvip^j&7gG%+Y}G5
zG@Hw_EpoeQQ&4Q!8_B!=de+h+rG?L`e*c`laJF#$|8$G`XDVemk?k@&KP4Vr#_TaI
z=9BP^w5v($uO3)nexvk@R#8fUGq<kc!WnNlTGV+A_B%}1i@nlz=YmAl^5W0e_nte;
z>3?mud4At4)9h6<YMjG=ZCLz#+3cyzhpLo6SamV@SX8{dWjfjObICmQn|Cteca?xH
zL%kk<nAgUYd*3lLi@ukam#;qa%OtNt)6Jm0@f~b);4w>`*j*v>7xXi+`Tu!2^#C)g
z^W$f8|LVu>i7+&teq+MthZPzs7lfVFGj8OiF1}`TSf=XB3&Fg)#uZz&%5Qcxa4>IE
z(tgXus3<U<<3%gusy}B^WX#%(^bStg$;B8g6tVrkTAs~?n8wv2E`JJ)THCMNw=!07
z=tOS6{bJJoF#V$s?B-thU4Pi%!R(3KWcF>5^=7%3J$twBZ#|{-wkH{3mRCe$&irCI
z!^yns57RThFO@>)C93DTGx*<$RGN^;BEp<?b=6X{eY>92J##z5Yg8;eV|5wmHm}ge
z1@Zgq+_qohZk$~=@5gJi8$J(~Z@#$Lz1hj0wRgb+g$*Jfn^?KOFtG|VgH|Wx%;#h)
zRTTVUwLsh{;<3z($qvuACUE||ySrSwu;K44<1S$t`PTkZQ?=c1sIc-?Nfy{8_I6H|
z%uCGe*4W>$_4nj&i`+pQEIv-&4PHW{vOt_og4t8tu{!j9>dux1W#JnYFU0ijRxRsf
zYMr2w_{YOv)h4llcj}3`T$S7+$3E@<_VV`E+CKu(W@`?uOl~juyUKjtFS}#hXHP5C
zHL-ZiS2VtsUHG+4j!jW9-=O}O%bNJDBK+^}wz<FBvLbBvLN$w;Zj;Qj&mC@T4nFO$
zZs+6qwzV?n=i6Sr(-S+jB5;49cFo1}JJ#G@JNvpp^(l)t_czDJ&en@%yIQyZ;Nge!
zE*<V*PySy&|EKo0+^FW=+iO4gbr+WTKW*%pxoN>?apr*af!>Q>%iHbfo;ms0qX+lq
zR(yKVI4fDJ-{iz3UG{zQwvszPwalAhS5?73wL9H-*Y>o#$7XCS$ldY!pi1j>{kcbT
z=Sy>ZsPAKmy0leh=ch$$#p*6>stvF8*`CNOeO>SPqo0>WzCU<y{nq`E@|3glgpYbn
zJ3K*9_{=@wi|k5I-d6j{>}X)R8MJWX^p7%1yTb2n?*YwA_iCFj{{8K3aN&0w)AT?4
z)_u9ZyZn8Kl3keig-VtUs~9FTTxy*!otL*{rpp8-Ci6Q!ACC9#d$`i(_mbUK#i9~Y
zlfM~$XK-bU{l|3ox6RvhqeDSUy;jCB?`iwVe1pB1)qpW!zFqB?+)Kg@v!Cnl|0Co-
z&nEEt=PUE1<E4rP3>X)^`@Ug|g?<}Hz=8$K8HD+bUdpW&d~$AXbnLkcO+AvvO5W3S
zyj&7G7%MOG&j0r1W$+x^>M&KQlphSvDjI1M<Zb1ZjMlOKoN3%<?xLomxM-iohYKex
zvahX4X!2aQTd&^P<@@R18=XOGBOmJR1-08_Kz(3gNyEDHw+gGywR)#1vncsDShAjr
zsa|EnI!Vn>;wRe{YdbZbDY_3j&qQuc{(4RM*S6AmEI#YPkF8#%#+DM~#9)4t|K0tg
z|J(ko*gtKN!}74LQ#(M*w?(v~gucJO$7a4mgk##@t?V;5{R#K$$>sUAX|a%8&~ndq
zEz?(;jt#Sy&c3dvT)%M93)2Vth4(14mn}|Tp5~R1ac9xqYiUw3Hsx%u!*t!It+Cvg
zc3W_&v19uD`db|ACX9{OpXrD2bZ#+9JU{Q(qhC|KSKMD>`pP6{-F~wNt@f|NHJi+D
zv8{=GeSV6>0pFJQkKHZmzp2#Cvyq?o()sXW4U3#h&z5Z7sLZx_&ar37haBY2v&>SM
z7}_bZ(kAGzzx45kf9nrFKPFo9^J=-4d*j01zlj23XXY*8WZWq-UBz=!*6fY#78|N}
zm%SIRxX&GS$Tj56*V)^>WHjbk_db#7;5xwZ&QOG{;*#vQEwgX_DDC`KGCMUktlsRb
zaQUteuTS0IC?juvf=4_-k8#%n<1+~#9vsY45#fE2cwhs=ybsd1CaHQ~c{X<^*C8ow
z6$5bw|64yJ=UbJoviiH+uu)N)Yr`f6R`ywXS03EkTm9k7myk}Dnc|?4N{<D#VwzeT
zHXZ!%@bF<(mxGD_{`}M`X}T{s1GGnTmzLX^tp#sx7=D#)ifb2PsQUhHt$oG<4Ttw^
zTwEez8VUg$HXMmQz~(KRH#z0phOP~6g&JmFTF0K;k=?5=$R<<En)=7df#U`<qyf5I
z1+>&HqMu<ei&*~d9<$Q!!={;wG|Xk@85F!b!?|TmxSZ^+y3U8mYOil!=VbmoE#K{F
zR;k^s_fI}58~nXK|HIpU;fp)n>;G;|_mgw|tri-vD@Ii(YP0wMUq=k@XD<J5zc_Wb
z-jBG~#+gCW3vNF@ws+aIl#LmkMl<#$Yz<rVX8#_p-P``P-iwWTuzKO*3!DE3Ty1+7
zvcLP8q(MnahVIAynR)Y!|3|b-l}fpm?7a9}lq>y;-^bH#8ayW1cLg6W`?GHU_qVqN
zOx6dBZO@N8ezBYX;UlT2{(l$t#lE<-Y@HBGT2>s#7rhHN&HwI7x_ip?q7d7Sum`UN
z*4!v#lYRXx_#Si8HD!UgKE~Hy6?8cn6%({UL-*hXku44}N()*Tcj+2UX;7B{2UEL4
zzz6G|*dig(?&l7DKF8jDn)tTyki)Jok_UJVVq^c-%zyA?BlC=fJ1?|n{Lj1o-fH38
zTj`h0vsW4%5I*j8bkmBnZ?`pzPvzdSkXQDCZ1%sHj<xLPl+)k+Sy1jc^Uuo(ipgR*
z_sY$jzDMo4(l2G^FqOq;w%(Q{vlp7K3X91$m!G!o{^GcA_3zq0wHhsmIhr-YDu3xj
z^+wCI-P>{<-k&=g`hsb%Ldm*&(?0aBGB~hJ{M^hQ!^7;W3WF^c*52Hz`tRDY&2JB|
zrktL6JcHw!5ZjFxXRGThDxRsem1(d}R|))Vx1q&9X17~Q<Bn~QjN;Rk6b>~QuU&S=
z6?C!zI4u5O+^WAP$YG<Y#mjIG3!}zLY5i4Ek5ju-y1$ud@Z7nc^q;pk&Ms9u<f7l(
z<qGBZnB3k+YVmCF-FDZjd%FDJ*D{j}^CC9;wDR`MHR0F2Rd(!Wz273&yG3btU!3**
zv^q|9L+0K2@^kB_<ydY#^E>>@^%rJ$&j?@G<Ey?U<GN?3(6b(;lmGW+tp6nV<W#W3
zY<F>!yq_z%8aqxbQs#NFM^+>5g_E>KhKm10q1*hiCNFQzI>aDtU-oXAP|<F4Es2XJ
zPiwhBv$%>HJAF8AD1s&k3j$L-K;2;(MpYgIMZwoH_H}=5JXBL)7GwJ6@!-Kuc8jW)
zoU=l-bEZBl{QPd&)mL&>kHky8&I|v`u`JG>XQz2~TmDLef{%YBO;(3SJ!LUCW4$2z
z%Z-P%Kh{+8*4X|@FL`h4@m}x$;TxJiws^nicz$hft+R~n4tC>z9QFs}A03%hv4~Tq
zt?c0&%`=w{iI%;;vE-A|6s>T<T6RA7pI%pef2DAUv^KJCt~+eMyiZfSPR-%X;-?H8
z)kXOg#U(9_YJqR=tz)d4y;X#F*0#X2&rVP9sI=0F*?ITbAqMM+{l9HaiTZSVxf;3b
z;45-G0vgAfF)>CFv?hat`P(idBhYyRGMx=VY!ST&PM_v3e|M*F$;Q(WtqpgDB<%ic
zfHn>CWClf~_Q-qNWvpZNZe^T%oZ&NT&(GX-p20p278|~&SM@iZ7C*nRuvbW|ny2RO
z_RV206FAFczPW9aStxl%Ir;pbnvIozxpYtOpSHtib<Tl3%xCNc<{4@}xFy=X@lSuG
zmgJ*7sj~xC<+yI1UM+gEMd_&b>JL31dQP$*ekSo?>$8@|pvqw7-C|A~vQ8&XezxYV
z;Q>CmA8ZfaCx?GX-d;4(QR{jeXvx6O3=YQQstSi19<Q6*6T`v5_*-#7OXKV7>sP-y
zapr`!8cU1A7mhb}rTo+1{aEbB`uW}az|6a0hZ;^92H33jQrI!qlI>8+ng8GF=O=&t
z#Cl`X|2<m2kDvK>Ct&&KGb@UCTtqJK&v~fRBXP-HRXk<V!4<b=n;(2}W0Bgq%!NGa
zd*-{$sD5zpuXVydro1b>t4$@o`9Ew^72p-tZj+5qX=ya$)qZs8XMMqwL(G#u-gJ_9
z5TUu_$@y1(uT-71^*dZ4=Rv5Kb2Z*j1MSaU-|$7BrKQ1GAmGq}qut^cm-$M^>?k-W
z`Anr)fN7&!L#yq++0`sxwVt_K^{0eMx36ts<SRJUGI54kp$HqFbg%xey2bLFS9x97
zqUAMhU4!cDve)tR4YD+z`ORPO=zsl>%2)2Ih4y@?Z?lSH{b=-n^;x#4(2K19hRG2Q
z4h{Qn3UJ2mYkGXI|KU6%lbOjERy%~gJg|X@A-;ZnC3lGa<Y?`SGh}9en`e4k)!QWQ
z(kZof_Ql+uu`y@kge4ObULKk$VYy`esp}suAG!JKNY9*L{k1X5hm{ruue)%ELH_sN
zBiEYm{doELQ&fG}?;S@^w!QI`NQm6uD{a0g|Ng$hBHffrP70eqX;sH?XB=onZi1Hs
zC-ZXu`C+D={&THVLDTIWY>mw6@(&KJy&zP3%_N$Od5y`1jj6hG?CK(N?{rVo=w^=!
zI+QDYU16zvmd<6P_r{J3&PjZ}zdTxd>&4Wj16`rnihIMBI;<}5I^O^8@cWm-zAQHu
z?f-XRp6sh%31@FN>}25*)m>`#NyoS1-51WaQitlBo?JaG9(u0X>uQ#=|Gz`C(w(aI
zT%7b~u2rFg_4YO4s+_#B|EK@4lbw9L-(~A9-U+JNe5P_;g4SDuI?e=t*m!|?uDSC+
zC)cfaSWORXXl3~Se8$#{y>)LU3bM`M*ciS0m&Km{`64<oGO2r3J(_u}iRtv*X!DTS
z*TmRvJnK^q4^Y`{ttC1&hWX-8^K}112bx&93mzZi1>NL*apt7E_q-o2E`EOQ#->#6
zn3zNQ<-ay^g6@8}y)F0fo12^8md!KTFatEmd}iacd7!zjI3_O%i=rnSY<w~uhueLV
zljoMdGBQ?7sAal*eV+TbGno^uy|Y%E<#{JIFfU#G?@p%h2FKHyA3ofZ-Fk3c)M~qZ
zf9@MB`D(KNVagl(&+IWa<x=Oj8a1zrayrs8bMv9qMY>bhT)2=Ix4(yVv-)b^&y(Hx
zuSCDRlpgZ&|Khrtoh_WgE3eDh?dWd3RoedT{h`P0`~p8dwR&xtVOp(sCG!H$+^v6@
ze8N^3=k1!VKWnWEFPG54gX^bmcih+HdSDMH@9eF-<+2iPj`^LX+F}n^zE57_H<|CM
z>BGiO(NZzV#D?zyCO>Px<wxu<;(T{+U+0vSL8~{~)PCad`BL%I(W6CGPWku2on_B8
zYu?!%oPKug<QGPp{#u{@`mCk#{l3dW5oxE}-re1O{DsNRlPd!jGDU68TKdbj?vI6-
zZWK$~U&C^?)f}a-ulYV@D{^_<R`>SS))~gfw+MCR+3hZSdkDG>_U-+eq{*3mvesc!
zBj4S%ex$OZ?}W!O8A~Q59+$r9F}k2xCIfc|PUh<G?~b0BsJt=VKSQ?=G{L3hukhq;
zHP4(fhP~0%ijGYc6Z0M36+|(`-o6(6lf5slCgZE+zUuFc#$j@Yj@V6|ppjU!di#4F
z-f7z5e9dgUPN%2op7jH*OBR@LtXF#Ve`)V28iL6ZFDehsvA?|B-~HX4ol~DXtdHA!
zVQY4H$f^*}r(bu}{@x~}V`FQZoD*~HO~$DynyaQ{_siM(%(5+iCnKgG$5UnW?EHNB
z%gcO?Z<m5Mpn_JwWb^snDhdGYq<eAb<Oa~VrZ$t8#DXgS)Op}76fV67X0G0Mqx_qq
zRLHbjp8}O%%qhPZy{Pc(q!p3d4b{#@=1um@yH_t5+O^F6qfKVcrG3UGr;O&W3|i{6
z%xC6@zu)iA=3q%|ZJceMze>P**Y4TY8`XsK9*OJ4tXNn1^V8B>C+hxwy?$bb;bOU)
zOTDLWD0=EuaQOG5queUjKgEFtwolBn-My=Ao_6&1ywKbqKYl0}7zBLYS9tW<+1XP$
z9Q+$Mr=L%HbfhzFdg8x7KfP=~XL<hnn|QcQG5_8k(A0ZPZLQ;Z_HAc(elC?`dva>3
zcdzn7v1`JYzg-QF*X(a^V(tAOw#i87u=*^-Zqc;8rYF{TPF6ehTEXm?{N+7M_IGf0
z7zh|D?{oqcWdeeXsyu(bUXKS4I;Vpoe34ti(fi>O4LZ5Go_on}WqYF6u2%5>o$i<S
z7x`4Tr1Z+Iy!=2oH@N)uG1Huz8@xU%cs5L(&9CIXuEuQU<Dc35TVr?}Gs2DgeQ%Yw
zxoPseICJ<gb4`z&ZIr%1izr*z`ncZO-`^5nU0Ip7+`qr+U^DyUo14?MMEc*Joo&uv
zS62sGR({2Hb=cZNcXk$ERohYa_Lde;LuZ{P(}yE}){0eqdb|C8lWOzt@9)>!2>-aZ
zG5Pq1&*v9DwCGtHzcHy*NTI?%LfRmK;nZ~f^|!7@E_UlR$hx8-q8;YL`A^y`Ctx1`
zml+ySTQq*y9ags3W>cB;&-n0>E?#Lf9`iMB&(|6z9pRXw6L~4++`*`Of?Vt=T52pF
z4lRs7Wx!L`i~JopnQhJ{$jI-n>EL8k6fk8nzCPdmoX*P$w#gaCYBuh0eQ@n;_K%ml
z|35qynm_e@!}60ajnl3PNr$<gue+b|Q+(4+o{sai_H%4%#X>)|s}&{lR6RR0^XmCK
zC7)Ex*6q*J;CUf-C_v%H&f?{D&gZ{Zo;oL-z3anl<GcqmfAmRzo1z)K$~)p=yAq@M
z<1Yda(puMj_@c7FFmK-SJH}~e0``CY$IQ;RBBDB0$S$w#^V8Gq+j5;hCkOaE(6su@
zeW7&TPWF;xlRtwdv)29G(|*i=xi9khOM|>S7E63*i<Rtse_<gr=!!5VR<0GjmaNQG
z1}-V~|9&h!RV;Ar#mx;hKR<Dtp5}I??#B0*j0X*x`zy0uw)yV7x0AEO;K7~O+M7Ys
zgJ~QZi47lX{sg&9cZDXXJClM}L>P%*T2|B@#auL9phKxq{XCOl=xv!_zh#1S3z$!^
z=boIT`bzM6ytz8h1kS@OFD}Kc3entaa6mZw?#k+qkB**Q*QK{_u5{kqk0P^YmsCmf
z$Xba=8l@O$S$gO*bP4hVK3Hj9EMdUXP<#1?e(aOu{qpPYzj%GiuJY3ouAh&W)t#82
zm}Ok|^pxmTJ7>X1$NA6dA3ST<$~pJPg?+Wvs$m8t4Y|fHjf;+guBAIJUmx+Mv-;nk
zokALiq-+yQ7InDtMpg*^G`yp@=MR&Znez0bilEI^3y)4)37T;;1{E~Fm1D(UhJnui
zaJa%zWBuG9>bf4^^(6}>za4FH@DZ%|YQ=JT`@91m`WEJ0@7e7)MdM4cgpkmpm}`%J
zy-sXx-0+a4Z6S-z+~@D_6uh>OTBmCM;p*z}aOu)r&tCWJFBbOQ{`c3{*Q<T)@BS=3
zcBK6d<n-${rJVcwV&4ayulxPw<zl~6J3sPgLwCELGCxoznRbBp3us^S^h>)Hd}o<t
z{oyH2n4k0hNT=|r-Hn!<FIFCiVH4qbb1`YPREzDV35Jnw4lRtQEl!3yfliR?7YsPW
zuvxyky1Ls+f4-=q054N*{q63$x7x0YS$BqVxXe8;1#&LR;(HB~@2?bQd-3m_O3fVy
z=`alg5w?mXU-A0GyxSG!=6y5XH}_sy<mR-{eA}8I0&}g)*_;_AKF2S1<9%woz<a~<
z_wU#Hy{Viv-y}0g#;U}FlilXvb;)|r1YKy5L$O<9`#hdAy$5bocm4YQ{{C@(`#%fz
z?+IS+r?uSi>8YuqOBLHVE?FPA@ZzmQ<HE4DQG)BNj<R=gKI{1@Ug9aDoO9w3x6&#7
zghb<_NEuMWLngXl<~jiZCNsqaEsS-X52ts3*d%v*NqS}ohlM2LM~Q+>tfdQHUPw-O
z+Gi|r`SJcA#q3gbJv>tnF&KYp7iB4#D-Am2+T(h4-lo!Hzj^&XO0GJX()jk)*5Lp3
zm;TOIYRH>>PN><k_*qCL+Y48R>;De7^S@sG-=^w|$5X+CKX#`DHdQe?^Ulz22xWaC
z>mb;eDmIh7;n3kGR_>5<9BqFuYPZPo`pBH&wGtLKy7&LQ{r`~t;+jD&t!@S(O->Ex
zUN{~q>Nq`3*LhdTOQjV5^G(;+$IsrYSNiJ8Lp6b(4G#^(4dR(x8N-@i+}x}_W5I-C
zqvw`_H@7{ldJbBp@Ok~lGXWeNjJJgY4js6$F*$Ul>fs{yZSSM11engbIu!9(T(7da
zlrtmw!Go2re=KXxWGi={)vkNoOP|4+zi~tD%NEAiI>x*+yt?J@?zpKxzrHT^a0{n!
z$V!Jc)eF)MN{xBSr@!yKm~Q0Y@9+Or?zlr^gB-I-6-Pnx`F%628|JZrCe0qQ-BI`q
znlDz?(C|3=X}WH7n5x*5wmILPos|~dcHl@uUS1yP@KP=B8w(tnr)UPdsmi2$Wd!e!
zl{j>ui&duER`TeKW_Erl-j!KbS9w_o3Ns0U7W*nWecA_F&!Uh7%9gK{{hfL43M%gl
zT_?cgbYMb5i0Xv%&N+e!p-s}|a*M++AKGZj(*E8&XQxy9{0Tk|97iy+_Jfx#yLa!l
zS_)qMki`=Ar&g3xc=v*WcV7at9o`8s1*#PMyXCxxlh0}QEW`N8c3sZfcHef9*sxo5
zA|oebH)n@If}z$<P>3rE=rOADeBjp-*5H*ea0mcZA+Aj6>wYEOzuBG<urom<dcy4%
zhbtU8h=$0bYYlgnZu()Y77yAVbzB+Lr(8R;Cj!)`R9?`+sHUbBv%_G94rpt4;|f8J
z%HML#%VizjUyJjYaA3=oh$~UOGk0^P7P@Y|#cNP{@6?Q!2GgoIIV+o8KQDW<{;K!G
z;=fu#8Ju^F($Ds_oxQav`~3e*&bu<}uRPgZE^m<hRMF>6FlVPw+qF&N-8PJZY)a>S
z-U%>$b8={5td8WAY!?t<>hp2nWY)<&^2Eg_O9j-my~61eUoCgjc)9C4orNb4${c;v
zWpH6xqU)dHR}xww>l%|(PiNk|*1RG4v(=s5kDJwW?T@x()?1vK^zwM++jGG`?$kEv
z&-Sise4#UsgZbh@_3vDbH&hi4IlTEa{a$N>Kmi|<mqfx%)oJ>_zFhX7n;d4uttg<n
zx#k9JEr2%*-<;Zp&vyS-gxcln^eSZCJtm5BE*|^WXTAne<{u7C^?qLX;Z5ukKjA{o
z?JEMeosG5Ti+7wT^KM0mNpr4Mhw|Dk0|9rjsAVswfL8pTN}cKninPNlQw%Pw3f10{
zdHLDmrE`)hVlK@UDDYs4lFX|y|IYC$^h|$=+)0@<zKNo2a`t=rB_|(CINx{nL;bsU
zpU6#%Ghg$)_;vLEl<K+&>+)wTX@AV5(*5LE@BaKOrqOfG_SfRP!(wjJ?RM0&Pd?sz
z;Arvkg7@!o*UCh1xV2*X=T%+jf7kTd{LGoWzcG2X$vgHxI}`1_rmTEm@cP@0_p00E
zz8v$PKmEGaX^S%(-WDxT;<@p3(}@Kw4X!LM5*s)s$AHgd`Jut6%43puM`C{cKT9do
zER`KC4FVl%jdz#7Klpo_eOCAh`LolK-hDg4!Mwe8-QwEIS)kdGW4gBv8#}Abv90C#
zU7qiLlPh#X!cjBj!i4A3zDj&>t=_TxQ^3YQo|9CL-oI`BVAXflFK_Hc;(2A4&VRA-
z@b3?ipQSW{_L%Hhb>-4J-=^Q+-zA)m*Z%nKZM4tK?M$KB*S1^D=v>^M`0YyJjlvt!
zH;bNZtNOhyt6;a;J*LPzfqPTbqDuwSZ*AQQUhe8M-%d9D{Jf*P%in`eH>mmfbb8nO
z+2;8d7Q6E=@t-ee|NqZs!Oz*(*EK$@vzl#~%*G|Em9j}sQBm>6{(5_@&{Zu*I)$y@
z>?)M|qxb20e0}2WZMhHru=C61T>W{(MLtY+gNYbuR8Gl7MI7AtQ&L&b(r8us3bb5$
zMeOdf7V{IVP7WL{J_$emEM5_4rf;;wQ|{x@vI5tXmd0<st5;3_KXo?WqJoV<zb>Ai
z{gM0Fe+!nsZ2ueVnD>XTx3B*uvi0<H_oDApA~wI}xD#Bp;`;sl_5F6S(Mj;*<>Djv
zt`lYhO);FkU2<u=uYc1uUac(EpG_NIzertD;Gb%m^`#;sV5@{t`pGtXbGZ#sySL@N
zXnfE8r}m9j-J7s;*J6)$emgA8W|niK;pyq=k55ikKQY&OyVmR3y3yM_41a!j$Skob
zd|ypv31t6s(0{g=*jVTPb8YJRs@_f23O(f_@nElrP)$wEh4u0FIX5@4etbMzZKh4#
z9}CbHhp(@%yJzKmEBm;!__;y)IT_IXpl939tO{MdOg?SHH}iydcXooBvPlt(-FORv
ze|Snvm&DlqjM*azSsy2RzyAN;i}m{i?`pi~W>gfgYixcl_u#})^^B;yhC+uTwRrCA
zc-C7rm20u<?Q;^=nezl0>IBz^uM~*e%XOsDc)Or}oQ)3RG`lSU&F6d8?XUj5?9-|h
zmls&7osC>~kK143LG|l!-GkR_t&@MuIQim&>6ay@yS~NUE~ve@k<(Acw&QAaKWOCm
zVy@A#ck$bQ%bXJTP~XYA#^uf&1B1*<Ds$}X<2o5v1}{H$Wo7We$@O_Y%E|5g^2d&J
z3UAE1s`d5#p*gIqteX5YKirGoTV=ZAr@VdLo}0fdmsNj%m-ytw#EFu#?#Av;J1bT2
z`TQLBFN+kmr<`;;yy=H|Tk2HJDFw<B5)v!;OhH%N8Ks_Dk$o>~o+~?_3~0FJ!-Ip&
zTe7e7-Q8VoKI{4MUmL6yj1;;-o3aGec7ivKe+Xpql2{S3ago*12b)2w0In$-<Ue-(
z>bgH?V$QY93vFv7w0PD;@8r1u<@Ksf-;KNLBc#nfaIcB7lHc7QA6&`a_T_!sR_%WW
z{uyt7F!SD(yL-bx$L8(idv9ABZ2HwO@xb?!$`zs~mmCtWo4HTpmdU++ypI3n-fqah
z9(E>T2U}>?mF}|HhF1@4EZ%<Q*~)6=+fQOTR#x5!wohyYtp#j4bl^}c_rqt;mbF!V
ze&!3hjn%sBO~C(c%A2^w^%|Zw9FBT+X6D9{mqBxEDuv=}zfS%4*0o!#^>y!ux7+V8
z@|dWUaeLd^z+w@eWj-^LF0q??ot<SGyq=wfWkuzi+uQm7{rd;rF<|}a`G5U8o$u${
z)kbm3@0l0Jd8a^JKh9=RX4Kc(F8wd_K=WPfVvF7Wif6ebrh*1g%=72nyWNy1W}1C1
zgoz>d^Ru&F5()Q~JUrZ<e56BABXZM{ixT$N+C$Zqdyh(hcAxQVEdiCP!omTE9Byt(
zz3SQFS6Ke&=cy|#4n2Y#Ty^amz5Ny?eUv#dQS(acy|}YMi3tbS+&3sYlAsf_Gj{49
z?(E?DpMo`OZkC0#N`85BBczqP;y$<A9~MrZb?*_U|6Qq;7iRlW*LcP=RO!(D|97Nx
zr}#A7*dCoNb7MDi@UwLeXLt23z8dFqujcbSdA@l&I~U4&9aQbqygWmu+xV+!n8X83
zWAha}TQA>S?B0K2S82BB?{|+LC4Kx-{q<GruF}_wJSVHAy<Zr9=z4tp+Cwadb{+Z5
z)+TI{b0dILwDQvv!_4O8PjcqRF<TZrF{qlK$R(nFX_@b8zuP+>KKuW@U*0}!`9~9b
zd;2XJ7n%0|{U*IB{e0gN&&jJku-y}$$KHH&^7c!uB8Nbu6OPSnniHFUN@&DvIAe74
zgRS%K!pCi&EEIy>&+SZ5t?|3x!@=yg|A>(zXw`8;gAkk76phB8pPwK9angb3jQ=hH
zrj1??F0D<E*z?xt))ig8>q|P5N_FI4-w8@|cy4G=_sYp6_v*nVC*QMuJ#tF*vyTni
zx4GXOfAP%pU6-!m*fFi*tWbZWg09QL2)}ZZj9YB`&qhh5PV-5+u{qdS#&Scns_~k@
z<AsyfvF+nKcq%vjL*(5WjR|L~D#aG@T<**>5n+=!f8O`$GT+%fW>fDR0PWb{o42ws
z*uSu`@LlESS^CLd?;DNN&piO`IlsMzvOVW3-wQnJNpf2kvvbjvbq{^NFeON^MqU(d
zV&W7wytY1G9(1?Zo;`bdel1XEeJ)|P-(d^867LOF(0o!+WJ&;NK1qU6l?SxwOEuhR
z`pX*!pD7;}Wh!$|_`UJAMdedXsZiaHC7r(BKW`px&=qAXv1FUI^<76{aGkl-u2Y5q
zI}PIY@?3CY&(jWnlFFT)cw~Yd$84Vo=J$3#ZjPE|5U@Kg*k|7F<yo(j<(TX9?{~!A
zYO8y3BL2eDZpOf4-#{lL-f67lJv7a`r)aTZ!Q<EF`5P18vraiRo2~S8T5qG=<1q2I
zLkz}`{{Q`cUrp~<$=CfwPr2Os<#G*W?w<Vm?d|P}2YRmaNIzOOZ;|74z1TC`PM<Y6
z3cA$e<eT4jE*R$|9%|uqdvC1L5ZYd12;bbkew~n@`TIZLPgne1abtD(`U5hY&(0h^
zd{`@v{YzTK$49MPybp|B`M50Bym2__GW|rLIa?R2kC3F<eut1}>fdkJgTk-AH>CwM
zKWz_cv~zR*Pv(7Za`@kJMP(7DZ@vkS7e+7m?r>PEb%MsiOt+6W<n}H-#BluQ%CJ=*
zzH}ar*i>fjHSO>FDSqKo7rbBa@ImvpL;oeSvaU+rnWTFBly3V3wYAHu=6e1IEoqCr
zZI*CxR;-py!!ltu9&PClU#BzbUs&fU>g%(kb#7GWK9iT1+&OQqOl}Xch8+1Exo>B8
zP<q%N%_ncGb8eM0vTj=Lw3zGWnj4!;mMSM`@PPIM?%TId>sV~M&Cln@<{G}8s@)!T
zal?^{uKk6N-8P*4(6jEtoe!I|8Z95U&9!VOv1C3Jcldj^sCL_VJ7d2Oo`Mb1YR^0#
z{Ftonzs~R0%Yz9Oht63Vy3ODC>suDj))O<0mkXJce0#lq|D%_em#?a7T$mZ3Jw+i&
zZ-2wybN<IfZ9zlJ{qygDhn5vU^O<TBmn-+^iU>0~9WZ0L_I7`#G(VF`;R1z6?UQHT
zF|&2Qqt)7I^OI{)?DGHnN~G^iwT@R5(T)t;TXOrk)h+XttBxxCUU={S#&WrYK-pM{
ze_@O-6<k?F1a`ais!qGnE9Kp#xvV_6x8CQyt>LolRl0XoW=Z%ia_c>IPrFQ~Lxgdu
z$3(te|L0^+V`!RkH?{m`{=D@0k!A1aT7Ev~Iy3*AW%1Wujx4dRy@Iz*uK$tS`}^VV
z%F_>D{D{ACq)W9v;gR$9Rc9W>NtB+AuvmTLjj8rDhdumqb3W+ItNXd%{uS?`hA+jQ
z)8Fk_Q(nJScxLldF9(ac(-zHbQMkvoRaf7p&i>i?zkK?8zi#Xjw670|d8u?<flt2H
zE^+qODVwt#w^zJn+`{weNGbEFWBt+Hy<ZRZT{?82N77h8Sh)G*WcBGhzI}{SuAASl
z30^E)5V@!8`<Wx1!rDhvcHiGrte^Y)M~IA^(z{PWX%`kcA6=g2p~n(w5npyQHTBno
zCv8Rd@9cbTTcoA6>9&2<mkf^zM+XOo-sPWOTwFZsM?m1j0-nePvlnsf?~}F8Qj`l?
za=%@^ZpWcLTxawXxE^i0%GSzwdzOBbH<L=jTo#i=2P2jIdwU{Jhn#U@Qc195`h49@
z(aKQTxq5r!?ri1Hr6Gr>xk^lEshK);w|s?9w2!n`P?C+^{};JyqPOx$F25{r$HwQW
z%nsSd$LvGhL=0l&Hzsp0^Q~nM5*HWGR5}v-{lEWd@qk?tEscqbk7X=mJKiVLwAriW
z+QwpYn?E-`zqnlQ!RsFO?NjtR$%fe5W}&y*WWs-3zqQxxYvQTdn~S+OB%My&!t><-
zZ*x!oWwWKZU)Qd^bm+h|-Ds11#>f!wnmsDgQy#xp@!P+QX_rol>c;q&Ds4+|c5?s!
z@bK`*TiNR~;}|#H)^&1vS#(r1JY)C$+FxHT-gzFqJumiI_06}_S$FJFncpyd`t)rl
zrnl4<bv1H;7790S-@d)9WxmX3b<g&tCn8ek2u+Tk9kigR#p8sNtLvMBA|u~CQOin4
z8wHg)PJVs@`i!2>H16@WDa8pM@-LF}xW}^7eUfFAH`CEuAD-G?Rdz^RP&KDQlyd?D
zXG~9n8jr`cB+s~z*>{;9sWJ+!kZfEUowPAAUa9SCUiSmFIMdxb=f%ffUCHvb=0|GV
z^a*oTb~637VoglRe<ot^{myjzsSbTdCddA9DxH%yT`zV^GOMa^ov+tMu9<?44lb<|
zCQR_kpX$0(<^SZn)gL`H^zAxyKR-Wz{hfHBL*GN~SQW$hI!#Yi8W${3IB~J<?X9hs
z^*Xz{F8LW-l)RYmZF7x%+L;-Xbp9EqpKF=3Enwf-Sv{Ne)=izjXu0ddgM-Z<K7YRK
zB=1$N+@YZ`PdxqH9L;3Em&wwv5BYsdlw=ZN(>XkM?<5A!EBZ{c3=~c_9?@NIWPa?I
zX-Z!ki;jQ7^M@&=QI0BYUvgT%J$(N7UF0gebr<3!T;1k}s9U?Nyv%-UarJGrZ$>%Y
zeVg|fByc6Cv`5=8s<iWSb53aZ$q^u7ARoQWhe;*Dp2Z~bz~RHpo}QjjO-f=y3XQuI
z1<GIX?2DJ`yr2;O<)u)V`P`^V{Vx&>W^el(bAqJaN#2xGWRwfDOa6M?CYXzPQ?b6@
zn@N)Kcm8Rg)(@zEQK+Jl5DD_Y>G^vnGjLwv2YKN5KM$rQZoO;NpY5!B@KnR&!6TNU
z&)pwSuUhQkbJp?xx`$=*Q3>Y{HMH>M^mMpQm@l0tcS&m9Ilez%S2{CY6=hRd^5Dco
zWznc>o74Tzs>b_q-q@Z$zwA`Qj<3Nb>koIPgqL|X9BPR5vu5{YQb|YxS=rgw)zvj)
z!SN#nH)S|OrXRT0ynKU$s@j_?yELmxxmLf+-Lr0<z>Qt{Y`^>F9r?-}y)e9eR(jid
zbqO7-o>yGVl9H0QF4l{R3SPf^N6+T%p+(He&s7rGSu}WV98@XpP;p>NQ(q9=)bPwu
z!E;iCM+1wF`vadm#b;~#4xPMQ=_SMA>XDFqTJrF`*DVe)K~~$|b-M=3i}%Rh;gVao
z?Bs(u^<&pJ`>oRExw1L2*g_>hpCdqGh4|$YjuRL-BW5({nVW}u+pWmro_*+@X9G*i
z0kfyJ8%nZu{_KgpxV4t!k90BnrhT@OnlCLoI(nS5-1OYOak=_eaYod1Y4SwWaAh!X
zUYW(v+8DL?aj%L4lS+V-!}22T7qiXt*FBX;P-GO^AjkCoO~>(1xf?UCFXG&_HJ{I1
z{GD3#`8QY2O`5aE=}lM9@r931O58IRajrI5AM3p5^b-~ho*6Ql(khKspnP~PV6(E2
zLSvPW!}4=%*S6>07AtZy0Hv-{!4vvA$2P4kjM%Il*U5Y~gs;hA$8ICf6{Tl`u3k%d
zF1A93$<s^3qOmb{c4Er=01Iowoe5W;yn4qa$Oa0>oavwfKIeKxlpm9d15=oT!}31O
zE9Gx*g<7wh(8}U*z>0H8%9F%W7bdf}z7EHyF}}E=70@d2p(610oVEYgczK>b*0@4N
z#-faCdO6pz2YClSd@tKrwmDNCRBB9M0Fw=K1uq;ucI?&f@9+6TZ{NIN86&j8mZ@mZ
z!&`SbZ)`9ZWZd`8ONAq4l9TovH&3mK2N6PkOH&ueKUd({V>077f7C;piz-42j4;&r
znWZE!Fz}c5`aMFc)P#i;7^Rgq6vi(S;uH!Dso`7eJbOXz3VWNob8|CTzMj6a=1q-E
zpYOqoH&QIDOb#5-b9}Mdg;5M<7}(SeWlXzHobdQtdOemsG<x^iE&VJW3z(QLcFw#Z
zR>`%SPhI)KdEvEAe=e$>k>@S3`ebC>SX^b>cVvlzmL99;%E%YjwsBT%<9Dc-Fnwlq
z+GkJ_Z~%oSh>>8$v}<qm_gDG*|CX(|RkC)Is*nO>x4?~+M;HY;7x|?uNHvacVf(3|
zv%+wW%*+?(LWF}NLV9K#Dfw#E`$9M~<LVjd>6(TM+J#s_(dE&=0!0VJIA4^#xv_A1
z&Y4x)Tz_X8b53wzWii^sy}{m!_xF|80dF!}%)YvH2yr?wOCP@7eDZzPuL~)2PCBnS
zB`vW0NW+cEk{RN!n*AQ`+u^cz&)rHd7vIWHRmj2eT4}-UJ(Zi|&eex*Esb=`_Gn=7
zaa!=>jiro5U6e2<pPYYl_vXoBMFKD0cPe~+^s!w$Y1(5};owaZRP;raCQOg-_?Ecu
z#hpvyve7>dEKFpce1=zA5ggvIxXe~q5WPKb?!Tqe<C<2jJt%j}fk`DHjmc1bbAgVc
zu)BYXR{0Mf3-h7?rbcn5DG>@zjkZ-H9qtVrjLWBROqemL^G{QfXw$>iYiIXdFuK8=
z=xck{MmuL7EQr8irQ`0fz38de-zA=t7i67|JUGv@fknqnLD4i?m}}9LfC3xOvg6$k
z?%$BLySMJc=d$MYRi1BOT)2>AHfz=6MUOUnoIc_BYXABzJuyp`rEBYRxwo)ZE!rx?
z=)%GAt=v3xdQLlvZENN=<h{JK^w-MZ<w-X;rH19}@TxEh8Q3?<sPd>Rd*Z;-{NqWE
z%Cct)n!4^sFIgWxeOOX1@7#wgalKm}3qGw?R)6i87ZDKAvt~}siI0tumaI{=YUc7Y
z(?3mIc#r+pF2xh)dC$(?p?u?fY^CRpgT9>1I-oR$NNnp_O1Q=KuAHA`%Drk^IGc<)
z=L82+jt+|nvu5^Ro>}P;`1R-aS4;1Fc$(oN$T?@O%)>=a!cr@voJ8hGpFIC+uMppp
z+GaK@lbj0-ywd-oSI?K8^6TfDoy8W_Vg1EH^DnQy{&W6PyXAG~^fjFJMg4g0xpr^Y
z)${+aDlx4%{a`T*kGy~S)0Yo;>h-_gJIz|RN-VIU6(x8)7U(eE{qytlSNp$TghPDI
zK#mX4X0ohw^-?(D?iXix<gr7Y-Q?(&oY2$WcBjwkhjsrc)(F!s_w3MkpZanA-te!_
zRPQf1zvB0lf(4f+{r0>YaP`x@hxfnho#QJA-~IcY-v50u=dW*i{QlXa1)NNIuhLO7
zx<cbC;R|QyT8IDt{eHjm)vNov!zVFtPH5=ld@<#EW8C^}zayd93!HX58dzRUX?WKz
zUw1)2exJ<d^z-X3_uZ5P6>3w|91<JOGlQ7WQjUQ$qOIZG>-GDu<=@#MxN2Ju>zk<{
z&x<f}G1u^*7<<5orR4H*|Lg89E)G|xZEOHFJti=;GTJeN0t6A8hD^K8+x_;b|M4Ml
z#jPZ_4auPFx!^d%e3V=yw1J25?s570HU0hl?W=T?8LL%6@~#bs8048jI$+ritZ?`H
zeXITb{gD)MG1su6IxmT(B!BPMY5Nu|U|6+nhTxkSAb-3tJdh3x6^L>jXNT{vR<D00
zZIaP&J+At!k=TZCkbMCx8axu;B~nsT7kW?EyTxhj+{R<L&hVZutV{%D^Kh0D{k>l%
z-8*`eb=9`jjl~;O9GI@T9=LPpz`MJ<KmK^!pZxjR*%z0W^Mmd@?2)zJ7Q~?)w&no0
z{vL*(-vjroQsMXBU-x$nca&y!S9iB*WBH>aof(&wc&>dLv$x7LuKsW7lc!G)9zV_w
z8Ung=_wL6(pU)?son>lK{EWw~Pp0$x{d##Nt?QwhT3UxTpSN4x>-($~Ik6rnVJUgl
zZ@YK;^y%N+<+(u-9nsaWvz1XY+{vj)jV<TamX)Ux?Y`eB-tp(t>B6^LuP2?KXDeZz
zCsX$3M&Q%hZFL9l?X4CR6JzuD_xH6C6nxre{m$X~x>(b|rGbmxc08RHZIE<?<4*Pa
zy`@HX_W%DEEv!9h@?_Kg4##G;tDgJcw15H~63}m28{W-KpLg;1@88Z>zZs?VO=j^p
zz{Po`)!@VH_4|{4e|!7mK{Nj<l?(gp>;L@w{r<z}&(29nN_l&~hOLR+E%s<r{r9`&
z%ErdRpot3A=`l_E`+hi8|9>_+|IvB-|1OD%3!k-?{(igt@tvK;QT5)_bQ&j57OttS
zH7tJ@v-W@fzMpKyX=g6H+xz8`_s6T@@tO0NFIfV*3AJ6NZED@V-|wt*6XR|^*HYnm
z^l-xb`TZRo9g{Ym>@?oALR>m1IM{SO`^~r0Kb^P#pYZ9)$y>jj+xZf&t_sb#y)9Q#
z&nRtYJj<kui`}PlXkEHK$FkVux2wktgG8pHqM{2s3X^+hwzso`HpcGxey@7M+`7un
z=ZzeEhn^i3k3Z7JD?MTE+_ja3CnEI2zP-QS|Lp8+=VzcZRSQZ>*B1S&U<Ab)G<!OH
z<$N)(=96b_L4iR??BCrLsvyZ3GaO<$m^X1uz5C2C`B==As>nU3{C=LBYrU2AJu|;e
z!|V0?<;?F@C{NRkZadm7{`m8Gd;QDDZs+gsoiRh=%q&yy=nvmsTx13fwjbuV?^&=w
zVb7;i+EH?>&Og81&fl7y+Nj4JE68>v^#1MJ(cGLIyu3$izu#rw8@K+h()X}$I};b$
z*Z-^WnQgXqN&n5w>5p%0Oum)(`{H8vt>3P#k6-^)`TsNhhz$<WYsz0-aEyLnZDBFx
zj<!-4htwlg1?z80GBsZ=y5IUPZJu|f?A_Dn_x4sN-`i99;?7QEmjxW0oEOD@JxVNm
zKDRt;PwJe)!osLY(So5a4l}GuSE<$T$k|8;J^Oe<xqphF=@NOtNG2wxEq4C%?bcRm
z=G@+P_K3gSY-LbE0?N_~j6xS|zuidwHBC3#>FN?kP)@$8_TiXxeg?N+wr*1N&n2t&
zR((CR$hCV_&%x;d%z}(5?6GA(<*QyO`btdr^y##Ib{x|qzkH2NkMHj)&DMS5P<A@i
zP+m^1Z>n~97I$J~&vgB@QClzV;aC#4t7N5^{r>#>cDi}-N0>fZOh`^ieVC&$wN~%`
z=^D_eZu#BP@RMIR-PrfAP1>O3MZg@3LZ|2z-h7NFrz&)V#_F=?+y8jbJWIFDDD#qv
zFUJJd{ZAw($kqv3@$k6ROpsyWl{UK~_Py=7gAI!ci{mfRg$b{(t&Qqyldu01D6G-a
z+M}+e<@H(V$A`oGrUxJWe!qYHCqp5_w4a}z{`hj)fAyYe;?PtDZs1<&Y4AHb;nmZA
z=T6mBa0|y<&|v%V2AvfB-EWLKqN_q(%T67A8eewfw0Pu=Q+_p@)6RPBn{;$-2m7WO
z)07S!vVOm(x%%Bs_T6P~FWsB2C)B4P$M|TfLRlw+BInm9#?F>?e|9`uRDZYh`qaX$
z>)#aL^quZnJlibS>(+~FYo)KPjb5(GFY=zD@UZyClmiWnv&>sWBL8^LR`T(fIJYkH
z%;~;;2b&$r{;K`~os@j5DQ=In%Nc>$sdp~ToAU5*dv>yDpo<g#k=qVk9Oskft9Xd`
zvkE#N(ROxXUc&p4$>2-A!M|;{^R$&Cx-ArwoHHGlt*U(T9l55us=OdLZ(Wt;Bd<HL
z;0p9W5X%`|o)b)wcfLOj4V@UkeDklKe6fCa($DXo9vo~=oi#y#DRRRprwFmXo1FC{
zf2S(yy!*CET7MqHB<2G*Z$?Ioe1Cg8{IkXc*)q+dwK_*sc^t()1wE<mJUd<X*C{)5
ziA$T){dHn?1Vr!gHoTEou($rz%H>;F_q_SjE#BC{66Ep+6x+ToJRLnfS3b!}boK14
z{{Aj%MdKU~1*M|hWp7RNnFQ_f_WexL&2Q{bJrs6C@aA3d^DNFU8n@`~{(I@$mzS4K
z`59;CAD*##7XQz>2L~FX<b_rpZ~~=!sLvCkn5;ytS5LRUrP4MHTyyBS9+-2eVaI{)
z<qbL~QZMFta6Ps%gRKSl6n-;8_1V5ZkK)%&b^WG3zouz^-LIET(W&Y&V*59(n6AvM
zS~$N&<b>aj9ap?}G`=}<+EhvCL{t1BAs2}W1+Dt~{{-C@obI}lgYnTuh3luEZ<1K|
zrnp)0)7}_ubyg2O)|1={O)WRHU4=Nj&g@f}J+=P5>bm$}6AHVIH?**NXf(|UN)}L4
zad9ZR5L0+m^j5i%d(rw97LSP+*g0bhN=mNmo4$wp(!L7DjsV4be3KSCx(K}V@2XM1
z$1Su-VW((I`<K|riFbIEj81e4C}nkow^TT7K+Xd*`WpQH3a%6{Kex-O>{Q9J&8k8Q
zjlWzD%sJ#x`zYm2#1Uo(u}?uqx}BU@TmllnnFLy{Y=~#F+IoBO^t%f#ajt$N&hGBV
zq>`Y?RI15y!tdXTz{OjB{JZ4(=$5Kre68N&<Nepmex**2^HZ7dfzgt)5ghK2O48#%
z9p{THmba@q`KNx~21|yof+RLvk+`W3D(vCzjZkKs>osHP{%^OkXTH2CmELYP(ZN<t
z;p7Lqe?K03xoa5yZhKPtRf4(Q4Jp1In8ef<1gDq2&foP?tw+}S+M{C^7=#oUe=AOy
zFd^XCiT$6H?F%2ZO#9J??5GA7j{|#HO0=@K{5xm;{>moz%^+7e#0pJ#{O(D{?k!uk
zOv+OY{ude^+xmXr?{#{<iSf|%<p8!jVLMC7^_b$`x;r}x1Ge6EdT;>Le{o)5w4Skw
zJ0i{QhBlJV8ft|u^vc;r{Xe1H?{M{-XTxGOMxhNFjhEt>zld#k5wf8e8s^{@p|$D)
zX~U$Jx<^Mk1Gdgpdawaxvgd+5*BSP*>R3v@nT=?GcpQ-9e9^`yyQ==(&gToVs%7kt
zgNCzMS=4-HG|V(kf3)xSyTe~zUS4}ZX%@uYF!GzTgL%b=gumMB_b`RtKEJ{;NJxQk
zwNk<dsRi2`LVdY=QIdi%(=JA4wioxSUhA&7<=1v5aS{XPj9CtTae{vHPlEafMW4DS
ztgV}N{>k5&cRs%_=I#ot`6hF2-qq~Vk2^oVKcDsf{B>Swvj%78xnbrxD}vu&J>T|y
zRax#626J)uiXB#m&fXM!_iFDSo%eTRWF9<zxaKct{21m|P{0S&GVR(|`}@`X>i4!Q
zcKs^<=q04UxLbL`lxfXZ&eY5~cg8s?%r40Iiucu*ua;hBjC*&kAoB@FdZnf1f{A;s
zRKGR;8fTZU&|SCn#&p;HVbBiL{-lGJD_wOAI@ni1J5P0qhM$&%t#|sm@5}j_H-839
zKY0AI<i1x=-`DQ@_OYmO{&Zue+PiP^?pY)t$C%KHu7-8t>*IcbuHjy_?p0KsKNDz_
zEM>aAp(;D)%9|b&tW>2ty+l5QasNM-<$llk>brI4K9pp$_<y~3`D~;x<C>)+8XFa~
z)#W3-R8E}Ibl6r^@3!~SRCnjLUp_|~)Be5V_*U+`VZL=!h^`zvX5Z4b>dOWH*=D@Z
zzU2*5#>gM;>S;<^io(Z*H%~cTFF4DjtbP6V)weRso9AzzUG<*Ly}ixs{K^$S)_f{C
z;W(>m?zs>-0m+>np6A09x%x5$pLB*DjN=H`7vw=3-iYIPv8(Lus{g-UuV0u|Eopq*
zlc~&C;aQ4UpQhl07o9#wG#n2^9(~LHvs&@M`QWSbK71?KXl*B%{%Oi|7csx3X)CRQ
zTQx<Lbxv@von5(bsSwwpSyi`Wh55jJ8F-1o@xrS7-J1Ef)n*~N_KTl+cpm8E@L05;
z`MCe;aH((Z%MV^X%IWCEV!*-pZe8!24!5suv*t!G;AB;w8ZcqWt2=+3N^%}{dmpL|
zeY;rIB?UPxD>VLca=5<Gnf>pc%Fhd4{W4PPYi4;f&%v#%QH|+M@OtNs%LQ*;kydoH
z<KWH7nJ&k8%ue7@pup@YeLId^?y#NNShmpa#S#}LL9RV_HP=X-p8kC@xUm5$J{UM7
zrZud~y}j+1{r^A0tG2CCeKVKE<3I+JHNSdt^%G4|wF!-3XKMw8UM!AzBz^eg#i~in
zQnnu4<^d5QYn%)&39fc&N>;xVvZK*lFI&FhUGD6N8%AaR-~tDh8MZ1f$iBL2YTe6A
zO9M*ZMm>mCabOZtRp?~iu4$MsU5J$_w8LSwK>ZfZ&+g2Y&J&KVVLA8mtA)_yrWHFx
zR90?i&hwIavC^ffVCsCdF@T)bhIOvpV!x(phdW)}mSp@^g;6Mg#q6E;kzIE?HG(Wz
zqS{RyX9v{3{ox>XT42Is!Tux59%STfvO2hsku655W#8N;on#(J9~YK68l?m-w6?Uo
zxV}D~KlJ(ovp6p%l>|<voj;F8?6zi<{-&mM;JU1AG~23z#$(eAT5IE49Oj&IFF+Y-
zlvsC;=lKI?XQWU_n9EY)=;-+C?d|Q(SFdF%u2o_ba`1AK3w!T%U|B7v7Y~QS@vz1Z
z`EUG;!u%9eZKc^4O`76Sz%{*`@7RNN2S0q@w*Rrh+L~Dza)?6uhAm^PgF{2z`FXYh
zTkjrLU=Z41#`LrGXv8*CvFx(B4&V9C7IX@+RP0%>^=#19Zzn#s|L#*u41dDO^Xi(6
za#ibro1Jnjp_3nrf=Vk`WR*HPJb(Utd4Eq&%c|Fl?7ulOsRa094mmH+x_9#QnyC(c
zaSMgm9~Hi+FlzhGbM%7T*|k?gZn+|R+?}PQSK9oVymcARs%?uCi&v;5>}A;|Yty>)
zl=p%2w?$)bx38GMX#U!C+O(@(4qjD!N=lC>%$nFeaj(<G&CIsjvREgXPee+uGg=zX
z9X{+lf5HTY(Ai5I&77E20<4+Ri?}x?yfsu=@@!G~@&Gl_HL`BAcX>||$-61uoe&>>
z$6$r3P0UNtq+nr>WKa0m!-9DN7k2L2^(r_hXu+#0BNe`8mYn_rVNX>zB;<-%ZOvQd
z(r9p7nnBmB>%g^ILoN1$o-y_tM5``VAJCY#XC_jmw%{2{$+>gqetr2;vSQb>^3Qxi
z3XF?6PJC?Mzs9QT$Q79{Z>9PksKvQ$Jw7{kx`R`Znwgu6@`>}j=KPswAM@sxYh_v@
z#j6bC+{u$C|2s3&IAH5pN&jZg1JgK<%(ZHMKiy49=Z5%R>-L+U0y1`Ua^~C<ZoYBE
z<c{qoTPG%oN2yL*a=MURCB$Uq?(Sae=f@Y~>!ISnRO$knfI1T=)~RS4y|A|8vem1p
zcW-bto_H}iVyiA!d0$5VyWH6~x^8ZnjAYCKH_jI_GBRH(D=P!G_VP8RO>W2)jM$|r
zCjCt{DdPP$9@!0RSFR4uR^5_tblTw;*EKnmtJ|+--hb1BY{)*27gA<9EA%xq8dkl{
zej=mG8PU+7BGKb_>X_)>TL<oLoye+_Fnybgg7tQW|2dXT9~8>(ED#dj1SzGUWP>Hg
z3n`O~1^QZAO{>mcw7adsDD-3cf%mT$73vsrhx5MaX!}$9xH!<4DgFH1!;iMIUobi$
ze4UqL{j=CT$gXK%S>^AryzAeao0|hlzrKGoRmFkHRB1y-G7sOeIbn+qs1_70DfjDr
z@G(h5Y;NeI19c@FpATNGJ?w!rlmZ&W_HqzrJGW9+Q%p#K@w32$IZT4?!KVI<)7^YN
zWy+oUy8r5)CM92)7q@QBw`70yzofVYk<|j0vup`q`X~Wv!5Y{yeiWLq_l-cF+(pqm
zJsY7bUs~R-KKf>l)1OT`Oy#{9%PaX&+Q<_cG&wR(vUhiP`(6~gt-vUx;i_Q1Ut)6o
zoyNM@!-DtDOwaK+pu)1qe@UXVi%Hy$#q2S2D`)k1F4!apE9e-7HWV}6Dr}up1D>4O
z!m=-_gyGz|b1$x1p4q~?*>t!1hSGeVPoF;*{=X{wc3$gB2AeMzoR?*Fy#>v5HGt-N
zbS`M`R$&CS&Yc~ezj(1iUq@$+z49Xuj{{sBIX7l^=(0~PeEYyJEP>Z|ML)~Gh^_p4
zt<4<&pL6_LSW#-HCdjtTXJ*jzznh$e6c`_K+I&1ByucvA#(f)TQ13oViJza}ucjuZ
z-^&hMoZQgKx#!ncv6zdid7J+1^|@m-Te<Nwi%{^U4MndL_gJnopE#p|p_NhCAw^gv
zK@l{I$ujRQXc|_V$?EB=HRn&CULD^2D8b{vB$lwZHjT}Nk~=<q`Zmp^x%M_=<I~wn
z7wxV{JMS{x+<b9Xd4~wwnbXtPPHwQ|43IETkKG2En$%}0(bLoWb>~h@wWR)WPo^~0
z1=pGN&)@2q`1h9bf$N9Y)NU*kvY1-N=56ls=q>v%hvmxr$)Ne#Ho5nzjHiVb7$ijg
z1J$hy>L6uKaZ%B$;~5rQLK_Skzg7!vv{z$xuHL?NgX**QcDkmLzrVk6+245h!i9sI
zZhrjysmL_i$?345fJDx}gVBNfr_JTvo{QakaldsZThC0fY$3L!q@)cQ7nML~LmH=f
z?3vE;rj4PMarewDz9}po2YOga^78V2y?K*kTy}7o=YcwonvDtaQ6~9K*A(l-WVgia
z)cf=6arh6{y^9v}Ee=#pFWI%l`knlLldA91IYPJNYRhb%{WB2W-Jg2k>e;(0x!1N$
z-2d+ftG`W+b=sMK!p-fy>vE07?@XUmBV=q>%dh=Et|eGq_`$PRecNQM9&W3aKe!_M
z*sL}3$x>EQ)4LvjJX!IjN^O@(+hS8rX3*U=eX`bV+wa%io}n09kRK8va>RK<@$++P
zx!X&UOE0>Y_U?Z+EBnKvZv92&?{7VQDA4$9UiG_!lT^JIm<6u7t-F3R10xr+Si?Cz
z&jyxPvW#<g@7@h6J-_B9$Ov#=F>O4wXmiD@&tE0#gX1kMOjf*pclyisFN@0)AO4wt
zaru9NFVZGFmR^5;7vJmm@aj4K`h8tr^t-AH8NXlcHkeRjBpA;7uB+y0uR+cgiI&#w
zZl6}FbZ|_pRpXv)H?MQ!_PgmO?#a>`dUoyl^>;h^)xNY(PIgY7z4`WUZ6UU}x}T{n
zt*sCL{eHhbSZ~vboqs-^HY|Hn`B76<l{I*|-_dVxZ%;jOog?{J&%qsqkF#<vEBD(p
z2`alixRt$r?Z>@W_b$}?wW3c~-GAN@-R*ap_W%3EoyEN5Q1ri_&*ghx|M~gZblua_
zn^I42NI1xJW{%}%tJqIc+@RFv_~Xqi7LNt@1TIuoRJ_={Y16B-4Hg1I8$=pAlr<xE
zt8*@9kNB4J)0O+#gOwl4AKh!8e}H>^eL$14zBzmOJp++;zBfnXv%f2Ban)WNaJ4+q
z;lY_de`<Sne&jRB{55}e-J6dZG1gnyo0^<Aq}+{LEHUfVv;Eg(4;;{Id~xg8{Se<<
zvDQyp89(ltDEr*}UIp{rU8Spy<v^pxCnhR?JSrZ)p!D^%j{g4Ri~H@mqVxBPE_UyC
zD=9IVSNTlxteznMs_0LjKO1IU(Wv=+)_m5D#J(W$+K}t-N?%_~JU7R3hFR_{*6nZZ
z?iSyie*W0H*xjH_Z#DmZJ{L9n{c`zyC)JE&&HPgOOTw<_#f4iliLhlI?=1(lA{;WA
zto;4`Ytz!yR!(5xl<<5oeX9F|7jL@!)-v_xoZN9cU*e+l$BoA~>M6PX4SXH6Y~x<R
z+l7x$*zI_Ju(RUh+5Ro>^|l?5zq44Csj11Z{Nb)iW$Sh>nysc666O|LExhd9kDX6m
zd#<<XylcH(LCuQm=FP|tU%t4t`%Qne?)U%l#@qjoIx)?weq;CN-)sI3n~Cw0E<4=%
zS9fjs)LW09%QyJ`7V2S+I(WCdU$*aU^tHzcdrIfL*z`U_i0e~v;p+=#YVz5&nE`iB
z&aeBGd8guWuPEQjJq8NgAK2w89Ez3KXP8GyO?3TJe!q5ktn$3_O(#G%$Oy{(iJ#Zq
z%+CMldVGDWj@#4!QD5uz?Ed{o-jZ?AX)|b~K4MqN%4^3yK0bc+y~nm~hjp#*2BidA
z<=im1Q}g+(YFW2>^B2Y4pX2NQZWSy0aL)Sul$!sSy!996-rlz3^}5|#&ad0`N^8n}
zh7X@UUHT;9(b>^);g;a_O3S4hCMF@L^Dk`84u4x6>EJy-Y4W@KI@3YZC}w$gIy8fq
zg{<!1Ro7Sd;~~3A#s!A*JB98u*FAppXp2BEXt-QSuBE*_{FUIRCzJiN=1Iz0M}@sv
zn_af;hI}hy^c>S$pn-#2mXdq-?tvO!*_*q+O=vhPIOE%KyDdDN4&4dy-8bjWER@=7
zWzwp1&VJsv<kqqiN%sSHp4Gcw5%7E8vO@>DRO=O#ZF%eeeG}f68`pkat)5GL`Tsiw
zv)i}2yx-UC^(*i46~;!#vxc8;ZT#>pucxP{<j>v;MxjT^A6`t>Pkyv{{q&gV;KYRQ
z=hj+OW!Qgvcds!%?fjv+kJT2;J;j)yaZYdDj$gl`eeLAUd*5{*X{pG$A)EebTlVD#
zA6rahx~*H?j~SeINd1%I`1<kV=Crf39!?F9+v%X7q0e*TQ2E<iTR{gnYUKpkaHTx|
z_vPi~idQR_KY0DRd;Pv&T$!1fs@+d3KR-*nwkGn{qoN-l9%|_6T@(AE#B{>1BrtHI
z7_)vHqp-T)6&v9vyVpi<e{{F}{#CJi@pV5{QzqWt@UT8nNr~yip*R~(x%xkaUtV5b
z-cwNU^=kOzwcGC<S~@*0D(UypPhuyWB5#2f`G=j(+ttBv$l(oWT1Ze*a^=kwjY9!q
zSHIt@&fofL#o6NAGq%y&avV=j(|!0pexrErLFFf3ugAN8e|J~=jaQ4XU?@*ghvBym
zai2k@Q{28BP(Ra-<As!Y-kRz5IiNm!kMf6m{aViT-@`H<CGT{pnKbkNFYW4wC&lj_
zIxHN&qQIg5Ub%AE%9JYhtLJwAIT#(Bc;G@tsWYdG#Ey5%c7M3H{Qi_1!2uKc+uuty
z`@Rl))c*7Tg6mJ2x4zHP;*pRt3IBAki{ag^eUjVbj2#~TKJqzV>idNmFWx=5eDlTz
zW3Kae13xkyce#6`#CWNA`KFHP*Oz!s_F`%Jbg1FYgwuz<@B6KFPFa!rhoo^@h8**&
z|AD<{8=2WnCORZtKI-fsru}G{-aM8dm1VI>*HSm?yS|IxU$<B6aGpn=I``GGx3@As
zeq6ur*Q#fc0aYJ$zn}Cg+h6y0OAPZQV~3b~PvtZ>ZrnKQ>{DA=mx>7&nlH^`Z{wVL
zUatC$;g`3!!+(3Qa0?n8(c?@P@aK-S@wRAQ=y5+O{-r^8_t(Iyhd=**zdt*UTU7KU
z^MzGY3m5u7y)CNG{qfk28SWmTW}mBHD>@_|sCw2kZ2|-54L!!Ww;V5?=40pPoX}9n
z5;tcjr#bI?E^~49$5KX*-hEv?J^9Ys#am+k+<q?`pXjY``%~fB-#@(D<h|OaZ|`?)
zVKmgSGm|>}yX?RP!Rrq;udlnBv$ZTy!Btg$Poj(bj_Qh}fA^ywEV2<=v+&6i(MPLy
zo-Vlj^!eUUl{Om{6_;xLb(bG0<-MODzU6gvltRSDB-fLE``@TZm)+%k_vPvH$g=DD
zyWeaw>Mkqvo$k8p&6fQi4smmEacLDlS@33u_k5v63Lk$=I_yyPzxvCIg{Jy{y^;=m
zzh568{o?ea-&?Q8X&1hno}F@0Z}*K!%??hIN1nzz3G&FXf)+Bga5n!)*x~+(yXMnL
z^%oZwI?r6VWZAN;M<*T~?Or%ZF#EozywE;{d0dYY6?gL5{rPZMXnNJhf*rr#?e?~j
zU-fFS)qdrooK16P1Oy7E35g|~QYzk(c6OH6XYLuB^tYwfF^RC9IX}a80t4rjdM2x{
z^6TRM8GxJieFy$8TXQgZ`&aXIg39mp1ljKW<=KA!vq$UNy+4jH|HwVJ{YIUI>rQ3!
zZ8HoMj>X>GzBq~}CArpRP1<TxZsz5agbJSb9-gpXOutxconygg1LgG7TekPtKT7>$
z{M<e~|MIrHdDl|5$BNAeIuaWzwkSx&?B136;m`Q=eln}H#fUv`tEjXr^0(ngIr#QT
z$-)cj+&>hZ+cG5I?k;&5#N=K#?ShRbj|O*P<d^AX&!@Bg_<Y`e{nxGO=jC)o9=_lI
zU#~E9dY!t@j0L(oc)N=|7ld1-A6yl>+QjdWU)jynLT&Ck=ZPB_3g?Ue=5@7^OnIpC
z*2=<S%FHuYSBF1-KEM8&lN?X#<z>E6Hx9_x|5;e6aAGA-((;FKoW=s?zh7Qn9=@9Y
zvyJVstywFD)q6J2Di3#SIK(iY7t}{FnAdRb{CR(Ucg2mMirW2vlnvXr_jg-gw|~D9
zb4R}N&rvRRlYjT5H&p#h>TVN~;Mx6rnfAuo&s8!V_WOe~)*f<5a*}zs%dwN`XXTHV
z-$UKzzeu<<x$NQHM}{9x-{1Y=+K#we(>LwTx{)~bN!h1O+s@0%%5If?ziZRxJq7`G
za~70nG`>;m?yi5yTAul8({25A--NpLJAW-vQB}=Mzvr#daO6*X;Zf09-y0MQW8K`^
zp7AQ|{;8*@7xj-pkdMccZR_)R-5ufUVpgiEizGVS68K(K_<XvO^{Ywi9bz7^^UJMy
zYU1JHabXV^k1LDl&a?v!jH>fH6%@FCb{T!??tPT2@RMuFiF1EJbHNLp+p`{>>65kI
zl2WTAB+<p8QPkfeFLCPVuNAK<?W?}5P>Tr(Nm=tKGVxT<+&@gq-t_0roX^n8_}Ms(
zU&Vpx>-+}4w>mE-PoDhxzlWuhkbyB{_6frYGiUb2y=6A|G;8Awqrc0!+-+7=Tg*}U
z`CysH+u!AJwOsel{$ZK@UH#DY^`0}UwXCKdC^-1~y#Imo_kG=6LagrGvDI1{9oWZs
z_~>=s-8?5x+15Y4Cw$BOzfN?=$L}%yD~+EgOp$Va8FX<ai)#0z*3Tb)G;dG(#b2Lr
z;=^hmtIM99A9uXHb<kqD*hc#^@rk928hEaW74w{MiWSQ!4dpr1kn%_Tdqw1%X?6=2
zY%x&aWK>;t_rX>Lvr~VU-o2@Md+(9!-goxuoICnA-i6_?Qmh}(geT>j5(M>`3g1qj
zTOr7{WR6W`&}rol&t~T@s{j9Q!e#E1>2XdRPeK?qlO|Vido($6{+#^&sNP4D2}*Lj
zMSm8kcuY}gd=zU?{x0V2^K)~pr!R=zSF`h(pu(XB1MzC!c|1bb6c%zmI$H4Jg5p_W
zMFj<i*WNZPE*~Zsc10*Aay&{@m?yaXeqHs+mF$-;9{sf<tB;$DOV7geU_rl1<eC%N
zi&vRx|B7QVlH5PXLh(_1hw7oTR}#6lZtVRo;ofklq3}<IIcNwdqyF^@dxkHc*tsAD
z^qPkuOp=W$XYA|VtSE~~{3%g!xUhB7-v3iQgSRr)|9$FS@&4@d51;2}UsxQz-$+1D
zjpu}I(fSrf7M6eIHqn(`Q#qN7HaX7sJ19|d)WhfZzw)<c&YxT?S33CVXvFHN@vQlo
z&MCL&u~f~Eqx?IbwcYNSeIlq_Tuf}m9HzY!Ym7LH#n-xaPs{bawoOxd)6rl~9YvlK
zf^|<>84ue?v@kl?HGVq&`{cUXmrp7-o&I}}T|UE|wd;Fh1@j4}TN_SHd7oOSyY7eY
zY_qHTg#B3rIgTVdbX9PiI28A5lI!*gDGxgiPV)&$@74Y1@o*YWu*=)^a+y(5o6ZW=
z9MI;~s5*v|o(k@AUVaVpY^$f)Bt6PhxW`#ES7+1F+YXadx}G<;*mN)`lzHcId8TRn
z6!n_lq+oW6llzd{B)L-+M;<TUQV<j=zpUn5Z>~4Xd|qj@DVb>(7C6qbIGl7Fv>y1|
zpNpVnimw$G1phzyI@KLCynMidY5y9VMSYAVuFJ1A9y3jOa@YIcUEBTN7asq3NLqf{
zmTA*xH(TdRbe;HHy`jR_<)qU02m9_y7_VV>i>p<(3yWi0D$XJ<%=V<>K=`~$miP7F
zWRLaeR)<x*RO~RgQ2rpG=IiAolRxJBBE=-m`^&W7um8L7jNbpq(mAs`SmRzr9KJs-
z_gayH#Hph#jWd$nx45*K->cHUH}g~cnk^GGK0ck|Ipf#8>>pX@;w21zcqPv^zWw)i
zpV~qNd-3gjxyjq?eC`&+ax-tz(0{8KP-pYhU)A7i#oCQ~=USI%?fx$$bkK=un@_``
z2Ho>=x$2BU8^oA)9XQ}no0PO@>kVgXj{|9(E;616Z`pcE*e+On^teLzJJ$U*{dRxb
z7yN(l@Wjb;$K*fPxjgEO*z@b0y7-)k4?mo@C!U<Ye^K)CbuVs~PbgYndhq2Ikv}Wn
zy}Ynf`<Y%$`N0{Nf+iQbt~Z--^v?@J^Tn9~#wXGraVA)#I^+o@I(QXq4&d35)N(7c
z<j}O<)rBfW_Q&p($*a}cZ1%Zbyl;Nv^?B7HpPeRbVBpL@aq7$gFVVCK3*PjH9M_Rz
zX}e^8&ten*5#^){7XmY`Z{+^;xoBhQWvM@#Dw@sa-I}6k8@)Q>y_3ziFRMlG-CLFG
zUh?+hZZqH6U*^F(s>>c3-}b#Vvr}qycH#o9&2IxGetf%~ulWDJw3GO%q$BS=4@7YW
zNNl(^b0KKbVw;P@^1k3p-g^`wd6TRA+@qD}uP6WUt(}^^F0=pIs+Fmml=ap5&-_hP
z>yx!za;IH-;pL@w4c|>%UhuO)TQ6f>gsRo-Zzof9q72+S*$XX}+b=(t_T4q3LYqgv
zRQ6qEK~k&Qo10sG->%rd%88*&(b}5ZcNR;Q(!tH^|4o;TZ)w!KTb0$nX{`}#EkGs5
zlPW=>s4ZE|(eJA`%<unn@O1ljNw!0F(su=`R~xn+C@=r+vU!3*$(u{E`LzaX4^;<E
z@_*F4ecth7rP>qgtVHwj<X&7YU!Z0)<(th4J@3RzhDW|GD*RBSvP6bA^JGv=4PX4f
zU4rtyFZk7uw@ouy>Q);pu|iO9bBxYI7NLCwhW=ceOU}4?9ykJ8WiFusTIaLDo5^bG
z4UhUqM}8iO2hIE)6a0~M&~8h{J(ji3e|oZ4$la~o_@w!3#tbp(Qx|uH$n^UkTYSBI
z(+u9NFJ~Nb$X)SKPDr7#iz7hdK{0rF&@tf)E8m>?_2Wmy<LD%A6$hq>{py0h`_dC4
z<X`&)rFUvBGDwJfb=q9k?Ri1|m-j*`_xgP9ShX?=Gp81-Bpe52^^Z@AU#K`RsVOhu
z=H$HSeJuqvV*8l$#LH~>7~b|z%71#^pDc@7V34qHrxju-Z^Z|$&tH@KrfKrbXx6%|
z!uVUoA@P9S^SPiYaRXh(*o=%7aeXdHii|=Ayp5r|{S=JMm=Ejc=g(rx-6P7y{A~7t
z{pPNVoIA|hO7he8`JK69)XHewn7Uadp&jJ3hf9i2fSjhjAo#i1>!){;K~B3YSn>6>
zu2yn=G|SKGS?dC~xiBr|P+69e^z@o?Me&@c{;n&|9tn_G!E1WYnMox;5VSH>4>T8f
zpn_?am~PaI#fumB=6C8&VBnl0aASkH;B0=C<TC=dy*Q3*Zjoi$zy4OYoh(z1-u8yZ
z4W?Yo+l+s2nZn|+z@|~sI8A_y6Exi3Ajnek@ZrN>$;bO7FS6vTG77EeI1t{=ci`qt
z$-P$Xf&!c~rX0Ao*YL#o^T+>&mz!knF1<NpD<^ZQW!X2R8K7%!4RXxa%#^n*zbA0w
ztp2el^5qNSuNSnKe_m_;_>qH-x56(MDKi(B$F0^?2d>^cxapY0Me9~Z<p&?bK+Qk}
z@VYSrL&j$k28ID<?o3NLWqK@oZ=U9R_)IYN;j>3B7AgrMji90OT{=wVeHrb1iFR&V
zQ(w;3<cX+a%eqz{=BIe>iI|f0dXLrTpNOse{yh7qp89@{qerVm=G!jc+x2hl`FVfe
z8@IRdDy(ngEsc8n<Nf(t&-tu&SXv*te3nygU0*@?|2VI=4___0H-G(8Yf$t+T=rSu
z!Ah2A4^M@8E@(M$KRS8i;{vU9a#sZPdSyJ@Sh8Fd)-T}TzW&C&f7?Hai`rYlaw9JL
zim<K8G&^^D(o(TMnlCFoPEH8dn{>6ha%tMLgC{pG{1j05=lfHZbai)&)%E9NuB3l@
zKkEvw^od3Bd!=4%xwY`l`Shs~1>fe#1&PlOe((LaChYH=<wsa6&wak?z543;ovf`V
zrbg}M>OObq{At#I_w+bEOuQ9Zt*_t3cI~^(MI|9{Xx>%=XM*F$j@_Deb;~rCoSp-V
zdJP5MH?C%jTlBZ_tP_)|q5}7#quJjVJ9Ep&)K*6L=54)|t;rKnmUZd;6Xkay+p6X|
zzB#FLL_OVkxlh)cmrVNnUyI7ymha}?bG5oM^6>uXPt_9&)%>ed)1E78Tg%UURa+G%
z_vO^LXTEm?Z(R{qWR!dLwC|YQxoNFu?m?&H4zzK+m}Q>7uD`o`v*P4TEzTQijrXs+
zK8{G5Cd6))Wu2{~5+KX8Y4R@k*1|)pi@SYeoD&!1E!TYI!qzwMgiDVQqo0Q0gE?{i
z%l65A$bZ9U|CQ@QY4|;cZLe3&pB>*3oO#p3_k36!|4oaTWo*19Rwltojx&U~qs%`$
ztxmgF$~|{4Xp|7NkegTV0xLWFYyUYGiOy=5LxeWOqHPVlEz6<1UYP0TPS%KxPYt5j
zsvfjCu6?!S{H|9mhZ-t9=iAKfRxW&Lu_P|&x7POGX^i(~aa=fZJa*^3>;Lb5Su>|b
zWqz1bW0k5(x`J=3`xeg3p0Zb}rNQ#ypZ%Dk)xoJAv>jyuHy76>brqEx6Hb~;WyzU+
z;F9jf8yoZm4_9q>EfChfEyuIgT)MI4iI1-cWajO5onPap1sXgv{#~>zu;CSS4=yyZ
zi>+i~Q1sm^c;$%n*{KQ&mJDz9dhj*>2$u8+QF6R<lILk4!<M{z60y<07bbJhlSzJm
zp8Ys@691c@gSdpm3V$D;E#-VN_MBHV8|Su9zM9E8QR32O(Od22YcKD+IrFP<M~_`+
z=<P*UUNXPExHnh$wfPP)u^@>RtXsJ91p6Ce+k-clYq8p$(pK0rbG{nOkBUO`Jz9sB
z8yA}{RQTnh<)@wBbZ+gRi@vJgMf#aw8Ek_&)2?N{vtLb~H0e$59T|Pjh&c@^e}C0w
zsyH>*TwLxw<LKLkjMp3!F29pFqPFzmyoqnOs$_lL8X&Ra?-@7M6b(ZK(dsD@3deu6
znt#8{!ssb+BFLI)rq;xT94r?<uDn&)6KgW@0RP&inbQ}Pxm1cn3ca<07g$+XUii<m
z$;>Xh94E9w9BsoJkM0Wb%~87@Jzg9*){(*TbLYcnifcoaEZT3GrYM&OO04+ll6!A{
zOYiSVS1#5b&5*stqC5Nds$iBEk^#k&#P=)PYKsSc%(Rf2&13$x`o{KPg<mm38L#qg
zz$W%1L>XfztNDIe?l*Un*5V8v&KuH=YuWu18@+sPtSk-w@=7Ev|M2$TAM@^tH`luR
zKl;_U_QGoChS(1a3<CCM`kg#+xNWcJjUok4&h~j3*B5C<vHT8spB9&D()gHjdEtv6
zo>TW2aPPHdTNMA?;YamuiMx8z;8H<IfiYWo!RPPaudA!8N6(Jk($4axuVKgfbJ4P`
zlb3&<Vj<8q!Qt4>Yv0=y^fYCozaL%o=7pYw^^U2}#Pal84;?s~{;MKL?B7kn<wBfk
z3zAna%UI9Scx+zGgs4~Fm2I{8C;fhWVB=)1#D(T<-#8q*9sIp#9<GDs{U<CXb#-;W
zrc4o;Y<`B#vw<bcJz@4Ixrkk+Vs%;*Bd&i_d^M+V`z_NWyVp#Etr1uyG_UuKXxF^5
zN2&o;P8vKRe=5A*O?-O$kwCE#_uX6OhgH`yDXxiF)7%iZ{`kKYDsQ@M8aFJPCvnes
zN!)X$jeM`RG_inc%msQJFKTLQzph-lvh<?(Zv{r76^xDbWk*)CI;|;^&08eKarWV}
zB>UYbO%J35Pk;7AbQP=Xo+4krFz&8^t*>v~S@y<!=|&N@Q<r=^P9FR8_M^q3J}D8$
z2bZ=TTz2H_wlfY1-ygF0Dr79~vyrKbEN1z=d-g(cGf(IeiBe~W=Z_yR_V@N)J^S7b
zZq5k~y&O-(#gcDcvsiOebiJ;a)mFZi-Pwz!wjS)(^;fXo?(kH=V*hE24cW#$Z)CaB
zm;ag@vq9^X2-`W~NiI#?<}S*QGPrha;|_~+I(wl(jw#gEF6{GP%cqV43+vXG%X&Fn
zzxHp(w)l#@{xVsnA2K;0iQbbFJPd1J`)iA*bZWAS1Jg291<n&;?kWkF@3EBRNG?d~
z3uRh!?9N;x-PFt5r%jsI%Y5qXj{_TnckBr^U@PZNd$g(EFj#`YY}Jw`ZgaQA&J_$*
ztM(}I@k-D5r<l@R3f}CM6a3SO&u#u8)7rxq!&NomYn-B$7JUBp?b`C?%WqFQyJafN
zn`sSi^w!;-yI|84b)^H>V>?+IeS$Jt{hL)pZ3=WamtWcxD)t$?qi=V|(`P5=wKfW$
zy!oTH*5niS`K;v=SbiCI&K3P9b^X+mB~x^!2AH^2vX~wz{d@T08cx<bQH>^-efe#_
zOqdy!wi&b}!ZG1kk7Vh^-n-uxe*X07QhG|t4P6GlIV?F-4;-uQ^iuI~3H)gJ*5~uK
zh5tU3lyAHG@W!6IkvD%@$jn|exAxtO3k=M=Sysj#R+ue6eJ5MO`a=gE`5xa`^_(-N
zE>ps4`@_$P>z<#!^!A<QoQ|{ANmHf=7{^@Q-n#T`;{X3b0*MKyrf5EKWKv0JW|>#<
z2sGXN{>V!kTidTQXU=@~wsq}f2F@A14kbxy+g#@zT6g#)$CuA8kfl=Tb2i=V_<w!F
z5pnrWPsflLu1P_mVn4U}Uf5jAaHx6Ds|Dq^-yOQw(%AEc>Ccbvi7zfP{N2@R9Nv*@
zzwhd%xT5Zy8=}0j=N|TH-#&DE?$5+ktmk^f10@WUW6XS+($pLh51eTT{cOe0&tDrB
zHtlD15@;YPO?^XfC$o}}%iI$Vr_=Uv@P2ZP2uQZDyj8x)I=D$+N6XD8X;;-;w=bP0
zTe6<@o}MCc!MT%3=c;;w|Dgl>l2`tyJStd{Dc$i-)@c=gXZSU4CTsp<iRT}x+%a3j
zYqj;uYVqVvR~-W+He8*#_^t{=fP}&S;049y<=5w179aa#yOCW;q0vfNVfW<Ui!X2R
z-^+J&o?Aw6ev8IL1(OYidu(G~o$32^De%}#n<K0CFcy08z}CKryzBP9(!IN3>+IBO
zK{m16o`chvJtayawN)?uyuv5;ep4lrlFGW9a;^7dnF~wr8_bsPU(45UsA26nnYU_;
zT+F2(d0yPPbLY#=n>V2)#0Cb&lhbM+{K)iGv8b@&)jQ`Gb)r$lhC4`KuD;^ok`C^I
zMP5p3okvt$6!iBCyggiVapzKft8E_=zf}m^9RH@kQ?uVhXr|>+iHgYVsWq1_vRt{*
zqAPsjtbWj=1A!I0Eg!s__%^pv!z|mnm2vi5D=9ywFA^)7(;c3_dbR5O$&)La*WF;{
zoX}t@&|x{VHJNj!MU_(U&co@4c1~;-;@Bgw;0Ti-*PDxr9c-9fI4qXBG#r`Y^F&-^
z_1#$gEqTvcryY#r$Ue2^<FdN4*P9Ir-p!G_{QnL=J3BkiyPL<Zytpy1S2$wtTaI&L
z;*S?*>pM2HSxL+H=Fap_Jh1Iq_q9n5nM^#Y2LC@VC@w6#`25+kS^L$$ftGAcWf2P6
zY`Rdvi<9;E<dpI~9RGUnK79O^%Rb-y$ExX9`uKR)UivpnA~d8%EK}0b<L~#W(<XLb
z`I7V0^<l>b2mdW$N(~&02mje5tx=1~F3znLWh=V!{(O%;|AFJDd24sKU1#Hczmb_q
zT6s~Sm9cv#v+P5+{7F``3%Qs}+h)m5Y&Z$ZKbDucrLI_7TfhGN>C>6}!qp0lLK`@l
zHf`|qIoZg;_VLx8uSc%Ps4RWDBBCn2qSE5<;j@zSZmphJX0vEd{*x5jlf2wq-4mxy
z=C`yn&|dDa`uvk;%AbAgjIR84h&N~XxpU!8t9Y9m;60Nc`HQ)2W_}Hnu$Vh_y-VZY
zs7vQh>v3*n2rbL>X4&?>-*wF?TZMVdcJpkqw&^|VJLC`>kS4E^AP1T$IJ~uF^)9zw
zsh6KWe?AL!WhRpn-;%Uvt7F&MELrjEPxaTJydS(JR=z3)WkoIBT)r7gvN!_^@>J|s
zfA_MO@coh5?G291COJRy*Vg3)yn4R*`MHO0B5lukuD!F7*WO$A-OZn@t|!8||J$tG
z8ou$@&;3y@45gAaQyLWOGk#ZEAHMIs_j^&}{8g?Z2^;yCzWPc`SggJLk5FTpZ|%jk
zwZ^X-e6-ihJFxDAaEHIw;mO-eo(gU*HHa2rd&OY1TY=G7aDhRB+J>dGu3B1JzU1cO
zf`;{q?JPx~x~4cx=%2GEspEL#pBlC895+`@n_e?*cKjN#zt02KguR<25P0wOqxfol
zrG{0~W+96jg}7z@t$mta`Cg%^X;thT*$3<H<lT+^SG#lG`lF)P&mMIYX1R0ayv{ZM
z1^YOeH;c(OG%r^ERhpam_e18wP{A7lg*-=HT`L~E>I+K^uQh2q<WM^&wC`jbX!*^5
zpVu<7vR_+UTc5q}1WnI?y0!=Qv%H#YV31!b;<xO1vP*p0tmn*e)=a0(+!kdfr}xO-
zSyjgSVO^Hs0)qfupFJHcYbJq4)BU^sQhl$9Yc8DyTbBQ-%b`|~?cYto{<rOu3hz!h
zH!GZ*oAXLrLxs=ZeMS0u+4otTt4-GX3h!Ai#iGFz!Yrh($f&LAka*ya@Z#(n8y4=1
zkez)PwiTq*b%7lRGpFXw9|h<1?%3_J-V@TtD<)*n=CJJ4#7~bO&8>L0Ezd^ssKf;E
zo!j?uGE1A~ICyz+t=s)>7ni%P+`;*Ezck<N{T}z@*RNTfTC-IfcPWBwnp3LvaXM(F
z8(IJ~8+<sq$2LRmMYG@9W{dSI2|`T2`_xvYv@AC)%n_fw<+$4^A%o=xA3!Gw-rAB`
z@p|p{3tO|Vb6w28yG!)!EK}!#f(@R_(jFb@1YJIxx98(AuG_cwR-1#Cyy!%2;rNul
z&UV`MxN6?U#>R>t58JbCYl61~zCKr(%*n{b{H$K$%6?(1y(tXo(6!EuyOa`MA3CsV
zuKI@O5mM`<Dm-HqlkLl#5<cGg`{L3iapzs1OSpsmD_svcxVW&SG{)}Ql7C;$IPJ`e
z!0+>Gze$SeL^PbY|1TqJ9XG=x$d5tVvPfm$|9{mjEiEB8zO9Sht<XI`aIxFNozLg(
z&iMc6t@hj6pHHV}+}%~$di~#r!~7N{F9hyYKA$VqyVNeW=CZGOrqAy$FM}U$Ffum&
z_-uB*(=*V__xcaBR^3|Rzh_OQjtJWsdut{6SD}XW{%;ytKyAqemVc@Vrw=(4Rmt7^
zS0|k(eU*1#+`2izLI#?RTh7FsiQL4kfA_Aiuhi}tTXL)O-z3Q~2QTw!oO9{xpU>y7
zO9lJ+@!h$jWhYjCyifKPQ^~_at)koX^ZxVOd|;^h_NG(Wz3;(ff4iM4WI>1K8K1Xl
zKH4pwef(co$h@jonqOXB<=(Vm>+zyzXC!+hjop%;JPP_&uBolf{pjh(*X#Ey>FV+t
z{lB}rd_TkGqqjD=FPkP~+{*a*@^u?Fl_>|}VtdZ?gC{USV@B!;rw<*NBihc`?)Kth
zqvxX^DYM%Td}IE2;3m@@vo&igtu|Z^(^uoUQ+hpi$KP+a3!hF6pSDoB+dVSk*zx1e
zV((*jl^pEW-`8=hS33K;?XMS$LFayatNZZaV8!EJ^HX=~-`p_NJ+{9_(j;Sonux@y
zHIbViym_;xQhLwtce`&jZ7mVJ=x7(cIgNMHq)7^L9JRl{fi7$H))Ri;4qEZ>|KHyU
zt?Mhmw{2!zUe;T7w)}oA`$w+{8&7=KIxlgr`u*NX7up{m?|(cye_!Jk<+rCJ;wztu
zR#aC{|DtHKr2ohf7n7_j9N{sAta9~#K2Cb?Ki8`D<>lqsxhd)C$_fe$`TPHxMQzCl
zeC|K@On1-E#r<}>7QE-T{}b@rr)Bc>_<C9IX*w5=1@DVl(`zL^VQ#rc;(<T>4bzex
z{8U%?<eKc~geHgs_c(5>GMF$iw&D8zdriOBzwdSP<NIcoE0ZrPsG*^AES!7WgRg~q
zAHJ=7n6$L-%!=~6A!<A+DJh`kUq|!z|7EMM|8Mko-}`;PO*SU{`0#MT-Q>uQ?b+Ag
zHGODSpI;-ixBC0KlJb8WkINlC(8yePnAbe!fT^M)qtJ0Z?yO3Ey|>z7YczJgdvbE}
ztw+&GPvtA02)4AhXYb^(DtXZ`JAdEKl#BN1=VWxJ#fiOM?mz$9x~Z*u{`BhaespR3
zo1W83v1J!7U%q^CiKp<}-+QaSKYF=*{<T9AE((FiXFA*Pxg6N>`JDAuyKOl)oBHkl
zN$BtWA{4bPhx4IYoY<#(0WF2k&&@UE^Yil)NPKe1TYu{bD`hn`H(`e(^G<c0+1a5h
z#1s^`(og#9JMp7l>@JC*LNR#%ma3oaesz`LT~`e(9t-q90r=tguZ)x@b6oGQdsy1o
zS$o5<u~+cohqCMr!@ob*%zS0I!bc)vZ<T4v@qf?f*Xv!L`sPx<oUPQR2h&`4PO<;@
zgSn_SGra!h%;^>NktX3&;vLK$@cd-p+5LT~wGe*`X!Y4#tI|tio5EvDMW^Y<ud5XB
zn0R!bitZHFod*wx$2>W@*};V?a)bAzgENiOvlcZ@0d1C;ZI*k<hG|L1*-h1YmVBqg
zH@@F?JMVG}uOKte(^l~~hlmJ?mf0dFBNgP>gO~fQE$~t?sXQ@3@z(c8xdE4jL`6f7
z{a*0p@8e^=tG|3{o%JpFSJgwc<TX0`8w_(l$6W?3l8Krpx^-D_*TT4vBcC>!KYKq5
zT7TWpW{ehL>*_lHuvJpJ@blEzhi?zw$d9fn`~3Oy6{XYLp6NXHk*oV@%`{p56}NkP
zSMrJ6OujV-ubpaPyy-f9L)1+}{n%}}x0mUzd47I={HKjOZXG{%Y#OJeMa>U`WBu~|
zsi&u9TEBhT{pOgrUBgoE>2Vv1L08rO`F!49^(32X%7h6loYDg3HI|VMVlVdp`NVBw
zYrA#X(Wmiy7?1poE&Hunxu}C{srYswCIvZGB@2&<M|bsX{Br1i!g85vjYQr})$d-t
zTGc9bLT?v`(xamZ0@5lijyB3iPCJOb5`BB5`-j4|`$ea9XSF+}T8e8`$*F`mPht!U
zNqHl>^OyAJn9d1>XHBnXEMyUVDsHeW_g;1tlL(v7`7JfwR^{d8d;ct2ee2I&P+x%&
zG~5}$k`pKa8UX*DY*gsF{`1FOI@=r{Z2X;n?A+Vg8w&foZg1bXDd}@!3Ey|O{pW0i
zE{g<*&oof@$*RKNpmV}oyz{*Ij-S$Y(~ow(StA|u<7u%VU)b8HR{ecH7C9N$|M}>y
z`)ps$n%x}?lL|h(xVTvSj{5G;n<ndWzXdJ0`}_UA{!TZs4@yxd(k~smu+VwyKGn_p
zL?S=L)qcJD<3TgOm)+#nJsm7Xxj&VXj{DpH4cX+W@l!bEc>ErrsI6I{&x#`Vc>mv=
ze*W5w&?z?pjwydT@yp4^kf*6l^Vx+dxgIkPH}l&?WY#eTe>=LZN8KUuKt)^V)&;?w
zu3r|}O!LzTy6XD}c7{ohVnX+!17}>>1#TQ+e|u<_gmKC0UFOIujThEE^V#uumtses
zRgdp)p*3B#r9GA+lY1tgT>kOERdKoc@VUP$eIuDfIhou3FbOFocxYto@Gg6#dhFk>
z*Xu6ZO_D9=<eXr@bXY0IZvC0Z2QKu<h`)ILe7UZZxA;ec3BnvI{~cZ`Eo9mBV!CYo
zVWw!IACjk*cuu~sr?R+X!Nl3KyFtrdZ|CpV?fg3ZNJIJk+U?H{DsZy#DhfU-Rfyww
z+O2a>RoyYvU1i!n{}us3_9gebx;liD=5xq1v+=C>6e!Z@yoV>n=IQCD)8p5v)|iy8
z)Y{*$@^^CeF;HVwX8)@@Yd?SedbOK-%Bt1Hw(FtI4#vftHx?Oucr#u4ieT&AhtHDc
zT2>y3{r5b7dE&#S`GWG*GOb#g5tkRFy|}ko|6OH)(yh`x28`ENpYJ<<M?Nj-`$nD}
zyKeS9e{jEB{K4`3eFy&S-5s#z(c-_%Oojy~IBb4hIsM_Y{_YPOQxg@`*9+L|-|YN;
z{JZh5@;+XN?y1vV_lQL9IF(fL_SV*@JA2>EI=$b!E#=P9qer8Z_GMmPHdSYbva<44
ziHgF9(^<DKJhATIwYAY(WtjQA613(06drO+vUYG{a_Km6`n0o$N5`}){w{3J|CpSs
zH{I4h$pKm;^;Bv?wn}}2&MVdMhA+m6hgfv~H;Q~@nQ(OToCy==%vlqwzx&&!4^x#a
zIaIj!KYae_d{d9N6N}3N0e{Ag@sr-2T$cFy+S;wBRktWuSVU}&c46T(6o`A&rmt#h
zDq8*R%|#(zuOAI99vw3j{~Y<eaFtTA;=;3{qMZ3FJUyI3Yda4pyGpdTwK)m-oZ9ob
zW!tI~k>9Ghn4djgA6)2EW!dHYs1>yE57cx574)+V1m@1@wEQn~)K_bNgQ?ih#lN;0
zHgCwjtyc2>s`%dDeAgy%$=lWlybjYnd^+Fv;EdVl(#7;+a{?uPyqG)P@bTsHi_8A1
zN*GkI$XvD9o)@*a*LF>+v`);<%|3RF(PC^V>*_))+45v>Nj-FoyY}P7%_+W1TN!V@
z)Z2es_vR1Y&Tl;3sm@{bk}KZq=;p5fIe{Tk#M@_o&X%w5@BeR7<_4`y4Q5%ic(LjF
zmg;vq-Gw<)KF0m4aDM6T=P@CMVbf*3{kP}Wew(@Nx4x^N*@Qg_MVlIq9QQVCk(y&s
z$OKviA;jrbakxj)*kqxT3#;YL2Uo-6*Ou&aDfsd0_4=(BI6I$C-@~-&_WiA|f7X9?
zxg+q=xrpJ!NnRxek2qH684VpwkM1foHF0n&DRd+R%H}ujs_AIi*%CTMUx_dBk;l<p
zGP~D)cTGI-$9M&3)*%1>KG{`f_4&}INCS%qW3({awA@a|qA<f>B`be#-m&`g0oVc%
zn=kvHf4Jg3`P3byc`TcDzkE@#aCx&X7xTp5-yb~q$8o3Nv~B9KOo`L#o1Y~;xoSSG
zCjIrH1IO+j7ku!vVdCDyt3GRdnAI(?LgzTc!ifx=5%!=q{(R-RU4fVK@9YTd4h7AR
zf(Du!cPS*~9%^`Vu2XyMj#FVF`=W(bI=$Po)@=WQ?U$D)e0qI8;o$w~LeJ^%Lj4|^
zhL;>($Xu<xH}A?;^Tby@o7}g*?Y+^bzud>^NT05Tj*i1^zPVcjS_Q*nEA*;QtyIy8
zwBWbip67C9qQ{bDbDYwSW$N}jCIl4!*_dQ)V{`glL;lSLWjf1idt$W$7qmFGY|H3;
zowtkoyUmvh$?b1X^-SBBx3^4TUd2COn@>OLKb}zHagn>xyn6k9(b?D6y9GJT5vwwI
zHEUzVi;d48yeqW3ynCzIN#hCArZrZ(&GpwgUZmK#s;-{5JV4^XWoM>s-VKKuem?hG
z{;2NDBfF5fKjc5pJ}d`H><uh$8XLB9G7I@lpEPNa>~GcMT~oz5?EdERTW!m0o3QL&
zn5FG$vGeUI_v>G-?JxY!oGLssH047V#&YE}!L$9awE(M}_@$=C+_G7-l*?Tr<kRMu
z|393!AH02BJUq6%``N1fpWe4x2<?>T_pf2}-}fPGX5Ny!>1ua+`{wRiv^+~a;Hi~>
zqQfUf6}2PT-QO>z9!r1!aIvTULihJ|5=MWt&hAcpdur*84QZ@y{W~Rtm;Db>{SI9$
z`r%vq<-*Id->1juA8P2ic=|SbcwDJ1(=0Z{qW^iR|CMxQ63@-gUK=ITVLh{t*<JX<
zjb2lG@qJzyU!O2J=TxNb-!H=U>ZD7tM42xryq3o=?^C>ZdgrRA{mO>**P*HRKpbbp
zYy$<)ohpn|k9_uGd;f{M$J0LN_=LFJhtJ=pO$}B%x#ivNRF$%vn+?b3)$*3#`<}6N
z5@(qD6otPfT5aDm`TgG?o3TH~c#rga|1h0eza8)0)<o@-*et%r<-FbhR5^1F=J>Ne
zy`M7f__XNEw*1Kc+sAp1JwCs;@uUBqkY~3*Yx>`(*LLmfzP9*wYOPkTyU<D7g5RO1
zZYLOr<yuE2ExwcRK_X)BUkRJN|Afo_&G@c7@9%xvS=SGD=hvl7{jBA-H0?>k)oX_<
zUamX6=9-(gbeaI0%EWCd8z%SsnY45No&)avjNa!(eyca$5?){sP+fL)Z}9bPxzT^;
z*Z<=T4gW3|=MJ4Sa1-2MmgvBy{Gb2S#l)af`UZCKBHht$WzDNMFAjWc_WbOld;eel
z&QJJueSS9Q&%B+V9q(Aa3uIvllaW*07UC}P<K^zmipM|yKm6;zf3HGgVoi=oTl_t_
z@V<R=!RhBTCF6Ws8aW>yd-P!6-5;qJtvu}l*#hr;OpdBJ(6T)4VDkArVVZWFg`j0f
z72hYXFZ_G9eEQCJNtSHKcwRTQ?1;#@H=l!js=-+)@4Py}XL@&APx8m-^*)Pi^?kbe
zWVo6@fW(Hbmx}~d0<=N<GFsQVnZLXux$;-#=VzJeOJUpn9AX7FTuWZ4a(lasYMRER
zoBKCx5D>PD>ph<LI$k`Z=bf^#vFzu%UsCq9#=IxBuKk~QJpMw`J8${*X)l&o9Xjw?
z_;}(${rkzWE<Cf?%yu8xmsopip@(7AX5(F9haB$fomI5t)C+^HG&<zH@7E{iJ^!D5
zp0&NPLUxM2xG>wj%CDL==AVWC?ET0PwPnja-bw!TasB7#%dFw`?Q5T$d~Jz`TlL|>
z!f$^nQ~%GCsH;D^*oCW=aq<7kL}rx)L(m{!f86z*#p!?F+}yk{>+|<x(2VbKz>D+7
zuHz1sYlP0*zny&Y$D70E+Oa0#?h+M0))_|aaCp*Lqg$Ea%Kg4}cX`73k87ehndct1
zxv(mi-6l@&y~E2oCZ?t>DeX^BZ&~?1;neQ+j~d<QA2eR?v+l#mT{8?4KA8MS{m54G
z_Tp`=`94)VA-1|-Bv#B4T(7_w4Qe3%F<ue;eDbTOcY+REE`9cX60}P(p`lc8f(&y}
zT6tNSSyy-W*14{h)9(l@&UtIerswwg-)*<#+sjICRGPePQs$1YuVbqFmuIE4=+eVy
z?*bEz+4>u|?(WN0=bEA~<fX8j<I1(<goN#47S-=`%--~^zUZ77aV5OOCHZBWo*Iut
zk;IxCH6C-DF069<d;RLsGll`Cnau_d7PPGHs;lSKopC!^!Ol)DzUCwAw>LKz-!x1+
zBVqIF#p0;%tgp(S9AuYwNk~w*SNr|0QL|Om7mZ`R(&3+OPLHqa^w!(?q^Ys{`=wo_
zuR-TmnPh#5-*jOWXXJHJqrTPa_elwz+LLqB=)@1zm#a0sW4|PNRo@J|3L2L?d*X(6
z3H(F?vu(Qfz?0u94oqgA2MV+tD;*CQ%=o-qh-Gy!r_}8`Kh*YrGub!SP&ArP<>2w_
z`+NSr-}_T$-@i}FYx+CZnus@Yuq!Eqi0rRddS}t5nV9h7gyD~rYj-AX%bt|{;3Iqf
z)jqR7-=?R&urA2h`a|zut=_zvCwx<#6Pe;>ntWaKJw%PCqx$EGe{&}+b<$7yBU<Sk
za$3STUZmW}d9GJM({iDhmd%b5E8cv+UXY!72z2LwVfr~46?OH+rLV75{QLPlyC!P7
zir(JGebyJ2`^)S8T-ajh(d1{o{WGWc<;#~1%icu1egF2;p@uu!PKQ1|KK}7Wa)08<
zNvfi4|8j0`<9)y9GvB`-kNJ)5OP}S|y}!42hGnsu-Tyzuxi*J#K0Nrm&}eBl2b<D`
zu8a$Nt8XSpC@U!$Bp>6Eu`c6rbacEmXKVid`xEC~eS3J1a_Z}4ptVv{Otka7ukS9;
z|2su9cmYaY;NlD^<@;6ctrY6yl)r^LJE`@Wvvt*l!-rot9nJOoCV9tT5x?K^r5T4D
zn%Q_y-S~g{O=QK>neHF{rQc6HbHiFoCOYj{CQqok(T?hhN7q%QAKqWGvg~f;)(JoF
z|22O&Kb8CA;Xn1KWbz|~6CM0iCmgN*e4*g#IW88~_LwOfdolz1r)At+CR_KbwzQRd
z#U<VlHJ%!qpQ1kD3&s9d{|bGZy7p;+&!$sb<~LTm{oVdzV_39qYIx}W{cO9ngxHq(
z&F!lF{VkK*+uq*3=G)Em33LBPZce+JVKLh*_mI1MEz8N<q5r<0xe$<-x6bO-<(-$_
zA1(G2`~QCb|GL)NZeMl3ITwn&Zxqe6ueSs3v;F;cdv=`2p|gh{+xM$VE-si7eAy*N
zaN&|I?MJ5RMt`{Et)KYk$H!a0TwT}%OH&qK4p{Z-@U)*dSHA@fM1Dq`HG<sz-QdV{
zdfSl)A!&ws`?s`)M(H1aw>J8#`7eICb(3Cf4Xk&uR@VIZ|IJ+XkSXrsZSikJ1RWi^
z8g5j--}_xIdeKHTx8!a$H{oRcOexcgH>#7mHy*oJt<KfarL>}<OG80H;go&(pYqKI
zlyb{&7QMHuw!86npXT`-rO)Sd`wKqI-~Um?L}K%EUFY-t|B6Gye3bs&4BoOLI4bXx
zb;;Y_Md#Kn`0kPMqx5Om(-{8uw>u3F|ML2{u=e>pjfBKT;r8zj=k1rbleJ`w_nSAl
z$2+Zsu_{1N%;9m~wN=b_Y`q_@y&=GI@B8%Png4&grT(_wo_C<-V)KWG2hIm93^LvN
z`l4%x%+F7Ii?^yJ9(a9<wdB1q!@8q)QY}vfAOCjRi{nW4&0n!q(Gwev)n9vUCzJbW
zL2NAl-aqdoFD(e-VopCdhmn&x`}(@psZ*!U@p5orVB?eNaBk;2>049v?D1n|^A(zF
zBQ_@ec-(Ja@br{ukF>ep&yT;pzP|j$?Z>m(`6=ht+tvP3$?jumcI_5h`l{K?>+Y`7
z$?x4=T~AhmuKy4e6f7t$^)2o4n;*Zs%$G~P#9mcpscpl$!=0WritjrYxpsT?H_S9n
zSDP)pHu&$audWk?j5ViBNN+qO(!^AmuJO$8wMFtVo}Fo2-s}6nT$^ft-JVH@C!^l?
zjFfra9GCx&U8}!UKbD)pAe_MD)4(aA(AY4+Bgg7de_ZaBe3#-Xukt@NAHHAvYEk}*
z!%5!OrR0qFjT<)>d40Vl@!@2~mFz1vXBFf2mw8V4HJfSv>+3Fktr`{<7M>Fm4o!Jd
zcwx)VWhwO~zCJa*mr}JKJYKl?$zDy}&4(Cl>%Tn_{IfBQbI<ztqnkIV2MH8>{@`*v
zuXf3g1qNPb9*-;L$L{$l(VE+RBHC<yJRg_sj_38>IWy*UpPgsf#r<%h`~AijpFeIW
zvE?hO%H1ya#p(XEr4L@lXe@6}`tWzT2wNMUtd{wT+*?}$&%OQl`1p&ftEczWZWmyR
zaLfJi;bF$@ZMwTkUM~73_{nRAL1L55->`7k#zUM|Wp6ZEyDlyDUY_-MtB0D`+sW4(
zmM>4=bY9b^@dzhZr<8TsnmYxTkNr3~S^bmAJRKVw8QZEa43(VX`f)NLjji(*r(gP1
zaN@<Ct)=&$oSeM0!|C-B1Fso%kB)GbyuTOA#io+u;^ubh^}W5-TTMms!udHi7%cef
zYLI<zPo>b##~r9qq0z|ceeS{GZ>9;E^Ugb@A2@7g!eqE!=)?1UtA7-_I6F)4it7sx
zl~_<wSCqW`?2=Wpt#Tiz`_7uO(pN3_m1oR?Uae5`!1O1!4uu~<cS7Ad|M$<I6dl{A
z)&biCm$$Tuy!&w8{{NC+bG$;?*x9`e9Uea2ka}8dXJgoo>+<$>F;yaKqPOdLXKzkE
z&KIz$sMIaV?c}6czV|#W9jwaV>6|WH^-NsCro!OX_I&+Tz9cUjW@hH4+Yg+Ys=e97
zyzYbn*l(pq*;iME3jOW(h}n8y`uhPENJ2Z{z`5qe<L*U#+p1=#A8^?CX~TWzhELor
zcC~%})}aZ0QVI*Scmmx1EOzf-R&-H4{QvFk`N`kj+?0GbQRu<M69pe0I0mG$l)BBX
z65?g!m721wz~Rw>HIbXI)cHwQaYg@lxqSYlT0cd`Ese{BKi}G#?XAx!q_Dz@>Fo4P
z|0iF3Huuq2O?l_A9;X5g_a>{&n>SzHalNr=WmX*{*Te-o^X}{jZ1b96k&vISZ)@l^
zMMLrV>9jp85fT^v-I~R@eaRBT<YOM+Rm{|2zHzW^G&Xm7P-`!w`+8Z~=hQSG2Wb|*
z`TKiM%`?l0xEU#Zc6Q9{Rfi5-TIww*CZ?8rN7POI|CYk#ml|hsZd@At$F}-g!!4iF
z8a@qv`!BCza+Chn#w)G$_*w8a=lS7lqeTDy{_g)xu#;g+;vyMNle+BeY{@PMIi6KN
z4`=w*{{H5AdYa_wKNBy<^PO7lAjiMzCu`o_U8<}zl->JItm03ZQZnJ0>zkE}SZ03|
zN=S70ot>@r&wZ-P|HVuGCvG%kg!$qX=N`YBZqL)_7HvOaoDh6rj-esrTA_}LpIp%{
zb&Wd@ODW{fdvaA}%dL%q=IgY00#;fVInK8(UsrT2gl$6H>hSgFu5kYN^Ye4+Ixee>
z;B*mYfA#NIwyj;e_Ez-?+j(~$ou010{#)^>RtNRRTeGfueX~?GU-H~6|KIwUj8kkc
zw(NIq=UecB$=&_9&w7KYyi0s%S}pFin)@jG)|IE?3ktdd7rS}-_wT9v+;oU{ncrNk
zVl#=VrEI>RjgNf;Ma-&Mohq81OZt{g)p7mp`~Xp&u{F+J&MYAKnT>aqs{g-KiN((Y
zrGy$c$1!f$c&2w<?~mQfR+a{fvaNU`TgiQ4*{ny8HE%wcWtyEb$4>S6>7I+vW(fUW
zsb&~bJ1^!(^!7Ym^NmkL9i*d#ghJN3c8gtFydZ9G)q+3ln*RG=hRiX0@MTdAQ!AI~
zq}es6F8PP@ctkANkRUBB-O|$1;j-xBis0paZ<?NYeO?m$V@*U&&7L($3(jT8bY1?v
zX3N@NJcWwu*>?pUZPW~2wxH_kE3dFAIuk7>GdMGGw$=t*W%?wxK&30^m~TTX<J<M?
zXP$U9)1}l!bGN!Qq~tbWRGVPd*zuymC%Tl;dG~eKe>Ja?J7>xZpU`Xk(c-e^&u^w>
zyeqk-SC^-X-`wP{kQ&P573RMD2PY@zilC)kI@&LuJejhxPsnwpzYvQ@NI`M2_vgr4
zQ-VL)TkTcvZ<+Flxi|Iqx3`}vh1fHK*JLgCpFi!VKt}PKH*a{fawE3oL{^@@ySqG<
zkFjX0`r`Au%igZ~bGz>6r>6_DxHWH|x#Ch<@OfMA?Ii|_vs6j~oZMOig<OPKH10EY
zGCy&gAga$H>(jpbf&rr#n+Z>dZ*kfp6>p)H`607fdpQlDX|%$=(VqW)TjaYxD<T(4
zEKXvIQY^UnTyfI9Baatfdu4nldugz6hRITc1)Z9~J@%DMEArw@FY0YS$TGvB2vq0&
zmrhiRnLJ73U1!Xc8<29SfzzPzyKu!XC9%!dV#StnuRgpk;MnUq#^Guc1eqRA+<0Tl
zd#Qc00n&V}meXC`W~6?R^W|bLDe~X=R)pI>?|<e~@e?m@{IF9yz;e$Y6fgD8XMTNs
zJ>5kw;L)nT_ja(uGMAgfgBMrbcNFT&$j%NiX}bAA<V)v)dz0-}1Rdf#o4w=J<qbVa
zI+CJn8cQCWn`@o*+((u1r0V=tEEUz&r?r@$T=NfQ1iAQv9HSW9mg8X;H{CqY$UJ$L
zNhVX_)w=vU%&;V&thk}zcBx45CfB7wF<$39rkNaH{_w4QQ~Sd6hRf2Y%E)&c@+m9^
z&5XDBG%Y{(>G^qnZ*TA9BZrHVn5w`jOTT~SitJe~o_;I#X(FX8L#Bg?7k^Y<Hm$j`
zkc}%+^u!J48gXHdW`~p#8MAkLyVl#S;S;a6tG#wiTy^oG2D4w!%w{qOp8&ac({X)e
z|Jj{F+McFaR~V*7B_@L!8sJDZ@_TS%YWt3w*P6Rb*Xwv?6|Vi+Gx@P~DCeHa&tlen
zcOBMl;>(DfJUJ@s_3eX)8n&G%+U?`;8&ne8C2tl~c2fym5x|HR(ryX2Kklyhq{Npi
zHN|+-%#S4{t`8nW+FOX}HOxEf^=g&(<TvfDjFV%^=53iM04fi;Gu2+zs|A{cu3|oQ
z3|c=maHh;V&}F;t#AI`QsW9z{KihwDPF5>8_F7ITe*ZD`xmq(!*kgU>wKB#AJhPk8
zPzI{&WY?`)JF8dL+U(@ryRvAG@_3LNB=juzTT}aH*;Uh?=6rJ7aU^QyrTyFG6g5<2
z9{&n))(iaA=erx^HNE(}xttl38Mv8mE&07JceDQfKSn1nUKB(a=^(|jLe6A%rl5z=
z?9Aj%&jSl1ww-2wcW&E?(2I9xEIU?wk*V>touiXTMPFj9)}d>&CWmJ+7Oql@l(@i}
z`mUKXqa9@U!&SFd-CW{1c@meH4hJF`J+M90urXJpa^qz+2d4>!>&kuZWGnLhIJ9ko
zs#M75C+iu61H2!s31^t+evBh<0h12*lT<G&r2~f=`W%YQeH^$!USPT+;ycTCrje><
z__~-GR;8=pO-vo059JO524RT}V&C81R(WYT!P;NWWOeMU>$5pklnee`l2uVtdu04j
zbAq;bwb#o}uMZw-(90~2_i@+^GFZpIbnVQuvrM&LUR@n75dQZ2F<Wp#ija8lzF{Ia
za~Ese_WS&ThMgHvt3`Bvgxq+t|NF*6v!DD(tryvJqS^87&L&sC8pQ~S6P&N^s0&}<
z0hKq0Ki00D@%P8$e$T|jM07(tIheOxs6NN`s40Ji0J~S$k(O<HzNvXB1UwP4DEz7S
zDy+b1Ih&lCm*Vr~XAe1?ThG|vz?m|Yftxu}b^6EWXT)?OCY1dDSKE<&Z2lwbnGC`S
zOj2qIXVyKHa!VCCJM&Ui_5JELd;a^5Q;qyq1l~KVajjo{Vj)v_zTJVZw-^4{5SkgH
z6{zx<|I=J4b%#R?pd8ueop5tgYMXThv&7DukB)XvuBoZnkaALpSwfG0BBudkvTA~t
zv}<zg;WKAWU%6(jWF;rff6q;*XklWhe?;Vy^E`U{t}}4D96$E>%vOdO4|RWS)nt~|
zjw@!4ag#Fw6(k2zIA*Mk*r@dK(a~;!@X0ex{HJglF#c6e$dY#b8_jsP??lM;>hm{$
zTNJ((d=>gpK#t|yuGVvVU-lgMdRx(=+*aW1s@+`|8XsA`o^G84&Fd3FnbKZgTk8!P
ziaBDsI`>1d52)<T5jMEmo3!nqWCruHJ@@+Oxg{Te$#6t<kK<e~xq?mG%`SvKNnEZX
zASKq?eXmhtg1-4K(@!m{n?;>r<|YWRoH5J2HDycob-j+&jyyBiPqNHtC=@by+PkQ5
z-HYGpuCM=|*55zl(UqyieJ9^`Joofl5pzs*tM*Q1|93HJXAe(Mpa0{Tu3jbk!?Xj!
zC3z1z*{06rskE{wgB0Bcj!bFwf4_!bnqU8~^X={Jvmbn^Jmu5C`GT8KjO|ORYKbx5
zDZMjl98XSluhO+^%TG{QHNDtzYtgzBsxHE2hZR1UX>wlwBX{t$zC@_^&Bd!f2yM`q
zaNL0H{Nfa7$SiO=kn{cBUC$};4l$BEpzv2X&auH@Lh_59$+ijtGGe{X)8!wXJ1p*`
zP~dviNUzF3OYYEbjz87b5nW76yG7kzM;(2TbUu;!Pj4c`$iu1$We*NCsuVpJ2|qlS
zwSNw$0b{eWLEWE<oI5)N7a4Kc{dmBv(ZcxfXp5k-+k*Q4|7Muy&pUXwoBa&SCg%qS
zCr`I1dCABm@9x>SAjwnx=Y6)>bpjqja!hvR&Px>@1YVI^o4xzs*^LY8c>3HALQ6~q
zX%^7d^Jyl<&wM(v&1OAx2PgKK$^tSnJmKNtH*VZGaP};(dEOm|r>CZVd~lH2#l_{p
z#l`Lgg%5)-p9y@rySMeg-wPTt`~EPL%eyY9>0G4oK2oH0?b+VcDmL?e@xb*3pYJ9A
zV6$=4442-r>S@~}zd0tC9*9k1KLc?>rjUVk?XQwcM>>TIpPi9Bb_67RL9=n9vU}3g
zQ&T(o``cSvS(B2JC#w6)@kko6cu&{6`Z8jNvD$)umP1N$56|=l#C+gw?QS+bC8po?
zNN?LOi;%@Km;XL^zN7TNVbgrKg?X&%CL*4!Z*wCS7@j)%%oE&t_L<Xg^JusDWVc=^
z)<V-l5t~}I11u%J4i8NyY>NLTcHyg6L4BLUgFXHl=PKHj%=848-jNO1G-K`ur40rx
z3#G3}#Je1Q^zLxM4|dyD*MByf4mteoib*}NKx`uWX^<BZm}aUaJbU_ds!7HLg^uiH
z^3T}8jhCCM1_cis-rU_S{_x?$4VyPRAMcahSoZeT8?)2rjTslK7MNQwUC*;W{B?Jt
zM#e<`c}KerT;9sT6)9?P%QCw<Y2w8~j*2fljQsN-rsgoMnzF_HtC4HKrK4`QV~c--
zGAF3j^`)s{=KA&dLFeb$Dz2+CRFGp4PGDN*s<8ArLpAq|Yrj6{Y<7Qety$bjh2t=<
zqR@?75gv^TW-R8-;ohk3Sd}v0{n(?2lQ}dwZ2CJ~Q#ILcEM61+@Qd8j^Wd}r8g7tf
z+-zO`PGxKUeYt=C{<)c3&Jz$$U}|%0DB{(L-zU?|&j0KVQ`^QA8!uax2i5j6|L@hd
zSv%=e%x2la)z}cHA~Hk##OikCYi}pS9o;+4>A>RSf}dt-iwC$(ky;bAibG3e`{lO@
zJIo=OIf1Fl?SPJffx$}8$!Y@Ow$f7k?VJXT!HNq$NG0Sm21gdoTfU9crcSQ=wv)mK
z?kdGcPvZ~xRR~Y;2w1W~R3>L_LT=`tMD{?#!irxuQs?7b9#0ftI@B8O;Hk(mMOcn8
z^$z#q6}r`-8NaJfyfp@e-;9Qb0tVcioSv%!7qcC?THtn8IhD!BVLiu@JypHW^&bm-
z))F*)6rvaP$M5~c^ZLp6|H??u?>J(f|M8jcq&;f;YQKM${`q-#_PaYfC#X-Kx#!ur
zT(vr}{n~Y6;a)6hne)Prgg$RwYQeZw$o}&CFPoQE%H0stQK(N(X7W+6WYnsD@n1uz
zv48Q07nxf=Xm~gU?rz#3?!?&1+E*5~L1V)CgpEg!sXp-hVJ)cOvq!Fdzl)x+@eZqq
zgNs*e)i8|UP<5T@h}_F%+?;-Xp6XQ1V75o6dcbKgQ;37N|KR2m7B>Agtshoc*mMi%
z7uG7qJ$j!%^T!#R`Z$pV;rnb(`0Ib%T;u=8=l%0VzWZ;yxx6es?&$lSA$9ei>o-2o
zjrG(C=wN^JE9{S)_<yNF&dv(g@Q+>s_N)K-aUMC*dh6OQhBrq}-+OlV>b41%T_Rcx
z>ek+IiE0N}SQ^EhPE>zTk#h8pQ!@B6H^Sk+toSyw_N`MbbB@%XZ{_NG{XhQar)TF1
z^ElVvS9tVP`)AjZ=|A4jU8jG<`-O~`;DNmvjyo))7H$yWx(W6VDBU;q2pV+v^!OB&
zmb!+7gdCgqVD}6LVS_BDl2h_RV$BcIFLEr)jxcd*(QuGv{pGxS!H%d%5rK6leja6O
zTF1JCV}ZVy{t>1k#Xh0gZ7XI}xOC5t?-I{#Q94qs!nfmA^ueR|g!Ek3JkVM9r0~Eo
z7N5BeAwEopl;TcapDezy;@6|Q=N~?DJ=D<p<9$n!=!Mk|`)dCG^<!F4@qX@$?|vVu
zpZ}fwr~DJwq^9|ol3(n<@5!=6hC$`m(MzFw9tjCO3H@lz$y{dk%;|%1yK`c(h1j+U
z_P4v|uXQbDLqw#)YnC%{d#k2~>?la=$i5c+P<<wYut5aVmXG`#ok#9I@VLX@DWvy*
z{ZaY5VMb~<w@py(x)ISeUw5Lp|GD`RY2u$=A2C04S<x-0B&p?j^M?ij!{blNaum(X
zj?P`{nz_m8gNVKm52(BHqo1LZE#z4Lp_Uh3icTfHzy41>)G8#J=(Ocy_`ZMoEFJgn
zx3UISOyCfn6n=I3&D{oypKCNSW`^f|(M;($Wf*iqAa<>8<>&MFJz@FxmQunuH<q8z
z&dv_k_>sTmD*N`+EHfJ91Q$p=u6AOX@bQ?FfQG`OZ*$*rAKcinbw`x<^~7M~B40kv
z?n9H)10P9g9ug6H_%O!fnCf%^C&r^1o53YGJnBJhr)erZEsUQePE2;Mzth+&YH_}s
z^TjNNxp#ivtJjNr_<@t7z13o3v6xbW6UT;4C)U4Kd|6w6apT7zjS8KXrhvkwN76#h
zty#2u?v7ihCi@`*y#dsXP0(RkVvvxT*|}kZK}1BsOS$C8h<WXq!W|ub)0G;wSKQ^Q
z;`_eHte|*X>+ayh$zM25yxZPr!`0*z|G@D?F-xb^i`2Whfu0>KDk3)`Hg1>{yYJVB
zKN}Jce@T?EVN*N6vdII~G>oj7BoFOlDJirvN=r+#u(B=;Sm@-_%4xvJoc#5-32ZHZ
zTEW+E!7<;el@75ex$Moe-eGx@Yx<8vN`(^D%$Zq{!g1<d0zKj!LP`hsx<6F9bAWB@
zDa%D}lI*^v2j4znU=(7j`}uUb%!`@3Q<!`dcC+mJ@rZka!GzeZKQ^Fx!q0(=nU9a}
z!`H8^d-v{L`T4<5W5#A>hfZdFPQwSY1X$;|bU1jLS5Nu2VY=y_n_IjavJT$o<T-qo
zJ&{8+k^O3`ML={1%RD7}#@UI235f?bT<dl}%@V@7!QjAsaL?M|8>dHN!zt0YJr#m_
zdU`kWCq^eQ`8cSvoU3YoaAm(@g>DhYl%isX%<}3;y9v7K908R_tQ`|S@K<SRSv+xi
zyy{#=z)8z=w!?eVW-)Lx&vZM+Z@{=$@xY;mdpfNAEug?sQ)p%M_xG2vtr9ufEpGl`
z<%bJ18$Jqm^m-pTS>Igv%#B0l?V5mvEt5IKHFEw4n{SY`6Zpwd^S<?wXN8GWSee`8
zReFn_S+^Iz_-wSvV8Qv-hm(_;-m4!t#8B@HPIfod6<QmOQcoqEpJ%HPyQ}0|^b@fe
z48j*I8aH%=Th!@FJm1sYD)FF$OLcBS{0*<ie+!ytsS12klG<19-0Jax<*WAY1(BxW
zH*U{}v-CI{bk#3ghbN<5d;2Mt62}H;|CB-4po}R<VnxVGA+y|DEnTA8Qom~|>wFF@
z;5eeH^60=(c8kKdidj?2U0oG93Mw6&qns=wwCZ2HmG8=~>%Xw2%5clt$8AhMZ!|m1
z51Z7=SR2JF$1Qw9hEa^o=y>=0G*Gj1g|b3xqm*e@!qG0#6>)p5f|Hnh9Q-+Cc776Q
z*JE9nQ^+2oAI>xF+0QR2DK<T8ggPpxDjaES-%<KsGwOPo`{`LnH{BAkU!DjWsFBbx
zKh9F((a_2$d+6CZP~jT|a(?*wc{-Ovw&%qzo~z;C!zsbl=xsiqL%&hs#;phr#{<4C
zJP}f-E>zBuRB=#byPjuv<nxJ$hqe#;ZatMX{-JVznJ&oeZk_F?S+;-&YmA?Ph6xSo
zn1UoS?(MMzT`OdgeeKMT^fSgOOjTYFQgfL8*;Vo%Jf9S>d=k65=#|T*m!53U@O;=R
z-B_TR)G6ody<h=rb!*0?{Ipw1i3-MBe#EIQhzIpk*MEI*(ST7cVFt?*1JIe1JyNDz
zZ*OfiJ`?$2#mt6A;T;-#Sjy(?>F!$E<rUa)b_++$7rvJM|H&oUK|-ZBsukSD?%!wu
z-K4Fcqr-D|S84ERV?{;AZZX|M3mlsh($l9apV=9#wm=i)qb`;0hgoJcXbMj_<naC7
z-HZF{|C{`8Fi3T<DOX$I%M?;qaN4lGg+srQ?W)bgM*$wQ?-t&!@=^GasdBE0^+03=
z|ChYDuG7-5D%SS+N=O)|@kp6wweZ{haL_$j|NpP}WOe^(qMc{XoGI~%w%;||s#I&v
zoH-wErq55UbeNT)e0uKZW-lfko)6O-K_%RTTBaZg&@DC>HzvDZm<k%ayDL!f;fd<C
zx8IxFyJcU6eY@bh{7$!u!Q<J6H}+NP9`l>g<~YB0VR*>7cz%}v4-2*}+U(}Xo2pFB
zlI41=5)Yg{&AsJd*w&(_rxI^&O0{w?e0$6E!n1apKl}dudj0*|{24PeY#FBOL^cI2
z^;)^N{O_-?J4#=RJv%dV@#mc{yxaFyeVyc^d47^rcTdle9!X<|Y`c;d0b9Q>x!$(#
z%+(vm4<2gRxZdD=Kc@j>tiXgr2PP;wUs&MC%p+$LAzoV~?{nY@XUyKeBGP<67FNEU
zr13oR%9F|$QVvreU90%2B_^sBdbscK^wPVvyZ-)UwK=xYyZqw*T+1sOk0-qQTjTtV
zbI<wYhDo1~9Y3D@=t$?y_Zr-~6K?+p-NkZiYxd)FbFCwG6g;es{`mFl(eU`%*1gr=
zmBQD>WWATQud{h|WhL{i8?zSet@$YwySr>^&zZAlCo6Vzbse(*|EGCv^mZj1n;5n9
zWv_fS-`3{NP`W&4jxjg0rRV(LX-qx`A~-w}AACM<@7~HK3cB_yd8YXJX3iJ7jeo_P
z3XWN`tbH}@WWkFAD;Dl8czH+D#dY6-+2;8Pp%<j|j^4SrtJa)H)==)5Sj<iZV{W_p
zcjZ4`pT8=hY{ORd{lAKF|Eo7~T)GxD56z^)irjYZdS~_edWdz^e1i`kkIO$^+;8VJ
z+bnm|!-Z#+b9LnHYB+A~311n+dcWo~FPFHU&U@kidmf#bsC;8<w)nfdyVc(pmX#fQ
zzyCj<wzhWV`M0;Xf4m-FfAIWy|2(Joy;ZGITeBW6pI;aB&Gl&uw}^(q|GEGE{eJ(n
zE%a(qQqq%Zb-y_d85tUn9z9xe!@m4o%+uT_e>ddbHv948M}yhE{QLWko|>v1xT_?y
z^8Br>*^Y6XCgQ(r%HQ4j@vvP!U~QD?&!2yPf8SX3H7mgSirv|%lf-V{xS{b|LGFsX
zhX==}=jZ#ybfXg9+}L>P{Cu0rrlsD~Pnj)Ry!hdZ7aftC(-u~Id}Q_iR%LbdY0LAo
z&Gkd?gC^Q)`dcPvUS5`Xe_w5p9Vl*I)Htc#Q{-k=`^Wm=r7@%00zsxAi3O9He<ioB
z%kgR8%;-OGU+G|iLxxGz6-{34?kn{f%dQr0o~1g$Jl?M6)6eOjrgd~Y|L|>Blk@Mg
z1DjJKb8c2kv97hWZ~PXfmmbyE*L!>-clm>tIjwR^hKjSlaV$~gadB~3;5}Wh=yP6v
z{`?$<C#mj9j<2t+bzFXYi=ck=wlzk78t#0_6t>B`V^R6YXX76gfeW@=i+we6-<&Ky
zf9+aW7w49bVJa*<e0*$2H(qX=Ri`%n+u?S8?fLb8er&u`6TIfnhlhtBzI@p^(>Q%m
z&CgFS?(W{cr{C-VDCReQ*%Dd*@6XOX)6G6T33O<3>6f#8a?&FA(o2@VN4v!f3JNC7
zzrUl9Ilk`aQl4amMwR?~dp^ByUFJLc)E}1=alM!We|~=c_;&mKMJ}B}kNyV=UOL@W
z7bao2E@tPZFF_~N|JA$ctO{E@Ygu^nw=iiA9-b*o9KGtgi%r!xKHYx5F1Xs;?bd~7
zGCSV>=K!@8KrN#gkhX%5z(skcFZ@=ZakN{C8y<%+ylZt?m^_*5#N4*({3zSW1`cve
z(&aMVWvAEe|92o<nLXi%`2D0e*Dr51F32-==iDQxzPsB0*P@oqrn^kPow>MCqWF0x
zC-d=sdG@(C{{MVF|Ku|N*+2PYtxoWo=bk!Q_U;bnuK6*4Urwp~`RQrI_B>fGQLpGN
zi+fFTzs)wuTqLGnsqp3PZSkpEp_8N|PqCN0xS;sb(ZOwYZFqS2&-dGvIu&-Fn4HeY
zDX=ARdHv%|9Tlkw6WI^%EPlSkok6m9<`HJ8Y_ICSzqX#S580k~H>I6@vYKyGdpmoF
zwB%DAh5lw%Zm|_}**WDxq-NTw=Qp3Zb$569<VyJ!cK(aJqOOV?-R^(&_ek%v8DVve
zZ?>F0pEZqvn|apLlWPqb)e>g0EHQ9cSF_~JWld&KfX!0h@H|H$kIhbek%Q}#)3dEF
z%Pvvn32?sZeRGk|Pp=zWUN6~ncZ2%E8{4a;*Tva%?=JuILFWypQtNcbcQ%y|p2YB2
zyT<HY)$ZuzWD}-4vwiOEe-0-WE8Gw*bl7}Nw)MdW-Z!#Wo;z67MeN|^F8}lTy57U1
zpVK!8JmgV{+w%U7@L@Og{-b<6AC7NwwSREsWAmptclY$0|6Z(Lc3@e-r=U!c-}`@k
z|7o$I+**$9uKS`JGj80tb@1p_Ugq{**WG?6H?L`LvpMpf``M#2orf!aS-70Lc<r2A
zp4_}2dEcC-=*0RJRm%M0=v`f@l6-$tZn{q7@A6r%9X+}7@9yba@cX-f=tri?Bc3bM
zPa3^YKE!YLqhQ0WoOjj#?zQl)uA6-4@uRqdw{Ee$JL<h4t9RE7i?_>lQXBj${<?KA
z+iAQ{?DpfGbYAgtyjq=uZsV2~Ml09lA7rfz!?$`**E`t@x<PJg{^ZrQ0RaNb{O9Z0
zGGqw<-^Z(+`^CESl?p4X>yO4P`SA5|Qx|e{r8GbJcYjT!v80(p-j9&T2D2B@+j0c&
z8)yI2*!gF&IRmF~$u0kr!k!@kckcvoFjR_6c;MhRyL8rKtM!gr)gGKbUVOQ8=#W#J
z)CEl|PNgSD7YImev^3f%pE%v{Y~4GRc}Li#s^{C)E@^LCntIH6fd)_WB*s<7vvymB
z|9?|+)$_!QJ3BY?s0K`rSFq0gym^-TfkO??W)^k(G;q$4W7OoCpsjrL-^ybqv*ftu
z846$EV?3q#;OT##Dy|vN&(B`!cTb(?ie|jX(L3Fb*Z+M!HCNk)Q)6e`TQ=WTi3eJ(
z47XC+S?rI93#V(mR}D;XIDak3CF9yEX05Q5jSt!#7x3zFvedt`;tSvYKdE75ufF~N
z2rhotH?kL(xLRv+1gL#s_#dqizLBe~|F7H4e?KoD|8TP*FDT)~oyX1>m)X|jS0o<a
z##>OV-}sjO-03--T)&?z_OsAsVcE4k=IE*u>Pgk}?YR%%y={JRpSS*&mp5W7^FvZt
zZkey_sd!j*cWrpRCfkK)nr}`fSH$mcdN01tDNsGU(w>vA`sf@Hv+`TvE3|Ly@t4qu
zHR%^nxwEt*F*}^)VUo;qKiQ*ytG9prx#Ogfd*iCJbAPE`(}`ASX_z$0yOHTx#V-?)
z<^8fE=j~eWFSgK(NjVyKbpNzR4qJo1%_&yUX1H9brkmLCiJM2t#3S!SXz!!XqIv(i
zzGoIYslN_k+L3yCnvq)k)v0z*1u`!CPGt_NQod08#dcXs^S^)pR=W8u|6DT3SNiR2
z^ZZB0<?BQ4IZIav>AtYdYCg8H;$zax$oV~HdsN&m?El{NJww@FiSh32OBsCie?GPw
zIm&ZNlsxi}<5cxl<Ii7s*81!I#KUYSePWZGXYu#8x3GLV62Ku96>%xY)H9I}bkz8)
zpRAj%TG+2C1T{-#G|f-2%xK^gnsA8W==s2#i?%#^;WL5Te<o)}3qvJmjzt0Y-rHf#
zODp&3Y@a#Jpy2RpBfE@n{=aPXeVWDpPKT@$U<=Fp#ojwx)qlpcA_4CDIc-Njr$3x6
z`PtyZ<^NurD`R&y@b5NpT)V4xL)~w|r^%n6`G_t5fB$jwzUB{eUtGR(N9k<k#bbMy
zdTfeVT9vC4_j!7U_CNjghbI2rZIBee-!t1pcz*9pmD>SxUhxafW1PHfzc<4-yWlgQ
zzn5BHSme9>OP>F?%D-NEk3{zS?K<SJJx=~{yX)$y=l9jkZFraaN<rg+p5BkPLx(=a
z3hmsi-k%Wgep`^hkJt*u3FVE4_Q}~wSZ`Z*ewu+puIqlU1Jjt}e(cDNd}(`NnI+S!
z7Dm@P#!~fPB|`p&-?;i2tO}P4HHx@7Bsr`OTl?f#_{2N@cRezjeRgtQ3AMH;c+jxM
z!Jz8Pi<JIJ;!L@}ULJ|xcu;M<ee2nIw$ewtM436IHg4PqTGMoGP2^$`4GqHw4UEhV
zZ-bj0T|HPTWhaO`c`ddK@N4?wrLxMd{LKwRO}>EruX>JfO38D|_ez`ll?!@4P|#<d
z<?ppRe*Zq7A1gAw_4hX{`~CRaCijNc#y1}yF9(m<x;St#uMS&#=;!C>sclK1!3`5<
z1v5RtV)Ho1!&&n_l=!~Wn}1WzL!zKW=;oQDY%|Oh9wz!1K7Ev_BdGU<^U;=?=Mzsq
zx^mC?W{z7c<FDr3fuZI*ciI*l3(pe?$-Q)AA*e_2ZT>g6&Y-6*VP7k*=so917dQV?
z{HbbJ-p40F_MdNW+@!Lg`SEUxoY2=-*38P-uJi9kWz0U=k4iFMwX7EB9ZvgE`t(}L
zJeF58WGy#HE3=hNU&#H0bz)eiS?T$-P>BWGuIa^hSOy<ET{yYG>D$i-`~@LB&ktnE
zS4^px{xUXkmJr*Dy$$XUEgp+Dc>c{gw8-Jw9)6?We~nvzhqf}_+Ht8~THSx%l)~>N
zS$-dXcfA*{JRYEAw@Pop2aW}+n5M8yi23nyzw#}QU?E2#fsDhxoc}TwudmYbubs5p
z>Zw+MDc9S@cbHX-G(L%I*e$<Y=j9f$In8%wcEh`ce;BW@Kjm7$(xB|#7qZQB9>bQ(
zWv`t5=i5#FetD&BZp}#zcE(Cxtvdgm*G%-e1Qi;aY*;luDyZIFoW-)#YwD!!!5NL+
z-Q0KX+*#7$pvZQl`_6}x^K7fzUSD5-+ND{<&OuQ~B~8HoQ;o;@4Bd|TR*OHhooP{7
za$WrI|EWC^yLazCZFFwSyRJXHFKy4yf6l`w##Yp3{rwcnjD|wN35OVVa=yF2UtZcg
z&t%=rk2y0O_=F;M9uHUJSRHKn*S_Ymv>GS#L8Wi;S6}d7wfZ`{Blx$gM(DDdP3MiY
zcmif$Df1W9x3B*9Ddg~ty?^~B%?!#9dVP6eEO76CoMZpC(yO~JuAY5($HTuH%8x($
z?sxv|;+7M8>Z2?BlP>#KGVS^<*C1ER?h)uT_oDpAc%I(@&y+PHckP*RT%qLO17%63
ziStt3B?2m}CsZut$W?GWyelA4#^&|xRoT8=%vXLKm2ND$Y`G=4^~<u8^V26ZtTJ#=
zzpLrLTT^<!(#3g6o7bFp<sW;Y(|YFz?Nj#yE$wb81n*#YDx@G@!)5&A)#~+6Ix_yA
zINWfE=|xNY)fe}ZZtV$P*EGdVR7gXdVeXd8Z9lKa*H2ykKV`~+2|}E~sf<E0Cqx#q
z^~>2hU0mdvs^oa8dqd7mqbGuix++=$sm+?f%a(X{v9Mb?EV#hg^>q0jrjlFhHBvox
zis{Ae(I{pJc*-Wku;R}~C7%Wt#!q<)j*Ts>LINHePIPQ{V4Lo*yj`%EYqdwF3g1%~
zPuE_bQ!Y}8i3eQ7W-SLze1?Gr#Qu3KkZ`}M;uZCFs&qP&o8N;oy#g^ieu~7-3cYl~
zC-ZZjs!)#atZ$obb}kaG>la$;vh8#E*Gs`sZmo>*`T8Z<q2A9}0?t}5$aw!~=j0dn
z_p++_{FHNcuUT0#eZEz>pq-S7(%qfBe>W)W&9UrLeSOLA!*u)o0{$%r7G~L9U!Sy+
zBiy1aWBr-#fX#W%JyQ0pU!_d_ud2^|H_2c_+DrW(-(R`DJmk+Q;NB&}py~fERQ_C_
zfrF<=`?t3Z@zee_^2Oet+M@k>j(?Tan!8m7S0zk!c>>t2`zsgcv9g=2dNb#p)d${}
z!XDbk9e(+LlJ#led}0DhtW~T#>eUXg^fWezu$}li@k))=-vrP!$ZwWqYj!n=m3ke%
zY4_GBb>_>bwI|uHZk-&M=<v|c;V09xKbw?7gQqkU{OS1T)!!Js&-}tp*RMR)JU$Yp
z0U=g5I-H;XdGPS(xwjU^-)wAn{=d1SwCPXxWw*ea4WIY|{xxptnZ)#OZz{9d+GwrG
zitGLq>t|idT=DH2+tk>=ol&}y^CnIU{B&@NCEJU*(nAhsja&m_S_E=#m+OTV-x6SR
zI<%<Z%cI;g5<%w19zXAyfI2MkylM$MSRy10?reQL5!79@2Q|byn=}$HUR><{H9f^f
zNG)LjOJ8hl%WQt73(NLZegD43$2<B^!x_VXv$1LdHXfF2K`CecKkN4YaDAESjq+2`
z)BY|#^KZ+-GM!gS#XL*IFaLjjYv~NL!X-X>9-eHQvp#+-{ISE``;Ndup6P$*Et_4f
zpz?!Jqn<JMO6Y3S72o_1@lJIJ@m({csAi@hTUB{Z$LxFmAMNq?SoJZ}Sz^J)Ne_a~
z-DNwwdjIM@2d0%W>F}h?m9;;~QUn@RwcN{e$6h#r>6n897xVLTb0-(}r=Oo^+GhDt
zW`;wbpg`Q)8G9bt+%nZ+ci-J4CEdQQg;DCkqWQ}nZ?7~q{CE4NgxRa?r!!JRo7VXT
zE)J{Bxl`!#^Pj)5dg9SX^Hcu(zw*AmHb1oKM91@t%L|y|++35Uu9)Dk?%R7~F7A%5
zt^jGJg(t!bPQK^N;o9&0OhQ^%S6g;P7{h|^_c`(#pKbN-6zaWpqx#XVTY4*99{UEG
zeVh`ma7Opa_2Bb81|N>!x^GzfNMq*u#A|y}Po<=qEPuEtM!D5BWqHiPU7j|a^__py
z{}{ZUT(!u)xAv~jCPgtepQ4+Um)x8hS{aoiJ?60qCooxhIdCywUl)7$!a`@qa8RYW
z$?w6JuL3_<_Gw>S?s<8cfx}cbyMGHr*Tq_?o4*TPb=|SU!>c0Ue3{5L?IwHIXSH4e
zD-61R%3Cg3e&<C{(}6bM=!2dingXnsK0YZlI5gi@ROx`-)4$96<tKeV&Y91AN;P1k
zm+#Jr%P+N<uZtDCDo``!`@Nk{wTtCUvaU%jJsm1EkJGP1-1FADIJ@@4zspWdYFV}J
zzjV0%u|x997e~J4D=7Xx<*}mSqYWCZ9+h18#H$v3NYe=4*mWZI0+Yx#P)Er7`umVR
zb>l+}s!lSOw%eYYYt_Xyb=AVM=4BOn5fcu4Qur`0C;0LqhOLz^{^W+}<u$v7T3mN1
z`!_o|QNjAlk4Uu%pyfO#BLCg71|<zg2QKC*i96l<<&3HgL0!#A^$kDr^F{QZH3a3k
zZo1boOMR;*PeA#TRr?=ppT8mci3msS7l*tJv$tjSpWpvOjL-FIzJ=zu`JdQ-+}qJ6
zzUa(?r5+tGg3ieDN^PHXk}FjA!8_eGk=p|DX3e+bn<#H-we!S}|1<6X>lsabKKXrX
zo6V7^!uvOa-9M<9uw2wvPF>{s{gLX$WzOb2B0pI*A{QRYxV$&>uaRcf1O-9y?_O2X
zQ`QDZPBCAYyxlHy9dm2ogzZQAbYFkedBfRt!+Oz90XdD0iw+qn^IR}inYAYF8+TdW
z-HvugMva_km%gVrFCSmv(!bqF$RzIDng0ik@6`VgnO}b0XOVYrT_NuZLoqg<jExm5
zd=yw2#n^smgBMtwuw&HZxwF4sUN>rsgM)(uc$T1{Q^=#@FWcjK>t4aVQXNJKJAI}w
z`A5q(9<04}@(;6kjk77AhT%uuX*sstmCDkJ@%QdX<=+pHx}u)gaO&6Fo157eyY(80
z{;}~_n*bUcPvMrgKLc8EFo9)>fq;}$my~Iig36P-o^qflnW_GuRGmkCefuKk<aN69
zE31zEW~uZp$%|vwy<K*!>FMk(Mi=Y-elGG9RS(h5T|f1k@4~=ZA+~F4BActfzXM%9
z<JLK^rN5Dxy-7<cG9n@+v(LKh&4ET{_6<2VH|f}(=a&>`6l3ejwyiV+73w^Unml_d
zJ~GXlH}8<_57%=&P1UJPZVm~jFIu07KEO29%S!TKP6gLYRe?VS0?Br7yI(0qE{+wf
zp7Hcl>w)TjH?~*L{-AKOdF}r>jp-*h&CE4({$BmNy(@-i<BQvyO4{C;AD#W`+S+dY
z{eOhyYd$pIdbwJdP0fEE4?jQu%x3rLZnNk7`ucit`uTaAZhKGHO9YK8SASopbNJ=6
zXKjkkZ3`Tm*>=fHJN9c4@8A3N|9hXFp1%C%vx&;?8xjsO<=o#F+rt0Uzx4i{oyD#<
z0u*~B44sl6KWgKd&cMyww!6%xNbLa2m-Yq`wnr{S>kqYZcL$}c{{ku56Ikl*zw8yt
z>(hAmNiW%McBUW)&xhk{PR2jDCu=|ReZwR{tDPdkoxHOjZ@w7c{fApFin++%Lgu@i
z&A|`r=A<j=o=97i)4X=AZcJ?K$GheCmkMzNaWRMZE-HS0ZiZd0)vECI>$Yz7zG+aK
ze`{-Y`_XRk#UU$$E)|2$jRCFamom*#@or&bW%WF`DC6QHE9vQK)=^tB1i3^s8vO15
znuKmP&%1M=jaNFw=t<GjQx8ESZnwWKaBN<p5wJQ;*O#yNwow3+4v)l(LmxNHWDvff
z4I1qfyeRKGrKcM_-kCD*0KfG5MTxzW3N~y#_2=*(7U2_Yjmyt3E`0VXw&w17H?t_i
zckZG8b2o3^%)B@4{JgayATLZQem>dXZsNOlul&|@ise4KzAje#edW(jOYfZUn_<AH
zr>EyNW6G8C*VooA;LT4-QCZ{4dG_b$=Zh;oKKdm0tGxXGzrUM!Pkk4bmDLrhjCwS`
z{@+9;hDxrO{dKaRpPdcf4eESNdUSA(W$~2x^}pY4*9cz5WB2bza!YG#qmBHETp`yN
ze}&ck7Nne<R3uaB+A0uxdq?5pCC8mxxlSHlCudWka9Dj;`TM@$<$j+2Zl(96j;!&X
zu6OCRg8H%b*>QK`f3ZYJc+3~P56;mZ{S8493oQ13_)riy`Hs!r^dH4)2UxBM{7Cz0
z`6VlsciOY}MsGG7GR6vaR8DP}I-7q%zB2nw)t$4%wZnY={rT|F`9j<2EBC|It5$Qd
zwDZd!)8GGRQOqXL#c%5V^Ny_F|8G^9q-XH|SF6{5`17;4N8Ub;i&r~*-IV`*TDNX)
z%asmW6QO8+aBcMVX^9MbtG`cEVwgK)zgVcwzMs#ece*7X>zO!@^9yKU)5pKx-)mI|
z==`0g8@+_V@%)l48<USOirZVYDYmur)fG<T^m8s(SB0j^{CjtI_tNLgtwA9xgIK><
z=I+SMS?c}T?oW%5cG;U7hG&~OTDKqDl6g7h-{L85aeXQ>0>Nf#4lJOxn~cH<Og;*~
zS*9Ff*cowYC3CCD217=*36)HX>ns~D%A1|~)BJ};_yR-Y`Q!eM>(awhz5o9DI$3{H
zueAB8=_~iopJpyo$$a5t>DgJPlR247PWh={dva*~xeR9i&i5II*ZnBJeEoWO7t0n&
zb$-TMYbI#hR0>T9ykj%BNI!Cu%Rj&K^X+P*j@{Cp^x<OKj+~pDUR-`2yV6%k_=U*a
zPuvq;+dP#EkeEAXd+u$qC$s#|PY9gB*c<uq<%h%k_6H6gWPDQPf4}qMV)w;0KR*>E
z`!z9E8Z1dSPCGN9k}pWA(k$oJ7SAfPj7u-_1-XnX=5KFP`~7$u&wNlpu)ocQS?vHz
z(Y%HriGs4SV^>#)KYVhe^KwyLWCcr`M}sXJPvO;`<TFnUH)Q@#_4)bZ?n|wm;m=Qh
zKUb`(sOUJ)XZEk(nyrmf9<r=j$WoHD)}nNM*xFgQ^gEUI%{9BjwKzEE){@EF4=(YX
z?C^ixs%bJR`V6n8JBhrwGP_73fP<k^h-K!~D%e_plq>o>PyGM!xc^k|zC~}f!`Gci
zsoAn}zD41pJ3aUJ)kbggU&yhuc)xb2=j>V`HIYY$+vV#tj&gCyF4(5&(&*B?)O&i;
z-Cd=UzxW%3geN;pyri*mnHisOhkUE`lBw|u&dtwe`*bmIGplXAV-u-%fMtt|gC@^~
zlN@u?!1IKSXM`WfFwaas=AY5V@La-U{$eMI1;Ke;TbBn{T;*b2b%<f^xy$djJPhN|
zS!H{HZC~Z*XGM$s*8SvKd_PmzH}Ch`+uNtlt}A*TF7efUc9js5Mm|HUl8jA7z{?>2
zzkM>6LGz}pwr=h3>T&`Nl<#BAntq5UgL!YkL#I@E6aDJyYRfvV;stgq_nw}v@BN<b
zl&8Zyt|_M*SeR?K%vr^@uwSRbM^gE~A%|lYA7jDGguV*~a4|pe^87fVMC#x%mKhGu
zI156X&KO@_uKwszT&G0d;WHZ#g>qi{uQt)Zq4RqX7gLvdXe*=DMm6#JtGwH@_)Bj2
ze|$T|_Ws?wv2`L+QeB{`(TP#x*?!Q@l4^&E3HnM(PV;VD&a`{_$+G&}8WFx1Eb)n7
zK3`nyo*Lv(>NZdQ$w>!KVa;#zilkWB*^^&gS$XO<JCB4yv6M;P9f{-p^3#8Ep0IYf
zdg68?OIz65sHNO}N7#jguSh<P4^Rp8*}jnH-h{ZiMjo@n+h<BJin00R9IV_0nvZG)
zl}+|W8iEt`P8(&Wy4}$bKB34I-FMIN-XF0QAzg<Hi!wMj-Ff?B;oicppDgF>{bz7G
z_sNsyd;b=8^mn`7pSH_iW%}gL=X~yOxf%Xdz~kqdRR#{6j3>X&GrP&9oap#fWd5VC
zFYlk;SNr?aS<9XOCvz;gu_U<jppdH92^;1ot`6(|f_e&v7;Zj3-k&PR*mZxoQA^0)
z1}9#PaE4G(h4&1*w&&g5WyH_G$)9~~&BRWwl|hWH-4omozq-0QwaLZH$K&I_+2;Av
z_J^;FiG1d>K!!_&MWe^bdxZ?B*ynV2KVBj@Dcvz@X-~I^=Aw;WZd3FdT^LufeY}}I
zzfnl!(j1ey`xWkTe&%KKY-nX{jxm!n5eBUubkO86$++O~FVPv?kT>!_@Y+wpFOJ`}
z{n$zGg4BJgbxzk=ewAkTHSFGAc+NWFdTjQR{Yb5%iQjxS3bq<7IHB(#T^y<%x+-MT
z<l_4J`afST`x|BIKjl@(XAt5Pk&gT|$L!Y>o(&ln7kx4oQuj~@2>Gwb&v@%baQu_C
z?(^6h8yk)8G?<+zdA~M#yMVN`cJj~HS5_(ub6;wkqhn_m*QOhg<e;ssUGnx;=rq+g
z7Zx&SU0<gw%op^P@oN2b&6Y+ju?rDjPI~lM7C-aZq-dIZD}+lu$49}INrz{Ndxw2G
zXi3Kse+NyTEjc%X)V!6xyMsC|M*a#9ze@M~e{16QC9A=v(q#5h>(7e=|GT)Fdz)n6
zW!%5+&Z?DaU$@O|uKs`Q*wyZb#gC<gTDiCFT`WAyB)|Loaep_#-%r$+w(0PwsO>vC
zX>Gb;ev0yw)4%l?Wuu>QuBhTk`IlMO#A(ntN9DjF2fs_t_kotCi7|O4Hf&N3UY61o
zp91RBXS0a?f7|=$s7%1Aw|6_|?VGuhgZc9_pJSU`?=LRd*_Cl;jqax|p1<Pf=N{PV
z{ro}TB9k)ty|Z-YHz;y5|Kp$c@ZaI(KlW6s*8bild8Hyk!eGVIM+c1>Q$SUbK4^t2
ztSYjcq`hQOS<$!I&%|alycD#kwPm^YUHQVQILW<p_N?S!uCu#dc=<TD?JpjmZG6FM
zJqMnBJ-xBuXxf(7Um8CdJBzXL&D{;&1Q>EOQh$GOn~{bX8&B%eia9<Crl7`sG`J~n
z!j5sNfkR=3$bFBSJ)m`nC!`oBT60ehpX0u}?dgMzaD(jLjE^hNa54KkHE&EgC?jM4
zg<mPI<?z+D7Q4Q^YCm{*pM%`QKRQeAe%RHcy8leu^{Lz*9v$v8KTS3GaM>{9_D1(j
z(aufs2@m-%Kd64JC1=YcwDD5mrM>&}nwR}ZdBQS9Z}nUo-f;bSuJ`qPKO8+GDPi|*
zcFLiK`R_|hUfmOa?l14YLPEY(Zp(YnBwRi3>ZWT^F;mx=|5f>;`fdKl!)yFsb*dCZ
z=C{vp(RuHF^4AT}k{ugR6Y$=wj~$>UpgogUV#1jjhDObwUR+%KHGhhYl3IcTOJ8g?
z%l-u%Th_(<y?2`{nW*sMUu?~dt&)qB;uaM5^9NqJDSCZ<?2(np?19VcgxB0GQkZE`
zP;uX{NA{ayPR`p48>8i4uB!j?An(q{&j-_!)e`S4ndv1betxdY|983pJEL?>GA?<g
z$@ABJVfbB^JM|}n*xEZy%XDvN&$<8dal65i{OU8mDmAOVO3(6<*irINgzM&wMZU-1
z73jR;v7cXm^vCxT1uee2{a!7#DEKf({?zW93o3cTdp8?xWs8(B*ed$`r<5?L%aUnY
zsR!z^h=AfzvXNU%M?+X(6Q}`W%M=_I>(FhL`XFb0y!zRhf^2qgZiUSIlYh6GZR)CP
zAxx1wpQQh>NLF&IHx*SrUax+?{^K9XsO#G7*|QJbsC!_O!*%=Q_xpP)n<qBgAK2(z
zz477u#VcahZ>)(|<f++TAiC@Ozx66Il|o-$T?m+Rulw*s?(I+9VuRgoKC}P1LU~=R
zU4QcQKU0&JeAArLV8hlIzmNU3li4Y~^1Ry}oQv7K*0<-Uow<A^z~qvjM}$gW+@5Ah
z=T})vY=iS#S{Z}?vwir(I}_AU*;57H5b*_6G0d>7-nJ&xCi|LBt)z5+GpB@3qp`VD
z!M*RAyUebyZz+%zWqbGY_rlo4UPf;9@0zBbyw+&;`>j-{i;s_qUp4E=PdW8#{>olf
z?>p4reXS#)`QOQR^%5cqd)051yy#;+ZN4{LVgbMC?2nqoVvFuSIB)t*c7Z@UtG$n_
zm!Xp)&yvt}8?sl=;;0j7`D~|{by(fR?0}Eimd7`EuV}wNv6I#4r0&|=krEdKJo4H&
zpD=(%1{ti%L1UvALG?Aan9hW+(=3l&dU0)r`V?oDuy-G)>U}EJs(x~TBdC0KU}D1Y
zYhQm<eM$K9<+fGH&j*V`cx$ceB)0wC=rwOo@V&;)<hBRzbzc{p(iU)?8?<}t_Eq6x
z>xG319%VV~I^=NU^6`v-o1ty`fA&=Db-SUtN5-w@qwcXfTiejt@4f9T(oa>aiQOl_
ze*V{@3eLYCT9qH&6dtvNAHCpr>*Ub|f-l&vb!=;GZ0DCx`uOPRj=H~ALc+oqw`Pae
z{QGJBP}|N<4s>=eX!+IO@AuV}pTB=UU+4SzNvhrf8<UQPln5WGk6-RL*J-g^?*#k$
zI_Aay?-yjsg~(=JUl(gp@PHxXUwrM?scz2~ciWj*CFJSEf(rZYj(qUO|0UiInmlVF
zHZo1uk3aV5>FLY0U<rj<rj3Q2Gj@OEk_!9Wvi!C6^<Q(g^>8s~Py5%j^YXq!x7M-;
z?l0^PIsEVIbIAqQzcGua%s7}XUq1CAQ(gZf(B`hK()sV}*FX66SL0`7)Iydn_S53V
z(>poB^Y6{iP1)c5q;r3bJ?s3Mf1>+-<*mE*?VdW1h7IqwjtN~^rp5Pi?H>eMoU33>
z2--hugT{nerP~^3W-w=RGVd;be{7lW><?dF22arn<q}r+YiVzHU#79Q_P5yIUtcG4
z*0J+QG}J7rQLDTc5fyc+EN)Ll<2>8yMQLYet!!ben%2_t`}_OTG7i7Ly>)(fXJ_!&
z`j?kf&&)Jtw=REo=E{vPZ=Xq<=bhN^T=)B}xuty4wKb8OLk_DPe6aidzR8Omd!<Y#
z)xLZBv^Dkgw1@ZhRu`0&P0J2jcc<Pm-so2QzKst*E&=VP{8t9vowf;7k^cGleEyH;
z^Xoqxm#<IRADRbRF6a3m?LjfpOsLHAN#J4W50BSpU)-9$KjiVeKTp$d>?%yv>TjHU
z{oUb{J0n*8)|{f?IHxP^NU}m$i$;iUVl!WC_2cPFZ~p#2F)7$S=gM`7|8L)z{M_#^
zG2`!Di#5?3Ioi+PZ>s({$#PfQ#q~lPzBY*e+OFIENp9BZ{Sy{XzBKvVRZiw7p3mRD
z)is~y+9h&u{r-PkRzKAhZH>#`-LX6~+noRQw%o}J&0p!5nT1tJEdBa3zWy)ktv{g7
zLe~7{hPG8-I4&<={;lKWnTX<F_uk&#?wvoQ-|m-3RiJ?Rsrn@bvy=<(?62Q167Hc9
z_;tdLf`?4|{(g(@kuYQ`eSK}J5_6~3{{R1WZ|eQ>>qI8oo%&~-8w@5?ru~6b#j2oE
zHLp7R+8V<jm)YWy)E4Bk@Wt^QntJ>Eg_W7CGoJg$KJuUb`0NUU1t$zIti68xN8-g-
zDb7<Y*`&gq&Yac|v=UJ9*Z&{0Tja7@Ux@Vn|1S>KZV@`<@VYD(R9D};_S=SSh0uWy
zjCTz(f1Q^w$;p}a@y*?hl8@WIJO5Wy{&@Ed>-$`F-NXhVv7mLWD^7?T&2O^G@6h2l
zn9RSgtG@THdQHIQ9@|^j3eP{eTvJ`ef_^SYjg5i=12a3{k%i9fPt@#eKY#o<QToc1
zur(2enup?d7O_4))*D>6r|$1Blf8l(js;IoO})6>e}2l@i3y+vU`Xu+x0TV`^FIAu
zu|mVLf3mv2l9CeBx^?ST`dxhaIs5uL&xg(Lmj7Q@|KlNh$m%fNy_s2GiiP4&RD(uu
zE-5EGJM(|l%mDW-eqUc-7k_Gbc1eKKJXWq?4z4G27tXL=`?yEa_{l6yoxOG@R*HE(
z>Y(MToN4bstDlwC7l^R+$yh4==dh^xv0<YB%;m>fO8gVf-*k9sdtsv|Xc5pY-iUDJ
zQ!5M{9{=>1qP3^&r;ORZS{~C^CINhbg6r*Hoz`3+9+`5ac#BA=#2T)s<k$N)Zz$K$
zx?isxv%sDAZL2bSS@Pd+%0@N~K8idm!ps(Yk9Tujls{dq;){YnyQ`*Mwfl0Ng`J&s
zoy$zU7r4CK^dtFr;nsE6SMe@a<vBC|x9l{%Ioi)x&d8p|Hsx%)P~855_a@tE#NQE{
z`>Hx+vuRz7Y~z$e2QDmhe#*AhcedH7+w%5xJ#l-hPTnZz=I-Wcma(g`xV0^}TQ7Fk
zirTh4LTWxU6#VyR9-e(kW6px)<9$IZK&!G>osaJpnt#QvlDDF&O6~WYLn0n?3JMAi
z+}N1>v~9N8G5>is6PJHXdHC?*i;Iif&lra6sVJN=d-mxMY*lKy{B1n`ao*;B^K2r!
zG`9G0-QJMM>^H}v@!j3s-Ul20E$i&*nR0z^_4lkyl{;(fKzowq!y1ntV42adP&j~#
z`T6<z$A5f$?5NkD1L~X2JJ1)*xI<h>^++RV)tdFjXVt#<lsK7}o(^-k&v^JsS?H=9
z*O0Y=?sZ}%|Ml$NTnfn%ICOE{W%h!y(&N1Ay)Ej0Nn~Bi?I^v?r<i~5{~={Q$dNi+
z+wVJN+~29r)zN#o^YCwnd+hW3<}~Q5pAY=DQh@D6Z&zs6g0Q=89lgB=0~Hl)`1vPK
z6*v*CxO!XhYc?MVTMl`?KRlvZEA2nNQF2oG^t5{VlHL|Zt+O}wo@dx0RxB<mo>cJa
zM%|q+|HOM|Z9TT>>+Yg8N(ZGkYTl{7B(6GV?Zvf)pM2xPetsxk$+?1yS>(Kb-kU8m
zLrQ~}^V&@Pa`uecWV5d-dKcWb`bd5~seQ7#;nAb|e?QZ=dOv>tTs`@v=KaQ9y;iCw
zOA6Sz*nNKf+>&`&Kt@LAC`0S?!_#!5pRh$ejI00iqwr<mhP=DGbZSk@-rQ&mT^+Wv
zcG{7iNvfvOP0sUd=31Ato%J={f8fEv=8OAkt2Ijmf*(mrNNDV34O{0E7pv+m{IvZG
zXo+0aZW9{=wF4|X9iX0aip}5O-=|AX2DRNU@HM_wf3KvVBBlF!THDmtcgF3L4JJ$w
znE&hvTaA&_{d05H|FJQ7qF6WE+&gCyzrv$Ri<qv;jycToi6=Tfo^)Y6$_zg=N7uEZ
zzrAnLPo@xGMV>8L_d_e#?;PK2y*<ETPEgp27}@!~^E#?}XQgK|YTQ)leOz1i@maFS
zHeIFsn|takHNHHU_h0v6*58<%1!n^9Y!<2dBHLjn&+mQxu|jln?fJtOH+}>y;q|f*
zb?IL=>uyYD_L;U@>sA>!EG%{Jm-BtP$ba3x?fLiFrhY%WB9O^ONRm&^ro$#ns5r=a
z%S!c&2^SVPX3bvetJle#IaPQWuWhR?s26*3-tq|yw;V2aR=&Npb?I~lPJs~F#%JC)
z|DVkG?5F5dVlhEfS+TcBWreY|wRLZ`tj3I0&%_r9aL#&ttXDd91xqLMw{PDr2`_f(
z6e`-6bIiM;mGN<G^gK!71g2fe3q;sn$VXXS-BJC0-Mgyi^FYgsZqzGsr0;RQuqsb-
zhlPldBh$-pRRd2AO*Pp+CP7_HyxNwFV`I;0E_nOCWtG8(l9xd(t*s{|%3Cj<w9mcM
z&Li1$tXDd{!lI;WfBJd3fZ3Unt(+HLnun?eq;E;gzp-JVQJ&pX+b@+@SA{O!u|7+M
z=|Wp)k;;W1YuBz#)nNJ?eSg8h`iG7t%lFm(UX*%zn&iB2zk42)q9-0Zn(jZaHhTLK
z2Is_yAzvGNCh=X`(_tGrCBZlj)H8a2>(d#~J`Y(??Y439loSmUlaTVg#r{4ATtF+%
zLqfDaIDfvS8hGw=tj#{n$f~DYzMZYRcK%|u5fhbv*Y%3|X~5#1=9P~xoct5o%BWT9
zuJ@w&`M>aclTI;Q%KrN5>P#!f`S$huzF0^ar}f;b4B)Yudnfqzwr#JpeJ51g>^wSM
zKRzy3dJ1==-?8kgtERpw_R8}(pL_3*-pl1yi!cA<leKC%#4RH$YkYRrDYi??eCA$s
zo9p+{H22jf?g`r;uLxYcWV!pjJ(Z3R*@Bn*O|_Kf*&Ecn?5AXMwGNNO<5i#LfIMf)
zxYXdor>EXKcI-Hja|B#`%|FnruD>yFzx<uKZv)nMwSTj|vRE!c!lT;o++XRA1s4i<
za>DuY`u<PNyuA3S@u`I?xR^se_3dHJ2=@K>aZ1jFRo?=rbTmuG)m5T7IXMDCLQOAT
zWL#PKS#LVW*{RPwmw$-dSP|G}vUa~TIcKvxj*Fis3reku;M5uubn|7u2x#!61iVx#
zy6>m*lSm$^kk2MFFEEL*1=a7@D0#3ZoMBFP#G>-gK^rtCxSPj2y>k`vh@9Fm>FPzz
zIwvQlRUs>tPIFmVrmpjHTeRYoV-rIsS6*IT=A>o5ma6|3U#|Y-;h|}FA!1wpeZBeW
zg$-s$SC#$xk~x#V(d_uSbLSRZYD+ymZR+-b3WcWv3gOj8zxHHbUuRTofAiDR(~fe@
znzyfPUw?EPzT;VHXIuBH*MfQs=R4j*dJOIknmiYJL+Uz(z6GpQ0(;T@!K1V4KcZFC
z)`YCmcop*LLhSK7lj8(-ypZL{|9||&y(KEIrkvkny*<w7&;j;|K@t~^lxQ5AZ^Ia3
zzJ6B5^xL3G087xoh%|Iyq%q|XL+Xd6XO6pe?Kyqr>@k)Z4evPi^!Ogw_PG4xkDuC#
zd^--GUAf_%)$@5pEeDz}i0^nI+p=ievllnlsBlI73IAv4&X#g$ZWd^{eRWsv@`#F}
z-~QVB8#o_7TWQSL3px*JvBge9wF4|ZQyh#E4;((stnNRrWw&+u0kezmnf;^rIbwwF
zG5^+QTiDUdx@u~1b8Vuxt%NAQ<7AdYaYqiEe$P11^~j=F+43uC`i#Dh&IUyzPI39Y
zU{b@AN&cZsd-m>4oyu7Bdig2_a31If9lw!$TGyCSEy0Rq5+}2$RtUq<k4vZiyiu~@
zgU?KdbHWxyPZjxMxtwl3;#(27PD1DGPZP`M;i}cj9hFlXvg`N@U;hi<vhHo)G}G?X
z^^SE>$t*@%pf&#|R4QKS)c^alVM~gle{%OBUc*Knp@c+*<^?}M5j5jKHHV5Y+lwv9
zA0HiE=_P0A2%3IqbBJ+L;)|(i5WX(QRd^uui9$isRM&rVBzwfF_ZB@gIXB<3FEC!s
zf7P<1dZo8YN^<s`P!!N|X>`vBIxG72)vm=3c7A#*F1vax-`vB+{L3Uwj$1f^={;yI
z%Y7Em)=h_RoGQX>vrMzqgjG#*Z<+koE#?NbWqKSUj%dq#=Q_4i`rwLyLxQ4SR-FRO
zj|=_xRlU@yoA;I1?5*8O!?a%)0&a9?{J6*4`hKtaiujYPXIJe$aIbCh?pKFzEs<g7
zX8yH9%SKIYf+1+-NssXTqbxHRge&A5Qw}*C?~^sG`B6~v;zHv}Lq@d)?JO(yJVfl=
zYw>uHBI3!lKGv>XKHcZYGu!1~CR9CZckyJcMcKj^Q)lp5qVyLFH?!5zvvUnGQ_h0&
z_xE00T+Cke^;PO3i;7!52MRdmeB~2Va^#3p-{-1X&OW7Zd;8W<#=|okPI>HI7jxkI
z<$Xt{?M>$?`eX89#S4o&d|b`-7lNOhoy_gK%3^V&0P78&X3)^fMn4-bwFP|)+{}OW
zg7aO<d<Ub%gbxo6W?Wez2s-@i@3ft)Y6n<KoD>vPgr@(Q_u$ss<po+vy_533X}nGB
zk69EmYvGNrtHlkoPg&&re!gZQPh{Wc+#sQMENu_pH5;_pbvu1E;#(1WPH^72R-P<5
zn}~*1#(!c4jE7YZ9BO#DPPTsz===}G2|f}MrdcAVrf4=#RCa%Lo}*I5r-3tN#(_{p
zz6l-$-ve2^cHBC6_okTKxz>sL>+95a?S5D)X}nLecmKEf=Rd?0zdF`(y_18dQZeql
z;0FyE)!5ts?+!-OR*eH`Nb8-%*nU`pPgXl&*O+qXz>!Yjz#RpNHGjWeznMQ1w82y1
zIERbN@x$s0GWQ;pYQM0&(|zlJZwJdezt+Uyfd7;3SN^YNy{y)E<QubiQP0iaR(%ye
zxg3@94=^jTvDo%GM3zT~eA?pd`f<}nqkUR}RXaJN<V3icqu9=zHD=TW6$#x1J2OE=
zLK`TcpPiXm@%NW$+L;-NMhq2;d=A{;RM}U_egF6Qr5**J>nzT2Kbfq^?)U9lftY@+
zM*WN8zgo+DBpUbg$6e`uy5LijVqzf2(&-$66{6D{isZ^x#2n%i?Ygbx|1PG=&`+-A
z8OH>J1E6y4p-{xOoS6^#=DD8(CEp9oOeb3!e|~<hY+)hs`Ptda%?m#Yfa+AX#skL#
zcZkby{&$~vNY+k>_0w}fCPzgJu8j>XO>;hIeE9n9(38UXNyi_azBQw0SMDTt22Mu*
z77hU+vCbVoTm+i}IhMw7FKqEvtPt7ix1Dz%XdnWVNkM1R_%v`zXn_jdm22bT;v#mH
ztXz1m{rFjy84hZkEcV^goDRk+irnE}dimq^4f;8((bC)^8#lJht+;5KKkv@W9LvYs
zfB*c`-I?5;bbX?>WW5G=`98mz<_{ebHoyOC+bD^hk8|PH@7nXBV3V7sBGUwc2TA!;
zTd(~TM6%xiylrw4C$qG9-jRdN>>CpgvmKtp+dqrbpfN|dL-3G;M+A?DLB$UfDaG?i
z0#b6yNiVq<1RP}2$cSXP_GxPTH1{R*KR-VmS9CafpSeNe7l$`?Rl2ty_xpReg!s(S
zk(Uq^)?crm{8-?p5uf0rbaiWQ3-OIEhV0rqL^cY=2~FD|0y$>~G|2}(!+}BAAQTiC
zcXyX3UtJY?V{7*Gm7g9|8Z)X*U}F?wpXYk)VdWF;md@9^=O6Xn@h~{kMTnE@$!YFl
z!C4dHV>DeBI@JA&{iD;u{L3<2&-lr?soXn@|NqyjJFi-|zx+76up8fzbBn`2KJyj2
zx39L_Jb&^L@0g?SOKP?2`n&6UEjE6vcMbpPC9?m2xvHSU9kyu2gd0)f0`vG-6XHGo
z#GH_EJu=mW5nQ@MqYymkG)dS)jR$n0z~$xs$3H$kK2sPx$9|Y4DQ>SAlcP*hoNAK|
z-;3|lH?9}dUH4_t_oT-{N7er83LQN+S4ip7`7J+}&!5l6p78(H%NOREYX$huq!iij
zdL|bB%kupHdNchA>|u{e?w|VeU%$IN-0I_Ylk>`~jNTuuL2HGOf-ZqcQhkDt#Ez=3
zT6TZG1cRq~8PyhOGws{A@5SBS<~21nC0A9y9|om)aPbCq{EP$tK{dvozke_8tF1<8
zs;jF5m9lyF_N-j3w^JT9*&JZG!pZDE&&KiTsi}_O`MDVl>p1T0D&@YrtCX3O1yuJ!
zOoxWki)ju<{f*)4;|`uX$G36g#$B?k-$7Z?Q+P-5b3UumS1q@<=g&4_p9k8_j^w8k
zoJ=QM7^NKc)%+9?7H-~CY1tU=r^E66B#X~PhF1O37Z(^+1V9TIz{MEMR|}$<R<<-M
zxptihw*DjoTASLy`J(B--s<lcmibEG*;^ehez#B_$r`Z66#^crJQY<{k6v6{tP!`z
zqB#jPHTR;$!NGwcYHJp&5C>?s1*{b2w+p(BA%`5Ut_t0lch@RpWsvK3<%jn`Q!<fE
zjg5^N*VahByT3nw6Hmn>6w4G=b85oY0{AE_=U5`d2HFX1S^TU4ylTgQF;;+si_2-U
zny&*BWArr+7gtwL$MUPILNo5|sk|mtc|JJ*-kyUmE-nV`Ea}~Tme&ZHeZa1JqSF|1
zh(VP>+AyibhvnEYP=sG#ZDi+{^Dvym!jyY+|Nnp88<USe`t$SiitzPvprfR}yt!$7
z_8p(RU61EvwS#x=$b9_xafW@pos?P5inR|@ci%m7#KmW>m1y#@p2p^8=V`aC>;70Q
z^PSDMDkwQSyBo9^CM+xrl=hyTo2y+PzO_?W{n3$5;fkN1R6%Q><m>+k?kswGs_1`Y
zWx?S#-W%I;rOV#mn>+RRPf4V-HNyrJp!fIHUR>%e{^jN6<4+zMFo0@Y=LZ)TyBBT#
z_u--Q+A;~yctb<+^K(mYpT8bo-}~$9>(l?`<M!9dI=As0oT?pusrKB3{2hgl*=%fV
z5^{5Oj|xXkulxHe@!_FX4==AtkGfWct`76<(*61Q`SkyJTXJuUHM8+v;$-s*v&_1p
z(bCegAbNXV&;>}!fkpNSklPMyNIV>{*p0X5*URNI^Lyum13aaXA@|1XD=V3WIJRU)
zZ_hjW^z`(Pm;LRR-sY_|cynVTbI8h8vw14B=GjyVJ=tWRaDc(Z&8_LqYwfT#8h2m6
zd@gC6mT-MttcQokf$jI}y8G>Zapd3MH}&t^+uQx8H81Vb2Tid5T_3ks>hv_-$>)RW
zrcc!lKlT0ap+g2GF9P;de02J@x$JG!1vZ<t-<SK$WcpOl(cRrVefspGJe4gMmd!i%
z;mu9smtP&0|4MQ1lbI;Tkg`4R?xBsz#{-u6%uI>NIQPY-a>B#~P7CE!7$PDfJj_h|
zfB7hGxgcSddrRfHsGOW$E2}H#Dbwt0Cj#2H9COL7{e0HkqWBrlqQ#4sGC1<wOW&gR
zMW#}-<n1ldwb9%C%42_mcY;DQzd_>_mLN{h9#qvXF<q`*p!vE3EG3Q#CMF?VleS#E
zyzFAy=FH2>iiCgKEk3I@cgp17H9kH(c0Zp8m%P6xD_`@0kx#~=0d(Ej_WO0-*N&`-
z-2CKN<<TzDqU7k!X}*!azHUBm*SvYNG3a1{88c^U&R^JTbk|$D<W|OH0|&jIW@cta
zDhKY~m2GC{pSJu<%9os|_m`hvIrr`D?T^3T@7MmVAYQ%di-Y>hfB*h%+IxAq|LMA8
zz0&Rb|No1wVxOWPFZV=qg1W!l)6FW2CM<Bg$MB`@>8YttH@9+$9%|tf4%n6xX<=pM
z<(PPHPvxhbo72yql3`cj37FdX@9*!&kNfSXeZNpGZJy^6m8`UJX~Dxo5gQU3MO-u_
zRa@%?l(T}bb~HOZSn%D`MDb$V9vRd!#>ih`s)2)goXBb~XfdnDVN>@fBJZ7t!i8lM
z!w=1~t-jQIaJg`S5aSD$xqd%SPVQ=9+;noXy0<>#)dFp+kNTmjrtny5L~K}a=Zv=3
z{!4As&8}#!O*uK~Qg_3;-{0R)pPieZpD#Jze|F6$iyxr<-fWSHnS1@``_H$Foi=l6
z?~X%l{PKNrwp9yKPfxof-E8)1igQDe>V>x5F*}Ps)qWCKyip_P+nbw3#{PxBr=&L=
zY5n;4c=Gdeb3aX+U;i&M@6pRzAqSBhk0}f8u;@l@nXr@3qd{SJ#m7Zo!ftFz<-XF}
z=^`{sy_IcG-QTEf{!VP6Cp^!eXl@n?UsdI`<U3D2xQzzRW}tId=QwC3Huz<~xB^+<
zXwbNY<APhr{N!DkIVGFc7~I-$ZB;0@ot@oFJ*~M@CZD#SyJ5M>tpk@<ZeP86^(W<9
zM<!J`%+53t5?itBH0XN1x3^4xZeDdUZJG_!3GK#Xu1qYdR!hE%xGj}-?~~C?ejXb8
z>T=cmq~GGYQ7xC2dTZYX9k}uD_`OO8_t4td8gE_|2Zbvaswc1eq8G&KD#*G!!P)W6
zqJLNUkFL{D;OJVQ(ZR|s8`xFS=<!~aQOHAsa|t8kzU}&cJ~OwRRGGQyeAMQ9DbMys
z*S!}k`eyt5&Q$I2S&tff_#(FF%}u<tG1*<1*KzUZ^Jbbp7b3hHS;c?WH|RDs@L2^5
zYVcin(P3QM^f)<+W$~F87mQyuDjk1xw7d7jVG(wz=l+eY6EnUYb6MCV$Rpw@vG$+|
z-=_s0XHPIkUtzhOw1g>GJ7-(UNg?Cy=k0#$9R9sZ*u{Ybj@}4+sPY)xnQS@F<`hU!
z!JNaOd|%?>HV%IN^Bdp2P$*8FwZ5lkCENRbzw=hjskYjGX7c@=#p#>WG?p1PH6(s7
z`L)yO{GM{FZ9JQwXW1w-Mlc^Ze*Cz_{<pWb9+t2FGg0E-x#E`{#=MPvHof<Y#TnKe
zS^jlru7Ym#Hj~hwFPB`-d3<9-V)M_LezvTP>pmEFH7GImK4K65s5vWu2izvCo^WM`
zmt$emOSzI8{&Or65B>gW94xRynejE}h0OH13|8-Q+IfE3F4otW79gWAdrGoa@Uou5
zH<}IxsfUg!E&hGvy=KXkHIc@VOI*^{UA9zGV1lFnf*z_oANm8@s*6ND)j;Aq9UEF-
z?Z`S8`f`pz+b-jv20lyK;OL3_xBN;vSL^kB{_{%<Q%nDW?x(!EH0I;8SEh5T+AeM`
zkPqLrQ|Np^)iUV<)p^eYR35yKTBd5CKacP8kxpS>Is3UqT#P*t4}SjH<26+)vo24X
zWBucucLW=@itNbpcWipRw6L93%x#IVpZoluzuz=}PC4T6@6S(PEv9Ua8!en}u@l69
z7HWH1y%7=B;4^qLUz2%H+c}%tDYsa9OC>ed&9SS!wZ*-#Wu?-~-p<^jr}I~Gui5F~
zClefXta#?U1CQE7wL%Vb2rBz*Y4$<Hyo<tXP)YqKxu^cfM}CW$932fDPuLi(CY-sr
z+(F@VBvVfns3dvW5-8%sx?q8VFr%OV41I`WTG_(bHr?*)m0&fMFj&xqzL4beYg91r
z(f+x&`n%cBzn-}t9vqb0ANqPj;$feO&g+g9yE850FHmOz+t$$lva_S%yO4(}52)&7
zX6Iw+Hv(C6f|n^UFmTz2xRjKXyprX1prnbEYA1o3Z!(reM?{WtadHZ3fVCJhReyWq
zn3JQU7r!ru>*?3Gx352$`Z@jCW8>?40vEekUfVAv2J-;KCp)|prW!1mYHcf}q`<V(
z@xk2kd!UAv??Ou{Zstul<hXAJYDgHT^>}7a>V&!#;$jX+v#U6NX7#C1Yp`dYh%koj
zNDr;)V$kfJ`etg_gy1Jv*FjZ7JgTBT!As)7^*eU2Z){A~2wO9w0%WK|pJ2j~11+4w
z0)m1QZ?JE_T>a&uyRS(ja!fg}xF|g5ydlb_6}Beh+v{h6-~hMuYzSobELZ;U`7?95
zrbfU52fwn_+gy=?$;E-?pK3z5#ESU+cF)etWR^<>CH)DLS?*Q8x2^ebkX<dS;UW{L
z9d$c@|J=#3t8zgB2?-kz*{H(uhKqS^)Yd~g3LhU>40ck{EQf>yg+qr9`FwPA<NxvF
z$CHxjS@B58%7Mk@K|E7gYom1j9>MeW|7|L!fc@zwJi{oJ%dJPEF@0X;u{Ulg2}*!b
z@PsW$6C*PlXbNP<+ikat@>O1WvbY>r%~|s1hT!Mt=ff`sudm}WsYG&Q;~s^CaEXc+
z3)_994qjO3{5i?zRWOUofwLS(j>H~$S^Tl1)7!!4kE=_x{b%ES_TtrFYv252QHj~r
z<2`-djO3=Rs#^Esjoz=9`~3WTJJZi~hR6T>T)**NwEgXS(Z?6Hav#|4;V7aVC9uzq
z^<nzn=jUtQM=xRU68&swe;O@G8u=esXYk=p@%e>0H#a?i#E^<WnHHP+;zxy6T71jT
z9ebRz<m;xs^flK_uQRCrsR>w8;P~T1=n4j|&#8OO=SRN(S!rkWciLwE<@aUQ&i}0X
z^XH}gNe5HqG{tTlVSZh4^OxnnKdwjOJsf2Euf1;iYWMPd;Kh#{{G3-lvg6ngm-=VD
zocC0Xrc0_d0g4C0&4W>+M1hrY_n`yP`FmSmyvX>VA<QWFL60fsDSvOr!HttIS{zGu
zF>%rjJaE70k9U;zr=x2Y#|O%beAn%HbnW+}eRggv1)|pZovi7}j|#5|ah^Li$9>D!
ze{;TCCA*rqM9mMBSrKxKU-a~kMM;_VEK%-mGAB?%=Rh<l@v8aGYFQh-y~!PvU($sa
zJgzq|;riY0`Y_-{r1q2lN~flMac-y&%wBp|eCglXwcqz?L@4aP-MnK)!O5<Yj)R)7
zORY|IHFWg29+{b;?YdB56}y=G+Ah_A!&QL>6F^A}kwv!)Y|!SZ`F^+j$JguehS}G2
z@*gg!>}t3!U{Ui&hc80uz`n(S7CD?uc47DG5@rf%gzwr>s>Am`$#qX#Ywn_n&mXPP
z=-g~k_-RdP&!$TvLIJuPShB*k8fQ%l2v}QFxITFiXE(w!jtYKI*giViZCLguLMLj=
ziE59GWl9egvP8VdKfdttwbvrs<ZW6PxpFC95Ms=6YKXshNY1MG_;OL%>U$il$8{Gf
zoUuA~EZ*VpE5;q$+!{)CQn{4Cl`%Xk9|-2SA<AZ!bEDzm;r8UezrM~h@;~d$vZu=-
z<x8b9Q_kkN-Oc`svnuODICWZAiF#F~x;T{O+bMH39X_X^^2Ma7-+fWs)u4=&P8Jca
zKYvx$q)cDGP8_K)JP{4be6_#7E%cvncVl;X{=GiGz1<BQDV+zF**^SHeVtR=S3zj2
z7W)FP)}Szt8cz|e7gk3ZH=8Jm+x$Fqltr8MF;A23#0Lj128Zoxv|qPAo=^AHw9<nY
zvphgW4!q8tV92-|bWS>plvz&4hYufmqAy4)DKJ%fHMBCDM<g9c^A=f=u$1G<svx$~
zRZ|`9-YIIF4rH3XKIY)P!-6;Dg&GaC-!9mx%C}{UOOsSsEfXjyAqv?Y+#u7PoS3>q
zG@H7`^>>}NG4T`pV8yh0du!5D`-M9)t_rM(U8?gcL^pXG|CFb^jCuV#%q^IHHyvIp
zEAwe#@@0oD*&;K{n*-OQx|)@#td%h?eosZAjBV8w8Bj60&QZb4j#2xcWm{;pbD-V<
ze%|b`4VPHGb{%_Q(&fUW7-rif%YLZfQHaScb3T{l%R~dOxWAOCazV}*F_Rr;L538H
zo_c)%Wy%xLOo9^&BewmNxRzs`*2(zv!iF%`DVi^*Z*LBIFDcYvA>1qb`>@W$2Q0VD
z)^+He)mqfE|9dX`Oht{k@Gw?jQkt-w<&p^7EVJCMmzS3}gEE}MJ%Nt>6B=A!H*c)@
z9JnPTfb)7(Q^Pj#GqbBF&W+po_u;nM#2b5FYgn1D@0quD)q&*`lsGmW4!Pcil+G>s
zLC&477yIGW>h<7k?C?%FV%JBGX*nEjdtaqq+*6nOLrinZ@8*DhKUD$Y@`FO`eF@(e
z7L?>iir!tf`puPZS7#t%tD}L#!b!n5@xis|{G+zt?==7W``h}`x^;q#f&~)}w9QrD
zaG^^`<88di*;nBkgV<_hb3CW-)N1T7HsrV^+BA9M#urytX+@c7JYVH}bq8{=?rCtC
zb?87dzg@?-x3?j_KPPM<Ud>n>CUaop<c%3uSFGyddS>&<=6$0p*Y#X(x1$Y<cC0P-
z713U`VuH<nO(`T#S12}`w1TQE(CTn-xjtbt%eCl0rsA+S?u&0d)eT$_b*^mLwb;G`
zzjE8xO0gU-%w8UqvGfJw^xgJS6A<35umTxme!oWe<HwH>gN#{r{C)7o{=4Fr6rNkA
zs~fvgy`T8FXI*{DDZ|}==+%N|4xP8%*1N7<`_`scf84|YQSp6{VanuUo?rJ%v+V7y
zR!(8HigP)p!h!{gOp5B~9<FZP9k4cuA<BH+GbiQC0iPxvxTV`JC{xAt{p+fJt*2d2
zp9Wmnj&NB=!*l_UU<uGoW6#dd@6X@=_nLP=#w?`?)huRjIgj_a?!K@!RrkvJK)df&
zVg7lJ3D*xk{!x6m?#|`Sc2QS!UyCJQQ$TKZ+?fsv|3j_ZhIM}`bRsq!s7+r!vAcm=
zC}M}6#OiIWkB(g{ooe-<>HG1}wj)=X?q7fF_}i`V#noM_R`Ym21~oijCDR87kT<ih
zuRD6#-<}uTd^x9hfT@}N@S;NJ)m9(0qi$ICt`hB66_Bl#^S=Jpwb#M^PMWA1xWxgF
zL<c{R_ipU1HqYDnR1A{O>>B5X%N=RFuzAPIVCN_2r|bXbUB$Xyq2T4G)HBf=nN69y
z7uO$`iZe|24MM6&70Nl6Xo81-eP@{*{P_5Iak<MYUlyAQ2ku;L-}w1Mrnkrru~x2U
z-w#M;d0BcsICRu|n#BfL-c?hb?w4IH+N8Zc_xD71xsSJYW<OGTz{#TKKkp6a<qI;P
z)T?lwV~HkD%#H%aqg|qhe|~=cIj7)N1dB~0LpB%7TkA|E)-Dmf7o5SDWIi=G-1*t<
zCX*4iyC;k92}3;>bMo=NvyIcPF5&2K@Dr|hwQ{*aqQY{`)wO~GjQ;8qmKhxA{QdLi
z%nn9y5JsvW&}fwGE_>WJ@9cx<B>~r@FNi8lXmKdH!+Iu8q@}w0n(;+uqvwJX3?A4_
z%kpF?n%&USct>sBKNklUn~9(mxld0`-I#V(3KEo3jW>R<?D>1vZA*R_v#Azq%G;#5
zx=fh@78PGa!dPXGtk}KYIdDGHqbDj%LTqzbg3fk0$O%j^n81GF>Qau5hU0=B;Sw2l
zb{K-T$iYn1fA+4Szn?$KbltSA+@;$%QXCTgUOU{;-+%nVKHj6JJXutDEI60U5^S_l
zP)JnZU$EL+P=K*tdBQq_3mcQ&_xyY|8<N8+nO1LWOS*fm;Le?;fzR|W%~YDe%C!H_
zPTsZB(_Gt+HAe36TE5KH;ZVaoUcrKRCLy*v-|uBUQBq)9=d2K$2s*@l$M<{H2SH6=
zh0iQ;b9eEXZ&}rRz)01oe&RWiMjZi;UW;z~Ywr)fP+YsYV5;9#g9YghEH?cOEsW=P
zFP}A$qr>5yum_}tdSO$lH^hH3jrQiUN6$_0_>vKAUo8GYXS<4kynOo7E|HU$H|j@j
z=Ki|+*W&EYUX@mS{x4z#ndcRVo3iJtDkL6=e!u6LE|by&AEuXF%!}Q6ojyJ~3UOUB
zQ}{ZD^)>s%_TIYgzOx}oGJb*aok<R}vzagMsul0~`{uTd?ElW-=Nk&H>h1X%ARSZe
zJCE<^TkGit558>=5dM(FB*eDo$FuBLN(xMVpn&}Fuw7nBM~4TrjrX!uh_ljzlPv#k
z33a_~SH8HmG`4@{vz3z{-q@Eae5-ty;7{%+xuSngPuEX={;fVhp`P(d_Kb4Zz}Hjs
z8}6C&A2~ib{n^jjxJ_mJQsLj-+tpT?PMAAe5wvN&WG%-NT}Dpk%G2?n_C^I;V@Ye{
z`hCB+PEXT4{N?3k+vIJtS~;E=H2Oc!asGNLHE_4rOPO~svp$Ef5omqC|0mPtwbu{+
ztq$0+fnUlrw{hX&d8XR8&TR;vFW>fl-@K-JK9@x+*3UPdRkEYE<HO^O>pO(xYTjh-
z`Eje7Z~Np=hq%ShJ$|i!?D2K^{YMx6tqxp};25$lO4j`AeqnyQUm{#$I#b>Vg$uEL
zYn^jsq4L9uKVhP~&YWX@=ymA8!^7={<?mv6<n3&%gD-7WdSJ?;!c%c}?KKxy2bQ7<
z4!aJ4&f7E0yrgnwwz)og`+{Yz2aGvlc2sbl_xZtnm~ESLBh$~K)S&Zrb^3L`Gu3XD
zyy*HiH{Zpl#H8-;L+O@Qxr49Y`y812-EPj?!ir{tg5$n#Kb~LqHRJY2Hj~_oQiX*Z
zBaHs1%kWyZ%x1sS`dz)|>83*tPKQ!`{?wV;)huG>5<N5j?eDj1d3Q`QFvz`SB4bf-
z;0@OkpWFNE?URy|i%Ym4-FczB+2_~S*X`5esyNq1TZb)}%yjne_xt^<++qtdE-sq+
zHthLRMo{!H#Kv|ta8$^EqUY=D>yJ;W&p)!O^tDaiqgfL;EM_}gxW}>PhESWGY;k)2
z&z;3-4`(KRf54dST7Bj9dv!l`Ju|lNcdqg7F3&moM>INMYt`Bu->cFsjGNZPY;9BJ
z+TxW``me@@?OSHMt@ZZAtJ9P<Vwc4{DqkmJc);;&{7&Y%vqBs;TcvI)UBCBdQuUz&
z+Uxf;WnEpB_~OFCon38yez&*f7XE&_eRiF)k`nm1%=i2MZ(G#F^>|uz-oXiq&YNtO
z9ha--v911g<gEGqIZv~Vs~z*cOq{t<#=cIb{9dK{jsp*0zs~+XX{q;gsde^$zf68O
z>ynsmREuTtvxHMqG-tjHdj3Qa6c8NovE2<E6+De4t&Hm;zPz}|9K#6eeJt@$_$FT+
zcwxDQ)YVlJv{&T4zA5G2#GjaY;bF+tkK5V)_A>6h_26Io{3G6r?M)tgo<I1$DAsoh
z_nMCPb<r~|zSWtVm_Krgnr3vo?#%yr+rsW%fB50x-;O@JY*D?h?#*t#h3_vsd{LA<
zKjrM1|EXs4s=ny?%=?(V>V2HVM}@k7|FYj$NzISAobd8cyy2V1+n1j1%D7r~Wku-u
zm2cGhWSjf%*PboNytgSg>9z>ly4*`ljZ;@8L|<@oahvD1Rcfoji+k<`$8Gr|TN#SC
z3q9CYn`j{~-|cw!l||{lc~>ODd*|1Fi{z0oIPh*u5Erx0<%eCL|Np)Je_`e4XFJ;-
zU0Uk>;_7N~&`ji&b+Mq~)Ps-v?fV>?*+AV1i;52l^Qzxj8tZkj&)oXsN5z+Sccnpx
zR0>)b9V%Mff2Obc>8YtROtYtTR{4OZRC*+hH&lL3yRtrBURcd%!|gXa3Lmezzt*ne
z$HO=7aj9i@tj-%U39-pMUbY%sb83Q8<%$&=A#0<q{#tAvbj8Ji#Yn;6<@DSYkxOM(
zh4Y*!3=5p5d~20sWiZ40#h&+XyzM_%=a?;;bMn>PXTR5QG4I#^!8$SBZ|0ugyxHN8
zwr)SZFks>Tu&Y|(t2?i~wNn4)o4(U2A@$3ypJhLOPv26PKj+}1%Ho1IClYP`ebKJ?
z^z*v#`LnAG3f^QGTw4>-^`bca<G0u6XKv|?tDS6JVY_yIx2XQZkZ<yRm6DT>@8{0r
zj#%sVHl_F}f6uWU3)Afnr+)3azwzz--WT>C;`Ug-^IqPky!aTOtaU51qWXs$x~q&Q
zh-r73F7=w~wA5?rhyDNm>Q8l;5G1jH`P|wnNw>Uw)0uxSbf)vl%`1L)$MSKC-TyzI
zS43<~dSp=c?oQ|X{r}}k+<w+;mH+zrVsU@K-m21KKJF~Nm>n0k6u!8i=pE8+rhO;o
z<+6*Kdp{nNE<7$<uCnc<2iv!USAVVyUOsC}^Y(jH+8=*UkFS#~eSPid-tYIa?RLLh
zHoM^4o0};aUQ;v@UrAhOYHISa=9$~y-EI8;{pNj+o72vo`ChW%ruy*|;k|W#KDw`o
z+bacXri92#+8#dl@89e7``z}{{4Dr>w_H8jN=4<!l8if5uh%Z92w3daYohElukKf-
z@XU)F65qKrTF!1X->RN?VD`Ih&$O77CIquw5@oxQxzMTgjJ!?e-^3}w%)z^r9^|py
z`+IEFo%@T#PE9-Yld1NO>Vs=?Yd?HhVgBL8<Zs)BYyTW%{89Wd=FOI{dq0oYgtanC
z|39-#C_uvFrHHZ2|BKc62WL&KfAD(#v_+w}{g&Na!^OO9<CBn&j@9R-TqoMioxP}N
zYSxduckw6v9>!>P`TVXk{Pg_4{`&t*m&+aM-@GjG(HF}XX`F03Qy(s0yfN!?-JXK-
z?mMq8z5V4WbyQG5fMMUU9?8iX0!u{LUi?@Wv61QV@&4`B;cg-YPyc;+dAZ`*%yge>
z(ACR+bFHSn(E0!2F#nG~pU?Le-`rbWuA-tM!9HJ!A$*a3+@6f02a=)(w%EF<eXw5k
zaqs7I*2g~1vnW)0@kFimoCec{jp-@}PfS$4xXgEU$|1-5`)WTPlg?j|d3jl9vj6%#
zM%mPD_Bl5Uil1azxiT0%u!!uaxV|nn&Btu<4yWb68rkJegvjswd%%EoQ`*^C8o|fv
zs(N*mo_xI?@7^u;?f=~Xi-jvD-4=A%dG?g>ugL}vILiZzK_htCOp;v8jg5_J>kVIW
zdBpKY{q1hh7OKcRCB^gR9?w44N#PsLcW(T*HHWi}=T&al$M;nUGw$le)%{BRWANGg
z&t7X8)j!@(I}Hvr%5vIuyn8Dp;a>Si{QmA%gMyPq59D@!Py4esPqZ#(huGHFW*(|M
zH*T++kmNMmP4wbMZ~n<@6Qjk1%NgTqwsSDwj_VCeTv0JqEA&kDx(P+9H*VW+e||?*
zl%wwd-|sH%^VaNe@;m<hAiMmQYU!5;{O8%+bm5tz6M1P4=vq!?Ap`Tdf=nf063fnc
zFi92Pnz`98eg3i6|9`#KpL{q^JI~#HYuw%{HT!>8!{bdSvU<+Vk2^l~&9}F=XGbxw
zkvuS=JkFV$kIyXGPx7V1!^V~Dp33|=!jIFHKP>mphOGr~>v7m!_V$dsh>k`|L5xp&
z0vFrd^kv33dTNVnJTL29dVN^$h>HBbKcCO5WgVQik<Vdm)XmjXCvA##i!_i@-rF&u
z=h&L}vF!~ljMsOHOLcN|H2fAi5ddpQ&z4!6^k&}=lOn+%{Rcj5JZ+d%&>rJBNrPvP
zv0VAx|1<8|<*vS7|GslWn7H?|nFa?Ae$BXkVO5)4@BXHyvk|eDNe><!<*xYj&@p0T
z$-=Fl{tH{(y`U|6|4SGDy*q1J{o3Xqc(vBO_-W*ZQlmF3!wY^MVf(D?eB%A?_jaG>
zt==0``0d;I%TZrbO=O&puHXG`mrG*9>XX|-%cCu7Pt87g_3P{P`|W04K6jzS>Sau(
zylIw*#v1jzMH95&i73xlbfBi@n!$rt_P>{Hj2C08dB692&tm`QzqekG^X7T^+_L1>
z&aSgkEIz3TzkOUcxQhJwc01o~#)eM*ZP^j=4<`HDIX1JMbX_-D-G9~!&UbcCo|l{6
z>Z~=WZ9LB#tRHdvK{LOd2hYm%7Uuc-(NCP+YEz!cJNfwZ+%!BmZ=&R7ra75=+)q!}
z_uss)!T5Jjw57kpA%}CuVf;!8O!NE|oD(0kN#`+q7TsO;_SH`l6KBB_Y>jt%ByQ|0
zHO_l`Pso3-g;alU&B=EwBG=^KoK#sk%PT?p#$V>@2k*DmCmx@;y8g%RRR#qQ4^{HW
z8wu3w#y1ttKksKOt6-b>VBhWC$%{oZ6J}?d$*uH>Kh@EAv)lY}uVQ$4=mO!?;w3W<
zpPRnDeqNA-$4tKoCQ}<&%YPcn<}JIt)Wqt;ANz^gH+^TBc>bAXKi{(Wm<ZbvWxwV2
znHKi4AH?HpE^;tNZ_6=U$<ZyY@5d9GzTe;GV@u(V+;f)PFI0PP{Qi92zW4As57u>?
zF7I4=INx{~t3l1Y$J-^9C0|%<yvxMOr84{6rqt60#m{^ue@fVFntg4?md5zHpGRF3
z3c}g?E|=+E=8;JI4?4bnRlkq@iJcWmac?aqb_ai1wN8bn;_BOLDWFDiheO*T24|l9
z-EYl!WGoEY+ZLpO+Qd)NdK*`)FwO|wFH`k(w`{Wg?`wJo&go_?5a{NQYW<v%zxNl<
zGXI|}+1uNd7L?pfxqjpJ)B|OQUhCU6&b(~zeBqz*hp*bxo}Jwko_wIJ=)d%O_aB`b
zj)Z<$+Ff^e!|(i~$Ai-rZZ~aTl9nINbo={qzqZ9^w>GZamQiv{cuK<BNp@B7^=tmV
z_V4+fWR~~hqWRsOx4cy!u--ZQ@XX8L&WnQQB%<ANR~&P2Ynkw(X7dL5@PjWM)bB1-
zSZQ$JYD(J=_0k=aza6+**LKTTe17Y%jKsvmwDZ-=m<*oJUu3nIJ*V|`#F6P28Dh@r
z2QBGXDev&`Kv>Fz+z)@{>;EKn2?t3eNb{^ux3KTkezhk2fWQyE*j+Pbu^sP|H4bDo
zd+cR*{^gC0$&b=SSYDjCz52p=L!(;_U%4`3cGiEn=zei+w7Ib8hQh~ghr5&-&qfqX
zxNh+GK-$Z%6EEJqxjlcrpxztF=ci6^rAMW`;<ngqzjf+o78RZ+HpyQVn3Nv;WHAz9
z`*ED<|AxdHOMF;d6mpq%?$l;<H{9TFEn~Ied`@`85|4{1%$sw=E6z_)|FGXse)jui
zet!hs_th~P*T?p~j@s&9R#$b1Vg19cKFk{75BF!i*7RJW%5&q>JL_o0pOg3fx*3zE
zJ@3R^t{usaLEion9o@Z-lciJ(e#bf+JUe{SuU6*&_dCfy9^PK*w&q1;@ULj)Wuk@!
zHg7aeY>Hk#Tllr6Md^f0lV!1-M$680FowPI*W|dd&PR)9gMvcwt=yLqHtpBddDGLk
zRzJIL{C?g!%ftVF)-OHl`Mi%&r_Q~-HIRkp?Q<`iR>oT&A0PLXZ3LYr<J`{o;2mqs
z(sVAy#a}XHgcq|$BusGS{b_srs0Xu@veb^h3fwB2JQNmlgBF;4HonY|yDjCUkg%Xb
zVuPRI@$BxDgec}<VTq#~s=wz6cLuDBvAis<%%V|I&?lljqwN3(vzyzU((AFEyX7{_
z|MKg^i+6ME>+P<t<J^`Vk#aHaikn;Rt>5z|8qcvWpR?eH<HnXdbLK94aN<UZ?>7z)
zi4$`6vAUp%=x6z|ukBB2zg+l0-ZDlqVWQ$pjt+-gENTBYUcV)`K||{5x(V7d#QuC^
zc8l8if#1eRXz{=I%}4BB+T1UWHh*(#AM597><g>T?s~R+?(KgEb<N|_xBdKmqRYr5
z>Zy%wV#2qc^N!1Z?)`YV@buf0+y8l41zMecYgKw!{Qf!TfAxo_{(SxPsCxT@=kNC)
zOOOBGwC--c(ew>F<h#F2-LTE{PvOO=VCI8qFFBcQmd8#$rB;;quxoAS-xTp5%f7BQ
zeDTb$BIO5n#j~e|l4j|kNbf$H{NGJR<!+<m;bU_O4k7g}7Mh;VX$oZURAvb}cS()s
z#w+e6ckcDC{^r^JxNz$4zu)hhN3$B~HeO^XS&-|ewtso?*?>Qvo}Qll-hz#brAK0c
zp;JSj?e9gG&w4P6b*1cL?0tW~?su-i(S}-sBK?;#F9M8n6A!oXF0*6E7AiRHe2pdJ
z=BCs~B9fU0Ua-t_SD$^6O=r@H6YR@u0vI0bh}<&iC{JX(;>-8!eG{#9Ch&I471^<J
zi}|R{S#q^q+tyd4CTwlg)Etkw*5zi8dd}?S^pGfc|9knx?gow>Y>hmvjO%PZ@XNGG
zpK@_vxuhnr#hgidTgyWK<9BX<p5^y_&dvCRzU}K?Tv%$Iw8r*A{m+NeEpoiaR_E7F
z$~xXOv7hHyQ~ZAS!)|9UT)LHa{`hhZzxiDU)?YK_l`=nEzJINcsbkyw`xjRA6+ZiY
zr-=O&dl-{_vPwhlbjCQB^qFBBgF;L8EL{H7Lgdh{tEV@VUoX33_rtO0XNK3NZRxe9
zlI!<=*wN{<AmPB5w#|VPe;oEQwn*!(pT70%BHsj$s)xVxdk#IHzptOIch;iZ_Pk>Y
zZ|*CdT=?qbK|yKLB8|FVc~)_CkCj(j?a5!Iwqv#Pce}sB_RnKZPvbYS@nW6gcBJ!h
zgiSr4UyJPFj^}ZQh0p&vKQYmw=Io!T))!WKvYM<;uFp1Wm;SbhJ2)@=1#j^EEt!)e
z|E7Dj_^{lW+0epRzOPzpH%CXqYJn305($AyVcOtH?g?g%+~WEVXL8mj-Z)TpjcZP^
zcE<9n+TkDS=9u!{ueD`m|MqrU7&G_cz{_G1pKcgu-2T`WGTpGh?vi?b^1C^a(<%g-
zPKWD7N3UgFkkuRY;@)oiclAF!cgN=5+`}lp|M#k?OdBLGUQ7?%mc#m3#Qy)Q`rorI
zN9JAHvo!MT^PeI@tFEtVcKmMFWc{x4#Jji$GVHJAWbW)||9Guy_x$+6kX1pvytB6)
zkbdvKshan;?DvBi!3QSvRoH1STDed)Ymdn<<(S($b~^XJnETf%>2sTDX58twT+gEg
zxy-5`Kb^?&tD4to&02}%Mduer6xPSwUSj!f`y%rlp^14>Xu~+OW2-~r?`iP(%r@g)
zxNu?TN^ZGQXH&`bN(zYwR_AS3(`Hhd;0!9xXPBSJ?E+7@FY$kH+46S4-lE7W>w<2*
z4p<sqbK!NulSeJzVMAHh+;-kr{k-&P?;(cEoHO=Ki0f*7emC>%31LnTi4RA1ud?iH
z;CN!z$kW<*cDDKKwQytC>n^-erXgg$ZRf1(?|s!074qMGn`ByjVMm+2l?msW*^Ct)
z>+fmsRGe6Q*~I05$j>=8H+QmTwuXYn(f9N^v>kHr@aWJqI|3e5t!2`A`t#KD?2D^w
zYo~B~RA<}!Kha23`2PMC(paVTTcz*6zb}zf<*B%I_e#v3-i8*&{N0adtp^QvgHz1X
zhnJRm&;C0ZG&3hD{G<4D;2W+!m+#xY8r$7$J~zQ&!f*59kF#Pk0*=bZMu(jGd(L)^
zJ14VTnX{=ZW+2|s-|qNm-f8fHC?mBGudbAass7)^p%ZNLcyehQhlPWJi4s@+n)}@s
zoujM^w(5C$f(DQ|1q;vykb@5~d^d~RSF`ix;|Mh-r3r?Oq0!D8%iJyUUao2?ki2|J
zvQOa0&+}_DE-#pq#cjcK{+ucH0rC@53<~a+UVpgxyd5)WeN5vS!5>NQ_<sEOu^=>=
zGi#>uvdu=`NCV`?)t%=~H#D>`+A1kM*vF#6v*Z2V%O_kNSYp~8mbEm_v#m~ga$+K6
zgxr#8<44Yl-?Mu&?yq6X3gJ3&$JNT4#Ymyx!wbzdTvi={<?-!{>{ySS#yRG$nfTz{
z?)PSk7$8m)zESzZBu(d5uXUAKbN)o9nfn9MyBcJfE*6S^_`68q&gIQ=QX$%^Zhk5X
zi3d6ju1?{2V#LVFypt#9Zf65Wg-W9jCv%TjLM?QzLU}_)t%2D)%g%jsr5AZTY>M0+
zk=}h^Kg*+Y@kjDcZ-2aNSL%h$p`nF^MvPp{ptVEaRShaXrF@s&<E96yNc9{Pf)5>-
zoxd;hQ)DG*UMOWQXtL(ui^kbjvE5FrpVRj;#y-5tq8GELrBZv_V#lvRFK%y}6~6hx
z6XZFqIsOX4hZsU{_|7&<JT*o0oP1-H2&2B@htH>)Ed;8r39(Bl)$gl`Gs^Zg-p!&H
zyDz7c$vVJzgT=4%H52bXE7^-Y+s3g(X@X|rgX!^g2e)Ki{_uMJey)Wa9S&w3f3_sq
z^R>Axj(!cA+Om+=eHoOm0Gis`zy5afEYYrq+s<v>lXz;@VkFZX<OEJkG1!oI*D5%^
z0X)<DjYB6!yeIUwQ(^M!T`MBCxqOqld{xf-K}5wALDpO5TrTUct<rq3(L72RX-Sv3
z0?0`h7COJUw3M4q-tG;P^ECmN1AjSlZVBhT4covS8-2~`uhi4K^-i-IgE`+=Rmjxm
z-N=7y7a$Ls=+C;H1zsHl8jb_6S_l$4F~vYYTDrTTf#Jx}qn!&lIvOT(w9K(+UoZB~
z>FNQ7Etx@QJ=d<1ELY#~Z2n;mKI_)s)mPo879G7)YbCWG$%75jAP*j>{PFwu><&nx
zIHxEeJ-^{*{X6FuCndIIhdHlgJ$LZcw6zAM38fbtS*CqEDjS=<c!fXX_Brir;N}!`
zI{!f(i;*tRmDS<=I!-4*<<EhgEZ5%KG+Krw9&+1ydU5FDtaG_BYj@f-e!b0?e0$&H
z9b1ESw`2vJdwP5|V^;&hRTW~5KHSW6tx6BQxVRWR0dwFd%ekX*2l!8ad;H_2g}2O#
zE-g_ntNp@TUj(r@9XglrcU!^~{ZN+e<ttm0T)B5%`C%e~n9dboe6KJeGcn=8fkp{~
z1O{*c{zRscH|)!SLthU<=fE9jPTFUAeP+}-VJ5-!B!Mm3Eb7Y17gvXdZV8#<Fz*g~
zsTL@`Lw#B>)nQp{<HN)4i_6~Lk}ynaxdAH8nHrZ$_a~feOSrN+PVDUK@V0!xwQA3q
zzRA2*QhUc__TH-LZu_B&ds9P8cCRby`?mZTau#q=Fb0KQkEAi%ow<;t_71wJz<EQ>
zXF+e76)9I0Rxs>e)LOi}`0FDRri&Y&ua#m-ys_gm$08@Q$1k?2?wC_>FY|46l(TKs
zmjm7U`(9*TzElHGG%g2HIa8t}emv^d-%$28%4e?C)l~Jxjhzk4g*rNV4sTkJ9I^SU
zgw!>i_O`8B5t?ju^Q>a~XNDh{nepa^e48x0--6u5T^dy%HU2Dly`;K+x&QpWS65d*
z-g-Um^Ni;X!h*1AWdX);bx?4>yR&nKO{LMZv$LPSD!%l?<v=oLLG@wL|1yVHtzD=g
z{vf@RMKol|1=jaw-CXZ11loj`FS@v|P{GP{s|#bU{fw2?U+e#WuYYF4$vpGW(#c5P
z&;$ik&W#O>{r3MX{{8!%zr19Pn~MX>CijG|+XB2*IF24|IlUrwtIn%1-R4Jib~m#_
z!mWKC<doQaV{uQ2FR<VeJJIgv%XIOa5Nk@LvO=Ol_MVb$h~#I{42s<e69l&8-<Jc|
zQ!(ua4qnj6xlzhA`+Lh`r<adbgf8WI6{6i-b3Nv<Rr8k%6Jz!ju~zfDC)9he9P*pz
z`gvFC#Z7gVS2%PYE;K&(Gt*PzMCGwpj-W~!;*lVs6I%=l{{5*G(GKGg*NYKw6%=3;
zSKV+@h+RYIjlERotf@=^rW-_7u}*VY*u)xBa^#))8g6mn0*fy_U#)tZ-TfDasn*Bj
zcQRg&T-2cRn?;2uW&Xk_#PojS8juYK+L)P`Hk7}QD+U$LJM<b)y!d>h?t{p-$n|#*
zE)U$g$9`3WlH*k_)rawGI$rbLadj7~)Z;rCcDF&mn}@?ruCprCdtqQNLrnJGKcT)C
zR+jr7Y6vb|Wr)aJCqx=`IGK5+Ob+nd|7mFBl}=k#I9G*9X~K6FA-&jRea_2!JvMy4
zpegcEGbM;mYl>!{!|SDQ`GfB(=jlsG6^bR+L~1e>eiRSbAH^VboyXzutEB-7_51eh
z-zaCr#K|mIm29dDw{5!G1l7a^+1J-qJe?X2UNU*WmQ%*APK>?JHSzvM4Q&}aF1uFl
z2qs6)DVvWlak1W$2t3fiz{RMpBM53%e0(mvMx;k*MeItJtT3I%tf$?(pPwx|9Ox}k
zaCr7I#co&`w8IwUsb)4_r~P$*A@&$^$XH9}wlw{n7Eqwkv-+`k;Ibw1UO&ZMGwb77
zBJ}0B#ZJ8cCcJM(0q1h1<bqH4udh52F~>$Y*ELYYWh>Xwz}rHM8Z03?kDq@lF1-%w
z+FZ9@UWl;J7-XTcdmrdj+K*R){dazzV_GIC&{)E8B;t@mh6|5|gbZiD+l!MDEpxjz
z7QL(uSTuvb>*ucpJ1RxZZYzJPedx3<_|Atvtt@kTJK4AM+ejDRlR1C%nDVO_8>O}1
zMVV>@BW{ULJ^gE8Ql`DO*CFwJ(+m`fd6|URVjkONh(OCV1*Uth3f_kfSij%XeBy)$
zcs~7u9+L>WpWD&LB_T3OYaU<ye(f~Z;pf*nL^x}>L|p{6O&4w`P3da#PyCm&cY6!-
zzVp-HOFcP1o&V?O|J7US&P{*v+<UtI<4a4mcbs|rRQuZNSLbcww$=67*9(4}_E`S-
z;!4#B`~T%jI1=Wz1x;%RT(zTL^yPzQBh@wERl62!DP5wWP@D^L=I?(QC2(iX^8`6_
zOXlSbMbNcf0*um)Gj?=Oa}emCv4h3nQG@;b?!6ruuTO~EclY{lnDghf#|E2nF_$OR
zR{x*bx-z_;abN4z^!q~G5qJ9C|DE~H`mZ+r$a021Yp&MrSNgxNE6%p)=>6>;jLIB8
zqU=xKncmRC_<e7=)J}LY@muJ`B!dkJ2bp^0?d7<|^-AtbW`ou?C@C=Mc{?0xIDJow
z7hY>0Xy#1ul(?~{(zuOJmJ7U21mu?oIiN)wz9DZB3oIRuv20S|k+Cl0(T&~)U9T;`
zC|F>|$jN+8ZPhnO@c|+gl9?if*o1_H0(KN6YK#8}I;Q)Y2bxt*C^2#}N3txtjHqG`
zaDoipuzyqP>7?J^-aZ2@hyxcF=|U3>9{9}4@<x<SM(zry4>>$O)@zu2jK?JNl1j1d
z3murzDvX@WGg+RpEuY)FGvTdY(ZM%9haO*@>$Ohqr);^lr^k+6#+>ou|80x9gKnp%
zg$un4O3E!fmF#%iPt`_j$FVmaL3g$bbj_6YS|`^ns+&@#{cz&_lOPX)n>I#j6H*fw
zgs+d2u&c4C`u=Wi;cF{rsLM7vI2>{iOW1i}Wx8&={UaY4C8eKTt~<WYTR%ClP$R^^
zzALKl_tkL4A0k>D^AE8G9{IKN#Qx)de!lpkesiA5(evAKeb?ue{<?3rJluYNkA-(k
zhjqQ+$7`o$cACEW$$jnHiaSbQl|W6^OR8N9dg=wIXdg`u{5(&;lTGgfLna3(#uS+J
zoE1(VVklS5xVvlX&AAS0&}P9oP=kA>$=uu5W)^?suoTSQdrtAkk4PEL{$r+L;;P-^
zj-5%@PM>E#`nT)A{i^fgt&MxkxR@tCe{@D$%Ze|3@ngZ%z4paseBo;jhU&d;U-h(m
zvDeO|Yp3~DyMI3UI^im;(e{M1QHPs({oZd<pCY^LK%D|`y<4CLN*_yR?%noHzV`@t
z0d6OQyRP7aPgAuu<O6t8y?!m+@>NUxLAny-ovTTY^&cErnE@`JY+WAzSXq3c{H*DU
zlup*GIvW?1Efrc3dh&kka(DsdFpVQ6QUbKX+$`@72O|0UfReALadxh(y=?bh#q<rg
zt3FC3wB8NZdY<pnuxaU^H5aPS$NSn#3-^DxJS`w$Z(pnXqbXD60+)MvUfCRHGfh9#
zBs-uKR`>1z`|b1d^T&^L3WM8y;3P2z)B`lmOuKWf&w07PmiH>(WG$0jSr|f3{Z`(v
z#$GGBi6NV@V)w?jgA;`(a%^>Jn(%mHm<waT?jlc<)v;2%tJy28<@)FSSMIlYbn)}$
zCoTsrbNbA)xp{f{i!VP@BPBSvxDGM%+cebw`+59jb6_(hp6~gB<|gjfyRqcl6K-7l
zTRGrV7uSlwm0JXvyry2rs&M<_?|xKj;e!VkCtKvY3Y)A8Wjp(NnxP=8(Rn3@M9}q{
z^Gr3*y0PqOIS}k`%gV_dx&LOQ7~7%4hc9kObaruZN!e>2s|Za!FF{MTjQfxOOP)AQ
zqChBG$FSk{kE<(UZgDW_NN5!II7|sWF@fpeL1p!`Wj($<9syEYwN~jhCcDJG*5YJd
zS88t}C3u1hl;;<Iy}vV3jICm2e?PyHvhrETT6rY}rgNa-j7XLB+cuX~ON8ZfZ{GIK
z+u`l1)WFR}4O_b#l$hsuOJ37s-*}_`iO4tB_QThgGu$#=)nIl#tf0(1OJAJRL*j(%
zjaObQb9@{QH6%O83bAEfThpoPJq_Gi1y`LG-3={`ZzlJ2^c-HbHZfwmGLPtKG55W%
zo(AqsTKUF&jhK<^g9{rk->7}DBXrLPohb7)4c~gT7xdIm^od<PIR-J`mNK=WrE!hW
z?y7yx;PWs(KAW8nUK0zqhVi(k9G7+XQfcQkPE8XtB39eHif(c^`KZW4R(;l9?elYb
z53g%JzVY#a!qo{2?RUk7vtHE}IUl!pm(_L9NU-UZR~{^L{6IeOkQHKM<CSWu`}?aA
z)Ww5a(YR(Zk7XgBw^_@B4<9#Y#O)Vaw>FgVdQ9@v^V4^-G-`*hOZffIAm?7G$kkKg
z?%$_{1}u#+oGvk&Z6c_!uCj7DPz$Q)-`ulQ;j#JsX0t~8KInE0kQJLj&agR|EO;^E
z(|5r)TenFqQMj-r@93HMHO8M?4%k=sNj5I%+xj%|`)lpRNs{|*B-^dd&S=o)WCop_
ze`ja0Va<;ZJ?wdNH)dVcnqyVUWq!Y=c-gt@o=OigK@0fG++~H>?0&sama!~yxxK^U
zSWGyimRaKHaLD0UKN~maziqD+n!dR%h}_$ik=DudQ$%rsp@q2R<4vND0x6x$v&-e3
zH{VJvDE=*_ktM1#Wv3WpxDeYi-`Q=<?0hp!?wz?Y+25|yZ?4tDYti|>-@laKt7M;T
zo_|a{zNYcf(YMQYet7@a-~O*i^tK#FCnqM*@=%+9KOT3!T^GM!ZmL$O)6uSV`aVXt
zelDFJ=k)s8TH|KJtCk`e@Am(%(}~!?;M%>aW3{tD@k=F6=Dx-<4~ZGJ)n;|SUaHsp
z|NFh~>niZ-6bBZU1AL5}%rEW5R<B*uw12H#2b<}sg{g~IX-u%6KTp|Qbwa^QBeNXt
zW9ORHFRYK{-Lkn$<Wx+1gKgr2so`;sTeGejl)ShQBdalY&bHj!kG|c`H~*V=V*_J+
z{a@2>@9*c&`KlGVD&fQg#f<Cg=KkDS{{G$!i$bNWtE;BIv#8`%2G3*cw(gDHS0h<|
zzt;TLmdxhg?{@QpcC;?_p8nwV>+D;-{r&Fi<Mw{I8XiB>aEVD@;m1cuE$aSQFflQG
z_;8qiarE}Qou|%SlRRGVd~SKtzdt`aANyNQSn56f(c^x5GdsVBhgySsi!Uy6onf5L
zx6EheB=zEe<ulb45*0qLnKbX^1cL(tOU2_V7*~g{FIy8Hs|qbs$~ZhEHvEr};q5<m
zzFyYlPpfyv)fG}&QvwC8{eQBHh!u*x-Y(nbzwpnC`wQ8$riNBEYTvm%<q$)*Yr)Z(
z`xnnL&!3m=yhPdW`Rvu-wq{>9o5<X6`%OZw=7VF&iwlfDKRtCWDA*vVGvnFr<l}rc
z-|rO9FwdX&Q_mK(Ben3$<y&<%XJ?uI_;8s2<6(aL8@bt!kM&B}R+-fOervw_Zb9nY
zM=mcfE$wywch>y=jH}=N{QUe}%0Aj%JA55zSF%Cor6nP?`FD4T8mFBxxG4Pf_4VT|
zoWgJV^6%^@Y!hYWo0%;<!QjD-zl9zW79}qPc9pzjYP$m47;_+4{;WA{EkGoT3eOTX
z%lxy7I=4$ia%YD*KelQ-=d`vgrsu#k+l3LkpBn5kS>H1IR%rRgi<S2ag1DI3`Q?r{
z^V_D#L|3mqsp6tu{p-uiXLE|5pOaMo{PX#If3dXv#n1hO3%%1#w6spOd@y$1UiEeL
z_c^P-aEt4i<bHVn_Qi{g&4+xC&+4-ae<*p)zy0pKvvVvjTkNc>tFx&6Wdgb<+s*2R
z)8F&+?fd81R?pga>4n4B_xJ51gKuxoKfWRHaFA*I&Z5+s-*30O&8c|M$R1RCe`j&J
zvdE3icXyXRzUVH`8h-b(kW$enO=a^>$t*5G+?<?-no>TyF_-upo+GpBRv8l`Cv%@;
ziHF3Ff`?5%Rb7{&)C>>oCr!Ng{Nve2wmX_?GVbqT`_r|IFSk^zeCuN=mPN_uldnE&
z-oX~eQQp7n!}rU!=T}=#4wCrs@woiPq@!Ft^7i-MO^^sYFty6+@p+riJ)CpX-`P2T
zJ>Dmqz3$<u-_PgQ=gm3&?(Xi{8~$6r+i|#qp=8?6a?|1mGq0bwV(jsGP=4ldx-G-4
z^Y;IFmVF6o*zi1I|BpxA8lkJE6yCXM7rv8QM5Dp{UWM|_9l4t9+dNpRCnu#axwdTS
zlIW8#Jaokfw4v?N9^b;kLba~O#~Jtc)y`YHZGH51KGW=LE;Ef%FYT%C;i&xk_4>s{
zuH3tyZ(w-hYkqf0Z2YHJ@~L9KrW#COjqvm<^^kb*l_&hy^ZE5>5MiUBkoZ9BXfC^7
z(zEx~7nkI*ZpoNnReoJ^&DFNoLN~S;vqXPuN&L`TdSOLc=5^T>?EN}R4Gx5suTwK_
zxj*k><9wUSO@%kSr|D$+Ep+yo*s%IZkHCV>hYU*Hgf7gud~QzZHRc5$f9?PG>-3Wi
zx4Uj%3|t$&e%{Ic<rfz|=Q4lIT@X5dD|?PxVN;)W_}kobx|i#2+J*m`ETt^&)+dvB
zEKUDu!$fY|sxJnQ*G6y8TcsI%B%zD>c3Mc2ZSs`EyWj82-nZz)gsHjbG#fy}J$bv=
zFi!~l+p@-PR!>txmqV4HVq|Xc^XIalq_MF)LgInG%)duo-l4@g7Q4K_jqU@pIXxs8
zo~^U%DYZUy;peZY6=D&mX3Mj$pZELWh078DzSnKZi(st19r`&-tkUr3l0yu#@AlRH
zo)!8%?d+_ZvV3#DfL5bKcGx;!-WhZ*qd9P~o1%e%!OdySJxmEHjKLxiC;GLo<?sC}
zwr=-3tIO=apJx57e!n;UNaK=Yf9kAG@Jg95EM|Fe$M|kzt6;7mht2=%@%5&x47rb*
z*Ydi_ZfHB8KEEcZ+|JB>=5?b-3QGfDB($(xmb<h%wcy*E$jw)kUUM7Fv0p3td&=A6
zM~*0%nO%E#<Al!QU!0M(X%+p`^yBBH)i$o#w*2$wX$>um$A4Js@O%(gsh{|9`V3g-
zZI0uC+pUeDfz=QnCac)^+qVv_TVOn46|cFPfOP#uA@*+v?{8;#_qw!nYUDI)mPuU9
zF>{}vFKLRLX}|Ph<NI4%R~KHHZIU_ZhiScC@v|Pyh!b;}UVJgny=C(8HzPBf#PWGg
z46oTPTwJDHcXt2n{!RDQ=T#iC`1MUD(dzCR{sUFaIn!6a+5i7vwUJ=LnHh%5`VM}0
zcz80e^<r7E9k=}3|7|{Rx0!oRUtK^zz^tu&L2)Jp4-VMeovN^Sxtg+^WbFF)vE3lA
zy=y;wh=IG~!*qG)<`hU1SLp!{(>*Pon0+;@^Z)L;yXH)3W~RN)b;+8mZPh{%+Y<Hl
zA{C$X->F&qR3Yy1yvYTd1Jy;@cFZ~>@PA9#^_{oQ&tla16}~kq)cEp-4F;Q^+Xgf4
zxO<=1{7%9m(83HQWo2Iz2IrsMBAQ8MzZMlZwBJdq`F~u#e#WDgZ#@tH#H?8S`8-G5
zzkewqOyciy+}h_nays58JK6N$TlV*RzsDKtRUfn4S<R3wd&9VI>TceYWlr|ohMV{p
zkDpx8)su67U#;5PL-RJ;r|Wy&Dx2f6exHL|^0n{lK>H1nzaLIaY<L*5Cg+rmI&2r4
zMRS8W7qj8DRUe+jmoEt6jNg(Sc34v4Y8$&yglvhx^2JFyg$opGM13bcF1J`|aG<H-
zOy+XqT;p}G)MJmPZ><*NPLcb&G5NU94|~^!>v7d*V-B^Ni0xl|_>cxu+c)DW^A9n+
z{qgbfvOb2_%mva;*BBC3F$QzQ)%{F0uxfa|E${9v7lwd}8D_b&G(%1Z{B2_8mU=#0
zki+cx?<XfGFS}*8UHHrQ@BYVn`0TgaKiLuC-*8>s)apS_e<|A=$!zulAD@W}P8?Kf
z&goBLW#!eAGuqW;tzA&_rrA`)XmhCIU+!(W=RvDQ&9e?qWN%7dq;WumN3X)jsfkr~
zF47u^f}Z(@4;`2uUzd3$_+Jow=e03M#cYFuUpaeJxpLe?S5G_e^?F=v=9Er{D3*Ws
z<a!cTe`8Q)_Y(asxI#uHL6|M)D{GL|s*<M3V#gnAegFM_fBv>l$$U1888w7%%<-x7
zcel5&xFPcHS$U7k0*3<~j8d{$|7y?fi?D8($aY6+&N;ie;qy-C{-05Oo~eCp)K;UT
z0y~TR7lICmQ+s>h+PzJwr+un>Qamz!m>*R5&9O*a#55@{@&r@62+I%ix~W><y5|?o
zw$~FXijm=7tQ_%U!Xl2_s_ff>*Tq<FE@pJ)&s+Gy%k5zL)m5P<+os%`*1gW8tXH)b
zw5Yk-Nk)uq2J_K5%T&FmSzOz^P6*t67HAY<+2bj(BWG<$?_Ajyucyox_SC7`aw|>H
zWSU(rdvxN4;EG@8(oTHO*Q;8lcf~VOX+lwWlTPfLXX?Qw2}_ujc~g`g^nsh**JZ@m
zw&mZ?`xf^!Ob0Y&1{yahU}MY|VzZmSo0)aZhr8d-W?3=Mz4bwfX?4?@s}b5MzZdS~
z-J5Z3r*&w)2-}QD2Shl<9wocDM15r~`tG;FT=0YysB4#gOj|~b?Z@fGRbOAJt@nVB
zJSaTSJp`E=nOX5AXSvtsa}{}eHuk!%E?-#VDSzkQ(rM;?_Q#*U={<D7_`FSX?(J<e
zV}&Hr-e)cRZ&`QwYU6PMP)llUNrXf~`JdK<bCx9??XtYK8y++&EPEU!Ksyot-IMM6
z7b_jzy5rN!f87nvEPAoKdglMX(e?WEbl2^#wXCnS>3Pit<+3U{mjl5Z9ufs_{L;K7
z61HBwxjFsut?c!sYs%s6(>N6#neL8b?3>LVud~w)IOKoy@s|(j{SF}|Hv497=RD7w
z*VmOAvwB1Hx3p>B!@VU={H|Ug*wL^U6v}RT(T5z~-`jik-U{#lJ!tqyVK<A7ZPJ6u
z^4k^^wFYH`SR3qKQL>jqg6a0R)~KT#{*O=Ip54(Q4C<08`IxFQ?F9E@4{TQDDR_VR
zSg-VK+kjMPxi}$~X`T+xpPer?w#itu&bHD#rMLTh=Q@ACgnM0YGlGm6Vxu$4xzlZ>
z=c(}&MDOe9=t#LOq?TIx@DOW{v^gKY&4-4Yd4Xz7@4!}+>qj3ta65m0?}`-~o8wo)
z>Xl922ZCE0KNuHQd|u5fac8$Blb6U@J+)YUrjs0Vy1I_8VV(W)Q>|{`wiLmOg-nfe
zMA$(42R$dNJ?u5V=dd~L?3=!_zrVh&2w5ql6}IL@%gqCC3@$8mW(RE~$gBSU=X3wf
z-h_8|cBZ8><(uSPj9BQzYV+&GVm|--lDQUlcIx?nMyxirD@GqWaAv0QS^Wu-o6}IE
z-6m9G#@F9+3mg(-t~<Cs`f}hoPvib_8AiRi#~(<)e&)j-cWsqzqJoBo##OO8Pz(LZ
z$;q2t(*OMU2<oY*`OILr_S!4+<HwJn{R{hkz0wX@8FV!7_H6Tfwz=}lKJWW*i2LQY
z`4c82+?s7sxaelvg?Y7qzh1wwx7z$()$6r7XOB+yld~vTka%mEuk`NAkCI-1rUR?(
zN?ru)ex7orP5ooh)19C+_AbR+B4TfqDQF+Wn#j$^Hr^|p$^khRqGE@EfMl)QdjHt=
zh1<8eJ#=C%+3%|0&M{~Iga-X*YYxA5jyimQeL>%shrYeb#0?F~-bBo?ub0!`_hZpv
zkEEm|PzUb&uU=_$DfTbh@7HbqZF~5ieANrZEjc%Zs=vQGsy@GF(mRW?H#ZXh#mzU*
zm;3Z|^L}Bj?OHikSBbv3QMGukbvfVmJ4M}zAxk_yt(d(z{d`lP-2M9hwtjQ1SnvH8
z(~VkkXiA*)y%Q$-&vg<H82-8`#MUQg+jVViG<a_<XrzE6WqO0U8_%Cl*BxDs#W?Lw
zGu_nfe!bga7fTqsY|H-qx;vK~&qtNXPyN!;Sp9ylc=_E@@v1K`Ca#gT>Mzmhm$78B
zdJo!B>8-!FWs<7*tj}`we+qMMZ#%o^{iUVcIs4z|^n!LTJ^8VG%elGM(hCoy&9DDw
zSyNNvvxV_;<;x9frd@o0a(;e#nigH?rm!XZI-d{YHp#{Ebw3`m=iJ{XTT-JRzpqDs
z-w&qJS62*|`^>kK?eTgL^P~3PpPwJ!?S8Me%udFtgd@K0r|J#9+s|YEf8YQA;Qjmd
z8~Hya$S+*DP$OoCLEessY&|lTMjw9*tNT6JeBRDD*Tvm^am>!5iqB`w1NT;aeRFT0
zPW<`bhb-%VJZxXN?(c(U{xj(!esT4GOF_F}&!{h5dfjar`x5R=;1<A-O{zQ_PB*b~
zH|=lW64iQggQEnNCjNLIxZKj%%{kpL>r>X9i;E@ybZdT(=C3*@)L|puezUvz!#Cx(
z0UH|rPJK8>w(<FvdD9F8Bqcj%=kJrW|Mx@r)D+FhIfwY|ek>5=-c|mdZ=Kv_!S&(m
z<5qoXUOvC>md=``TdnMqj&PK`y282rZdtU}g5!O%%%5+(4ESv8e5?Nd-|t60_sQGm
z&B=duYO_T}uav2eZZjLN)Pp|jcLvWdGNwrx6u*4AX1-nRtvTNA^8{IAMAPrbNG_jz
zPV|d$`nd%;H#a@|y*7ILqnqjTO*45!JYH}cJZfM%X8Zk4@~?>Pd9t8EpFMv*on8^S
zS?xq`pjb`5u~C{}anS;K$HXO>mzQO_nLc@Px%9_}MCFD8n@q{~mPf^wHQd;IX3NCM
z#R0efgSMvLxI7^@aY5MHsE92Yf)$|2Lr{KlDC4M@Ww0SDTVtDi-yz<$>((7Q>7uZl
z^UuCAeyQsp+<zatC1h;9=CTmmG~H;nbvHJj3k!Oz6gl6nw&$?#@;Tp5>+f%PuFm`Q
z`6-*ve_xv1Uaep7@zK#ERSes%{$BFefGP390>_LiD<&4E<nMZ^rW3j8h>O657jHIa
zD9RQ^3p%!)Fy7Rl#41}RCOO9_wM&pUWB<Qjt3A2XTo`(jmsK2)V%O|_ENxS<LC{Qt
z?MBX(6@e?l)=Hh|JvNKA$KuD2$Ng{bwP}a115F@4t9Fx4x%%z>{rNh-6kdLHZsYMR
z{@%Lg+vG1bMUQ1JuhO3|cU$S&Vo+t#lfJE$@!#TS`tn+Ru=@N2AEUo8+p;rv8@W=w
z7shSfbo1aNvFr1!B>Ub*n=PzeE<JI7Lzd=~tG>5uEo6=#_J6!^-^%V=Pp{6nv+@3x
z7RGgp;&+vtTr)#`E3dSf#PMIt=hu1tnX&NO!Gi}+2C;p6bF(?|aNA5*({)>xo2)yw
zS?<80R_@@nbMkL(;q;!a=c{ApF|kpT(?>c%!meCwL9<=;w;U1uxH~3iC)B$!8~mBO
z`tO~&4U3$Do=?+c=+Rj4z+v&`bCznQe{vr`+1Bop{oqyQ#YL{Zs~E#CYIYcO9aJ+j
zXl<M**kiO{abCXayhqH(>z>aopT*I-B}?x{b={0r2a_7U^%QRxe>H#Z^9fFF`|JMt
zXf^Kr#dI?>`nuJ8Q14<!TC_xhp2&9l#2-`O6`V6DpFLM!w`rS34&!~LcT@Ak<>lLF
zURHa!-O{*F<%fV?gyMmx%-RcGPnYfZ|4=<}ed4Qv+o-!s<ICilV|JS<{ju0L%ZRUD
zZ{w#!&HPfwS0+8pdU0>Ly<FWR%k4AWgAxo2#SMxNs_ijfQ@gJ4%aOiILTnN74fVe-
zDf(xfIlsO2=WV;?#fPSrMswKPFE9A;e183-%l`ITyWh^v-)Cs~U9<SECewxo5BAmm
z4vIZ~wQ^m`<%{=r7OUT@e!urf@rwh&TOOq6fJW+;<%eBce(~Yk+uQZ;)&Ku{<ot^h
z!72}SYW5}_-+sStcEp1IufjVXonO&1<>KLzdpH00NE-7PSFaSF!++dav2mh=nd9NB
z^E8)P+Wh%&IP;o#!PbvezbjODEWWX%L`y6vIu@^`$-TnDOa|IadT^G-##6#VLaH^=
z`+MSo(D(y$d(Itx5N(zGxy>}=;wssw?XQzQ)qj6`vvX>_-XZP$o};?V>z@g#o0f~P
zX{7l4`jK0*Z=?CCX{!@j)7%r^#@8o&?^~O3eWmoWvvE)Sw(AwHxgTB1##XwzSE^C<
zPSKxr1{u|d8rJS(2xiG)Wz9`~>Sa^>=J)sa`F4-Gtb^HRxFr-H`dJwz$iA&?M{ELU
z1W$O@6^X<HvK$fh3M&n#Hu%|x|H<Vu<Nk3o=gbVl6)`)FG%}7HJGLnO{Ja@vxlu{$
z?75lmmEW&bYieX=%heR@u}Tne@>=})+$<K!+=(;3SaB(eu-{m3yIB6<$G{&iZfs0$
z+dhB)Vu{oPKbLITmV0|vQ~$YbJ+HVecH3{AZVPJDKc1eO*zo^E__~;x&+N;Nw=IQ^
z4DXrPpw7wMeNEQw$4!<knUj8tZrl9%jZXY72LAhZ`TKe58PD&rQHu=jQCaXgU_-(y
z4vumWwigpVOfBIy|7Y{&U-ZZ0k9J0E`^cabwrZB_oXVoNuj=_0#&j~hxVkH9-k0~B
zbJaxIe)wFFjNO>jdUE&kRhFN>=bra<a%$2%VxD<viA#I+^>wjo?DG`={4q~9UiWH!
z{Qi4aBs3D1-dW<Ko?yk4&2FID;N%h$BeN=GWm4C#z?2PF^*3xiezi_adC$9DuYJ}s
z&O7{zvE)^xcEewrk4J=;{bTH%$WStGr?XQ<#SY8)tG|`hWUg^Mp!H|l{NQ`u=ejkS
zL^LEc4}9GGLatnSMeOcvmUCCKifV_MJYLpuK!o|zqa>xbPfkw0u_?7%)8xb##$_G>
zXB6z+>{3$pi0e$qI6vdwgsD?ndVVcB;H><3Rp{zj9GUmZqyM(`th@fb(it>ca%ZzH
z&!3OS<rheKng+nSAU72s_#I;Sod1*E=s&}@^vmLJoE#eV-@Yn7<J--MJ%4`{&)8gH
zyDoQ9)%tf+ir<zy7jHf^Z`#*=l?rzA=IjZ$eQm*h!@@TbEV(i1xv$IQN~KMVG`Vc^
zy<%H!AGt_?*5RA2jqm+iUH&lT2&aqdJhM_BEtarj`uqR)CLR4BExG*ihsS61KmOew
zys`XxS#jLo?AZw?lFvVAldCoUTCwljp~o|99~ZB=E7vt?saN_dsc@^#QZ~Q2T}S@z
zUEkJyI!HL7!~Qi3kA%Siy?ILxF^C@wT35DNYX8~`^Ug+Y3;mkj98;LiQGaR*gVoV9
zFVojDE_<}BX9q7Qr{Qi68Jmg=E~<Cx|NnJUSnxSd-)g$G%7=2n@LNCM^vm1dTl4So
zj?IkaA`i|no#|hGGl+M`)ry~=p86^}E;9#BL2`(Si0;^YaP|6qvz{e8A5468Wu;na
z)6PF@v=3bUmv(lYn|t7$1wRf-G2GeN$i(nk>&7J(4K9OSjZI9gtXv!-I!3~}6K0<`
znrXaNX=$L$scv1-;^KGj?oDrDJpL|WTPtH2>(gsGXZB8n%=~mXlyU5sY;a&vl%SyC
zvKivttxH8Xj{V)i%9|bTH1*T}x3A~Qn(ZuoyQtDW@yG@151*vvAMR_P)UJD5`tW=1
zI>#q7gMWNIp1(2it=FD!-z>DhKG<)&Pdj{d=2GPnzdci)_t&Ht{PAXeu<T!X)YU89
z5vxD%msJ0EQQiIF%fNh<*KKd@z8|U0xUoVqD(Y>>hAi0t4v!717Pre;H!Y9*lVAVc
z``W3ukNNoyblHn73g3VG;ucr!Bgb4GZ=atQx#*BzPhGy!yFKQW9cI?a(G#cLD0oz%
zA@=VV^D^ImH>6H?OZ>~ZbuKXPF2DOPPRlwr>u<7$I~XI^e|zUq|E_{JyvBQ~R;t#I
z%Ypuy0!u=Z56C`WW!T-Y9o*KDJ-^N1K(4pMw!@%Ri{LruP0k1AwlKEcGFmfbb;+62
zzM29(KY!(y<c5My&)VFqa(#X3i#N^X-wqusU2X8_=k<c~&Hd@CIO5ZSIM2<o>wK5{
zYVnSG&p%r-bpLp>&G@<Tyt+>NJXePFGe4PiZ~vK|o+He*Z-%M7?Cvif(~mEg-<#>G
zw5N6DwVi8k{|}dW_vk3M#Fh2JeDZhN_y6PE7#7%lOH@8b-tOa>{|1kCUN4%vce9m^
zq-3-G_4fx)e~){=M$LBJ+$9SiFfuZRmH0PwHf-f&5n{7>H!a&K&IOcf&8=@AV%WX(
z)~>R*QNedyVEMLBs6aijp?FR7_H~*ZZi|x-?g<zBw5ZuIG0))Lo2xRewL$rw&ot)W
z+12;(@8f&F?a$1xVq{%=sJZ;!XM=Z=pDa#=ZkTU&urSq4;z!vpl|T2p4}ZM3BXcE}
zL{WIdyWH1{-RDPt{5U1DGx+Qp1Bb?Cxr@7&WjyF!zkfd0Rek$22SD2*uG{PSiXA*4
zJagWL;^+y2e9!*=k^Ogbi`;hpI)VH;i<`Q|oym{&qpXE<T;{h;=VgDEYaBECSU_o8
zLG|zF--?b*=3)We!=@<$TF+8o52_D#r~3)A-P)R+{;BiU_UqRy`tGtpYf}d%5w;xG
zRd-rBiYp=&&ZMn*a6Wg}ydN2oZanWE=w04meQw1H;~xi4UH$*<v?s^*qQCOemZz%r
zPFXW!*4sm8IGFYAELn=v>RYEewg>KWS{hP&@5VAYy{I?S@~vk4h)rv4Jk0)WQT1*%
z$xn6lhr)MM9*BK8&-~X?^^a$#&%Y&VVD#pItDl7VXZ<&G%1w2fMel1yT$~|)_U+p}
ze?M>OI&PT0FY$2OvKjMR7{x1RnDPjoPy!A6uzY*}(oc{rVn@qWzsL?(Slr!nIuNYP
z7Ie@4*#rMyPjY+yCz!O^el>F2_o04eUSV2Gqx<{4N0)HFv69N~Vp_Ik_wVwf+v?4d
zPA}XXDaICYp}=9inS{X$#xHNu_b-r<UK_lAVQiq%%ZNvhk_v0@8f9EwsBQPZY~5|!
zb3GD2@*bw`G2F6L(t7oZ+gdM-H#O<!|F>CcFu`c9!%CLC?>Dj!C{6GHr8EBK;Fd=5
z_!>b~uPFx6tKsu;(i|I(F=!XMySq2vo_cX&f}nA<pi}u;!wL8HN?YyVH(L|g>HFJn
z>8(v4zKYL3aOUNF#f<-wKYodBzTKmnlyLN!bDDeOlw%=H+|SQjix?gVX_1b)>Uckz
z{o&zG<4u1#%Ch2Qmoj-hPS3v1TyA_-;>3@>n=OoaxyPqO<V~Nxg<)Y!V~^x39>Ic8
zaQZOz6J*=*USqr5L%XH07X1e{rfspDL0nARcE;bGdf?XS-8G*52S0wxK0mAL@9VOb
zNWbElDW9v#zi`j6`sn;eRAf$?E)y5Kh`_y{DO!1ZA7^FkKHJwObEtQk!G*oob$>kh
z{9efZe2x}l_(5xv)m@)I7DjAJ3t#my^{LvCqsJChz72YDW2?H_`5&g*({~-&sd!v@
zZ7gSBR(Mm%JGNFvw?9inSaPO&NECFsM>Y#Ewu8pfIHcz&@qqfeZ)Wc*c<6N8Z6UOw
z13Hnh;Qfc?^Xsgxnze4r^oieaTFc`_f7R7fyRM$u@ik#%l4?-vuj4NB59iw6e(+@_
zhceF%r^~sMXWKq5{_}q4@elWYyxj5h+wQmLEKl7M+Aw=-aC7{|M?dNgW=+wIzBlK1
zE?YalY{$~>I`2>!gUyE+Za-YdGJnr^t6gRLPl^~k3F~-y^X3W<5&el()+f#5<TAPR
zZwVXRd6@RjP9{(EP|uOSdtdK9T<|%ir{{;~PS?asjZc;s7}Wo(DF}QE8_POZ|M%Bd
zwMmLk%~n)0X}4Ra8lL(6e!u(|^&OXIDu4TY-aZ*L0d7;dNqPEfDSl;z!~+|pUx_3p
z{QmZK$KyWh4avv(kOSl}hfY+vg!&?(3)?bFx6iuy`SAPWr|iWSJ5PVNB5pGum-siw
zh!=r6^X%Ex5A`MXgs%MmZFk#}S(jH%eyBEOzF*z^=ywi34|qLD7m+$v|FQPbPtX|_
z{&q$uI{5egzRM?nS}@|@Zzh==+qwDeKibTzeY!R!H{DetLAS;I{p<YR`iX+FR^_jI
zjh<>Ln5jwaF3)kiE>~Ol|FFN>w))`d%RYSl>b&{&?I_KO|2j6cG?lLYx1~(u2YYPZ
zn`Y1WzjM483fpJzw0a?M>ZiEF`fFG3B<|3&bgMq(5D+lo<}{O)SJ%hepFXD{e)-v%
zna!NSYBQYLHFfRm<n~s5HELDL-R-Gjn-1ET*YM=u-`~Dc!sjK8QaB=b?#TA?*;e|@
zG*UhLP(OB;h<yDYL(qbX*X2PEL!R&b`|Y;c+R7Un65n+=B$t7<jr1{=b{Q1ByJH!$
zBH-YYNYKtZ&`7HTOGER*z!_Uh<k`M$*st_rRnNC~xB4va*Y}!gPgi>LLeS;-oaX)Y
znkJd|gudIF91?lreR^BYy@vk#wW7DT=WP3@X3@PVRa;`>gP-B|J<B{V94npi<zw^%
zL&b-SG&w5XUwHWJ&Xj4Qi4E7|c9n42pPiNVtl-B1I|HwS=Y<rruCC2*VYm8YHv8Mn
zh&3BrT55NfKRC00qR`e?SKRmCW|RJ?oEp-y`rhU#kAKw_3b2*da9yc}tp$Mea#By|
znV<Wj#I_^)VC8Btw(K0sEJH)XX&O(nzklkLHc$HU;^L3D+wTX});~DFX!Gqxa>a*(
z>=ze0vw!YkV`rbOt9g3*eyPUCd1iTcEVOqWXXm&7Q?P1bK4h`NfoErDI|{ZXb=g)w
zXk_ovDERyJ`tI9bOz+7kC?p;*T;V6kcB6ErQL4`ohGIR~fU^SAfzQI>i3RV)KIjH{
zShy&JgN|4|aE5jEhkFLOigRTjyyRrQ^KYVc;nx=zl_SM{1ZSA%%k{}vCT-Kny|jcg
zuIi=g6rIYg=T3l*h5?Q6e~;Z&Vt9MAnyJ;_l7ir<=jZ131~?=yVR&6t`{QAI*>dyJ
zS0NkbZol_S|I@Rl)8oy)ideks=-}A%>6Erb$%_e|p%0#)p04k{HfrmKi|+D~uCCl^
z?6aM(8>w~+@@p<ucXD#_;q8dd-z%yezRsmr$`rJUCZu-5z7uE7@8>My`;_#D&o@f^
zsRn3j`C;iSgA0pXxrM!s*2W`w`FU~qf|{E_dEw~}-_O-@ZrJA#@gvjbj(KUvuHWah
zzPm#P-ZJL|T+?{4C?e>wmfg{&cXxL;U);iz4;gV|wmP&ndi${hjm&TTa*lKezPPed
zIB)mcZ6WuKr`LUZb907W?XJjF|4h)z>e*(wK3(h2{`&Sd`<0|g?yW0N9vTZZE;_RL
zyj}JY?mGW=&_;dtxmKk`vfu9PEH1q4Yp(cIY|^&In4Lwee}8>F{CfR<zF*Rsy(`5<
z_)f&CF@eX=pC8CP#K67dgLq8T{wFfh^I)?kjNetk<7Bd3PTQ~T{C|Ag@1V;kHakD~
zz7@Qe@0K~=89(E=`rN2P2R5ai?qRkqes(6MXNJ^;<^J+#W|^K|a{W@>&!^%a{`lMd
zRQVXQE^l)kL-_NzSH*W^Ts`^VyRf?7me)%*FJlP57#ZKN@N@3%ZNAsa&d;+wc%g<%
z$Yhyhz=niG`)AMg|9-byZP)aPoS?-?8crq|7Y<lF6XEF+*Pr)rZ~7Oe*D^~?mbn%D
zJLh*Wk%i?lPfqcPf(EODuR#M}Zv+cw8GJaztsi08@lV1uYf7^6#Zc%B$%8}|J++u)
zD^9L1Y!6L8us`CL=qKBpSF`-&1Uf*2sA08@+vPLcn^-?RJ;-6R{PdO<#%tmUvfS&o
zshe7zD%lk&b3P4pN>SmN$;)`&+}wQJ;!DiV?c(3g&H43wUiG;*U#8A$K5NCIbIg7D
zysdl{dbj25YIf{2tN-^WO`_!6P4QN?TMrI4ACwK9VRhgH`!f3t{&|+?lG<l(G)_M^
zV^O>2T8Boa&P?H%nd+OL8(1~J<jcG&ej>-(>U=e*CVdlU?JJR>dU5~%f45hxfHeUG
z8dtN_{d}#Ul&rTfcC(a|5|gD@Lg@v^AHV<ESEn<HrCx1&s4ku&Ea<`_Sa7iR{`c78
z(^pK+7HvPP^LMlBX`j=Z&ThICb6WMR?&V7{#qmYvu6JBx7(^W%92~a%ukPdJH85f3
zGdR5Ged$@#GiQFDD?eB3_R!{eEY~7;?w{*ywO*WQVLbNo^Om`mOkZ;E?WyG8<vsfQ
z{r>sC%*@q!BrlY|US6;v_qN%Y8HR@+2#D*)@r2o*&}nb@=elv@#uqm?FV8w{z}O~P
zz`XYTLWlQEZ8imVfq{XmT$KIq{WP~eEI4Cw!@>hT3VsI<2=!VD&WO1fP{-&kv%W|3
zJ!gl(guSK)vkf+sz7DJTa?$;jy|tmD0!vGSfB=)z1I5M{J5+rB)Y-Rv&+1VsYh~#c
zI3dX7c~W!6_tSMgGS?*5os&Gh^XUoS&xOm|S{P&N7~42r2ywj<*wCXmnWbp4-{Npa
zXQmyV>1MTaQ=b(s_&vvy*?WpcqJeXL)g2z|ggS<Qf6v~ic7AkZfn#$>(*9|>(W^GF
zJyTy$-mvdtbK~Q87Zx^O6kYnm!SLXOjXcRWH$BrmpQW?E;Uc3q^K2D`Lk{1j-OV|~
zuzB_;dzW24ot%s?r~eoFk$F1OCTs)8t8i`Qh!%%F0gIAPTL1RVZ%MZfJ2mlN?%Lqm
z#D>|y&)9D4EM8u;J-vfL`02}-zc0h?FZC8bGsjZ+>FMd=EBxl$@v1RBdAaA@+WGH4
zPx*JCky-0FL+ty@?ZO5!jq@0I&Mp-w{QfSMg@t9scL^Z}ewJs99Q^#p|NQ(M%E}U^
zm0-`K_~6D4$MhtpW54yIxB2W{7r9wY!^_}2Lo37Fja5vnJkNYSeEDLs>&zFO2Qzpi
zS$oe2aY((jh-Lny_Wi+wggsv_c_%bEojZBwC-){Rz07=B78i*V_pE06N<4V`_RX6R
zv9N#3mDQjT=D=a2Qt-KqNlo8o$yB{H+~1S>np`<%Om#@{VVbtizN?(w)aB%(Nv99V
z6nt%E%scS&g84M<6lp0}hA^pwJ^S(=pPF3xOx8nQsNnTA-96v$RcpyRbc^X4P0>l|
zv<nk>&^9BEvzeVgtdsHQtV*VHb1a)%T3EhDE_Uk;Npj3Pk?HB_xyt_D^_w>(ZL7Wn
zq;k#_*_e2^O^c=J-H)@gOugrFdCPyQ>v_CgC3)s?+v;yiBq!C~bn}*4-E;I42RJ1f
zt~NNpvto_nDHn|-CkGA=aD*P%&$3N!XPdCN^2N2Gr3aN*&Uhu<JvVtp=snro*FR@$
zjgNk(vss3D+ZmT<dMs;N8#tJ6Mr&^7Vh&Mx`R;IqIwCzQ=r*nomvfiYH^0I$A*krn
zT?78c1D_LjNXfMZ)@IFI?)=hKP$1D^ABWR{Dsax&YP{OuK-7w!wMk53D8^jqu&CMi
zMPuIEDE^~!-m>-!RH!f+7IsGLdB<_@O}zM0+d1+p^><q6G77Rikyd0`Gac+G>&;xu
zXZBQmeB@g5`K<X(>E0MsXv8VZ7yj}1L#T{Zv9Rs!YgZ;s@?86~X5Sr4v%SB+%O`x@
zCY%wlQ{~q6=}V?PW79p<u(Q*v-bvv*D9miHrHQi5Fr1<qFr&uR2O44x0tP+_Prq^R
zD6|&rH~+o8XXXYWrbxvNneQ_z#J0Q7Hxu1dG;@pT#0Cy#wWdjFP6wF4-gPxxZBX#)
zisqMBSG`kxM1`4PQDCHQP@XHmm8p7c*7eGWdp<rKGrSVcZ|wHCn03Sczl&+G{=~9K
zP<|9^^ek3Pa0I8(q#2<S2{WfGa_w$14Lk}dQ5-lp8qaXrT*xZ%k1gZ*ckkVb*vL&M
zPnRDxVXB|Iv+Z=^#EQM~vLY343@__V3X4=zIOH&G;z?Gg14$si?p<oI+TeiVkDosi
zpP!ri;rsXV_d1?v!ScMBlY*9+;O2T;PWyawlNImMl{ZhzOq+gS;pFM2feKvuc9F7q
zdXx4T?UwP~skgkfadr6mq+>mjp!K*xK|vQ5yYqvZKv@|!8PyM4#UDI<+6t<G&lZ3d
zY9=0TTe|Q2-SYbjtG@QA22^@%&%Um=CF3HKYnO;&>M60CZJ!giZjP`2D=KZC$MXFD
zv)TExO4sZx<loE}VP)*)(89R5gU8HZx50&NxzT%mzuTR0eO>G~H<fT$k+ngQ>0{@2
zlR^cKyrXk2tnssyp0(4*RGa0S9JgDD&mJ|dkmkv?57)$K<!*LVFiw21{eIoyOG~{A
zKR@$jVPOI7If%65ss8YQ5i}ESl6i@xnT@w;?p)b-_xJOwtE-z{y1hMrvHyI#74iG)
z*b*DA2YKu$c_|dZoAdYA*C?UOO_FI9A09Z?{P}o1Vso0WSz5m3bkJ>V#m~+h{PObh
z)tVD)d}o_UvUwbQu=o4D;6;w0{zi@8zBlR`8XjMl<}bT<xM;-*j~+pR!~+tFhv(0Z
zm8kgl^ZCUEj?7C-x?r9ZU^>QWQ}JQ@CmD^16#}<R)@1x)%1jJq*(PtZ+^ktYa~=~b
zm&Xr>Je_@ti3zv1WODHEgd{n13aNg0yZwHX`v2nR=gwZ5@a^sG_LafQlb)WMdaFum
zozJ8R6Bw$ifBV?~|M`6W!L$F<=hvovxF>UYYxZ@6%u7pjde2^xS|7EQtFyDS;@i#i
ztM{7jNNihUd~TwQdCrXmM?|?)f5{1b-x4FUyZrsJKR-VUiix$Q&#PoR+|KWB?3?_3
zgNd4v++!9O34?Pd0=PGGGY2p8aV#k@vHSbw@|#|dbwbc=lCYRXEYG6%#Sf7!c>)aX
zmZIA(<{#XD+3&(0&u#Kn{YibT21~j_Hk>%C?^2bRaDJZc0`Mj>3v27u3t8CNlRrE-
zcw<lH=37UkH&0ggPdd^eC^>7YW-y!gG@XMF54V5(^?LpCx_ucJ7y0ZJyjS^L_R5+_
z;lIDWdS8}jWp++Iyfg3>s3jmRExr2L!3**K&c1v6c=3@wt<Zme`#yeN?B1{Su=(xF
zsR#DIeSKwRa?Y%@zjGdO?^XAjs<qUH$?ngG!zO===YozlKfa|#TEy#8HS>lbsn*7Q
zwZD@NwQ$a`C`@AOXG;EV@VaHm<`_j#-D@yARw80!66=o#^*)Hw+Tj|<n!D0Xe;psr
zvIubW_IWXFYxmO9eTo8$o(kP4e8D6Y{`rvb**~Ap?pc$n^s<$4o>6i0uOE;5m-{{Z
z_4Redub0b1-|Swzc=5^L>Yq=iUtAfiZu9fWWVZDS!w*I0?_KJ*f9FHrd>-`|*VfJ!
z($We$^CIy`aM3sa^^HYOFSV%~`ZPXrIXOwyu<A?3)`!oI{W#Vu{mNvXn51Oq@_AKU
z@wHz?PfgS1W@l#?y>}qn=yQQV<tNK41%G~g^m6fh&%0pv-J{*&Q;$1V^*6~#^_9#%
z4lc@=X2eQZ6g}aP@N<|Nh$v)FST!E#-u~h8wBi+^C%M0_`jxhqdFP>3oHCZBtjEu{
zEv|3xS`oOfCG`B!DE9UGJO=aT&puW9`r6XM;OA_0cXkxMstxX)UDd^Hb8}tD%1H-L
zzpyQMbHlLa<56)od;8j7Te_B}?_+kBE>$yG!p`7-`f~j_;m;Q?1gNsT*dsGdKfX`a
zx-8+@nVGNZZg0;ImtzI3KrfBTytOuNuhjke|9cN+Yo>*)2vCgvn^t!5epKu9cXxM7
ze_^{2dzjh#oZ(*g#z=Ohxsg1Kf^3_%i=>IOt<VVC*xlKA61h-yVz^l78L{W3&ObLs
zll9Sj=lZVAj*OXh*@UT()AL5LiA2Anx8L^))g4x+XU%py_h;>wR>rg=_ji?Mvx(R4
z`ZeFST1>w7%fyq+7v{!*Cb3($%u#CVnO)Vz=G?|}GQ?tm1?W_X=K00W=Qp&1ZZ$dk
zoQLxP+bl&!UTL$CI3^K=2-(KZso(0Q%-{E1mp4x9Ir;fgzJ$Cy|1%GRly~pmrG2+D
zI4XT$hP&f7H7)T-9k6dq(!|*;cy>tzx_<rg<;=Ymh)TlAK`>(XccC(wy=`h+|CsVK
z<u9D%&naUs-Bx?t`NFbw{bE)DX7kTos#>;GpXbahQ*J>)L9Ju4={7%~ADg?BIeJ@8
z=BFbSUHi-4Mr}BMrYDif=4N^*lk+j&>d(*41{bp}$v=EP`}(?GMrO7pKRc8d%ze&G
zJn(V%jvXrkSgH(6vajiE)qQ?MrS|RZ?dFwnl{YWUFmKS5W39BT+qwNGs1mkaW~s!p
zVG76NDKmIn5J|1Vgz0+TvBa<M5>(XgyDygd_u*4P<rcoDS>1{Pa)RB=jfxw#Yj@1k
zJ#}#Tlfy^Kk}AC&;=$WyoSd8vu-vvvmUOVI`|+?{XKq9N&!^M1Cf;8WxR}Rpg4}kS
zo$2@U^4f}fN?u-aZIuL_^_n1mruBdf>l&eD`966kUQg4Fo~9Wy?_2rXTcSMrC(bd-
zpJpoxPCFsd=h)9$JlimzA=rlNjIG*B{d}+w{(*e3gk$o&CE;3|&0ThSad0&L@oP9a
zmB&^>dgjgaU+-t%>bpMU*0n2TKR2G=Gw1TU*xeUag|7bdZ|eDPe;#pHDZR?qzp~~@
zbDQa(fE5cK2BqKJ*BCP+n<eO-{egoAPFsl9FyEa$)p_%*xSeJBJ0})0{W^5u-{0R;
zw=<Yu?deb7<T!1A@*KO#pHq+SuuMy`|EtpW>-y!^{mIAsD*pfdJ~f=df48Q}i|E~D
zZ>P93@;{pKUqL}Z;p}spx6j$;@IMm<-63@A$>ulmt0e*=UR+qn91|NG%DI2X4hxg4
zD;{4D?kt*j(^qNUlqpMANk|y=9X@wXPkV<^uD9Nost3labvHb6xVx+L@`g*b=jO1V
znSCIOch~*=G|85dq>bRh<kFK4g9G(*{`mL&UkT~5^awuC&$_E$@Nd#}Tb{kk|5t|H
ze)(T9amD;MccOpQ{Ai!4$sc_E@{R9DpV{jNNNl~$>k{C>^D0cU*DACo;DE!kqS?<=
z7Ba|X{EzFKx34XExpScP0cKkheMa}{!yBK8S+UNR2>Z2)1(ZUU|2TQ_<ciSM)3mf-
zJe_K4sIIE&%E=@mT2pK6uXW1Ta`OL=U*^eizC6m_mwI(oXqK!7--q{kwaJxsc}+9F
zpT2!tS|>MRXHn|aCx=?Owb~ENG)}*gU3YJ>J3pw=xObj$I$uPtV(t8C+g@mguM0WU
zvoG*Pf|Hw6{rwA{ADx?Poq6lU^xQ35EKgRPad&8Ge6#V4U}A%(|BNNAo{>kuZNy_7
z0TK_61%Z<OWzH72BZ;3EuC^%ux=l^s$AYq@mzKrr=zeZF5TEWmRU=@dPhm+;0NYYq
zl^g|su|qAhmx;6a>^PDkeC)^P3qIQ`O{*GB1elx@qCo*KX7;4Rz+vg9x7+U@+gJO0
zlercsWirlIQaIG$tGnIj+8LI0cXl+NWnZuGq-u>2$ExrTMiIa7oY_A0osWvc#m`S#
z3sgCNOfPag-nQ?<SDma!S1;+T=a>;J@!+Iw{dq&Nd3pb5J{3Q)dgrOyR);!ePz@Po
z;@;9Y+dO}f*Ho>Jo*r09l+)F~!ECnmj?qmSr2<VGv1q>7gRR*YS48a-Sv5_&^^qQ*
zWr_LAYZ8sOH<lN^dQ{578t>lFuWe=W!8KCfQ!2A(_R^ZXRR#wpG=VlI$(VUFT~eJN
z$`rA-JCyCkwezbOlAIhkp2&cT!sBM{EsT7vs@(o_EE<EBdZp&46ipQnU@~)dXknZk
znKd&*PoSe?U$?FLp^f$$GE2R8EhxGfq!E3qpzL#uMBmxFkAgBp&c*ENyD)oWM$B36
zvs;%m$*ohm5OG`lU`V2Zl~vTy&C;8cT)PgOJbCigGQG#5Okw_@h}BK(<7C#2+H&B@
z$;qm_OTJxmT_?n3<_fYkDsN_vp3slaDHh*Y+LRRySsCk80~hw}e&+gMMz`{Y-sro1
zz6V-YPqe82sV37c5+uqtE9`t!lGSa6RNKuap%NSBNuKm*ZFnmnkf>0dJvaH#fe#N4
zJBn*fOBDonS^kKFx?R5&S&F6|XuXgjV_VIAT;FBEip8?0rfN18*{KGcxoMZ4Kc~OC
zzth|0!`5hyeO27$LR);kirNHh58@2bcsr{<J=Z!|IPpN)wWzdN|G}LP)3b(&4?dr_
z4}a>n%x~_jy%SG{IyrFM0M(y4X)99~i8(wtGxhc?4}nJESso9by;{N2WHfJGfwtN_
zmSfY@wbU5H^IfzZ6|}4vO{YpcXgsy=Xm706{Afu=LAEtH2UB)9IrM{iiNCF$%rN-y
zdj0;ScXxJ1Y|o4R=B5VfCL|cMxJYc6xpZ1*ltkkK?}<NNAJUz3`A8Fc)X@NWUxf$F
zPL0jAiQLk;@A#kRJ+f70iP<f;b{@9~gI4BJwrut(4qG?9+i9<`GkKk$K%&C+fYZG#
z4L8B%^%6@po;kMFVt03yg6_xIY;FX}7>Wvq8qVr$^|{i-8Po4|AktUCqW0%DG5vb!
zceBhHU7LiC&JDbDR#MOJr~MlRGdn@^#g7VJm&vTlbaGhoOf<kzm}ymp9OKkKU5ls8
zt_Y3z5PoBg^I`)9&|t+^p&7Q-+rIMI^}EeWKE&`mctwDsii*mCbLaH>m#J?TWpX+o
z#nEAqz`I%{d5bBNPIbk<C?2PE#~<A~YjJk*gZwE)`ds|J8Ve#GynM&wUj6#--+2>e
z^hi(ScWvN!TWI@<k1J#Avsy2!!~3Unhb3*0(8@?<gLgr1Fg41EvaJeT-IjWK+CsNp
zsci>>o@ubOG<32=T(121=;#c?WVWjB?|A1Ld;m>99qAC1Fi2>4qHZ{GsfjStPS*$D
z=DNh}6l_0j{!T&V&%%fWZx?UXV&^L;ENfUj?Zk$Nz_&l=x5qwg+G)DQ=l$fG_jZfk
z-wExPu-nqoShH`ft;t;FP?itcp(6X{ozsXj`Csk&<maLj4B*nw>3|aFlNAORR)_0<
zd4E6t??Zi1a0y%x<lyCP?eFK0iH&{u`gOOky5EAdv$J*-J>`lJ{&j0>wnpr(Eeyg;
zP6rNg9yzA2tn_PL)tw!48)k2p|0lE3R)fbPtCDNR>IVMmv(*M)ODDF!7roN-ja%%B
z9IIUL`PNH&_tpPre3yNa|Hqs~SG%s)*Tz?$m0>8K{4VpU#Mbn;6KBj>Gp}^LCeM!D
zRtKD`r|7(6IRB{cRXYFMbn&%T%`*f9pnaeZ6CC=um>(bO1>Gcjul9TFLtW4ahlg{*
zlM@p`6Bm;vO?vR+#fn3H5_KjyHw@0qGWE{jWK>Le%Az5)p(p;%-Rf6A{SF;|exrWg
z<E`I=-~C@}yT!MD)^U5MhHr6-U2GyeTz1Rq{C-#P`0=#{UOC9;I+ts8Z(X4K+pXW;
zocX>rU0nU<pXi_0J=*j^77H-#bWw0`ZDi#ZOSru)H)2ahpq{ULo-#{I!&ZR{mAp&j
z*H>^yoaQ=Z(Fz{%gbq1<;s&LVe}6vv_sH2wvGd8C(BG3H=j6aKW8#4a2b)7ZFP}K!
zv19k{)m~~MBJgwt8Les%IAP0Vsm0^s>bfvyXOUJ~1gI)goG{O!YCYpeu?g=x;Gv}e
za^i_vkm>@fxVSirnjaffgiin6I@OwsakavM7-mra`@%wJ)$I+TYi95S!H0K1mD+y6
z6NQNjN?%`_VUWmlYg_K@`!`P3v@{49Ff-1*-cZ3kgK=4jBFF|<<S4Lga#T3p3ZA9k
zntlD)Y5o0kdLN!tb#mZ{uwqOT>%a7B_VN3^j(ft?dL&Q$l&en@EBZHQ!jwgu`tDy(
zRR~W#UZQ$J&dy#Z^xKA%NXImV>!+sKp7Ho+AiXnrq7%RIgzU)UbGI0!pRqc3{m;#l
z3kB|M`SrL)7o30*ZfJ41#_?o_!H1{Q<5%5!a(S8W>02k3Y!wh-5_49tF_PP!BqS)h
z_^Nup?~%0`Zx`C$zb4mj%O+C1t-U#H`ki_FyPY%+vA>@3|MRT(;t!U^U)A{kJhD30
z;k{}0q+p$j1L@avuXnQF`po>cbWzx98$(Oit2)ompS*Sc*ZSl~LJLisXLsA)+1lRz
z`f&R8BtDJ6Gjeh7{KO6ivnip5luoBZA2;*<|NpF)`Ooj;l{SmGv?o=^$$>*c;Xv@e
z4ILFN_qv=l<|{uESk}q3?$)vWJIa*eL#oTlwp`C@7H{nSwz+%qULi)EqmxWFg388?
zvpfW(=eJnAeQtg0@wR6d1=j9$FFbJku;fzPIRU#`jQ4C!uafCN43vOJ-lEkeJU(=w
zm0KKi`3?&^`)#B2nY#rxcre}h!QOuKfl~&LUhB~;z5m|>HtjIJ6DzxQa+&&+g3?QM
zWlj&BSapm3L`q)SJLUJR<<2%rTiru?o-qo}ZRogN7}epFIOS`ZW9y}(0e;m11_Iz}
z6PA1hn6|kn9B*Yjq!YBHLo4#dg9i!TIjQTM4m{#$iRnM;>dRtLugj)5OCe$X(YMvr
zBF*WX;%{%Vu5-<Oe?Q~P+}*RLyXfTI>AE^Ed5b}-$d77q=LUbnDc4(={s-xaZSONu
zfDPDz{ivAW$Fx(42Q-+`Ev6gf$pOkvCv2H~eu$T7dR4nTN-0p0k$v-EZ6|}3;(?nR
zJ>Kyi^Li&TeZrEKrq{Cq1lHNAtEerGiYyP&f4<Ik_ez%zP~!*T7bgXK!4sm13;gHX
zy|}yE{MWa)v*+J98Pn3>D%8>E9i-&2;G0FUC0E_jn1`?5ve~bHWcE_<!-{T~{-)Cp
zw->7Fa7|zDzIfAo>8uPT##W~AbipvWsq15)g$u+76TY()xk^ab*V(*z^Tr_YP|KeA
z8+#l$o&+<wpSyQt;brqJ28|gZ8jtzn_07+!?z4Eq7428#knKLdM&FhD;EvwYvpfnu
zMlBJ`d(g!eY7@9V$TNHXte$w}%zmJa^GTP%hLn>+Yhrhcot~z<Iq`~7sbWGMlaEYA
zfG~4k)}n^#VoCuAuQQAOwGC)6WjR_j<v{cP|HpPt;E35F)L5YVX2Ci&K9eghO+`_4
zOo+j3aEX`T3-SSIBos7!$il`JB`htuT3|y2Q#JG94X@W5+__xD!SZ98i>p0<s9k_g
zKF0=|M>|goTGans7IttUL)5izZkwg<RT@j|%$~-%=f|V&$bD{l0!&T^ia5^9v%Ou!
zrmQRosv;sZ8)F37-re8d@6^im@ZR3)SCKv(9F1#)Jv@94p4;!V@wtnH&E2_yYxhhS
z(+jY9EqhYb((6Ijw0?ybNfvo7#}-!l=5JXQE7kYy)Bm_Wr}`u3G<j;iUJdWR(H*15
z(&7*&@Z<jff9!&6pPKgE2?q5$-4w!G7@bxJUUK0pU|>*S@N{tuS<D8yIAf*Hg!}s(
zjpoa!3kcSV-|lZqiceUf##XT?idDZtr2O2+-=}!xdL53uwP1<mxbD&<oe{O!<kl5U
zUjFdg+U!3wM2eqh39=QnZ@%No@q~p@kgcd`)fY{cmIhs+6Q+p^;`i58JnB^c@MiP*
zTT1COHw&CFYCQ5pB*&&e(z-9sdG9K_73(;eg5nHLOML#rG|isJM!s+H^7RW#?;5?h
zazgxD*(1jZ&$$<yGYGQjWSXYvI4NX<dNES^ncyn*M32KdPUgw#{%$ojHp_fwDy;^U
z^@2?GGW)vDvg<D{x;be@^irNVGH+UDP8IIhKYL9lb9mviOTHyJFWSF-Jst70=hOKQ
zt`aBgvo(!3+A=Be+_*REbWdx8zzIpFooYO5A~&<y|M|dN_xtVkIWs%IXt8`^Y;0bh
zm(cu7HQ>-y!O~SfvJ{#9ekOz&vDPvPZhu_(=8Nc^E<cCoZgm+ESA!Xz8Zrv9eX3x5
z^3CaiAV-J62aXR<g1}i{t}#ZK?c99({^RoXG6xSH+{EDIz_CQRKwVLC|C>D>7os^c
zLJs>+;<|Ofyv392JA0g4X1Tk~)m=7SR$bv9ak{%Xm@8f5-f6SQ&28Xd?mrh$1+HBt
zfC6OC%*m654;?=I@X;fsFRcv%KiUs4p7nk(eXe+b@`5R9TtB$QrIia53|vk-7Rc_6
z3UyHaD0gD=Rq;dx{|%>oTN@%ltxmC?OP!!fTA{qP(XCf%<)i@L*=C|&oDMwW-0<hY
zr`+d)W!iFQUrlj-Iq7K5yw0^u9D603_a1M07<-7b_BNYG<>8orN>9wegS;Ib9S-N`
z+44^E@$)-oe!r$!(Yfuw-MhBaGf%&5X{hAvFi41-cWJhO0Mj;ag>YN8HeTtrW4+Rk
ze?FhTJ@%1NvEl;39Yv4%#PTe7|6h8S5py|y#uKGR{(F+Gp{K<XzC;TfK3V$z>7%*5
z_g|fVthhr)tkZGs>I2s|ulUHlq&1QQ<ccdR0y8cyVy*iAE_cHD`1-%#%jMtR+FJ2u
z<MA_xF5I%J{Qvj+!>3PMr^i)k-gY*3m%q7hXUq)Hti?|wa7lW`Ss}c&QGfp*q3QbZ
z$F9fM=gzz6t?zVzi&Mt3TG&3%rgs~!_5!b+L17lmujPu?ZqnOPZO(W#>&n4by6rl7
zM$4PmC*MBEEpcHb*Q-#i%)-Z~`<aa(XPw<icpW`eE7YL++nX5of-f%u3qD_7>Mg$K
z@3-6kEB{ZKB2x11j^zE?@3KrxOg!6Sw`2%{rXBn3|5;pro}_7B^Xuhu(aUPSvm|7K
ze0+H9emr0<INQ!IpSPiRqn%Fbx}UW&N<2@#i%$E=$*4FXnx!Zdd={JC-!H*AcXyd?
zf2RIjkV(un;qbrHH-shH_<FTx%2<7P^<jBo!F|0W$L<{a`}_ODm@BMHPybk$Jbj*o
zT@sIs%+eyqFtMMfHQzscKELjO#@XZr?-@>iiA%~jIPGux#XW82R_5PMpZc;S*No%O
z^y3VN?@yXE$)N1bjhrukUQEC6c79wLue8~boyE_4xL!LtI)e7OZOprCC87~<-~q$2
z9!ci5Z-vR(-DS_u$$oip(b=%5punS9!OTny)E)_26VZ6$goo(Ai1l%Md1m}u%^#gI
zYkIL*qJsX*(|bYr*B|6d4sLGeySqvY|Ni>==SaXaB^Dp011!x49~Nd@U!gQdwtGei
zuk_ZH@p7$i-+cI^oxdV`(c;Ad6>kj8*2ni2iE1VP+;vyumC{d6AGR!2-p4|dJ{<p7
ztnvJ9&+;utT9Pc?AM9^wY-DDCbTfT^XlUt|-r4SXI_u;1a^>C2zPhRvbRN*rZt?K5
zpffr_dj>%F1s8sN<a+hk?c37E>E~KDZ{D0${OwKTpFf|^Th#vAa;xRu-fD5sVUo}1
z*Uzh3y|eiFp?mk_uC59_tUkYHk=dGGV)F9q@7>;*-0t;m_KX=FX=i68o|>Y$^6ZPh
zppyXS*i;JL`C(V_f#LJBv(87mL_=q@a*H*@an9kk*N@n+K<MM^D=Qb<?Js#bNz439
z-3|NazwTAPKX~=3=~uZY2dh7PubHUidSP9xb&s5_)z{C`=6MO%)<mxA-?77@qN*zC
z)02}L(c5y)>E@`}va_+Rvh<&8W$N6mzwd|BUiXJ7kB)Rk?62E9%fIUPdb^@pC$l<d
zLAIPf+zOY)nVb&ffa2r93h!w;osW<AufAbtsF<M5684_!*wGCUCE208tj3l{Pxr94
zGFEZu#O>Afog7~u*JSR_cc|n4-tLR}{+mRnn3<mceTyyc?m2}i-^(1&PkWk^^=_x-
z%`+{HFJ5GTCP=bAzkB#_Vw#6@J6~v$<MBS(gO`)@j%bIkn<G`{U|yTo6kq?hwDi%L
zh&nEooW;g@PCGZI|C2R0H&<0Fc)Zq|LqbZ5>)6I(=d<%)UfP#>dfJuOnwyG0pS{0a
zfBzq&Q&Y9u`R)G*gsu*Ay}z$E)H<(Wx?b$6q?bD*`@6b=a(7N#?#{>xnql#p+fzLG
zobZ?1+w)i7zxwCTkxt=?$Gzqu63ncV4z+SO%dvx2Z8b15U$Oo6{yu*j*S_EHc5B4%
z+oKlermfHYZ;i3hy2#DTJ_T*K+~T)sMeOdfWpTWgJO3#vDta+ItS~ZOXL)qm%gf89
zbCSH|EiKt!{*Gr-;yJP15!6~rkYn1Z$Fn8#vfJN}7xvXwe?H?-CCDV^m+*F<z?@wo
zOFNmaCWh}wnC3ovron-}S8O{L23?hk`1ShSl{)3TmgK+r+J#RJ#Kl;YOKn%mQ*iri
z1e&JYv*&a|uUPo{_lXnc%xQVQe|DFt_H>0ew^DaZ*XT5p-JRKV*!qJ;rUm<<Z@RZ$
zE_l{g|L29{oxWYH?z(dtAGuC{SNq{nc4q84=ce<?-^}MHUH|60YVGpn+h6_PYOa1@
zYsIae7d?OfCqA2gV@ICoy10EUQ>wo|c=fFBlHERuKaVm>>XdSgwtm>KdWK~#*S9w}
znr2@=d`0H&9oq-5<N4m#elgVwS+i(I4FCSNX{CQIZ^*yD(t2O?2k&LC5301L`^g@E
z>MbrPT`S$Xg*R{~*VGIC-1aZ-)~`6YBsaT0vP*vd{B=9j)$U#O+Pv$~`kcxu>#j>A
ztJlq2>ym%+`@8H%2RuW*mLC>Ad+D6w>3FrB2NW95v^2iGy}eoeoBiJ}!LwfPm<c-N
z<W|9Jmv^Sw*Bai=bZ%dM2GnUi)XE*WCgRyWn>!K3oA0j*)oy;gi2ac9d7EY_)2tP}
zIotE@9++TLC2(QK&F>#S2JR0o^<;Ue<bR%tOW@81<C6-<oh~-G@we6f{-)W_Aa&g5
zV?(lU{`W2Q^?!eV5BF@=WP6cwXGh_O@88*XUYxPHL5}_MGGAj0elEG(+uK6tU%sud
zy6oYhR+D?|zpe*|oLuwEDeV2?`}6Kt96$4k+s5mD(OR^c_j+q%czkW>T%{MgC2r}}
z&8)0bKQM>s_&Iltzyk|C9_ILd-`~>c7V5pQCqDAeo`>hJ>?@te;<N7hhRAGRo)3Xe
z4CXfz7lwb_zfpe4wxt>dEBxJ6mA1URAbK_Xs`HDhrysCQXFBM=Kd-(2{r+|P-aPIN
zXVZGPu%%r+YU}GYER1S4+;;VBp{uSRI=%S5=iC41@814!X~8_Ngctup>eu@7v&^+<
zyQ*E^vOd0Y&8;8HPt9Dg`T6`>rroBDjk&8s*7I7QZ8d)t#-caJwpGvHuJ`!vuU@&*
z(!#xZYqOL*trOq={KBBhcEQ!^(Xmn%Ir|>}egEW^?x_i>=Hh$3#qwp&sUzkWBa%PI
zA96Sv)N0~*$iGt}@13f^%HHJr>(=fl`KS|DS-a;{;DY?%d-cqp)BP{EG;now@7>Fs
zcaP`zyWH7ZvhyE(+3KPilXCo>+TGws4s#j!Pg+ipZE#z6(nsQfrq$=F4@Y9|^U2w)
zFuS%oY^_)A>~-IK)>&s>QhCaF!1qsmZp6-u^^ZE$9UL9S>lW1aE4%lt2w;ib<XE>|
zbB%FML*9=yItO@veR_J@l=0QW{ffK(T$XM)#Nz*Zrp?4fP5+)vQ)Ku8+U)u`ZEo?E
z`#)YEJGiOc=fLsH#qRFzqFD!mOSqK2Bwgs_<w;zS&a#)sqTE^iqlmo4x4OT-H2GQ8
z)h`z>HsEPK$-pc5JnzKS|AG6|M2vQozhCFX$@5gaV#>a!QJ;kc5)b_GS?aE+z>+iB
zVI4Q~nHM!bJ|vcMDXX!3GHwi342=5PXk4)?Sk}V&Mr!q{nVih(eX>sP&-s41b0OT|
z;p2Mk+7~U2mEQI4r_C}SFS^YC==FKEq+`=3e>}$?Z1|(K-gtw&^&a`Z^K)9+dZQCA
zuCfo(pZ)7&#oI6cg}>CLaooH7?&X6AGhXi5QJBA8HF8bpcEz+a|09d#|C#%J@8{j0
zbY#Nv55IQsf4sD!a25aEmH!0J8YkRY(q6dlf9kHvb1^%l-pbV<iui0Y-+XOgl=ad4
zln{v*KfgHC{5s3O^7_5q(|h9j%?~|&z<onrgwK6>`^HDr<^LKLYppdM6P7(|b$HJ-
zckka@0c+wmzL?m;_)WCjT=KNJ+~EU$Q>T7!nDLV%MUBT`|A7gL&R5!E?n}&HU;O#{
z-t%WU{jXJjeYMo)k%q{N-Itcj>zdzPBQxQibmLnMCWD6$etv##>@#WkXPcAH+<sqK
z8T{kT=JP?f3z+>cHof1--<N!>M^bCEU9WUpm*APi1=ao1m0c`tdwy87t9nmcqV{5O
z!$INtD%Fq`6U^STn!n%kzu*4fiW!n#IVXM=*oX-IFmLd?U~u1S)^hbW%RL_sanF1v
zZg4(!iFh$+ol1<#Qh88p&IQHhpX3h7$^ZX+zAx+-9nUhe{-5%M2{W2ByZIMa&Mne-
zKKWX#-RjLt4IaE)s;Yb0?10V9?w%c;huO;>Tc2&Ui?r5_|9o8W?Uj4>Tcd+p8S`YK
zu6zrfeY>~1Dddo0t+aWSMVM}M1MhC;K)VH9(`<A(c~@5)+Py`;?tbN+711`auk35e
zw`g%jTry7fo2Y*4%Ee!6dsMTxt-kGczt3gzt9u!D_VDhTyIrYQy-_i(KIadwGTZl{
zh1_47PUtZ2UYNaEn`gs%*5ZA~jtd`KQ5bBoaIeu8^KDs=Z|?Z5^5?X@&x>Wo#hlD<
z^nXODe3Rimw&VG$!x!zU@3BYz{dd!;+WAm}-u{M#!nN~`7$1v!E_k4Rx{lxp1EwxU
zS*wx&>G;?pduN`Vj%j&J&R6-&^6sqA64>+YR(7V|QgJ5pzcwGQ=WdvJz&~BS_KV=D
zDVoe&Qk<Nepw-yV&d;B(6<}caV1Z-vfqy^W$BGL%u4k@NTw;E%(UP-gs>hZ6dAGOa
zddWPf<&`|j+1G!_{q!{5-nzX%{)_D8v6%nm+Lp}VsUI%hNz>Wiu=Bn8Ut1|gK{mPG
z`7z)Q@CCsWrHKvoIX{2>T2<j`s5l{>^Gvk#l1B~FSHILh(F~H{xSI6;YwyP+y|cDm
z@_W1A(P8PTOgrOHiG=xYcK3_v|GzlB*r@08_E7tk8yYn)KYO^cu37NM^+cPiD>pit
zS2<6v`TO+whP<zv{?FOJzP?iT^S_%{%y#*je`fje%9_L9*4U#{sI6!A#{YY7#6MMD
z5wLNUS-;|od%q(^nG(;)xk_B9v<}#Fq17zlLV;$O%<OM$sXMk>wlbF0J#gvp3NZiE
znRRTL=KR?n4)GEXj!m6?ajtdwsvXtyW_`Xt+pi+&29NN^jk_AoDK~_&O31m3*X8Zl
zIq{iPpu>Z<*_{7&T)b{S^||fKb?0JOe;r#=d&bsbqGIi&xOv}<B@_St`Wm{9aa-a)
zhI`FBnPxB)JUKCOW$h*5hS|@<<0@Ubvv;n%_4&#?>3FGP0RzTG?@Fs;=7>A9Xarmc
zZs=s6S<-)%gNcbLq_|CNie7Bg-?-%+2LzZuEt0l-du?sB)<XkfUZa`@MIjO66aDk}
zkM(3b*0uFK<c;L?G$_;mH{VG)+1}pX;GoL&*y;NZ-uU{d@*ZfOE`P`ASWw@jo~0;O
zLc!^u;fB)J*Z!AmK2yIU)oq(o!ud{vH}<8%{@Ye2PSY&@{BF(Bi+!_ywEkkAs{ZHS
zQ|2v8()dp=T52BL+PL6A@{5}b8&7dAm|yO2{eEMuwc&zr>pi9qg?Z#Zx~<y%=XdbF
zgPWb*B<9}!@bB)1`txNq+YLUm)Vp?NJnB>{cyasWV|N2@?(iGiD(9Z+4%lB<yvHhy
zY1WpE*$?O4IT9!_!~V7QpVB7{MGw=Nj!cZ=Yxtu6{g=3Xm%#y*uEX<RcCAm-b-XUe
zZ@fSJ+qU3&eB5C<rwrVRxtaGIoR!;{5wlh$>dF_hU3`}gSTNQmHmKiy>A!nsZ~XKO
z|Lu|2Qop9Zw<%oCy?@;w+0!2jn|=5#yB?GrOrOEH_i2U*?~B9(8yMz&czyfP(eA6y
z=I-RWB(1GtAkN@_YiHuWZ@2TWzO?V<<cSPyn98bQaiL@(+x2y^&MSkKhA25cb4~Dw
zSJb`F>dG2sHp3v%Y1sq~3(#??S|v>z|D4f2aJep4)A?DHMZtrHQ;Y{r@rp3G^+-&V
zQgaAwxW_9ZqM;Muupl9^YkR}TsmAf#M(Gi*Rf{dAh^va8HQ)T_{$vj3pY^?|ZJ>E&
zzD65CwikRS?R9>*_stMEk<X%5vtRzLRA|d~_h&axY&LrS-^(szg?w-;<J{v6pIK}E
zgdUqC@8e*RcBCPsztPxH{lebZ*vYnR_o_-aguP5KG<$E&`@e|aUwm^?;6%Y6wP%ZT
zPw(Hh@`9GM!Fz_!{3&TZTt7~KlHXYJP-iMn;XVJ?0s8}so6pX^`o;0A6>ms|TiUTF
z%`c02Hmp@lTu`@ENMm)Yz?zu-`p)H+iaaf@N0MGQZvK%F@pz5G)NL~bPUswO0MC?t
z0*$@=%vMnrVRAZP#qp%mz`@*mTEVH#8xF4z=q*08o@JZt&bHw2MIS4I55IeVb7r|8
zC$rXZ2U+{64h6!+JWEc`_<!#IyyNG+pC|ua=Meg>f9Btv3%}`vMm?1<5WD>Ua#Q3C
zi?StNdM8wxZ*E<(#D%A3m91aKLY{WN`zEuh6?DFE&Dh@{UHUhR_t*F31&4(?eszjo
z?$_5o<ZwUlY@yZ%{XdmPI){H0IY~T-(A*L7vDo$YE`DwOj@0>}dE#{SaIVHZpcS%l
z>l^OGgT^|Y6#QEl)zofnNNhfsbLJ=Onlp#Lab(23m9YQrUy>KcI`5t9SvG~`EsT60
zW}jD2{B?!j@Y&t|506&oFYk*CRef<$>Z_E=(MMNr2i^bw=WyW+>&L~S|Ns9}zyB{i
zv}wi*4V!ywq~0Al_Mm#L6I1Nl|EDFy1f->N4P2b2uYd61rF4PrKZBXcPBn8@l`M#7
zY<PeF`X1I>W;_?XOm-#Q?R(6Ye^RJ-`Ib$cyZc%X9K2X?bITeIW^b`GN0w|{zp93J
z^73<jy`InJ#9cdOYNYch@RX?3tRuZAtZ!_1ZlY#?-(~mwoBQ(2ZcgN2Hrx5e=w*$Q
zLrY`LrU^#8iV7^B<~YpbU{?2=6JXfp=qM>%`qWZTvO(a4TI1d0hYO6JBzD>rNBMCv
zuQ4&$P|hx6yTd>CPWIK@76V<)hsU<A4!E<IrS6i^dt=81=OjMc7e&Z#y_ni`;MrR1
z1Kz8H7F=~a-1~ZA$DYz)Va99Ax82`cyE$wAib`pN=?-6`zFu{kx0KUg#&$`lv&GC?
z-|oy*nEH8v>+HT25lh>i&3w?RqAT|M#KPJeo9cSCJXgH`wf{%E<d0vQJu@zE)UNq^
zYURg(o*Rp%I;G5O`p;#1q0%^HWt{iv*b96-(H*_}dLz59E$LI&KEyD28gKP7?r(2z
zb!C~pT9CN;TF0e`fP|ewMS)*4^Aa0s^ZtF-$(mN`+8s41`$6Epvz-PXG`>8EQk<|I
zG-@3Euc#apPW+&}+tDw1d*<33H-9F|78oifyk$xIBh;}e)l2EX_Lj)ylO`WxVD}3D
zyYsUB@%$*i#t+%ySJ-x!w(C_qt$)8LMf0$<-?4wj^$r(07w=d*RkGxgkkT~0$L(pl
z)+<t4e~HyR<au`fUsUd_{5bw#`O2!PVITj?*BJ(Td!#MD$IZXHi#2NZ-rfHW^nNfn
za<?<EQ|#}lumg`{tCKcNi*Go|ReH?#`z6=SQ&%`TDj9xU_sMKU%v!Y><_n|G*UenV
zd|2a!?#8O0N_V<;Gz!Vh%UI3H@3Usb<~1D5d)gMA<lnsi&@9pJ!mmXJUAw0SB=0Q!
zY&GM>N1upIH#g6ozrTok`nr2ZwD+Ew{NmD@_!#Y1J}r#eQ96>V1U%dwS{Q$N!G=1|
zw=kw<q|IFN>@2T3yYcxiOT-l?ShGxefA{!|m%9xgy-bVR_MT~Haq!OWPyvZs>o=dW
z-(atC=gnhZ&&r1PVQ-FJnW&o(zGK17-`l71xk_x9I(1s*Gj}E>o+rmcr&@xBA;nof
z`AAg!d^$aFYgXu<U$0hI8s_g@E?}VDnEYI6!{-W%UE8MI`jp0+{dDfd=tb4Ht1|Ad
zv|e^La+dPupS9rvQCB}X&Fzx2ytH4pB+K&<!|7jZV|Rzuncq4j?*A-9j7f=S2J7My
z@PwwrJWl2{i_h#jzouVDjb+W;1E1DD54dqp&{V4;@^?tepPLE-Ri-S9<0{xnx2E*I
zQ?>aJ(a6NHvqJ85ee}v#N7w(T<v$g=VovY8-gu!3yO`5QCp4tr3OBiT!!7!PGk6E@
z`+IwNg*K=pCj9&Jb4SHTB{lzfbM(Wz4;_DSuz6$M-zp0$tF-LC)#2-p9qW}oxL^55
zO9Kb<Ct2`_{fu^y`$Skldl+SGtFG7>PmF7EkQ17*Q%&G$t!?18FNb@6CT(+7Q2Ak_
z*8g8#Z=UtqPqGG*3&UR;MI{~HR`GJ{_N{-o|5>s<3Cd8>i`&^az1m}5;~53}Wm9tt
zii?~3`}yxxzu(Kl)To%4@aM<J58uBZ|M2i|an4(w(lfq(mzVioT<$Ob)v!KXFKP>i
zxL%AyS6JcU>(|8(xA8h}PCHvv8uM(Ir1_Wqe?D<PJ2P`~=JfhMAK5|Ypogvw%dB+m
zO35%!JtY$Qsp3e7;4`UdUy_f47U?`XI(Z>CqhdlJD4sq1{K7anex4CFHB?ME%VHN>
z!*cd@sDr1u=d#SRuQPg+_e+Yl+q&yLc>DZS<l_&;sb@skN}j!Y$7g5v>&4=bMuoiw
z2aG1)-CcfsiRa{i?Rj@&B$e}>99I@UKL=W;!o<Wh<vGLQcWr#KU1GXX3mTqv7XAE`
zsv0pxD^%<HvD9<YwV%(LzbgOFt-oi&!ssxaB+FzuiI9~+te2Pjn^(M6bZ*lKT;SNu
z_Dp8hm(-;o=U-}_oCtD$A;|d(omQo<K!*vgh~K~OXyZgi&c-zY73t^fZ0>I4n<FEa
zc0(MrBu3$1p3yVi+a2jqQ$n^s=`5OQlzQcS`1-h`Q?<i${?*ndI&9bb#dL6*ZZzmH
z^rd={1qBB4>i<<feV6y*$SmHSo$(tI8ncR@pOf7>vG(=a?E$+=US6s5n`_l7svXuK
zeQ(ALi5)w3JUFet-{t0})KKZL<2N=WHotm&X{mQdclTwj8jFYD@7ISbG1#*9$=mm>
z3|=nv$I4Ko|IxwY^7V6m{oMV2U$@s(t;7ch8ap~V7;NQlnD15n@vl$TI$)s_t7+e%
zsFxS+Y`Y#`A6sb?vU-}CJoo<PJo8^%T`gYr_Eu}r(^HaPt|@F*pI;MHDf{H;kK)<W
z@0_<_6lCi$o+Rc3nnMldc(T&K;p%+7$$yxAd^jSE8^ibCYP|ky`-W$lCvL2raX>p+
zZNY)H%2{mZZNJZ{x*(<>w?=N;zkP~{4L&<wK4+WyXv;pxa--+x=KlD0JD;~s`$gb~
zXS4H_w6wUAkM#t~ae#)lQ&Lj0?7>Sb&CL(WT9<_+GSvS57OKSHf98GWQ{DTO&t+Bb
z^+=g|RjPg1%*@XBV6wm5v_C02e?L7vJ(b~L_NCh|E-t>f#8dd{`g8Lt9&y(Ec-Rg)
zE~xA9|F_%kPkGK<taD{mDEFT^4+UkSk9O^xKfS3_ba(0NX_+tm=59ZBq*FL>eVm*A
zj3Y-}RAnZtoFSk9T5MMhUdm#?-)JMs_9Cd_+ndOzW}0d&Yi2f7@I-um75V+fOT!H%
zhZTJ!eiR5F4Gyv1-9As7=jtQ2E7$J(>+>w(SND+jIuKkoFXD3R{RxH4=Z}=%*&wbT
z7h}rF#TBH)X#V(%z=O2bbsxT{EHKQQxBSli+V69#&b}^xe{XHl+fvUTJ0#b|>@@oI
z_4V>w0Y0D+C+-WS^PF~_t0=m+GFbh?ca8N64bL<rU#qkN%@}D!Zc@=W6s%MAcI)*J
zQ-!=Uni3b956-ClaFAW=ykphkbkN?Ksp-v@y>bFP#y|A)d5iD)|Jqc?q{I{PU+NT3
zOM}1$9;Qkyo`A3N)@3^1-ri1^G)WP0Qkc!6a@@h};K|f}ABQ3y3HKGkY&&Ky^|m#?
z{Y#YVODp5Nh}V91CEul;DEavIPQmM*{pWXhF5iA|N8#fKZ{N;c_C#SXgNDZi=f36l
z&LkcXV2IU_eeW&)^Ss?}ozlhYU;qB_@UWJoy!qEZb5EYNa4ntoJ5|H!U=wR-aNajf
zpBG2k|NZ@~y|HN_^PAa<Tq3$@XJ@sxa*Io`FW<VO_V+d+jZ4zS%r9L8CtDe96#Qv;
zM{)BXCbcr<vpF50<)~Y37=<b-uoO*kn8(H3bNb7dFD_0_PJMnsR^m*Sz7DH|o|q)Q
zv^Qo7ntI?zdGjHL$FDDQGwss;+R8ZZ+-FnsJ67kp|5_I?-`|!Sy)|%o_P%pJX3fsn
z^|t)wCD+_vFVC$v<`TSfC72`O9z&Z_&aEw)SC`KFE^Aq|#7fh=giBspx_h2&^{N`?
zH`5c@?l{!{{d#@n_XmG$Y;3OlkbHKadeeUAc0SGbY|m5{EN3c~3^3T>a(Z=^z_b%i
z$5Nyi1=)NatE>etpgRW2hX)Q`JUeOQjH|1|&CSAo#&AUFG(L?!zpy~i{R+p2O*bAJ
z@7Vxb3t)Kaz$f*_1D_vyc=;SKep_rGcPFajlStHkXNA8zzP2)MTiU(<Ut9EkTmRpZ
z_s_lgSyxy0YVn5;1w3*#5<IL4XX<yCy`A#CLGNH(Ny!$gjn6apH8(SVdv|wr3-i1O
zuY2tC@9qj!Wi?6R;asTByjs)X+dNBNCuir6|9-z;ZYFP%G2v9^g-xm6IrsO)K85Ui
zz1_&<+|DQ4qbGlqy^FK0=cjmyr$}#EN)mJOPgb8dZnJJmFbcBe<Qz=h<>bKeM556~
zoNZp^Gs)6jMhOQRWM(fZ(P1f?bzoz$;EhTfnb_!MXSd9~{C(=b)&uW%H(gjYP5f2J
z7XgWzDhu>^3=|b&-|swmP12U{i~mQ-_2*L>|NK3A*7ELuO{N!SFLewf_SIBQO>c-}
zDS4ZILZ+4R*4Nk9L**Ecz2D5ExLVxcO2ba3h|q?6j5)V=6edq)Z#Z-qwDWb5Q<zqQ
z9A}lupAU!mwH%d%g^ljj-`te?s`TtE(@-H7weTeZ3yh!5Nw7RJzwVc3<!edfDzQ6z
ztFI?)7E<*}ndNXHV*g9~^nEN{Ol#OaUh>v&<m9qcFMh6n;?<5NdrJib5)W8i^K=8P
zwRkFgqByakKH%<-LgmXvKGXUG+%#CWsN{ctmwe{SU5Sq+#v)oN_fldk&u-tfUienY
zo38xlYfrq4ewz7T(0qOJ!#VjIaz9(e?3Gz#CcE_XkHyK?=T#&=nO#s)bnf!U)aTDM
zHTgf>?b<uTY7^@lF8dy1@zYj}a}5@p)<4j@a~~@U%MwdV`}%)2cK`nrhiXlzWPZWx
zFwtTA#HY{iyfBtbSQ)hRO8OU<1r84Vj8e8NJ2pPwJHxtR9-E?~qSl=T>6C4@#m{=U
zwkGS;BX6PA%4zImoI7{!#l`OYq0=^GUtgDTW5Yr(ixaPy&w_W+I!i=sY)IayvRGns
z`uTY#&RKVNZ58r6($Zkaq{JhktTLY)v~Si&dBXEUpff41$_L!umTR1Q<dPRhghr#}
zewIZ!GbeF;sQuBtd+Us~9L%T1&K^9I8GK=vv-p%RUoM%Y82%{!9yW*5sxfWtt>k~7
z+L!OVmhyc4okL4kn}76LFLli%r&~Jv*D4k<a|g)@0s>4kg+SY8Wxy5TXMq#Ni3&<e
zPFI7L?Or-VU_%<y?*4Yg4?!kYCM#1yFK-Y{Y*>D<=EZLH&tE$Z?%hy&IV#7#MsR7Z
z+_9eM?%aFjVy35eE^^h)&z9We+85ly*c~G#IYq#r6SP@1agrO@lEo~aVk91L%sh~B
zdYZ1*x?mrU2yMpP{?3oZ|6QIx3w`i1?n>6OiJ^%P+$uEo&HiS%E&reF#qG)uA4iMX
z&a2~dj{hUimAUc!%eO~1I{$dLy1(GfhdLE?b<ljE#GgYNC9l4P%vzSXF#N;U<-&p`
zk2u!F?p?FfOMOvGySkKlcJFideXU(ni`D86|IXjv^wj>`(fR6!1MZxy+GCsNa_?Z9
z?Q+APKh>hvY`<D_SEp!!5>LdFO(zz#G<bq`5pqs80dE<UP)!g#<gh+&ZwOD?e7o8n
zz6dD}MsKwZZ(o?s*(cN6=es)MlfmU4UF|~$o_dR4*zYcV#O=P@_vOD9Pub4jCvV#j
zd)sVb;N`GWvhndUH#XJry6wLqzb^0Hi))+bPYXEXXg&8o?{mNTN4yswd-%0bep%ns
z1N-JmTv#oebuDwj&Tr)bCyzU=k3IM+K3u`|^of6G_dLzGyYIAeacca<i-9`|ZF!{Y
zVmp6sUY?mO*&Tj=#)lKN&(qjvf1B$T8Xvx8?eodAx1XK<T_{l@UT?L}DL2r%8D(zW
z%OXrp3dcDkS_}@bIQuL)V&s$Lbl?`J&yPC$Tc)d6^7+MA&+rOsY5Zp!wR-Y@(bwM=
zxV`<g^7HKPcg26aY2H}z_Uw1VqBGU6{@xDUeqp1h=#Cv?$5-{%AKJQOch&!Ye}79@
zZrSd|^y9I9^u-OH-e33mN&I;7`u)Y#@ApeSeChe2<odHH&wVa`HvZ@7+PTYC=sIL3
z{oif-<EIOwC%3vDNqF5@{39VEIa_Mm(Z0|Y#_m`t$r%C$pv5kWJC-zpmi-)1VG>j1
z*<f<<%uHiY7iKb>Zf{G2s_>0sC7yb=yDt6Pu1yp;7n1nk(a*~-?kr-P_sU!%c-dL+
z%`A7`l;;J?e*9Q?qxQ|FuE+7464casYenbR8pm^+dPMh431xf{`my4A+zhkym-SrC
ze+tf?I$H7i>cJTwK3=cLd!gOG<<EAdyayo<3|m;{AK!KL^oQ#cnO|J4*HH7Ho4f5(
zcE5>(uwLBWw=A<lzD~Krq_)9mX(tEsvVciuP6v!Y<5@FbD=ijcaysyf0~7_f&giT^
z5_LAoNx@!V#&$E0orla<z0Ci3*)UWhVsDb*y}DctyZQSB{J+(i9o}<K_Onu$-cPyP
zeDlI9*^l)}wZ%`<Ke8@aQRC^<i+A@rPd>F{`PI<-g(^2z7#s*{KAZJx#fMh?G#w-D
z%b?{*NA1rTXe7+|>X!EE&4Eq<m8^Thhv%P|Ifpw<YFTKh@}Y)M-RV4G97{mE3ThO=
zb@emF1i?cL%O5>`y7ZOdbj{#nHpY{|9YD}(z$r)DFYfWPobtKyg;V~@v{eQM_1D5@
z7=85BiP*^L8@=tc!QA})KNxD(+}aV}D!IpGLpztaMXjzNE4S#W3;%Y`%LNVQ%k2NM
zA+08DA<HiA)zb<CIyveiwjErf@&2B#L$jA$#-&BN&-5a*ukAZ~H}b<)k2jWLJ%#75
zwlA)ATlQ?<{Iy@EUp~Ze^u45U+Jhe-AA5LuI`+%iemJGgZyPV+_WcmI{)+pJI(Jv+
zKR-YJIOyV@`@i1pejl*6>MPIbNozQBc9*?%>K4-tSmGhLI@avm<4*N?2iEWZ*VQX+
z-t@Wt^I7w=R`c)dXpdyqnJcNPaHt{B<r1hTp_m}V*e%F5ujZ2{kBo(YzwK1B;GLeE
zC%A#O>CfTnJhZ4c{la$eJY(bo4tc(lA&Cyp4L{u6&V6C=`C`du#{YV!Z%F#MhewXt
zx<9UIdb!)d{)H?jZ!J3^(%;CU>$0${GQ8yNMe+EvQAuUf*1nq7I=h;4&Ap-%Z)#_+
zKD=Z1$%u^~CD=+<^{o2WKP~-WO!6uHzbR@wf4<$$kJweBnRjnbXF~%+kF2%WzkmNC
zXMJmC=l^i0`20f8$!ZcN83J$Kya}1dtFEqomEZfT(Z{^MzrGrCFz=b>bZDvf^bfbP
z*RL$t-NeeBw!_}_Yrp+JiR^1@PF}j9v-V@Bu)0C|IT@e%cClyM&)nLQIXQ8L2+zIh
z_qM81S5}AXAAbJibX`+ZQ%L-;v{$w|y}do^#o)Cc&d{|VV#+)|^K2x`-`zR5e12Wl
zyqTW*P6wDca;yp@+t0f_=)89IVSq}qraiaBqLYUj^o~26U(WvMa(<nMamm!=1*yD8
z?sr~wV%J-J_Ow5DTH>P{6FuhpOc0OTUBoGClDHuGx!jMccScvQ*H`l7+}$Fk&;P2k
zaPqzb6U5zTi{8H5QgMa1!1?sHxdy+F$Dh5meW%s#*(Ln@-&%D3nw9Rn+^z1}tFT``
z+Zz;d?ray@Ui<qS=p4|9okdGe?M*x(BqS8_A~0?K|39D4pIZD5bToQ}?eUVgw@iIz
znP_?^Z{Bijb=caVM5FU|zt?<Pq_BOF>wn$9rz|HuZsV1nvcqYi%YElZ^TG;KzQ4OW
z!@l0`(4j*iTNgh(+`fF~vW?XdQo@q`g`fsQx{5A1J1!I0Ad#5x`Po^}b&sHnEKcoM
z+AFX@iYfbhTf;r(yHTO-u@8He*H+pJ2HUb(oJ-#t)auUv_VA<lZ42WTr>%6p9;%vf
z(mwX)eu=dz3Ds+@nu`O)*F@|Wms9m#`R)5w;S1sI_PzFI|Jqzv{|k7?XfoGeLHx~z
z_xC${4;uS#yL6;QAm^gjm&%H&RPM+eACs7_ooPG19WHl&eEj`#X%T}>rOL+fQ)=GZ
zU3+WOxhnPU2bbV_<L`QRCpw_*r`Ps4c<`WvO@+aa-CeUQlFL3l@$8W>RB|?MJFOeJ
zi3N0v-s|=I=l%M*$hF((t6RnMx#a<y(|o_YzOL_EFT%6$=d;;7-ygWGOg}&G)!!R8
zB24?|T9;>~S}lGE+fuK)^3?f$Ionk&{M(jqaG$u(Z?4s`WtrE`Ywo*Hy(na5&?)5y
zhxz~Ormu=#zRY*_DwT!c4fTKi{eJHy@#6M&eKnsM4V}X3X&*EU%J?_?d03qm1q~2#
zrS0qlZO(HzkkrC>S6-*Eu+Xd_c3DO?Y_*uL%!;<AnRBF`i3)Qui)x1-Hg1kHv1n;H
zbv1BzobuP3poYT#lh<bbE>^L!zbJjruFj(Hjl#b>dwIV~n;$<kb#~y65Bn2^qpz($
zxI%pXMZdpY3%$SFZ744}(x>~{@qM$n+rC!wWA$^Y{O1}RFkf|jRXba6w1T7c+54+F
zIRYmNt`cI}E4nHB?yW1=?rfhMxZE*V<T6W>gs;Yp{pqvA7Cz7it$8sPUw7`y5wqRj
zYp%S0m%Z-E>oT^5d$w~|ZPWR_;_k_>GX*^Kc|J^;DShR*e7()ruGiPsKYqD<e%QwM
zeYd{f2!ZYTH;6em;iC4#wcGCnEx&)~!!q+W;gZ+ad^tr$wZqPQu=GE9(|#}8sj1$-
zWfNyG9qpW?>J2)*E$i^v-jBV~=2tRpbd8LHT0hi&y&A3&y-i0(Mkb_!dHu;V%?GdS
zd>*p<<KyGnf~tGX8{XB4>&J!2vA?wCD$26Clz&f1AW<Rz=jpqk=}LPR9XE-9*^Ig?
zFFiWi9k{#f?H}ieG$odQiW{<?v$C`wU0D0LtDtPl9F{~8wn>vG8^tbnJiouK-2RWz
z*Uz9uTXv;JWx2OIUaat#qW5_HQ<(~}!)`sE%3Lq>EXpeE)BJu*)cASsT<+4*csM@v
zC({>Sb)J$Jmz-OrVr<IUzTHw=8g+J8#mlW5wJaYjmYA&m?#i*6e?Ak0%rwG6Rz$dl
zTD|!E#-RH)%TZO8#MA#aYrGE?@!z`cgGTW5>|TKj;%pM<&-*^zRr>nUj+MpC_IY<K
zzV2Ij%-8lP>%5%sRUs!Iq<)dJD0?HZ@5dwV=K1sOYNL)`GQQuqu-8gh>JoFq@~1ED
zpLbSfWULTkE{WqiJzf8JpR9FAXM)t;FE0!?6g+eaUOsi%-B(vvfBgIXzVx1HNp7uN
z&;Gvq_xJn#7x(t=cFbqEtMJ0}pyjgf8Yix?JXPveS2)ygRDU8*00#%7wqioyp#v8d
zI$zvTnEcg!<_v)o{VZm0_V?H}Cce0^L&$5o&NTVuGu~QCuJ)Snwr}l+Ll<TndWmGj
z9hROe?d{h%C(fxhu|e|V_Po2Z4!X`?_b>hYyrb^&wJDzpO4P5<Hp_KVWS(kyL(u%I
z2yYvY<fN4a4uuVs6+DvWUwEQ_{P}$Ta?P0u40#JAg$@)Q_#(7`;ovKdIr^LbU*1uf
zzUSWz!(^X%)9ViZ_)&3ov--gYTi?BVmnMG4=OdfJv%m>!J5RZac{{+Gj{;0#ZU+{%
zFwRSmu`B}Zv)*jfV-%y9VAZIcp8x20@A8Y=5?MnuzZ<-ZJ5#aKDLS#l>c_6In-819
zY8QZ4L~CC@ce(NvH}mGSvraB9EnS7zCH?>HEKGlSV4809ESs=-kJiWT7JFlCoIHcU
z|6AnL0}~1l-`i99`N|x|m$yF@SKZ{5Fi<$_F2cAYF{zKG@BY!FuB}QJniocHuK(Oz
z;OBGc`o7xg$<L=w=k2Xzp7AJf!t}?}t7n7e5uc@N*>W)|Cd_8h@se1ealn3GgrA2G
z$CGrX@Vq+*5AiO4`2203+SWgxKZp3vn_a=f@cSRv!KWWQc;3aZ?wj{NE!kXhk;xe`
z#@fV$Z*OjLaB>EoEGRu@)KCNJ`$t+a{{M7ZKU8Ud;9@sPnQ$MU9xKRk1Kt5;=*JE4
zN||_kwwx^eX;<oLxv;+mDK>B4-`c7z5%=Kb%bBTVI?^Y6XDTj!E^jM#CimDLMxT!w
z2H$5a*}q3s;gG|<Pt$jEGb&D~=ZKhM;IPK0#$~$uyu1$1#y>s^iiWJGx6gIIv9`iw
zb?~`o&fGbX5(zI3+)F<ALGNM9zFg)98SxtS^<Q=K@+de*z;Uvg?}0z;jEsz#cF!~I
z%^%bl%y-zBbo7ea{M7#uM;i_~we!h7c=c+P*AK&k`HS87CwCUDo1^akaC0)~)U2*X
z#m5$J-u&}*nKk!c>GcWRd*yzd$>LsP0UGf)SyM9K_&MWewGC0slP#mNn2x$XRJ^_n
z)Ml(v23OtB6cY*$Ijjy_dqqC=+M{b3^HL|o<;*<L7;OHbd)kZ*ZVs9zs~+Z`*2pP(
z$Ij#|Q1Q>IYU`>7x6sXXS=o~>oUwWNC6rU*+_B(4PoAV)SrzI%RrJfJQ&BU|h^*C7
zXJzc-+P81t%)S}3i`4!<eranfbg7$P=Jcx2)mOfXu<y{kW<KrtW3?GmS@!JRd+<`*
zRPFFEU%i+e3yL`ASQfkOefHe8>I<l!d*!ud@w0}jVwb*WrtRs!bNAF#?WqQf^F^#?
zOql2v*f3AL{M{YT%WnH~9xL-ZS#5{_jkdnIbm9PLwAF}7Or6KzchSd3N3Y78CpjGm
z<GADLaqQGv@dDdd>(uyu9K61I$6BAeY0oQF|CsTy#JOpjvwz79W^|Q3Tf8f4vx9u%
zqnE9X?k}{y1|9oxS=eEFrK$V;OYH&zOp=@(1`7ANz*|!)IU?p5Y)CrFr4zeLq=a2W
zm}#5ag9XoQH#|I$I;Ei0Wv<q~4;t@MvN=Betx?W9{`kgA?H!_X8_xCqxe&02<x|bs
zvzj~~p3KvG)6=^Ac757U)=xE;j2ILr_=9$k`-=rFQ)Fpzm?yl!BC)}9{tUyJ8z+Ih
z!uhB0hnAWAo}N>w-iz|48Wj{xxSW#xU+>6$-(wdqqwL!GJ>}EcAHJe&5<vy;?pQW|
zPV;ow^<=Y`LqfuWRYnfy&BIthoB0%;gX}BLS(*-7;MU!6l#}^L{4yWOH@CKGpYEKO
zll?Mf+t0ZUNkv>{ayy!2-PdP5YUrQVe1Q90pX;aJTRz|Y^GImY78$08vos2L*S`9(
zpg7t7#%<3cNAIYN;8xI*bJ6p8C%Qy5nbg%ke%QFAbn3<%Y@k8O<hiNSoE$iw*f4gB
zu+5NInSX!ZD@8SyoVgAzUt)9Y#ky+ModVbHQC}7M;gS>26Ol`o_KOQ{e=Ja<DB~!e
zzaX>sQbzE06Pp-Wo!T2_mUh9djI&#mCyOzO`8l*Oa>px3&Jz$|3UfZ7)Y|B8_mf3X
zP;iD->8h&@QyRG%=cpa{)Movk>*ze;#1AFq*IbzNJ%eUkzOebC{bVk-Sf1m(CoD|X
zzw8a$>h1mWU{1lAv)(QeCwy-e=_@A0fhJ9-FaHACtNK=GgGXY*>uYOgm}E}kQU`~p
za^v2{#91B!ZPl9m0uRE4rv9;c@F+)r#itI3oEW8ZaeW7Vo;DBM5x}@*)zjXzSsDsy
zpmX{*^p#Eu<YIJYagmttz7;&QV8fylB$1$-#Lv&KqNX-0ecGjNffLJFnhqxweE7mE
zV_Pj8ry2v>#^-RlOK;j{7P&uv&Cj*EJUBF!qvd{=>s>F^iyOMsPgRPazA5efJ`Gf-
z-kj*w?xb)X6zkb5o`S~6o)j~QY4S{{e0yuFVg5avsW(9z?HC`k^kqC^aBbqgz9{hC
zaoM_ic^|&(@$Eh|>BTt<+sjcZ_q`oGZsfidY<-YDam9njU2L-?0^)^PkAM%bySBT`
zs0iGBXNMI!8xI}$eBQp_s`OO?Xa;oV$}dVRIV}z)F+zT9?n(jGb9TzG?hjh`;i?Oh
z{rX1*x>_gSZ~A50v9DNs`qn*+;U<O;K7Gvy`Bv=QTl8+lI@_4JOTApeDx;FB1=(~8
zLsNX54w!&q=9p&`Cum5sM{q+#B4{%5#_n=`F`bBn9KKIGLF11C9y|XtI8I+Q#iQU=
zkc!Nkw;?AQr?+$O+1ftE{tQRoQ`W;uNrLCv#gq!n4JAYW+zs5k$9mP&Qs;$DtWSPV
zJI@gy0gC1WRh(z$+1{>V*H;#20_}?CVC)uU`}gZLXfM9}a=*Eo1VW5>6chfkfQ}+a
z%HTN{-+l4U!{mhcB@@&HM4FeYi3MnEaP4QRVhP~bv9OaNQP9xC$Lpe#L6_oz<r1f+
z>#_Y0(z~|*gAp^hv;n0EaF73kJc~}4#Df`k@7^u^^u)8Gvht)77o*|?W)`RXqnZmJ
zG^vTia6Nzd!?r@CylwVsWv?Ap2V<*0>?~N=WLfb2&f8CMr)pi?jz7L;-+$r-%bi`a
z%PRv#+V)T9W-<|MaB|4xe||@H#vNZ!KN&nx2cCU@V#wGn#<r{ceP1Ip`=avq_bPil
z^YmGAnjQA2ftF1*Hyyk>yO3kIX#a;36}r>z$sO&wwRpv3+n6hhJGA;w%<sNoTUB%z
zGzPPNpW(xait4J%K|7sw<Rzr%FPZmVMMdeEpVFeO^Y`AF`yloZXR4Rg;u6mEv)+d|
z{boT<fq?s8fhA{VgDW@l{JLM7>*DwKt>5?S)U@j!&-7S6&0#p&7;V_u(Y3Ri<L%Ff
z=WjU|A5PD7>0<d-*7|TktD4Bgd6J6~;#<~lop1WE!CqYBg9MkDMne<p|H^A|;y<33
zisoC-;qyNB_jmo{gU#$a{y)fnyU;d!{m(xR)pKW*@OR!i_Fr%QRb|)ezweD*8P-bP
zF=0G>_GsMak`3+dhHsxI@o6ly4Hf|<4{%h$!cRdN6nfv@++<FhZCmnU!kW3DE$m(j
z|K8d9DtNg3xhrzval`ueDtDacd^^K_-`m6IqS;UW35(v|nJF;QzWDR{x@+_H%U$JK
zyzkyhPWJwlPwHy)R@i=i?)3l9n}m3dbGKgZ+kDub|9Ja(n~&v18cSFh)!%MK9JBy+
zMx=7W#X}6slk)TXXPf6AI_fIakeZvhbgRGyIi~*1IQIJgYh}N8gYynJ%Yp~b6(_uA
z(TS7DxV}#IUd3bHl6QA3uRlD&3+nI4f_kBR={viTq6T!NfXjhLt&N<*YAa?uJ~>(a
zG#4mw`hyM+@fQzU20O$O96kx6AhU05$rQHx`9wJ9{=U0f4W|@9W?L}|vTZu&^S|rH
zjfg9&Lb>nP|F^xhE%$fE+>=)!?t*&C%pGLgkBYS+vsP;h2@7vcNx2s9efQbEM59E-
z1g6G0V&FUpI+~&W-%s^fCYep)ah0sKzrLI-Nr~Q;a}YG0`rtvsk|ioNKc7y|xVL8~
zr}4?zYMPpwp!1&$v#w}N(TOy=-3&T!;Z^hh_f^%^$yZl}b{)L2F?nP1alSh{i``pG
zYrox0U$w|3@8;g>?;oy3=davZ7z;U~2<F-|-UlAFGWu;Ve0=N`|3y$sz5LrH&{j?{
zM}_6BjDAKYCLx`SzrVdTwtBw(ex0=MY_p@2{p~=zz>;rlNPMu&a=$cdqmpaaf`Eli
zT~|8<l`kywmA<kv*u7Kh&zkuCb)aR`pstIy#S2rj!bdJsTc*hgu8rP)ZtCw-zDN<8
z;LWneNkYOnjmK+>hN8Obwl8O=?7St?ct?OOY)!;MulaTVem-}*xh>-&)9Uc`VgKK^
zw6;E+nLh8}xw+Pk)Bid)vni^nxoPjLkiWV*{CJO~@s;!PH6Ix3{(cQtQCEL_xBULm
z&FAe_e_H&Z?)CNc?Q`eK-nn~sW6n*Z(*N>xKNvTspAWl!ZC$K1=!B{F?k+9~KR!GJ
zO*!v6-^9v&<(SRp?=#z5<@mm=vMPHM@#@aHxV>G^=T-alKD@Rz`qXR3=|?~#fd{5&
z28Y}>PCo}asbfXR%Ai+UpP!o>Tny?>PL;T@HT!yqW9#>*T_r1}{)5H}i=KEqO$^vm
zQFwGs#>GXicKO@?6|s5w{PFYo^=<q2?@#)C&iZ}B(u~KC9!(KvfBq}J?9&s^DVo7-
zF_ZuP{(ku4#fvX~d7_lVjcWuqa3wyN9$yz&-?A=xyI%I@$=5)w8IDHJ$!aTuW4ulK
zde?pZb<9`8e|Ghk7a!*ze)eqYLpJw5nLz1#&*#^JPT_ZYe{b)`!pCl3|6lm>^77>`
z2cN7^R8*W{UB2$thimKO<<rm4JL;{!cS+2r`_=Dl1O8mN37RpD&bzSl;!*>L@Y*)t
z!aH?!brM!38Cjg}akFp!elz*+g}3$3&dgl-{l~}S@}O;$Tm7D&pCA7B?Y+Ixcb|W~
z9?$+i{%P9YtgEZCn*H?|yF|WB;o#y5k`n?o#b?)Wu?H{r3$1;BcX#;QJD*MW?hIQO
z(>cpDyNRiF{rdH{UR*w$bfAH;%lvue(^I0L^&nm$3mXh_Z<(mpT##-|l+)k;r${QW
z`TN2Xk6yl9`A$+)bm<-uJ~^9=mGPF6(~(MwHM1H*Ihacn*G6nqTC{laTB&V3aU2|s
zhgpgaCoc$G>=v=RZ0$vfnl`BbmIs_x{y*buKDw&%TsYP*zkUzP3%~WodCQoYm_kl4
z`k(&)?|1$b?Qp*6?RlZniFwB^N4Ii`P7!CE4?45+(Q{^I=FmHK=0Cq!BwPy3n^QXN
z=I=jqoP2L?N;NEaaNx?fJ301WuLNh@-)FlncK0%~S3ZwU#c<wP6TULYb?<-B!2QM-
z(yVQZ-TPNfv5a+wtpzBvdAR(-!sG+z-`(50x@ccP@p|Eo7m5!aJz8Y;Q-q68#$v%I
zVV$@=5u73^2Y<%wC~&M4toe9U+-pO`j)H|3>%~Np&GPT{<nQ}=EF(G-T9`q~iV3Am
zVTwEjQKnf}0`#_ieRcKpFP*2LE{D!62S0A+J15LCE-;jMo2QlhU4Gi$|Hfr$^KCYr
z-#>oz{M#`*x{KW=ba`L|=pIAEiVq1}cRoA+>sSFx70U(f#>a~o4z^U)_@__Tiw)BI
zWn2Bt=W*8jo4;**pD&xqwCdceYiqk>cb6T!c{8$-{Q~d&IQBDFo$@)P{D0q6Z{@6g
z>^84>k;K8^`ZoJ1TA^OKFJ9htFl<gvla75iy-(J9)y^Q1#21XkLKoty-<p=*I?vMi
zC1inw(|VpZwhjDMj7#>{|Nr;*YN^#?3&)L)@e>TU^?@5?5MP6W;3dl%H;Dz_da-d|
z|3{eA&bkCT#Kv1~!sSB@<|j(-KR-Of@Jhq-`@amo?`fNzG>yfk^i{|#Jqf1+yxQi6
z?tJ;&GVf&2PQhinZT%m=<UAn5@a@~Ttl&FqB8{I09uO{*x_xQt{6vmBCocc6O#WQy
zH2>!BU5zF=Hw5<o{Wkm7p35bVFYLT$?9Xlf!RF_3aYk1rsiXY!J_MU|_Vk1_aXdfC
zYybRwug%ejHmMCtjH@jT_B2SBa>;`hR8?|oOq-y4RB+zA%f^o4RR{J}-m3rgCG*TI
zQ}0TSg1x21X4~Zc><L{R_DYT?6t#6=V9&T(knKgEm-&OIr>D=J-}FTT)aw&E;hET=
z_u-4kgSI)d%VH<(KcnWuX!Q5l{M?-<|NVNseq+kXNj%ewn9rWoH~*^h{rHhu9?b`y
z7O}4+^BlHUZCmJZfY<)8kOq%I%){O9_XVpz@^SM}Y>+P5_Wbu9p7d|~@)Z8e$&J)(
z*eNpO<oqU<<KD^l7>>QEuBccshqLGPb6X4lDxLtI2b^}rS_NxTj?H2C(w1?3-CVBV
z2T~uS+E^|~{5o)<^-wGK>hm+p8~<MBZg67VD|<uURp4I#vGXtXK6v(QS&{aH<MmS)
zrslDA@ti4l2yBiM{L+Hvd3})Qe;n6NPL3?{0Uhq~$5lbSwegSZtBYyQj1AJ2QhPNd
zlpGu#eUO`@2exrW^coyUO?so{fAGbrU-jS4vDiFY&HXrk?M;`C7L{oV(;}pQuPe(6
zU&_7qlGduVtFpGPy6XFJ>#mE_f=XPwuSI2PZ{4b~aXsfG1*LA4O`rarzv`)OIB{l5
zn$hX%`RVg!ro1b9_x@kB<$K%jF=9drjK>udd=nkYKE2=nzpiv&{QeU=%RG-fKaqN7
zhGEw7__CKz-I#XDI^;PeC^8Buz)(RRQ<*Byi*@@at=<sf(ZC|p-eB6wczofpnG+Z|
z5vZY(<Hl42h36Sh_q=Oj<vwFv{mqF<B|(@)gXhK(qYE4e0~Hw6RTIJwHK+(oUJ{}1
zKd<D{(??r84;XTIO#8!c|Ht6^Ne?6Y*s$v3GYr!mnN%DQXq)$ebuEksBUT2fPF))H
z?ogT{qtFI_rb#Yal8$!ml&b&u$d!eK<;L?T8Ky`sW8l0Y$+%mX?Mao+&M@zFXOlp>
z;+YP&@dlUQJAULyim%hU3$L%P78lct$=ENv_$jiLDhcT<Z~P>F{Cd6q>fP)1e?BzN
zUASzgiUZRxmZEN{ge?s7g^_(Gv_TJK)uF?OFYc)<j@eama@P_IDb5KEH#uLp^BP1Q
zcvEpx5yfp1t_R+=FwP9UyQ_3##m6L*ygN5mcy1EnoY0UfbVAbfKnCOO81*PuL?Ea<
zxWV!!NJ7H8Ooxe?*)Z`C%kenbbA2px8XEq5w<&)Y!y|7e6JPVORrt(|U=>&_f}``c
zQo{5@2R=PLZCL-WW=-VgW7{$=NeL-1PUd{Eh)qH_!N|%CtPbv@%>o;|6BW$N!eUdW
z&6$%U#_^_w#Y5pg%cQ&|k8gbyQMr4<A;`;0XzTo^<)405eR_NT<VwjCC-!unITQWL
z_VKf~=V!k9{Pz1I*X{)o6RWROUtIJ(WB%6prSrFzZ=ZDZ!MiA#rFXgx-(6~9v*rA!
z%{67^x^0`5##}kCgAxM{Ov?l}cqck6yRj+N8+1bdiwg^zGmX=7!7g_>s`4<ahHKr?
z`wl)%T<eZy>c6!OtG-*zA8_k1>pIo$!>)0vT??1&*>e6>`K|PywStAeOw;4%)O}s|
zH^$}t^E35Fn`VE~OKSA9xo|H(%YONiWy-3$%;ryGtCwcZ|61SY@6#B@n`#^TVC~+T
zJ$d`5>%Q$OS{nP{`P;ltdu}$ccp#xUjSY9XnAh+9HtSh}$kFKhy-#^wAF-U&5Xx~v
zuRf(jNNJs#lGDA#!h$P1nc`+Wj(58~QGe;)>K!`|T;8i<v-SMEcY0nd8>UWL)yc}~
zsp)aSrKx2}h)UM{_1WtqbQdZ5{hr&wELv+L@ZfP@Ly_Ev>-SV2HMF9-U?#`~pd&Mu
z`OWQmdwaX}=U;{IR00&39{rf#+jIP7N5!0Op9@a^E<cPu#(Xa>@0Q<g>r<6Gv*+Ip
z+!Jw;sW+Ls_p-6kR*!a-BN^+nKSr^*#276~7T&7Nbu{qmePKt&rGdWHoe2k=kkX?A
z(>a#|d996S&iL%uwd>KVtE)eJ`BJjX^l^-*!hM!Qda4h4)R^Y%lIS<^6)3oP?(Og2
zQ`~+Q&AYW*eUI19XS?&Ob0y0Ewuz}uY&ab*yybhv+Zj%5On<!>yB)o>VjU-Iqv((S
z;?=Ryw|tT8OpsyREy|Ws1zIBxTHL!mKmKvr=_r*4DJ&`%?@uhgz9pQ8Z+>s%>}<{-
z*-8z593Kj_U#7TxnwOVUv`UCeFVo3!%C~uQCbjJf-5a=m*7k34VX7`E$i<!lqq|bV
z^+N}~yu2(ZDcRX?|L?}cYc0i!jZA_a-aes94lBM{$<^_OYE>-UR391gQTy=}K}Oc5
zm8R1=nm(+EnA5+f?SpsWirA$(ud<aIIauT5M8ddEO@Hf&lwi2#H^g!?gKky_b&;Ig
z`9$qJqa2u8eG=|>YX5kBBlYbJ2bm_V%Eg=FBXw2w@py@BpZlbtwP(eF!^@YZXSf?A
z39jp8N!L4;ah!M01(O{%QrAD}7TkY>WQ9iq3s*PD7N)bukF)>&{@#75*Ho@<#p9}t
zPRa_M%-^$;4%GRIe7M}l!4mq-@vMWq$V6+FNFA>S&(=m;NQ(7de!f_6sjPLzl1}Ec
zCKDITnl>LT&AsVtxXaDl%*N}qK5p-a8_E4Qh0AYwF|jIrNY7CD_d{LiWfWhd)YRI*
z&0YPw>?SNN;5hNML;djLLajetWzEilTXtWUU;FyEc%PxnRFuT*qmuCb(1GXk>-j8$
zK773%Kii@-Or7z!(u6sa8gu`<BphGpG3EO=hr6%pZWT5feKX}$-|%hEwEhPtW@^Or
zdAiJI`<GFl&u}NEV$NaCY4eba!riJMlRrK_e)TO&Vq#+1ZVr<<EbrVDtlqJ0d((g5
z+yxF_9*zE8j&&b2A3J84`6*bL3A+2aXDS^`-I68Hz^BOg;bYOh*9y5cGc)9nO7jM{
z1MgZHBagniy87|;_<Gm7yGnPiP<dd$5_HLEL!H0Hws-qGj+JUHny1F`p~~@hbllE=
z246x%zRB<I_$?Lc^!?e?he1t(EZ??I+A;$X6f)BroH>{myY)Jqon>lR_ow1`tme5h
zD>?rB`_7tkL%Q!)XzfLf9YS|vy)CZ(kuBWhX@1G1@Bvfb{M}9K&aPTeEUf0Ub!utd
zub0bnkBZ!KWKx;H&lF$xGu19?BPZDL4R(y}0&HRHVmMElez={#zp&Kvz&g%1wpEg^
zw`epL#pQ8uu3IB3S+M=}&kH}SIbDvcJv^}S^A4%$J#uGPFNmD`=}5>478i*brrBYN
z(FY%THn4nhe$Xl&$I#mNXF{^HkOHI73BLo1EsfIVc?S+3X8!o`<Ey!?EN^-be0^e~
zbH9vDFnsdGJ$0gLqFc7Jo@w7ZX-PqOh6U4}yB`kJUJX3e8>sm6K*lsR5w<f;6X#BF
zSSYZ-AVA}Wr3UAO24<lGjzouRPyhXX58CBoSn$AsJx0y%<V4Ol7Z<Ti%eU_RWvZ32
z$YFu9|I}h*hXntO8Pl2{2(fM9cPe({7dd6@l(-;ZLvetR0XHKTbK1cZexL&VfZ-(m
zQ&x4KPO5`$CE8Q@dB+-+1aX!pzYeO-_E-FrB^1>j;@ps*xqHKGw^{QYj!doiQ~5yW
z&*`1X1;?fK+`I6n<NYn=Rz_{+=LISWZcHL<XE-LuPGsP;FlB7-l&~m##9~$cu7_J(
z&*E!S(Wh^Y5B@xT9kJz|1QYX~i;El=)Jphj>-r^JKWNb6e(b?Vxq~ZW_Dwl@{4v9J
z&H#xAlO348c{dzt_`6P4SDjI4gDw-FG7rbKs;{pS4>qx8Tw60UH*lG$$^v(AA(Hmj
zup~#U<w2I{i8hu^f)-`pgv{RC_Ab2Jd~wG#{g)Aq46TgX4$lNs5)_$4*mPK?-JQh1
zX(7wlF2n{}uyJXr_v5eE<I8uoK52Q|d|=nzhc^VJI`wq3KE7zE)rnjfq3O<T_Rg-+
z?Cal)i(RKWZ9F)WZK=TnpVen~T1hc-G52j-G>4yaf<vNUfktA&<72&`gP%S=pI<K~
z=HKVdv{PsTOLO{Sp^GakeJgfMRnZjMq1|}oNywjlzg1TKtbV|#YpB@$lp{c5gG}@{
zAEs$)4v7aYwcNDloY1gYpg<$hLG0}1%fjmZ^ITfFcrKPrYri4)Z0Do?%@)El8{*!+
zUX(FW>05$P?iNO-ndbRPU$-f*c=x{Z-`iC;8(jM`nOYf-$Aq6dWyujBQP5ZVOvkf<
zMaTcZ!<I(JX10at=jT=Y`FLE{zh8MmgCI-TTb{!gi?5pmE|BvQ(a&<>4pVIW&#`1_
zde)-@iB~vvy6>%J?mWiCqQMivptW0tQCo0<L4xW9nKl-W0}nYZ=74X21TBX7@vvQ9
zrtYJK<85^XOIE%)U15tFvQIwRmT#cD#goZA=<-wxfuO_fmh3tkoJ82p@SE!D`o=2%
zIU?+T;P&m?f0#G83;meR(8_4X1!^QE$T9J$^SHRUEU5eYYe(7JS(O|c#f46&H!e=R
zzU4N1Uvj{Afr=f|PNeJSxG`<#RJrGIc+yw)4-wyQX;n2GtzqE`mhkZPJ$hoI^26uP
z+rQthx4*pvv;#>{*)8R7-nluJufENl<2vVq{l6c~xwp5uo}9F8;{GS|>;D~ma&q#<
ztgBjgiqG3Vi(bR@B}L~v6VJm9zQ4b`Yz~jF71fQ}a^kwo;X{WEa&8!aPH@c2%lr9C
zdApkk+nKJ(b3wVmkg;8uEk*aK{JPA`%N9Df^ZD)BGN&q0$iwgCfi3y}-DXe7S`jvJ
zcWUcSu|AV;H@gjQ6h6>V<IQ9;pPtlbvejvBw<2hB6Eo=AmCZLrWn_Bv_y4(+5uJW^
zR;#FX*qy-ohlg5MguN|0*Ky-Ugw2l!%^8=LoRmFuz*qOUi<=wUxw+QupfNeiP}lBz
zl`@&{@9nL4zxO-nY^}w)n@f%!?G}Ih<KyE~dYUIso}6KxFIV>FM&pbb5>vE7xfB%@
zE8cFs9<XOe>7tcAPb<yMKx4b0GZs};RS#ajF8=*)`FyKgFCINg%3RWV?LTNC;00&?
z#Iv(Z3(CvQGumCU>b_ib|MBzreBQ3$*Voq{2Q|yf-^FY`-+ZXy=EP0TLZE)qg9(QY
zNaycqoH9kkXTIHC&*@Vy>*X-TueDnAFCgch!iEjL4}b8vC7fSq@b&+8_3G=T8)k~$
zD|shosBmuYn^*fkozhm5{&6F@KM`~w-qlrCV{dm|*7?6*W0H5$rTKNgI3N8vKf~~F
zg8id{_&pVZ!OQ(jZ@PJ#R(w1v4(h*n+>_TVO1|*)^mI}F`uh4KM~|+Ye|t-2vZip+
zTXX$+<;EUL7AfENcu&`x5L-}brxmt_<LB<ff!!{PPkcOc-v0leK215R5{~;dpLszi
zUkRSG`~AkaS>j1Vr_Ey_+2nUli3jeu+>{69W}yO)#DweXVkK;=OjM>!Y-L&F3##DX
z*j2ICT3^}z?SjmCPmVQrWV*ykYcFnV+&}rujZK&L%r;Os_jhr>U02@ST{BZ!T$n(s
zM^}fhZ(F_kwQMeEK&?mK-tP7HO^4+qBr*zkI3MO+SkQQD>s9?n54sLd-Q2PG%KrNL
zudiELSUg$|ZOgqq#aPd8O^3{*BQLvZ^!x6%a*K!5c6tdU#+H3q>T%>y6Dzkupoo%}
zY0eFS_51%>?b)+u)2|8dr|Cww9d74eZI@F1Z}s|ppnCdhdf^iLe?O9&-4&Nij^3V^
zczKy`R(O=tKUbZVK})$*@+WYp8Qt4g`};~>$?tD(H`e|xOMT8RU(?_<RZG+Q-}n9h
zS6YRyiwU&8{r1+@;E7_YUMcpcrb_$2bY5pIrXG=JKIz}?vbSA@kB_Z<EYR86`Qqy8
z>0J^R?$)R@ol)+$X^OA=$(nj<N?_TEcRSZCm&p|objiuMwIx$*HE7Iu)4xr^`WJ=f
zT9pP})U--GF~ijOWui_(>dfvNW+^8o<k-%&ua|qj=QAH0kHmt$O&%g=Ci~lE7MN6C
za}8M;ef>|9T5BVdp26(N44e}V>}Qb)l9;gbWTh`><eIp>R^qc+)_5hH`g8Zil|5>I
zYQ3UMWB8uguqZ7S-jI2obC0P~{qx$n?NbVk&-zLTxbMopzfXYu&hg{NcbxmTc5CWs
zG10KOxA|7B+kD|dfX^I@Kv`jf60!I>S%q$@KGAdD%u%(qmA$*WJUsE&uE(6atd#tE
zB#qr#_jDI)pIc+GbW^ZC-&PUM*Yyt?*{_@{zq%@PYKP+6CA}8So0M%553ztQulG_A
zbPrp^sM1pR;=;mJ{DNXfW=_jsC@fMv-rw>0(UaG6VjpUBa{T`Ky8O6IN}o_*!IKk$
zPZi9wulfA_t-J8~q;N->+QNPN|9*=$oyH-$$@%{!Z~c{be;;gS*H({tBW&n&gkR7y
zuI^{5srk>n>+bYEpQP#?vSRm!NsBuk?cm<eZ~rHNQ%XsS!(Nc>OLvExl9xxF@=aTD
zF{OQ1*2P9!o>9s>5!3Sa>+9=Rt4<XwHnmw?R|+{{tl-pUn4Na1Iiu~=?(+9x_1;gv
z-EZiy+Qhf1tykLI%wo}#SraOwmF}~&G8*d`?FM(`dm9dOF@Iw3(D?GH--??vqWi$J
zTJgd!H8Q)l{>nE$7nNKr^IT~|;&Vfm@Q+T%-9+bRKN6dfn|G+e;`^h5(>sfTyDcVL
zp8s>Yul`*9<bA*2S#K5A?_0XC=*<norwsppy<X4OFC}AB5n#FSm*0+c@`YE9B#Nbn
zm9xdoxxY4gds=JjX_=!p<F;g6ls(-2LV<7RledC~4&iFPvz9E9ZkcV-J1HeVCTp&N
z;_m0x<?qgv&GCPx;Vzr9J-)2Z*4B3OFX8kk8TY~ks^1%?%rs74)zJdF&q&%VCt#wu
zkgQ@;TYG!ERP~P!5500GEa@(4i&YX^!XG>F{Br;KVV3PBs^;^Q%sk>jS63bHlMVfP
z=8TUj&&l^LYXtg)PAW7tuj>fb`RY1h!U>j><_c!77U?dT*P$xZrhllfKv2*v=c=fn
zWy|uO{4=wje%0}c<F4iV_0=_ji;L^jYVOJV?2DiESScwy3QJVkzr-NnQqe{uP+R(m
z*MY=V#-ESf|2>#wzbwMzfE3G?{rrZN_w>GG2={!txBdT)R7FN_!5uQivaicz4qN+g
zy;Am2$F!^X%k0?(3Vd@c+BYTVWlh|WUAXM4tc!DPM{xY=Fx{sjQd*zh&#>4mv?+H*
zhqL~}-;X?Ai*ve9kBzYuQt(^r!r4)5SN$#LX~_3?ccVka-2<Pkc>m+|uZgk0x8JMs
zcJ=X4tGwAN9_UzhWdDyx+^Q@m`1Ze<^16M3_l^3wR;3?49Oh5o>{)hNRj2muuF_L+
zmgg1}?`v(Y+sD|+B;e>W$;c~~^YV1VBOk@ozuc>S?|Oco?bIJiOifn=rRP^Zo4LmD
zXry?e%l|+1c{WF1PVZoydA(QKyx`lLNYI)h&>0nG-Iw*7+B&R@bezJEXo}4<QWuO!
z(S35FW!;H6NBugDCM2^?+7qOt&@yqI&F?pxy=(*;56&<>{W@~Wkq)H<39X{il)Rlf
zpI(`7fB*F7gy%}@U(ERPZGN~l7jvcHqwnCBkPKtHDBF{MrJv4D{{qdvU$r>4FjY5Q
zdBfiX6~6YP3yZs>&Y69+c`jY|&P31EbwTCoZ<W`!mbA`T9bWL`f!&tO`>d|rH_Oxh
z^X~Rr;25gECHF)OGizmDkuaOe{sxOT%kC8{KCQ2?`$uK!>ggY{ZmNp0-v|(FkCjP_
zJ=ZX&^htH$q|(H{yWYF92(){aU01D%D|?Z8JnGQB=<RuPul8E(esrMx-JPF(4^`JU
zSX|mA!}%$H)f4dvj~O<-*7@sYEht@L$(_O;>%u<waejthQ2e<M-OReyzaG8Fzq7;e
z^|96yCp=2$#g;8-onJHUL*2%BixYi2I#eH>IsK7IvrWj>YYS+j#udqm*pt1>EuJS$
zwm7@#wXVCSO68(0SO4lNeil;S5wo)>@^9w`rTTo2kj5Jt*^1Xcz3h5YCeY~FC**NI
z`FLMwCASO11f@X9A|K~DS%uGfBR4nMyq=b!EvPK?aYA$*qj%5B`|7QX-ok1BRUDYk
zIe`*;q{C15m_rK1@1iVDKPsAcP1T^fQe#!vC)eIn|Nmahh~@rde(P&XMUClm<~7k@
zg%|0~bC1lI-;#BmbMd@-*3eb6)SqmLe!WXe^2R6L>d)y*B%^(2TCmyc&Fii|cjVyN
zyN7#hqxY{4e!l+EomTCNGzQ^Vv6g*`i4N1_9m<xJdOq!uP5%7stX9aK0I~AFc8?xE
zzPdly$nEB)RNgXuzr`}f6J#r#UDsJn`TX+N$+t`9scfHIW_kYb>+g!zr#H>k6)byt
zdiwsw)s}9GKbgKbKmV%TsjU5zci+EXuh-PrJ-Tvw-M*G@(z~JpZ*?2%y9*qVy!KR7
zAkm@xUre95M@W;5zraM-ImLpUUnX00<$jubCRV1H^XK%(Y`b26d6Loe=G^H&t}+*=
z-l;ex$p2D#yUOHAZvqx^x@cS!o!RW7+@TAatz>Hdvi|hq3Dc%6Q<6~0a``1L=+MHo
zQvavSk`sSi*G%~7$#i1RHJ$b80Yc_oi*r_V)LJ<zt*`6(dvC@creoix-mem2`{dpC
z7SwT7ux4x*V^iq~*d%jWUP4DD!ILTTWt7Id^xKAM0ofwjVMiu8&)oWc#|;S{$qSt6
zezNZG8TplW_%X*=$hST97F$@c@zIR`kE2XDe(KLIt7BhoFd@FEJb(JcLgC_R|2p}P
zZFB8>RDLf%e`cWU`taIB2N~I$oYUvVabBJtTU;37%ei0dxt~mk=YjI=N{L@KRqLEv
z>cV>BhUMH$PQ&RPO`yAOmsFc(T{&@WDaZXsZ%%q2dgeV{Z|$6#owg2#?i~%BdZC<i
zyZG{0qt~bXc8C<_91Xk4Y95jj9=GYFcUWzw$b=)!MXvL|EZyvUZ2D`7qm0u8Dm$43
zo`+mt{zqEJ?Bqt~o!>sL-~TVDsq@X8ZVkmpEjRS|_HCH3t>}+q*&9{!hL#oHee8mq
zU%KCX@U9kAdva%=i{goMdpIO_&0#6}<J1@UV)~tmE(@ikrZ3u^-;RWJDhMo(d#3%9
zSA{9C!XwB@(C*2KMJ`+?Zh1_+!z9G0=+xE0prqpB>bmmtKcy^>MV=?mD?EPTA~18d
zpMXKy*-yXL`@a!ecqCwA>4{2b=eWO5zwP~Fu5^C2%+3i*CL1l^9lLYp{lcD1_rwDd
zUTd5|<C~Sj1sUKwhFZ73To->znmv1h!#@rg3(4MG_Qe-A&;7YR>C}AJV_Rq0B#KO{
zHJ2|I({o>*xBa|~w`KV=7PhZB-0zF_MeKdb(3Q#O=*ZRD*mPlc^=;F78OPskbsQ@*
zqpsDQj!dbyea+3h>9?-_U!};mOZzsuI^A~_xxjz({Mxk^7mCbcWl{tcORP`s`lVEG
z`Yl_~5k^t>ko_n8wppy*RIQhOL-p|9l*7}N{8qK>lDDsm`KxpPblx_-Epc;l3yX@s
zt-Qbg&Askq?M2NkH@chk6@Trz!|C2HceF>+SmFA&lWW<9ma2a{l~-%{c17QYDX)L0
z$OzgVc{y!1)0cj|6W@d47nvL>^HkHYemQAPz_VrC2e02;db@J^=Ks5je;cK_xwUn@
zn{ejGCbmu8^EW>^7AzAR$zE8{x>Ut+iU#jVeZ`wUT;~WfI=wo1Udf_EW6|zy0mmlp
zH+8u(A%nN@K&qV5j2DX}Tv!AO9qc6+aj+Woz0>fB%T9Y^obj^i(;QDeuD?p=p&ou*
z+2UNx*VN8^1WkBNZE)sh-juP4S+Rb>(=xluf#TCXZ_;a2o$a@1N4NAV_MegE514w?
z+&N}l)m(q>*7@IgS7mL_&bAdczCUk?OY^zA`SaV)o;}#VL!gb%x7ncT&gzPXQ*YNx
zs2w@J&~xo>`PDP5YR%;8{%kueDp@Ee?|*%rj`bFPas8HT>oQG^=fQHiQTN-IAM<lL
zd+Vm_^xd+YFD@*xI`y0V!SVS2CuW7u_v<bAb7Emk=iU3|0(QSHeR=blHG*lg39qea
z>y;@fHg|rWTm9yYQ3G>{lHWXwZ#z@}o!33y!0dBZbD6@$-^Ts$wE*l&W;14SAJTka
zaZp8*$Ks$;ahHk%Q<&m|4~H6B#Jj5I7qg$xDzWUiEbyZyRby4SUjHh_du7JY?_dAh
zWaw|xusyx5@1XPiU5E4LZq~o=DI46{_~nbqnwVd`S>G>yG2bG)Ws=0_L#uBd%e=G4
zbQSB}dw1__E|zY~Ixh9(g;Tcgnh6`OaOgficq@LIk~8<|zfV@H?pXi%Z{%BFQ8u%6
zv7DAxA5Z(=Pkg`5{==~?{!@H+1!->BC8YRf?VRq8gEK!*H{2!vndiy2GNEm{`ZqsL
zlf5&CiLsTjy86}s&F1M}8vdSZnf81-XiRcavNd!}@?k6E&N$C=Zl`uSiwSKIX?%G<
zEApK*`@!vN{;F)Ay<Syj&cAD?e-vIVGs&v@-IH_f(w1rbO|MOlJepr09zRpaaKZiQ
zhVGmthYtLfKYzU8V1K&lbdM%S+uIqtA8G!m{a!fb+pf7w&W0p9TwkcHDnG?CcIUJX
z+231=&pJy*zxufCcU|f8ovBKnBF~*V9a%KjAz_Vy!t>Kl@9bQtwD4&;_sQ+m9cEUH
zJLLk_Sxq_8taHw@;ZQ^II_q>_CY6LbCO&;0j!k86Z>?;xpTs+(l|{$#!PTAChAXl;
z?!<aWEUNDQ^7G*C^$$<`FHb(Z&3Q%O>4Ia$JF70bde7Qgb=v+^+aUqAlsi*T?>l-V
zy*=?%wS4l454XQh36|LLH$vsE$Kf^l`y3}XgnZo`Q@z}~ZCmzrJyFA_Z}wjoXDj({
z_>!AtQiz|>^{1gvc=pUOG!Idcax9-_v%c<!E$4)WpBw=a2J+tDT$ofI++msHBr(C~
z;G~xkMl72rG^ldeSZ8a`t6s8Jb=S6t`BsSuZ{-(qtdh(rnB#XgJ1hLx&g84lm|y)q
zB*eC8eT>s<HqndE8{I$bzu7%iSA^|f^rnA*e<^)B;`g<?k(oU$wP5<|lO;DF&i(nl
zt%Xt6*4*5D>WXs`i3gJY8*WM#QfRCZUSKdGza+;4RJh*cuxK?<h^$l1a#)v@q{!$k
zu;F+|BkTP7j_=F;LO6d(nP#U7bnD8fUXTo1K56wS#tjdSmx{1`3G-HBtBcvspU;=Q
zs7`z4gQu#lo3@-jeY1Xku*8YVIR|bmW|{V*p8dB9qmYIKBNsF4<Kx#rZPEqG4@3?%
z_=LU7ou=JnRrcn@RdvSA!W)juFz;XgUo^Rm_dvAqvj+>0yWKnZ<k8RK7dO_hvGG<4
zz5nyRY>V&xbG;L%&1x&X?Y#M_d5LyoU8`K~>(wbu+GhWzYX81d#N*NYWQoh2=)2su
zzj?16dbU5fc8~c}SDiV(4a;QvLauAQ5_jG%^kQHA=cyZi)IAipD6kTHC%5#>Kb?r(
z&d(%H%-*rjK;im{m(Rr~ToYmYly*W&C1Evaw*J+r3wlBdjMd5yOb#8We!sU}JAB;=
z>$#C02kx-E`54x;<jCs`sW;tWxz>+XzrTK`(WN~0VfyFk3$xGvd-Z#w^RI;OeY!Ww
zwcNZPe2ceh-P6*@cz2fbI`=y}UVp27)pqJ`OQY}pwTE6D|DAm4%KwL*%a5;ow(Cjf
z{r{UpeP?YydF|=l7Dmq<8oAr$IovDvGZfo%g}v|JF7%`~riIbDsbSsHrLByfP1@#9
zKpjulf-kSH``_(TP&G9bEqZeJ{CR%R;)ZP}PYCXSk72F|Uw=<l*w5ShuzdZW#%;N`
zSIriGv-N~c)%SP3pmCDd*Vl);GsIWDRNd@%Tq=3S)r7KX>Wo~>l}bshpn}4ntKl*S
z^Q4^-{~lPBznc@75YfrvqqrgEvZsjt%eG&pe|$2-UX+&Zeh#XPpTDc!^v1IMSxcF)
zL-Z8Q*K1YPZDy!4o#5O1=F0wm@7yJ<l5)cS?rCZKxVU{qHoN&-%gzR`Mz-f`h1up+
zzvS(y{rGi_m6C?do$a=I^Y{-Rn|u60@ISN1ix>W15I<e*#l>m`yDN)0y2Ny6U452!
z=)k1>XAi$lcS}CDocrSYgNm*D*jP;!zJyFEOLjJrdl$2LL9AuYZ%$#gJB6+b|D_ZQ
zv(^3m8V=g0<Q1|maB<tII(dnVA3x9X&QsBzBEvRG;M2$B^5IObX=!O;iw;3YO|>#p
z{1P2*bxlzCpJ)61PI1KUvfORYW6Yo3+gq*p==-Uu+Hb3P`DLw^d`hnQb~8Q8?$8?N
zcE0j6o-ECv)1^AudZq7IO1FQQTGuCIx#-s={bPNJ*A?cTso-Mv+xB3N9_NIHqZ}5!
z1_~_i_kNE%(dWH7tnGYobdQmBSp^fjpIh?ngBo9Q!mqD;CM7T5eVo1QU}y5I0<#B+
zEZ1{a$b0~`TRkQ!X-!gC;@Q2%@~(%U(|SI|9RhV?Z>_&xSZB0He7nhW)i-xE|7@$W
zbnCAc)!*~!nk>)18++vZ?JjZe6a8~>?Q@2TDW6<^?7X}pcDbFKt-a^f>zvG=cE%k(
zmT_y5?xd|B%`)BP)*W?8zU3aj@c)A2o4(q<d+9#qLBRGpj#-&M*<)g2Lhr_JiRO7_
z!V&mWxM<pp)!_=BqQ<7}-hFBzAJ%jHjE%|RvHP2~GIs5JiQUiol{4;N;8!%QeRHlX
z+VI8%1BHvJ3l}c*;?W3SCj+{v<HWiDdn!Ns7*#(%H+KTdj?>e0ga7+VYMnfJ^3@98
zy!+lvo`wI?&&){Nu=hsE$49O{bFHqb+|F71>Ad~_9+9_Em)zxRLtFznwg)Z!XZ=pO
zSfuauwY9;Ix;RvS2@1V@`SQlTTI+rPeq~P$e(|tf{@7%HyP3JODti;NeKP#-v1sr-
zIon|h>QEezVDeMtIU##lXq#p2uae{&8~8XSd=+$Uh4t&U$tJUHy(Y9@^55h8FV3xr
z3G5T8S=xGS{Y9BKE4rU=)o3irSShP7@psQQ>!`oyVqd&C9XR9bKargKyBNb4>o1PD
zm;`FuT-`dGSFZk*<(9V>u0G&-a_XRK)RBWbH!q*Cu9b&9jQh~#^LrQNNljQJ%(m;!
zeScx~a}Up)eEe$FkzKq}iBrP-b52DXnYcgr+`l~W>L+=Dl{f1?U-O-!`M6gr>VE9|
z_x*{=^UX6(AN*VW@!}Uvi<$y^Az|SMuU{{BY3dB#)Ls-_sp%u9r~Fv$na*Ef`JUWv
zp`-u1XBg~MR?nXhEOBFFa=TU8wt|OF6%`d4R-kpP8xjw1NIcB;^vLs26|dYgGYrc^
zR)?$<0*#H8_CERX@$u$;@p~!?*IndTzweiplu1TIyIhq<biI;9&8L&<<voghLI3}4
z@Bgo&qOzhg=k_+<qPs~)yF{nn5S00I-&f_+U(lt#9~8f;{&M>_mxq({WcIAIzi~&z
zJLjzkSlHCXQStlj_RU}QU#lG2C&MeaP$k{uz%0}3DFy}=9}-UN|DM0^=QCMB*2><*
zYn&U6R|_mKNDw_E)579$fRn>ws=<c*`*vG}3;$HSdp>7w!dXjKZowIQZA3z~e=c%+
zS|lcQaO3X1(S3}^=T-hoFMstXbk6Pq*7H0o=KuG*@u}}g^QO}}d|BJ`?rwS!v#W%&
znT<Cn{r=M~=j78bE-t>fx4Qhx+uPR@ggd=vSQIY0_1COq?IIa<(7?2iR@SvW!7C=m
z7QKvL#I`DQ^|V|b%Vi3WTGp@EKh`U~TqduuM?ZewnzF}Bj~qGjppjiJ;JRtp+9=U=
zvn15tF7eG1<T-ZkZqQdX|9NX%rp&p>u<PTwIhKo+_=?;_B2LMDi{8Pa!6Twq-{;4q
z^1y;+j+exQ)YY-Ox7}K6SfY2aaN1O3O&+G({BBBLVq|u0SraO8`1ao!B5XeKhj^|1
z7dV>gZ^?a;UzNP=gwg_eLxtx*kFJc_TUENvptSITy6>zdb@@4h%j1r)-n_Xk^z?`S
z;!o}`W@hJmGK=})jg85#boM^6Hh8ka+sV0;VZz-{bMBw+t8{T`5n?V0<OAJfe{F5_
z$DhyVug=W5xyjX4L?>#?i5r=ztG6c|<vKIp{(eHVpm9@E)2FNXdA)geceUEp{*vfn
zyRdbl2iMHxa~({Zr4F6TX=7+*oE^y}1zJ(}oMnz5_$1A%^40l!OP^b2SjT(Mia)`!
zWq&wgpz&Kh@4T&rK@tYNKc3Eb9kKnf#J$Q@OSfIliHg2<&d(uUVnWZ4*=D)BlwIPT
z{(>&bEx%uTJ)>sV+}HQ(e*0P{g`7#SE_~%`0=mzOr%wM+V+Zs5J)ipyzCXHfdNQ|h
z`nfaT_R4Syut)W=m}Fn`apmk_P~5J3qDED6y-4A`r+fZ&>+j3>vgeDonyPB%PKQan
z+rEDLW>$Tr(eNTerK`x3>_s^#n?bFOH<vCL2q`dHD?cbXbYOn{zm-nfsnc6qS<d(-
zeE!#MAtu+pZtXwo{n_sfWwzRLF)v&DCjS;MZ>d)G!sN$Y%S=<=T64VMW{$7<$hv6p
z;;WM7u@mRk7v6dL>Ok4sTavy3Wgo-WMzOv$-mY|<B_%yRPt_pgNMiowWxipMQ9I8y
z)+H}4<ivM!DDX{w;vw+c*4FlFAWLUL#@$_|VX-qOtGs-DXXoaNpS8|(Rk|`u2nPnt
z_*R_rS$Kg#Lfx`A6InbCSaMp-HBdOMbDT5fyL(w+1gM>~?9BbOse9M6*30`Bm!JLT
z;UL)2(-$6Yzt8m1ere?nwf`(%AeT!eCMM1>%Z&<aFXCOWM@%Oo;S%4EcKNy$LO*T)
z?|G;)<KxGVS<mBs=|yi_bC44>dGTt7<6Ns!o;v-V0xIr~mzVi&uGK%uyYz0q{l6L7
z5;xzzzqwicNrmIjPfvZ>G_JFC1*fezQOMI7VNiZjTk61!4yNMUNB(hv`ay@Dp1<hX
zz#`M$5X{9qX>Ej){L_9lF(Cu<M%i|yjjvCLetCVOrQliG>3?~`5gUIBL|xN7e}^fp
zO5sau<K5lmt1}pXes?@~+<TMb&VPRAUV0bV1$S8-pLE0DzH?z+HRr?kx3+47Hrt4b
zD(t?ir1E0A-(0Je;6Wg#Px4n*1S;SDzUj6;-;O;h^BrDZS~_*I{`G$+b=j13N?u-K
zjf;zW#kK#)5f_vEdof=Xi>Fqe(yOWKy7NidU5rO`<6@Uip`S0m^_d-VT_pD;HZOWY
z{b6a-tSP-y-&LQiRHzhwvW?C2S;lHkk+V!~b2XSm*nTL0)~YsCa#$=iP<U?mw14U6
z=jUg)D^)8t%CPK<Ds@r)opn!PLXO9QH7ws`xPtxePu}}_*4Fs+cRJ5YTjOiLPQ7*1
z|MI%4IX5;e+!c1={Q2`LE|QXxUIGGv3yYqf+PO`1dCa8$C*SRLQF$UFe`MPH`hSsI
z#S0zgB>h#o{<%|F$DH%W@88br%%-fc&;-v=u#}j8Jn{YSudjzecLRMdetxd?&bA4g
z{U@tswVnc1_=kGx1Q$9B8F>i?Iw<L{i{C$QZQsAGy<rBEokfHgxtLp7<{bvD){tRb
zF2Yt)pKwyoC(qiFZ~LV=6GAyvmZv1#+Frld*TXbq^^4rA8!cx%7P6=|WV7a*b99NK
z?}KMa7BlBQK6kIk__QX^jARwd$NCc)te#($solgcq|j&$T5A#vUVr;c@xhiu4R>zp
zOk~~}yE#Q}&zqw&Ic0cvw;g@mpIq==pkl`?^(EJ4R$BeOBb>h^@#QaOiCcTkUa?5o
z^}l=5^U1otn2UK+vA*J)BC)tb|B6rRPl%7c*~j9cV9m(IT%!bTXV|dJ36q$xdB(wm
z2RpjEA4|G?w()**l%etdx0c86g)hFSjknz~O~=noWr99vk;KQ>*A8a{oo2r~JN#t0
ze9jbW#^hE;%b<c!PduAtJ&mF{Pfm|!a&mUQs>>{NO@BMnh6xOu5q%7;jHfrIo(BzG
zyB^4FWsFQaX$)GiwvxqHM<qd%Y4tyzW*7c1S@Ha;UFrThX)6tHu751x;eGzdtK9a$
z<ynzm@<d-)2yb3EQ$m}kV}j1}o4ivesd^u}d-rZznrpDf0kowgD_a>of2cgSpROOD
zS9wY1;7ZOlKOXCxb5YB9<Pa;O8+2r4WWlFn!Y8iGxp4J%--2EH)qibYomS&~&7`wo
z<Dmx6xtokQCp3hD*1vG2@i{T6JYZp&6D2W0=fb3$5u1#vtBoD!2;01GwK_U~``lwU
zPq>ui1q#dMsd8Tx<IDTaA~ZR8W8rtsknh^9?C-cY)i%Gq;l#yUwx`16?n}-9iGpA5
z4GbO)EN5H}tZZdW{PALO|Ek}M-TUQo)t0<zV<}SKkXLR|*5bCvyE}^GgRXCgO2P!t
zS|?SmEi2#m%jwPtK5(g@6FM7^U<q12bbOlaWCl(PamMB1Y;`}MikF`MJWV%xPWDsF
z+fK?G-X9UI+5At!%8c8oU@KQ^8aw+oU#8>d;toCAyL{_y_NI$FrmfGFdYKRwC{eJ1
z^=ZkRE{0ad<xzQl(^xzXG_lNylMvXpZ{NNfJB!tunk3d`A58C!eGR&h(@Jcub(_||
zdl@$kCggZH2;TVVFL7vblEE5x1Fh-$u3BMA4v7c67FhCdMl>+AGIBelPFHbY@&gU0
zY<rV@ysvft{{6e6x>{M*xGGqxGJBiNcY1PFWeeZRV~bg@G37TuK5?<po_|hjy=6Ji
zy9nkyy`wo7ypEf89%^{JPB;D84;Bp`i+@tZZ7L2-*AyKR9Ukw=y=~SbZO+HeC$oXC
zw9kpDishTk-kzsXNr!%!YAvYz>#(WNh^gA?ut3!-@M5&jR!`^VeA{Li%f-BHBj1)L
zmQT(NhaBowY~%&aD&FyIICNmYPuA5{t@-=^iuukqds^(o6sBHaYsq_h+ni%dv&~Hk
z7jS9)p1N@tugryAEa%*hCi!PrXiX_S+AP}?aB6K!Der@fNEQvA4~Ytlzf~O)57b@n
zn>Lw&^M)8B7xVvh$6v4C-#2B7$eB5om$!v=T(b~u+#B`fz%JW|8qc4$O?YtXgXZIR
zA)m|JPP!dOS^X!un1!qMrY4WbFQE$zLMO}_xtM>t9S4Pk%7k8q*2elm8LJYG+FxHB
zBO)Z^1%)(9nD+m#Wt9Hbwzw=b-h^u%OL_P^HSu4IL@qQek<PqP{|2#eak3Zdn~7)7
zx;nHlf_5+bRs~(4d~5y3EptGNBXe39S{e7YX58Ins^&YZC2nuk%@dz4@T99vm^7{N
zYxTM0*Z;U@Sp)>0c6`9jzkSlVo+EnC=gpY2>Zw4-C6~tT4EZ2I+o+I6Z%#GwEvJtz
zxd<Atf$TMSuz*E_=Y{SXjdQcjat~cv>MdKc(^9uuML@QkIhxNU;rT+2D{JHAN}K;|
zQD&3-W+A$KlO<$@=))5;PiKVMGssG@9yosb>Pm@%O$cKhn7%nS9BQ~{rK+xe`1Wn-
z^mB6puZwS*(4Z?g;Wh8}zrvt;H}KwVXJO7iGnQPKCiWseT4m*fiN=9DWF~i*@&38^
z?;b;(eMa+Zm&u?q6Sm6tpRz-u!tu)nMNd3J*2l@}@Bd>oUsz~EBvXBxTb7ce$|d8x
zMQ)<;S5`e@_U9L$z4iJ<UT+VdgPk8T=5XzAdUUjFL9KKBmTNBHKn9I>fR_#kZD3~-
zVJlj5sZZ8gp>su_jAau4Yj^3na}L}*QSrvMO!BvXOk=#IKWx?T&gXLK>d8M}-rrNo
zt$uh>;jbE#MeEcS1nS0{aO?GF{adPQZ{ZFx8AcilEig!6NSmzY3)*>C^77Kro)tGm
zIU}YWu=AA>nE2q!QHzlEFM759r3$`?XH)GmzBqU1iRDYv6?BZks$&n|>^9_B^`dpz
zEQt#)!mOaJDTq)x5XTuHVX&b{zW$Hlx3{<3k9LdSe!c5bp1PXA^ch`;(~|{O?d@xi
zcb>`>w_;5vOX#0d2Ud$rzCZI<#*x_ljRm^-2P%DeIQEOQ-wHjosLnM1%H_B?xjm|f
zLguaU2aO~`V>O|gMT18oV)Bg}5mQ?XtgNE;Zl2JvR|vEPLizbR&4~|Q?3CECYf6AS
z-=7+rhwJxN{CzlATl+e<o140VSKKYZMrqAV4!$pL&9CmQh~BExC90dWzMuK68Y3ba
z6d0R9{qau;HvfJ+=HTQ6?ezWeVnV}V0gl~M8jJ-$T9g{bakuxkd!J02<q#nC)O4dm
z3sZWJ#)J+AK_<OyC5My}o1h@$Ey@y00~aS{hVX0j9^jhCxB1^34p7+wY88R^@kl5+
zBq~gQo^*McFR1@!6?DLfsn1K{QPv_MF28K2gF7{D%KEqaOY%?oTI6i0UtW=|U#TCr
zX2o4)kMk#7d}4&w{rlb3>3!_#4Hw<6MHWndZ33Nz8C^IyK78EpduI_+s5vmHBycfu
zF_$k4-CtLGW}dC|+gn?WTQy%#YPc)pajB*8#0!;<go1}&N=ob16t&bmt-aqjU0NCw
zaOy7WyjP(?vHcqJy{B!M`=o7Urlg05S7VI)j0sa#-CM7|T;X38*P|`x>+Ze#p#Qwj
zYo8p4#`A@)o;wc53bUc5keQqT5<5=6IzPjZdAZ-*LwhPe&-p3&@Q$ZLCv*7Y-~!!$
zY>T#jzde8Jbg?%5aOEzK2SJN0Vzw^kRNY!`x#&SqP~A1V>_d$;dO=U*M0A5#T)Y4M
zhHfdDZ9Z{kCAaz0zt42vmi|t!+`sbgoJq6#M9zNPulWAe)P;Zl+~3{75M?^$Dof9%
zd3sSxnz?Jdvgdp6UNq;M-XaEWlxUf7U@nUW&z+|$ca*#oVq#`4{Q4@iqQYR$jQ@-i
z8x)y5%`cfYDzJK*8z~5~fBO9ONr_6<`%jbZt1eH;@cZYRk}+?$ip!MqkN&Jaf9v<U
zt=tzr{d+j8prGIDo%(y%t>r&s-rPUGPVeZ0g>T<g@A&t-X!l<|>woXo9i5`^VBOy{
zsM|bL5<p9OD?e1dSlE7HUu|`dob4@>O>0225hjh*TUInjZ{PB^4pdYlC*Ree5qS1b
zTeGh}np=JkwEcSRJ`0%%EOS~JS{c(LGtW&1mFCc_dq517Z<9i^uC3|J-}jU4>}+%M
zMP{ofFmTTBYB<!e@<_ydB-ITpXWSbOH3;h5ult=Fv#&;Se(krJf?Fe7Sv(GeFp04F
zs2=+T&8d)*J;9Digsmq*Qc|*WRp@H1!ULyIpY9M_1L{_T66CTANwLUI7c$Ui<YIRF
zRP(4)T|h>rr&Cy6>Sohbj|P?=P!$>xw8kAJSwB;ENIc-NY<Jn)L&^QNZNba^Bnc{K
zSvJ?I^w5ck%Ajqo!ciNwI43y7fpWZS$9>T9I&j_uWzvSHpjnm1x06)84a(oe<lNeF
zvL$Sd7n8~aanM*bbQui9pP(EDYLNM)L@##Z)rs39p&Px;WTWcp$qbxN+!_us%;!b%
zr-ltEv{LMgpZUnxR*A&d|J~ZD8#$N71EdtCFcR8e3sM?0`NxlnAHRM*dUJC#2M342
zMpKZ{5=sX;812MSf;^xC<eBEbo6p;IZ%RF#^y<pWpBZP>R2-NrK|Ks(a1R5nqt(6P
zkb~Wg_O`Y~+1J-~bakC_&o)zJ6k1?#ARSiUL6S;NJ40(@%{tKCte^`mK!+X9x7{Gg
zIiaDCL7DA`4k*arVdwBraDl-D|8J|q*B`r`zrWYE`rDJu>}JZ?4Cb28(Avnf#?ixr
zBkjzLM!ncwA`8ULR2hX5jxfwe4GVCvaUG~TH^=hEu2Su3da+RraW|%53pS`yJ7s0(
z*w`J_-}A1liQLR!yHS(V0@P+YxA)&1TcmvN@K9)hL4d=*^?N>X1qBBiW?x&w^zFzq
zl?Qu3ZO!+$il4!QOvQnz)xY781LJiCB_*b*+Tm?`!dC<=Y}mWelG6e-jd5=0yE(}F
zAscc*!-8$474LRFfAH{O<M(^j=Xv&S<Q6Ib<&HV|XDySF6US{uhr|Os*@eHpWP)}X
zPn<YWBX-vo$*L4_l?O2(*IchE-iGX&ZLST68fIQgesW^siinL$%Y0@gEt9*+%W1*S
z$i;ka^R+peNFHr?3tA|@%+#{xhrzAw`Rf<4c}>&FTowmX&kj<*@!T9$Wc9hAM#HgE
zNvjeK(CF&)xT=#qdpBtd71S_^u*uZ-T7ni%!`*)yluvuIKYzbpzc_w>-HwWnizKU4
z!&M$2x7p#2-v-)OG4uMfgY5DyyUX5!?y;Y>Gri26sShLpYU{fp<@bc&EE+sBDtou(
z-|thOSHV;i%*f3-p`j4eBsku=6_QM$<N+bj&VYuj^>KSIY|oFk`FbT-?8V&JNezz$
z7Z^OK$UY@G5uR8XIB$SLN-Sb^ue5p6pC2DNczL%vyni!^MW&yjmGO9V@VO48LhwKx
zsDF4Ns`T9*$-`~DhbJgH>zFX@R%JX6inzjSlZ=tKwQaBm_0zVb?f>^n`(EAe+&^Eh
z$BRid-ksF&SfJ?2g8<mM01FHrSY)3>+2VUco{@`LEM~J=?yZD7I|?N%3Kp=s|6Kq2
z$&-{FJ9kE=>hL)+JyUT=JTS-PrZ`fRF>uasYdF-9xyNVK-jbI=HD4~e-`H8a-1Du^
zS{Yf{-kHYfT7eJFn%_6sYWaGy!$RQ&1_3X;9hjjZ2hR0#W`MeF`u}Rb-)$FEc1w71
zVPTs5%U@q#dkIXKey8EXC2#$OK})^T<lAK@H7w-}kl0|7_zk(C=D@TQG-CH~dGg&|
zr85i?nLzE?Z4)nDy0nR<5wvjEQ9(oFM9$yM%0e5=7~xAl5N>z?3b!>MOuoFmEgrk8
z<lv2s$=o)#*yU>$xHRV7-KFWcAZ~9J>$}Oa6C4sjKDeQM;G-wl?GQ8O%mi(;S@UmQ
z?CuW_n)#J%Y-C)!#m-*u039UK<nZ~~*{KQ_mUvFC`Fhw*C7~NMGWuxM1vPj=7E)kL
z2gRqC_TL-H{R_j^MuD~;_(*+Ry?$R%lS4tl1|gQH?RmLD)nRIk)d~)Y2js#!%_bow
zDhn+}F6OYPo1haQ?$&imX+&?!nYXy^_uK7W0v|qq4sLQ-?l<>S&S5T<g!LfnU!S^|
zhitt&$k49UpzBHxUA-!5oOb3!=@rmj2AoVm{w$62Y^$R#I`O$OwSs!C4-1~JfM+WZ
zLjjcjKU#KlbT}j=DEQ5>Xw160Dpk7j>Z(vL0S|xw@TLO|jLd5?B-@o552-pNE-3g}
zT!L)<b2W#=1E*fwSAI%~*;&L|{QO*~a{iqift*YiFJAN#sCY8bohNF_#EBF0z02R+
zXmppa6=~;}cUu{>^wZsbT{BP>zM=kq-IcY`;`i(S@4e~U)8F5IM@!M&A+GYNsLkIm
zmv0_>aeck~EVJBM+3z?q?Mq*U)cknZp0;sq#Kxqwm&dPJEi_2T)^@6fL@0!u(+P^!
z^<_apLaRbnHod&O{PFbox`Qi&mrqeJsQK|>chn+bHa<C<j*pLzCx3r;H{;?WR=0k+
z-bQBjdt299Y@M|E!PW5iR*Qsb8utHwD96OaDD-Vw=ket*xBi}nz1829Oie>AFHG{e
zc<1To^Y-p(X=(GWJ9bUJx6D_%rL8S(ra}F`8qmQuZ@1syw>pyF_REB#f3L5vpTBk<
zht2nU)%vqcv(q;G{q^<r#<I6jpc$y2v%al~+`J*<qSBo`mBRLaJ}^s}<;+-Lm$O%}
zl`%SA@fLE6=D`V2tW}mC^{jifa(ThCGm@g33VnMGUG0+``cyg=@2dS>mOLwJX<y87
zi_}w7a{4|`kFV>zyxf0vrI)9VPfL4y`^~qKRwXNh6r@bEO0Ms7T`}QA(`0r3RaK92
zx_4~KyK7ZZU44IR?%t}e1urfrif9HMQTeUvw8XKQO~NE&g6G>MpPE>?H&lL31GQne
z#q~l2<$sDw_{iNk#jC*+A!*UBj8Y?m+9qqhSabwy@_kz7J3FN1K>GYzwwr7Bz2En{
zXOly`M8vin$$$TT=Zlt32!DBh|Nbto7uVKG+x>XZyyn%W?zN{g75F}1TIwCD(rocb
zDW-$D=H(?-Q8s-+&P!oRO!F$ANnUlIYgIaBan;vXp*DX$9RBfo{r(V_29Zv#6;WHY
zK%?DP)6Zpe6hA+wDfsZy)6*S2Jx7jo3M*c>JZ0FGe7tXA%+4YY508NB)?LAKX8Z0s
zc|z}BBQyH~`855$EpMKAt2DmZ^tvza%8Eeej}tbfoD_QcX8-SZyHA`|Td6L{YV_VT
z`&!D!=#5FOQO+~J|NQ*?;nSy6zs_CraZBdqfGrt;Z*Fd8FH&yZzrQ}td+OWYPoAWh
z<lT|jUG_Gpw!7lv=kxa3jRyp|TN&MBLeF&~*CBDBI^=`b$#+{U9-N-8AKuik=Sbol
z^Za>5UsSH#nXyQp=R{!Bx3{-fZ+?GFr0`Jc@#y;NQFHz)I=8K;b6FtpJj|uRviRAG
z4fQu0`@6b=4z;RyixfJP?N`l-d-ml~x4x5*nwOw^^sDu8d#z?$egdt%<Y?9_KeRRb
z`jr`vLQe0Vb91^4<EGX3wq}Rt+}PmwH|~>?2g`wp%I-y5J=u0wd|V_Xw`A@*j~9D8
zg!ljbCVl75ohi+_=XP1#P%=AFqY||s_x83QU$4hs{mA8P=4sM6<^8_jex1xkQyli+
z*-^;6HhO!QC0~iFi?n2PU*3tWQ`Sap4SM8|=X&c@uIR6&;p^kHHaWI%l$V#s?5~Uc
zs{H6^_4~c)XC~c_mE~eC+n!>Av>n&uKqDxyE+n1WStrN>S}3gJ=rG6TuM*#Cl`WGE
z{_N@6XQ1Hs=+sp0hp%7H4mbW<`~2M8(1@z1r>1Ux)!x#Q@ci7|S5Yp7HRtEqc7xVO
zSbli)r8_rh$-Fnm*xA`vb1({;ruYf@8f0El33aa%6Le&0nB&^QAn2^}@}awY?UEy{
z3l=EwNSo=buD6U+bZX$>RdT2SU3k{fI%oa#eZ`wSttNP|-<$|KZhv!|*z<Uou2)xA
zYd>_A`2YF5y%v{~Oy10P6|F00EzM_liR-WXH6?>_<7(%2zMIRwAD6FR(ZLpbuO)hW
z-pUs(_v`=1uI+UZK2g~9=SgVA&!^L;MkobJ34K*K)Xri3{eJ!a!0f#IsTw>tZg*Xb
zLoTk}K|`)*R%<@|sKn6`yt-T9#M?i+-f;NOFmP-=|2(whP{W&`(|+4j6it?$IJd*%
z>n87Y+K<+Je|g#6@^KJoPPk9%%ZrQ7PdNnCqgWUUZ#?BV`2XK``>opg<{BOs`^_fI
zpI`II^Mqyn%~JD_BZ*>$4xh9<Seh(8Ke9NT_rF6>`O3L}*6;T;-`bkJ`Z0s5_p}h}
zFP|ch8Kq2?VV<CLQ8;P$o+T#)Sp->HCT>_2xmoSV$!W^GK`HI=EF7KNCVZ$lSvg_0
z@2;IE1UuJ8Z(nt{`ma&)F&<G-h0oKKk|q>PdcmUkY44d}^(KiV<%>eQ=CFU-YjNUG
z@|hWiT`N1RHg4RQaedufE4xXT*_sc${V-jG?M=bO4Db#SXuqW4FsKo}Y-vw-cW_g~
zo|N`T7sljsQ@t(rTdWs)SHFFcFq_K51$rU(BrZQ)v&&+e(4_U+N**1HS9^1<@IIg(
z`CD~OtW5e%-{~G~HwDF?N6xve8@<ip+09L<-l|$JSoj4+R5a(!xi7BhRQ61@>A?B(
z{=Ax{t?hHBzrV3@@h#WsyNvj6zMUS!xk*^hU8*FvrQ1cNLpkS!+RdNSmCU?c8eA+o
z7!-dB9qF7VTjuZYAL@SN<tP3VYdMu1SlHN-uB-@r_4`iFrH?`j9Y4u<{Qq^5JvF9t
zg@jGThE-J$53%w{7&y3cotUAtZ_odKzq6j-zoJx}cWFtd)jP$X;x0cXl*ff<Xn9^!
zM=898PINQ0Htykg`mxr_%WIm#hZl?cwb*w=ZOwA~uEn?G&9c*d`z%iH6fHD4$~n!T
zqOk7h%&V$bPG=k3JUZ?3zarK7Z~9J0Rtj<-@tpQr_~^uY+w<phJ)HiSK~yWmVWv@P
zNX0&x=m|=-9cw2^1QZFJxM8IpcZB)wyPY!bFD@<ZJ|(S?{#sQg{#EUtkH=rt>`%El
zJ@#LhsP?MGyqgy5<v!VEztJ)L$ir!$zwgQx<Gra;`gBF(6YU9q7>nl4|DwKA+9g9U
zae+&x(5vdZrp?OkeIeHGg?JYhZ{oeVq~64FkyuLYku=Mu1=>mL&jd$wtx$Bm7T>}s
z8QsUo;eYaULe|739iMDGpR~DzzW5{%AYm|7<uyw0pMmp<Yr`RjxR!UH_Ubt;NIySM
zYxBOAH_w-Qgw+)OIJ)ud+856yPMnuGwq>Gh31|HDg6e3^Z5hFdn-&@b%=ltyhSDCl
zPy-FqM}%|E77ujTbn7(V#y5H5TRbxM#1yJOeBRcjnCReiyZZaPwdXFq7T;+XQ2y?&
z$Zi#x_(T6x6&J1*iQcl(;AVh?hR-jV*+`X01B=XLhE_)5YoUjpy9!L$P$J0kWX;~4
zT`l{)SL|2$m#oN`u6*E0^SN!0=IA3ir$HCg13Z%T;@k!Av}!??Cv*0xcExn6KfkKl
zZO6@NAq?sTZn-r_8(Gg<u%0fDAUk<^`I7hd?snYzxn4=~*VBk56|N~qYIIZ{=z#jB
zr@e~jsldlX9GJFwG#qN^UE7uNVtV#_(0M<}(=N&gZIEH)VxE?KdLD9%-9tg2Nrdf_
zQp(f!stXsyL|devlPP(7>*}e+#TG&uGE5?DT<zBGswhbq)Iw*Q-~4|6f4QR12jAV@
zoqNG*mJ1VjT<DL_O=To6FmOtMI+Yujtcmeor}*a1PUCs?|0=cn&ph-v@C(%Jns4c@
zf?~KVBNwyTFC7_+0*1G@w;%ub`1r&3@ADUjUD6RU0CgCjJv<QyX_Z1r1$)rYOZ%*;
zw?KD<Jbd_YLbPCn$AO8UxxXh@pWcBg1NTyL<}$Q0O2;o`<CSvA)2jGzkUjTK&@6YR
zW5S@#z#kvB%Rjr7@aM<J9}k-Od)`klMG2_}mQTJ7haC1@j9mWk*4FHgZ#JKQbYo+(
zM&P2BQnsfWDhY{9f`Wo8A~q(iTO6~eLXeS>QEXe&U9<$w!N|p2_Ccmc%9Lx}x^**5
zv!}^Mcnf<TumBy^bokZP)q=vpldm4QeOr2O)z?FZTDf~)x1jd28(6q}8V)%$3P$Z~
z{_&%Ng`M4bo#CGM`+n=#E4V8#Y73mu&1$&F6xMKF5!vq^4xoOub)}4$eq7JZ&FRVK
z=h>De8J<;X+ygnaNg+RO4eB`6G*D+qC`9+l^8<~{f<i(~*W;?sIw^067TTcKI46*~
zM{I*$eIN2@fdi9M!=VOQTlVyGa~$8^+FJPF0OOU_;ph8wmakNqVBNSe`FPU1J3A%p
zYAirk6D(3fiF=_9MxfpsTik_*PrA1I&Nc&WBxilw_15!%8VBU?CgIbL%E-Q&Gl8L%
z(K@EY)8WSn<^F^xCnlC<*!q^4fQF0<-&S!=%1cquRF&<#b^ep}&l=mG-=06Y!jqD6
z&n4}d?$>#RpT9l#`TFx)^~FW50c%d!zS^5{v3kq-TjzJ3zqLF1WKu!7ZeLK|(TDGX
zWaMt8|BSTTy<5!rW{}>iboBAx%b;P)-s!$q?(MBMuln+$5!4KJYUMIZ6z(m^VG1<#
z+#xl;Df^?jz~l){s|#;=SNC6y`+kRc%C~~X?30fQCWdz=X=v)d-TZ6rx5&D9mpOH3
zZ;CIE-<xeOe(|^E=lG2emhI_|SRl;5<@@Yg=QUTp^zb}=fVc8`T;{Fxzw;jp2QLV9
zj`SC=$kvx%fBSu^_wGe8nc_bxcUyl`Dl9`T8&oFvgEBnlx(&1U{(7}~g1{TsZZXkq
znlkqrIp}wQ6DZQ+{<85(t&rwo<Cn`hzInmRR!;|+|C=X%w2&3-FP_L5b)w#?=5)n@
z+oyeRXO_EL>X*OWY~3ZU9W^6uR_dECJwCdZ6gdrVy^h)=#wa+w=fw?|w;fJ}Az#0{
z1UO`dT#i$c04;}rHbfXWCo~v?O4H-3_a+`@>ya>Ia_^IAT)up{?D>{u(cKO=ma@#b
z=+Y1@{#bAsCzIZ-owfDzFKNV|3$Fg&60RAZJu}Jp@sZg53tc@`9(=mW^42!adf9|V
zhyRyW1a350mF?u1!1`}{s&TigGji(G0p$kQ4N>bai^o+kdU|@k+GQ>#bi&g?=&!70
zxo`E+2On-q?AST2VZR#Fixvj{?UP!jH9L@Uc#}iofpg);#>T>>udf~5l6m>U{`z|B
z`MhV%*&BBp2~lNg$y)#T;q`!}P2yU=r@M;oH}aDd3<y{z6eu7k+WmRrb%8ofzOAdL
zxYqir2rN8sy7`co<Ihc~W3-@wo*&r(3EW}p<9eUZuaA?}EGR70EU15Tz>f2cbs=lL
z?Cv$P!X3^5s`(+`v{$ztneN#6Xxn6&3w9^}rI$ukwUx0bi#?p>QSdCOr|ixFZr|q9
ziwkw*tLIC-MQ$4vB!R{@Q$EhGd?sl+`R3+y>k~Z|yvZCMj}GjeC9xr1nDx&VWhTd|
zwE@N#*j=CSIf``)?WlY$=GW%>_{+}>m(1gQQkjn&jD1yBEPJYsa=M=aV>PIyJ}>OG
z-nL~+mMrj_YxU#FWd94R!}Zx`iY}ih-DvNu{PDQ`M33u599LGn>7Er@bLDHJPOG!S
zI(HjMx!&N1;aj9-x)$8s`!6H*{{DiVho}QT2}+Dy%xX-VpT5!#TXSHE=j1CBn-;mg
z{a<Anofa#xO?7!n!fkuO8-*G|PxsmeY~MA%EM|dE;*3Lv@0}iCKh3F`AG|06ntmJJ
zf(C?lXHV8Y?bav5Szca#W|paT{M(x+zHzu5zk6WXU%?xaQVTm7p9Y$r;I~V)$vGbo
zHKo^~BuA-lPTwV$rqdZ$6&Pflm>xWST65j;(?$iPf_FnLlL%W*eXq|Z14Tv0yFsAg
z7El{t$+k5)(JWX`b-Ep;oV;e@Ykp^c*TnxDyLYS)R4vJmlhjj{a7^H_zJ@Xu%Lf{1
ze3)}JaGFkJQ~CW`@peAhO|N#yoT*`{`~REC><zE`+g1BAL}EDKty4X7-LhIs1XOyX
zobEK)t2K$89i<u4I0w}9d0}jrbHm`ruU|>WdL;R*oR81Bc5m_Yjegxi&?OzWCNh>E
zpR+>vyq1+2Cwt%A<5zd2e94GoJ^N}(d6Lu|E2PFsgD$AI!o50yQ93C(IdFZPtxm)S
zhP%5;Hy_}5b7>CCI`!p=Kerio?3mT_?CWZSl?yB%-pYudv;_SeC$n84Cf$f8qs%;p
zRz~)?N2#Z$J?u5V7vRp-CHi<~T6F9y+o&lAKIJYC-0#NfE5flQ)Hri`E5{p7-pfS-
zF^3wyIcA)D!N?t$wjH_rE?0I)JaBM+f8b)bg%uwk{rGa(U$$o1H*?#abp<D%1$XS3
z)pAYos=$NjTmRG^E)l!Gr&4(4OvzjI(a&x^?cX8PZx3JL(|ldp>SgiKOhg04AyIIF
zK|sWlwI+F9UR<xPuU}up`{n)p`(BHSX6)gZv%f~R`<kqCLW6mf3CEe$yUy1Bzqb1P
z<LC0?Nq3Hze>}26Jm;L9x73!}(`-Gmb^`KeezMK2{$lz%U^iczqKy$-|91|zr=OOd
zxn*vRGN9FXha*6upea51>@3rYXEW2UOl0!+_g9~>G1tHFey8>i#OY2sw{OI($&R=x
zY@VmGIPb5(nj1gD=M{6<mrG4wq;z3V=x>|Xx2jsduC6~;?9X>>&iZ<@-3AK9_9(|e
ztzY|iK`nBK@;NjdYGBq`WEd=AUuW~^@ng`rk;$tz_qB4Yxh>l#yKv%*ON*x6?B|<f
zSIP5z`|5u2_-b)~``?=mO7hgNVDFQ+Y?-@IkagC>_RDd{{qO4^_#AcQz=MVUPj7~A
zzgGJ2-MhZ0(%+s%UZ28sw&}F8o|)QpwfgxxxXxdSTUIhNJ=U9>IjKQL_r{LjEVr(F
zckdSq{j{Z16uB1hSO6MV7HOF`cWdsA4T_*MH*7wgP%cZ`nLI<AlkL2l>Z0J!vpy7P
zXMXdH?b_8*rr0R)`B1jR_fH|sf9-FrXI`{E=1|+*<7e#t-L=}X#Na{fIq}2py~Y+b
z`yHLnPGfg4Z!m3Pl+-q~6y5*ct~2YOT!n+T&k59N@oAs}G$<u^!+qW8Z3!P99DL>B
z*vj=y@=#uLM6XfiQTg;jH6zBox30ThRC4XfO3qsPn)72u%;o60Kj(jW=F@zZ{aZ%o
z?3pvu?(aN*I?S7k`QTTnq>Gz)D`zHbd%sqdr{mzvs7Z&B76@*$+@LIkTufa6%{!l*
z*M4%c`r|V*jX_6D&B=MzYJNA=`f$OQJEp!OE82yQc1N8NI5{Q$_I?(*x<8pdbM0<F
zu&A{%ZJHh)sq^pW_cib9e?83B`SVFxchUo+b@BbzKLu1Qbo2<eF|IR`GRvA4>MuTV
z!TrML?`jRw9~LzytAe+$7M0Ike?#-zv+XAWnUY%@-;34t=}KRF&{OtADa^WV!pw<`
z`@5!sHrVt?nsctpdsjYVWB&R1KmI)aUQn=qn)}0Rx*@9rx$79GZu~ZVsn}`5370#z
zeDU#>vFNdCOue|fG@&kVqbyQU#K8Fkv=TtrPDVF++mR0s4}ZK8Tsfb&+{q?w?xrUq
z76n>SolNUgyJv6Bcb;pyCQRyXwQa-8`8oy`Vb`yf3WO{!GfS^KdrH;f%lZ2s_*b+D
zsd_#7wA4fAf1zN~y10YqUzaZ~yUVsp-_vYI)zA8-OIyt2ow~1mf2zf^Wcl*Mr<d|W
zZuc+Szwnpb=R>P+zR3dbWW9RMTs*j?QSq<!qYI7uFH|2mtnpsFA>{3`zWQ%(kHzoa
zzIsVVcipWHrK*cE+4Zq);>UShZ*F>eVe$E9t?+~U_SPp||919NoVTjH3Cm-*tX)Fv
zPFX(;o;&QDFJ^|^2RzZs(8{P@WA^RM&1S#3Ru3N>Y+ez!cbBlxhI3Q;+hQ;0$y@X}
z-gSQPFl*lLcb_-yIlFi2#U+)}HD8{7_we>US{)qc5^y$wNAh1!R#p7ttc;7xnD71n
z@y=62S^3=J<jV?QWGz&}CoT;A%W&uRj>>h18uqQ7X5%FgqAekR{_jUVlepAVX{YrS
z4mliYEy(%#+h^OZV70!3{oT)3J?7B8T^4dbw!719`n0VN-v-N_zV^l;Q}>ip_`aF{
zCGXYc&IxU0l(hcnCN*u1eZ%ox*TXI{qaO2`pa(iA&-K!|Uo-7$t+wUe?J9kJ?dHs<
z-xBkRyx5l2{x-kW65_nI>t2}gF8ABNRz&S>E4pUBCbIFlyIqTrYV3yJ1xvW5=0~2F
zpETk9Q_aioH+c3bsT?`}NG|t!OrLN`t|$+Gf6&f2_YCbr4td*!YQ7$p+Vc8>ZuY#L
zoXp4h<X!Hc>-~7gJ3rw~_xjbp?$_F~2dmq*^FOOuti<!B+q9VHNz9VX;>jBxABgmk
zy2O&FcXZ9Sm_h~QjCdS0N44?#_jQ{=#|cMm&1wygKPz|d?GcYNW?$Eb$u@R(bI&s8
zFo~Xjti)tTxOByoPe=a9UrV}kqCN1^QPJCGYY%7V*RPO?@$|EJ^)vj#6=VI>QuXWN
z=jMIoE6cgtvE<0>SKssA$$9vDJ0Cq2`tkOBcOJf6?L!Um4z6#zj(vZ(VPoClV;YNP
znJ-Me)4~|5$I`iK#;1G7SN%GDp7(gy((aGX7j}R6cy`{3%?7QE8*|SuG+2^xTw&gu
z*y}Ugn@UkiRt0cp$mPin(L;w06};d3{SoLy%iU#fWy%+Y&AZv>Jn=^D7p`ArEApRC
zY@F!)OTki8@bkX-Ua?ZG2bX_(Z%lma71P&sV9)!og?XyxdrXV@<SH^|Y<lbQg3;#A
zZrPZay9O^V3UaIpbwAA-!1Vm^xrBeuHwRq1ef(J~WAbGFXKFl4mMvRQC(3X0<%Y)|
z)8xKKM!!D(yYc0=mdwrxHOEWaTXlIlYPHSV7rDm9Z^?a-|24gb8D+jxj**MGZ~HQ}
zvoCJv?+2Ye@Zr4u{}k^%X+i31=JXzz@^d%n*ufvar);y5y!q0u`j<spzg+)GeY@Vf
z?u#~59}nx1xy5e%&vJdAP|eS?`Y$dlUB2n<(vAI(r_bMa_|;nWz|CdfWHWXiR4`RF
z4NqK9+T8W(Thn>*wI_<_6&-O@(g@4Bvg!BijWcG|TnOl6bUt(-`>}h{&F|bB3a=N2
ztdyE^HYr+w4Sp)tqE^YwP1?w*DIK(SAu>EJ|DM17Uz09T?Y5hndE*P+U5*Lg*z;bg
zZ(hH%`P-Vh#3?iL{SSRxyMJNS*C>s!Jr@7Wt2geNw%#??zUSJyC)M?@Ty*~D^1sSV
z{1#L)^A~^XT|1`*@t=g)T>fxeSoiz#{$B>$E%{$ulesSZXzJqJb4i+s4laz+X$QSw
zYXJn=@6GteDOa1+bC#1i=|bDw;{q{9xn-q8P1J+Fp`1+J0%~|ZT=aZSQB~D0t005Q
zPboHk3ck5yA4#@hd^@Y!XWn*h+gmJ)_mpcsmY%io*`mt%35{he3VQFP(&}~z#8!U`
z-|MY%@L_ZP<13wuwTcdGKlE1MOL%+*m;K)lrqkBkYn-5VvwZ$%AvU@C4cdKjRt@%i
zEh~?-m1{qC=La1ekhS}cx?q6jg&gB;Qw%0l*fQSTvRd}?78`Ev>3`dHeRbZHv#qs*
z(ePQ(nbI}43whq#Tow9$C)sSt8<Z6loS+f1L$2l~PeC>Mg9DAPJRFyLP4!TfXW1s-
zbL8O$i;}!RZTYolFF*NSx<BEJFn>nk?{znd-x$Sgy~7w}mLJg@*#9;7`BUe^!khbg
z=h)P-@PEtbd=wSec<-;g+k&#ijZ<!98%Cx5JO6%G@`K;4@ej_1A9FhQV|&hR&)ARC
z1;o4c#n$ghHmc8w>sOb5xs;_d&z5}!OXtJqev8ZI{)#d>;`wvl9uDSvPiqWou2?kJ
zURe?AAG=cH=oA^|p!)OYa=RkEPVsJee?#_aHjA>dF*E!3_Xq#&wO(5nyKo_A-i=Zw
z-c?l#bDf__o%rs21iV@sHb}a_;6S@V_krmAy{&(Le@}jT%39Vs>D254Z=Nn(5p`1B
zRO|nAPW$&^&(;<`%Fw7P=W%@guJ+2*)?JgPP3w9VW#lyfQ{9aZ&oAaQdx;!;wB9as
zyHL#DBG22k``DLyRWIBVwmtK7*$k7f^=!RUUh~?|v=r5zrgQA-i_@UJpt8HahCTe=
zcIs5>{iKU``U~H^(JX!Jn6OpB=I<Tt7oQI(|7=q@<dAn=)N6Ua{nt6WCMwz=YS_Yg
zIcM(on?6UMotmm4q8}zB`bT@!Vu|y<GZt}bntsmRZ7DU+s$TTDUd%yb<~8$QT$1^=
znIAcy-3E>2nO!@Ww&umHt=dma6A!V>-gr`u$J6`#k?#4q$7eXM-CD!z`sQHDtrrX|
zMn-u_=PucQJa}W9M&O<m%x5}+p-r*I|J%!-e>9D$l{g?DEyl?yII%UtS%77#K<*Ti
z^;d$T7k|2xm8&bd#cS~v*SFDcvvM`#tOK)iH!hhZrmA#AC{jh0lk=wQ9^>{^QIk*X
zEq`_|-ERKRJ4@1@{W<sD=l>4>b8{9luxc#eW@KaD6%bx9d*Z~2NqZZa+4&CKovS_X
zdHD)IS2d*<B}}*W9xOlo{CdxE(cS}nhaY~($>0uGf4yj@!g?o0u7Cz6kp&E_8VLnV
zJZwC9C*totOg%j<@n93HMd70(r}>`=Iwjow=P|`dlXv>8Z6XUB!dMUKsd=oQdd7iY
z|E}G&1y}tx8^TR-XjBqSFbG&O=U1`ePtmqdo1b-y>0X-em$^ZmdwbhLH&N-3&D$>}
zXzwv$ohR0@Yg*4~!|NYC)z=vx_}vU~hXW&5zygq4xSlWjo_=@wy}j3$cnb4KnQ+wp
z`f~C2zkONk%m36c>CV35a`e-)^;Mel{2Q4#@9db_wpd*+G3C4(t5rXHFw|2Z!ye=_
zvN7)wpQkM#CB?<h&;QZGv6+om<115RV0ZJw*KfIugO#lU9ab~FoM{=c|GS9VxBP`y
z%Wg`rYJhZu3~KntVIXl~{>CdF{?E?O@4vb_T-m}RLizgj>D_$uN;&@3t$*!ZeuIB1
z=b6Z2mg4rUL6KK=rXzggpvlC;HpNJYYu)1V_xCnz*l^&@&CQ}QOY^)R_b}f5C0+D-
zj$y)y#pn0IUER1yFu@?eq_3)W>Y6n=8}B(dI51Rwd$aO-t!~cq9mgCJpH|#k%~jCb
z7%uwcCph*XPUT^%;D6%%;KW4b4<A1YzUIBMF4j8!xx^*M8tq2K-ff$Ho!Ty>k!=&f
z3-?>VVuof$)4o}KS@SH5)j$i^n%VhZtzdd;wmYw0#Y=K}o40QCQ?ZrlQ!95~cRO?S
zbbnRovNf!JE^4nM_2ci&-O$Z>;@l|*e*NXs<<BU?LyUn{;{y*U*mb#7E-ZHE4_Ox@
z8NA$2_d?~bx(_Q)xUkIibJ%VE>ScAf=;i&n(&3NKy%h;Ozig|$lG?8>M>b|_zV^eL
z7wX2$T_b92rqhBHma$AcY*$R<tk#>Enu_|)HamJ_WAel2&zFC$niAx2?r!yuntOsg
zU%on=oOE<*P}sg#Cr+I@GE0<Oa7QI?(&EJvCeQ8lD&xzzbcT8FZ+^$QRj2AhpI%_B
zvnVR)Kgi0wb7y75hJO-iHNUKSyr!PGUh!E0k+K=NY%~ufG<<hyWNm6{I#R{FaN)ug
z@%!r<&tJbT{4Af(dFv_Bz|~%?S4#}__4(`OMm<c)FqpNY^1$84z7mp>4<EG_Tht_&
zyY<g+_1m{s<i5N!FPq*m6-BLYY;2{4fqx`6Iv`p0l*>RO;N1<4U7IRDKTEv2Din09
zY{}ePI`2-rtY~pv`e5y|SCMl*Uf4JH#r@67Y&>$E=l9S0ps!b{=GZBu8n9~0L^Db5
ztJ4;;F;_S1FK{gN-gEE5x_~^JPDEHXFl}OJX1vGVDkfo&!0_(w?)IhL)5U&C{VI9%
z_xFJps{0QvUuvAQ=G2Ns+1g@f4=u^xf8d#|;)?qlJojbEnP+v(*j8_BDHu6(=7v=P
zg1XUrTNC5^nzx>{@77-zy_IG4w6l}NIQB4UXG@p7xWw#N`YQ3_oRb|>|J|OQzxD9;
z;AaOn&6%2E{x6Cr&Hty^!*o9dJu|7BHzQXl%zgguBG+F#S;cd;_om%XcyR7*h)%SH
zur;&!gQzp1Gp1{Hr|I51@ZjP7Js*DkY`XZ#@%rTV3D+-j)LdV0*(G|p=y6UT!jlf`
zStNK~TrJ4jZ>$@&#o_zAyM`$z1m2pjJ0QkCr^nf)glkW<h4zMB%e`;?J+1cR``f<t
z$Ic&N|NG~2=;u2xA5WM)ySvM5>XM9&5_<0Qjvq5U9k?(_^^L_Bbsz89ov%+A3pzD5
zKd}Fk{p8;1qkCRHK05vH_j~X8qceG%e;TVFID1(5^tE>)$4?*s_UaNFZ%ll1`}1f6
zL&JkxcSyXsvrTSi`TL}Emr669e*I>gea7FQ!1kfkzuP-a^X~dMrQiP^xOSPa(4(Y{
zj;n07jhVBV`4_MAUR)!o@o<6dLBSQ#M}^kTw$NB8a}ARF!3DvBBt|x7Ejb(2DRP2>
zjcI3RCEnhan{jv7Rw1uTmAvu#)_rDqTNBe`!=7*5vxcGa*m3vwKOgizc7JI9NczjK
z3<0m%GxxvQvix6co$~?l`E?B^H&0*a{heQqm09rN^1>$}TYkj;`&3u(Uf)8tH2RO-
zx6=nB{yuj4Q>e(9s;eW<%G@9CZ09%6^TF+POTT#S(|KcECnq-T>HI8v`-2C5-@PZ)
zt-bDMSpb)smi?|{TX$rXR9qJ2l{P=zRl1w|PI>Gcf%!AK{whwCxPClV{Oo35qzqvz
zoM5mZoq1LFyEW0<`4|})lMD}WiC)?KVcxPeee*hx=RY*RuyU)FSj*{?Cy&ipnS6B1
z|Da2Un%{qY)gM>OcJSD>f2}T`;y(rl=O4VXWy_aa2SWC|d)2{jFW1(PzueYXLPGjM
z|5a@<1tmRhRj+AB_=}e(9BWx$@Zr1nhV?ODcOGo0*|=7^?pVjcy&u0Gx%on~Rop*M
zpPNld`P`zvvwJ_BU1Iqm@A}h~pZ7}|3bcQFf9R$5%2g4;iKiQlGvcndWpih|s!xhT
z)Cv+a7@8TGr3-vZ%fGz1$idAmY|Orc(R+J)_sS1>ysK8VIscoqKk=*4nz(%e=IhiS
zOkT)%$<9m1;m40{`Pa>KqD_RC`?qyz{`;i%U)0|8>9Pu+ggaMQUFCRG?)~7F<CVFv
zakc!i^YM`n5**^*C!7(Vzo$fpZ~m?>uWhI1&5|#ArnPCwP2pN=oBFe@)61r?!&(8L
zl=Q$5RC3F9`8=3geh<_`-S_+5Zl&GJqV%UsoZ9Va|G#nCx~qYAA0B*Em38xp{%`Jd
z|E4|D4jf2~PkeD=W4~AY@5}r@(w-<>S@Be7@39T)TGnCier0A#=l*qy+Sjb#nBbtd
zATKp|qrk&Y|KDmA7;H%SXW}C*uW&7BMcC(``Mniq|CSmuJFUDubw`SfZ7D0G?d(Nc
zJUy3AJGl#~f(cPOkl>KYyzEKQ<Vll`R52GBJb3<m`IDfnLAQ6VHs4tEy|N_#HCJ))
z)BT^`drsL`_f|lvMnYXr&3;zg|F-PV%)JMbZV1=-%@R!f^<v8Hm6{n*yAN?UGg@X$
zxUO`g_|2RdtpO9<IgKt|zObTA^;YStzR2z{d(*nZcK`JG<Ztu}Pds^E=5}v(c*2zp
zbwBccDgF5NX7$vM`mfwB85M7+`>y#VE1JD@wcXxxPJ!ExzYYYoBN)Kdu0$V0Gvi^&
z?6qIMoS3MbBzWk-gM>ZbZe>Sh2A}MG!`V4a?7Fv0d)U{+tQfD8OYK5$FUfD~j$}A~
zSUBL`DXGfJ%7Sg?$6n`GCBK{{``^mW{@{Vhydo+RH}<Dn+x=W%%yi7}csp~OU1;`!
z3;S+t%QQWdY~)h#@k!a!OO;!4BjtVX*lb99y)a#F@soom&+O|y;GDkn!%?Z+yE0Q_
zw6YgN+z3hz0Sg(L8AbVKUGk3Gn8dowZ?4v%#-gXEH222zUf=%rM(Byn?6<0~wFj=O
zw_m^h<FWWl4yV?pOwmkM6l1Qb@%G={ye@b4<Fukh9Bf_U`g;F%_RbbIGQPC&cgBv2
z$p`L72eL8$i?3<+x0nC?;?}1_ZMOG5y2P9b{iC&a(fM1)zO9TqJKIpMcv<h!XL}c}
zxWC||akcLLsSkc!Y?k=;)M8^<Q`ELOyT5<%z7Tn3ZJ3;FR7j}z%{7ye%6(TR9<~sZ
zh%BwrD=Pvms=tBmM!FiZ?O4{`q90s($L~)&)L5nQ@q_oaV^Z1&TAxn+$1i_FIgK%7
z^+T5AWMP#f#}m)@o!v37fLA!}Peb|t+s`kGn*V+8exiQv`k<|BHCFq$XM1hiF?(`z
z#7pnr=TAT1{~@qR{dCp69>$+Le(}zBsJmzH%%%A4J)a2U(IxMvFWUV6_xU$-|6FV2
zTN|609?u$Q_rBvMuewuz($!^uHG;1>%~9Sz?L%|ky^ft#l3TN`w^pCN_UQhTf+tVj
zADm%P+?f&XdE0iS{C<|!#ci>XC3TB;P4Y_C1(*4tOxwUzrE(y_p`R@{Gxp=xudU6^
z%xUN5tlV;`B<TFI1>QS^=CkRStIwEjcFmL5-%P81;k`X|s!jawot<KIE?s(mAgJoF
z!{K9RKRDXgo7C_98eDqn{HOo<Pm?}|HAP>Vo@ME?VS@&Hbo;3n*B(2U)UMxdvAq6#
zUk8VMuk!Lm^KV{%&dQv>_pawGS%KEm6Q3t0Wp!0u;%VsryT2w)w?Dq(`L?eS2H8xD
z7V8~e6jN!EaYaNpP0wxTr~iALg6lpXI;C3d`aw`$TzT!g`I>T*S3G~D6}LGel*@g}
zrlqMVkFP%#4>-JyXV<n>E2nIVU<c<SPzqhpAjf4OapACIsMmBOW8;SpA2#l*{hjpS
zK%<wY_wv#=R&u?I6F*j*-fQ+o+wO<A*>-j&#e<G7t}f+OJ0I7kch}w^V_LR(kgLXp
zOGo+a-o*qTSdh<FHV>YNG8`KYHi%8&-PyTegMlDl&Yc~C_wL<m^ZB^qy}I6=1FP-|
zX53w)HfMGCqLa>yY|Q)$O6&f#GB2FIWIMmbV$Zi-d+d?QD~$z(jBLzO>S@f;+j0br
z*`6HbSRK0BO~6y(*)Q2YTeO+_%EB`4ZP4;nVPs==_%J_QaPEYjdv@nmBn7v9XGQp#
zfpv@0fdmKbGdU@@wqzzLI{hqdyCcVulJYd^Zew?czgK&Ec4>3{mID)-8Gl}EOkTe3
zz`7>MUE9~SUQ+vC=gsneKB&_ONire}8q`=Ncrt>fU;j59wA_A4=riLDSy#1A*{BH=
zy|Xg&<`&*{WfhD0^i^974H_qGSk1Bfhxo3FYc0{S(#)@IcY7oHj|*5B*_gc~SeaKX
zto{9MMfiF-&^{lBy>*r0?^B*696sp%<8|6H5k8AWUAa4_&p)CZqAM`DfLk%~;);-a
zBJcM_3a5HWuiRy?g9!fshrOWIzIEBEHGj^{wf^wsi_80admp}kzy6e6#-<kmncohq
zn~)K*L5DZ|xz+}~^kpZXRpiQYsNC~9zOq9?WogQR<7WlWT6jCKFFv5A3G*@otDJa(
z!GYh7ubG~opD+IKz@ML=FRqO?U%P#M8{7B4g57%;UR)8pR!Pb_yltlD|5<C=3abNq
zU(U3&kQD6x9BBUF?is;2U+vX<z1Pf#CzSvPe^5K~q{FJU(!amIZ}0EtZ)$3~u&1(k
z>(upAt2P%EZM0TlYxg_m^{}CN3tOY^8E@(ArMYH7B}|!;H!n#saRzA!u=|Iz|JY%D
z=txI5x7b{R^)86e{jiFOhb=C2!gKK`hq#u4Gcyd8WC}`3biR~+`FrHZ6dxIzQr?5<
z>lViGN?%!>scoB-u<rfcu-gAZ`AZf51Th`bk9X%5uikU>hTOGj%T~P?w?>49#)5h#
z9=0#}KA~3^pPa0o{QKKmB^iy#N%gL^7ayElZ_e}UvsB-_&i3A^J4J+U6iswuz4!Lh
zqNaz_g+Zqc=t|!>xOt*&y#0y;g-M=B#nFEb1BnNB7ZfvA3La`{VF9h-e!g|Z(uU;3
zk3k*+{kwNou6P<DxK(y-;I%t0x=&xn&fR`_Q-PwDp!nka1tBLNeaOjR=hqZ@;D!hU
z2S!s41Brmc8j=pZvAfG!dwY372jnp9)!n5R*Xi$?ainz4o&x4=qNf(6iDp}bZgu;Z
zbhK-oVBE`@OqJi?D}TyT(mSf6r1;WI>iW8lv~y-F^3olV#x5ASR(LfWY*^Liy}$eG
zr%z6s)6N<cK63f{Zbe&Fxf2)rlL-%kVz{y_A`V_$XS3?o)aF1<yG4N^Pb|xe3r+t`
z+j9TN)Ey~TN;VwH4wASK!o|7+sn!p0SPM$aRz+12<z8M~PYMoPzb>xq)^p*oT-I`L
z&CHt?)vA(-m+I_(smW+ZF6t5yvbZ&^IaN}xF8o5E$Ii)}8JTw*Bs6(C{%Nz8%A7vD
zbeHHW@7+r+?+XP6_{;et0%?H_BOCK6Y2oH|8dg?OEzF18`Hwd+GV|P8q+vC`TA%-6
zpt-9@fu5G+GBvkH6Pcr5Z`v@qY~Q~RnW;a&Hht{eK2hQQC1s|Wk(LGPvRc_p*95GO
zs+^(|xJRR{?K)_j2$pafl2{~oG}1I8PTXf&?mu7b;ekDspD*mKE<Yr)@W9pmd5=GB
z4T<UTp1SPt@<T^<ED;fiIN-*`{ba!bfpn1*#!OEX9_$ifI-<TzN!vQSisdlVRvDdu
z!}pj%wWsPH5`3@}rR0QqDNKb^#;zu!g}Je@G2`|&U0)NG0$ojC7S`!Y7AR;r{(Jo+
zHe$N5d*$g%D-tr<v@@sMdr#F_xR7g0`OV!szfYJmsc%Vpb&(g_q`ak`n>D-^uF8$P
zGRcX-l4HYmv+HqzIh}~?+`z;okYKQ&!7+jJyx0V1MtghvH@CO*zkByC<x-QwE)kw1
z>dTz@c#`s$DB5Yta-Mv7Y4aDg4I0T!Mrmpq+GWWft6YAjCo4bI$(u2Ea`)|*krU$O
zE39p!Ywn#q;q+>*&Jqv%+fg$Qu4|Ii3O8x5Wi8H})*^XkNkW4(a!Jn<*>JEyv40=e
zuYE;Ny;xXS628B?TToaS=y>#3<RTe9m8EG9)3VfrmY!#~_ug&78((L-NJeOe#MGvh
zUox6De$ljFl#rzJU+=FDEBmMON4v$HJ0BelTy*5H|I6=>`v2DH>+^qqch`O1pPA+H
zx8(om)j#vxpPqW(b=sGs_QC%|s@Hw_Z9l(=LqWFfQ&2<TzBQdDmk+XB6T5U?Y}pEh
z+EWV|%#k{1TmcU<K^+He5&aDX51o8w8nNy!d)u^m^X5mdO}8*zHh%BL=qPr{xLEPL
z#f19(lMBT&FD>75`oFHScKEMJ^V?%y&fdL8VbPz>f1bwwvwRh!Q5R{)#=QL9w5H~y
zq(b|jn_IqU{*U?e@cc40)kM9&rT6cAc^LQW;POA>^~RScDG3-%u6vGBSa6B>G#qp|
zxTj)EAIp<gj{X1tRlm8jQ`pbX&uUfE*(|38(cAML1q%N36}f|)+8h|)avMlo*k>87
zv!p?WM<;5FM+@`S)#3V2LMvuXVkvubqj93LJ7}M?msa;ya61IrXg;ujMS@4-O2O*=
zn~l@XfUebawRrI2#fpD?k`?@S?%ersGkyNYty9?Q<Tw0ADk*n~CKxP;uHP2N!C1Ms
z(KP#7N5O%6_x1^dKhX3Nn2=tdb9Yy$j?T$m<kTs0VJ<^6<K3H^pN0#}uqaeA%e$j-
zsL^Yx*4{%?D^}^TY}&jzaBY-n&W#O>a&mIJG#Yb*5IvB91}1)137!vO0c#KJD15x3
z_IFv%y*-kkqvvz&c{YAnzwZ~9dET7^lT^KVHvWENjGQDzR1YLL1Rg$^#H9t=kMifw
z=kqr<rE=d73ka~0mHq#Getp+=0T(y7MP5_2K*5Y^Z9TVv#Dl#Hsv2)@PVWwvJoV6F
zv0HD*efiq=4coVy-`buJx>cz5z1DAcM1khO7z!%&j~Z+|F`e^7@TI3OUw%p|(~a6v
zar5Dya#_wZbFIT|{%tIOA151nAPuRS*KlZ52YGYe1?k4CtHYBIG%za3eE9U~((JmI
z-tOz-_Hw1Cr?arLAHI4uG=A67I^?Ky_zLPi*tfhn@R;e-W0u(6Wugxqj`zuSE>>WS
zkB{H6V@JZ78HQY|x|SmAYG4A5z`mG!V4iLDg`LIepgn4~)!(k{{<m_Y^Xjm*O@AaD
zGcs04olZZC6#pU@vKiT!t8DkQ-L|aURn;$NYmjk4p+~~-P=TVzh0ix`M3`h=VtIXi
zz5D90wITt6-pIu;yfu^0x@gfN7Yk66<IF5m@BH5@zw~X*zV6p^@WsW&Q{%K=BX#W-
zG&ph@NEn<*G@s*_o|@WOaNx!biR|m^TsI~i<yroj>&43(8<Ptk9pPkQW7Aq7H4Rqg
zL(;j8cf&!3_uS0_5*7sthYlZhwMa-$PZy}YR;ph9_Esyu{T~5!|9MMxu48Y08;q3d
z9<YLfr&^-tz>klQ74@6c+nGNZzrSOmK4Hofm7etT^LqW}T4k<NjGKv)(koIK*_hY+
zoZw#Jneg(`(huLiAK#RE`iL^y9TVjb?{>dGbn+ypu$s>WALcz;$SGALAccvCt*d8}
zcu==PK!AYVuNTTce*Scv=Xzjm2J4gU4s-15=e_0<(bZm$Qf%~c8c1A_(A8g)(s<;E
ziy)tbq-5gHPfs^&*bq>(bJa@Ux{`#ar>0ue{4h9k=1fSiIrmaXuz(XngCe(qgu#=G
z>Gutbo_Ktmo@*&HA%5lYwI7&fo8>m`Ik8xV>k4SculS>x$SGh!Ll~$XK6Q4lX5fS6
z^Xr5*vxAnN+tvQk*ywWfddZ(3g%wp*Nzc#C&A7a*_tERrMiku#>_H)G9^fGK<L~$T
z7q{of@7TFB@!p=wM}o|GKZQXDh3wh8_v72`_aFWJe*gS^Pn#~3AP(qZXl6Xh!^(Ye
z>w&+&zZ+J3NO*I9zdYywy6MxWuk#M7`7N#&14{oNKOUD)zOf;(YyEUq)U53f%G$Ax
zjp{7d)<&x{HTm29WJx~WxAc55i;|Mkg@w-SiKZzh1gwzTL=8+@ptA2$WAe>eq8=U|
z0`V1-Cr>{5rt#O;*V)?~r^eda*u=CjebQmP*@;pyYAlFjWMh6MYaO<ZmGS4->iPBm
zESLGtKDP1`|K7ug4!LL;Bpu=SD8`hB5=;%dSS5H?RR4+CE5`Cf{}3Z1qlc&G!6Ti*
zACF1rXDkd&HQQMFI&9;C2Uk`GAKk@-Rx5nvHjoJTFR_U|N+@Du602LURO`FDySp0}
zl)StYl@%#@)@Qz5?3p<cJBw8ReV+ecW3fh<BXTLmwPzDUb7Q#L6ILG22jAY_PWtAM
zkg$M*z3S_$RDrwG#glA`pY?FCW?fm4C{UZGj$Du>Xn^MCQkUF3uOw<w@StIaZNM@g
z$sQTYp#1pUig$M`d1S3brfP)>q1VU`|3STy`!hDVM~O_3*PmgO$~9;1Tvvw&@7}FD
zdd>9ql`}Jqj~?m<)mG{AYnR<TR#A#lqdTNC@vxP+op1Z<nQ(57rIG?D`EhWZj16pM
zU|=%yba4!Mb#?XfTkq!DR)?`Ls(MXn*t4!-*6&5P91|WQhy8^`49$$XNt-qQitQ+W
zKaYc5&3|4`pRDzw`v3n{RW&>M`SnG_e0aTnzu0D$C+-|5E$0TNU-}0U9R44lVS8nh
zLsga4G@Zz%qut_%Po45&VeyJz^5MNX-;W<Z9-P+S?=sh_bjzA!&rmD$SWuRpYIEC^
zqfs|{TgL>4dGqeCkGC&*ea&}``+^qVc@H-v9Aq-|2HiypIyh9yJa0|Qr3hw};$(p<
zBO7znk+k48?SfBFJpcT7+&`h2DLOj3<lUXfH@kkE{<F}zeM8yXs5OzB+3wxD2imwX
z%RE1CNAux%$i?7+1FRA}5<hqkyvvSvsJpsQM&QKmy?bpzXD@s_y2rI!%)s3Ix`(5p
zqT-LQ*W+C!5^{2Q?CtHpytv5B$Hym?*)NV<;4rXWQ9h91V4Hl@>uZ1mA1CN+tNV4o
zc@G{uSWs9fsMxsY`l?XwzP>)tW}C(;IdSp!ZgKrbFD@>&D0?&GM@dnU)9Gott`Y}s
zGO|8;au6lXszKF~{gF2dX0beJc<|m<ON;B`#fv9SoDg7a+!GxYwW#9bqa^*XH8Xyk
zId`s2hV96)V_mUzA&z!od`$oDot~<_T#fCT7fK$;2z>B=@jjMHjfisx85kHCJYD@<
J);T3K0RW*C9ispM

delta 97206
zcmezOQK)$eyJ}~EpF1y?6c+;n1FxrtOArGClQIJX(^3vL1_lPn!*5C$7$W9QR5jPi
z%}>cp%S=sCHFi-oGBPqSGS)RP)ip2<F*H&&b~H2v5r%r^7KTw1!(5pREGN!Y2{$y-
zGf*`$QZ+J2ElEs==!|#rGVnF<jr7aR^exeKvy6=QD~6~kOD!tS%+G@;GcwdO(ldcb
zlvEa^LPS$i%QBNwCo?nFiWwQ08$qN~Dj|}FmZk=qr!n4Q5;8J@S__piF_;{1MQ!p0
zj!BzsSgRO?j7(AGO$|1mVmreqjIPhn45sneWT(r&G31RbVDghD8}dp`-j$B2#>4=w
zX0sT-A*Lm!MqmY-8w8@6CO6C!U^X)~n7m;^g0Pt(sw>S53?>^o9p9`ea+6Wa*w9kX
z+zb)`P+uCEfc0UMGB$!}4Hv(KRjaWXSnK3UZvkeIgAJXIGZ`9Ao~R@|IU!hTvV&mq
zWQQZMlX-YFCVvnVoov*2T@=%P6AQ2**z7ko1KZDNIJq%XdGdNytI7NI*(dWYN}6mn
zpKtPWZmY?w7X24O^DoHJ3Ns6t%t3nHCnqp-Os-uLH@V82pV`dZU~;0Q$>anvNnt~C
zv|uuU%Ly4;pvqYwq>PMFrHnvQW|Q}5tliu!Wx*(9fGTYW30z@gw4?<RH*`8anNwD2
z@&}VtUg!M0l*|%PGBz?WoV?IPax%a2@yY6}qMI}2ZZM&nY77Z73{yekhEB&B4JSV=
z)!RI0H3y@xF`9$SjKHCdp%o+!a!|h#rh|+?4%*DGY{<xLY+^9^VSx;jvBl(%Y!jIb
zEhkS@Qo!(mF{TDHBanv8-Kv`zg^khjk**=gO^KXlu&|q4a7Apgy^|$0^5Pl6fxLN-
zh6f{aP;trRMiY_A29e^EFPs#g<ia<ZU%6oNX{{P&V^fIZbPYjvO`f6y_R3^~sVtKd
ztXU^FnrKW8n9Vi0bFcj5_eLUM_LEr%_Ic9`u$WM!(d2;H%9Ht(`8Q99<YJu6HB*2Y
z6tw^5s)3UCN4ANZ^Yl9yC-?R7Gn*J&PJZ8#JlS@E0F#OFWP=OaCLgd8o9u1W&!%c*
zpla+gIcJ^3<k!w1YlSE0trG*YpUgtA&zthY#p@~&Y@tXYs5saulP5&_Otzi7ZZg+Q
zK}LhgaJNiiG=XKf%>m~k7$^7k2{4&kP7YX*4|WuoDLdKTY3JmtRugFFC;?_rnnpNl
zGpj>8B!~<xVXhHm0yAYd+dDZh!s3N?P7*>6r-_?`+@W!1YzT9Z05gOQ4j)G2$%)(|
zlLJ`wCO@!tp}q42m`x2(BGMcqBH2t$E%hu6CvTXLIN5FiKa6YWG;^{6JL}~8S0d|Y
zZP_x5fkA=6)5S5QBJNErdqr@nxSbKV?3RF28Iq~f_I{tVv|&?9^P&z74W<1YO-c(o
z7A<Jt7GYO-z`)|d5_xLb8{Sk=s|C9+#6J7KclkZ3OIv(zsrX(ho~Q1A>&fi*dv|`{
zn{M~XfwPl?si{FhfdfoUaObd5N>S;bP(MABmC?~bK!AmX(Xl~Lz(`5G38qMa<B2Ad
zliMeex|uN$1t4`TJtr&`IR11yczJPIT3SZR_7^=pb@BK6{r<}CeI}Lf?tC$=G<pfK
zr&U0}C`mCPHR82m!Ou^rSyxwyy1KguZ%jJ6qU!4_lfp+X^-@+PD=v1=Fi2!-ZEwH)
z>FMd-cggG8rfP*Q;<x{E;X&2+x3}GEe|=e)zwc+;{jaL4_dSc6-=hrn#Zo~5qomB1
z)S7PPf?r=USB0;aV`XJEl)Skik$LZrN8MY>-^XR$+p{z9bHA+hw7h$JW~!$w30&-E
zl6_6*)y2i`zoH!1)PK0SDfP65o?c(w->>1#DMte07n|wyvVg*5gCa{y&y5QQCoFx!
z!`Rz%<k+!EyLVgjGrc{Ly?(Eof@DYBe6w7szaI|siwFujs(MXHID2x5=j0g;6%`dJ
zGk#1Bk6Wm}?}t)4x6wv%6N~)4U&XGjjW*w#UQl3gcAo9*do`bZv+D2cFs%Lkc01e2
zj)nWw{PfR)W8*|IOH0p_pNbP$pRhExa*G>noMBgM^>Wh0L#^DGpPik3^9kcwkITz^
zU#{Q(Z&4#N`=xVptye~E%@UE6^!)zr?&JN6<?rvMK4MDRRPr+D-x`NTraz~=%yMtJ
zoVWSx)26mH>*}JryUU-aM6=cZuBfU?^Kv_5*2$v+3RMR=C503f{)5w3`nof)B%hgK
zcx78|^siT|*H<v~_GB!!w6?x{YO40i@bz(jE*@-VUs?P6+nec(>Vf9w+j)eaAMs&s
zzrJF{3ZCRffvK9o$G)6Rz2tnN<K}`XjVz3g2i!nWwwT>*+E)Xn@^^O@3f3#TUAVeB
zoHI)BM@Pn@)sl_%tY>5|^lizzYqj_6x3{+~laAiMKc9_X?u?p%zW(|z?~9$>)|B?N
z^h9uH&U6<LVEM$wsHj}oy5~%`3DfWI@6-SHU0m#bc|+o1qc4}gOICirTb_A)TP~Zg
z>h*Q8i~s+9UoRpc;E;Z9j;H+Vuh-+%>wjdfiQ0PVMQQGx9Ty)|WnEwA+tFk=okP-4
ze3DV0f&#}4EhZ<ooUbQN?2>3aZ~uQz-LIF+b@Jc+N>Nc$Ytsr@;ZXnQBYWwa8xzl3
zbZp7Iw1iVsH)@J(u((ddfqlxe4m>d~e&%y+#esvOQqz8YeVtw@qLaJK)7Llkd9=K|
z{57`vuWxP|`+r(+Vx<Ns^c!Gl`j3qAhc}zg8yWt1v-$icnZp4lyjwIB6zc!|R2SxX
zBFM?Zld?R--rnBqX36Voy0v#&T3E{5T%%R&s$VXheq+ky=}f&GPBo>j=1Cg**VT%-
zL3uyHkENw&hOn>X=^TzPudnyZ1~_`uyMU9r0E>(?qoQ(OZOz-F^3c^`PX(e~&UAo_
z3Iz@eJ0>SLng0^Euks11GRq6zWl1R8${4u@T27qg;E*(wG<un!$r80K=Vaef-KZ@a
zu80_|gUa(NDWn`><M*nI30m$q_r=`udk<fT3qs1A#`-_44HG8*DczG>w0#Bh<z>Fo
zlUKdD^~5bD5L#GSIys#1=u4RF_sof*Z&m&OzvjA;n~uadpR#}|C~Iq&AZTr!(6=Qf
z#rf@ph0Mz<#05d6nS;QI{Tv*UC-)z>J6|5RvnX}llIWE|OKsx2bwK493!|gLdx3fZ
zqe<mAp1+<szxthJS=iJiX+M9NOgIY;uLB$$k`sjwzT#ML;Li5@b>7+6*KPfE$7mtc
zUvCu^QjUD<>pZ-kqxJruzkg5OzJ2@QcP(iVsO55uipn>WRdmmE99R>%S;NwDCihiD
zA)K^qV)21h9Q8+z90~aP>Z)<bqOGr#Qj8$M!Z_R6!9>}t+(V|^v6+omDde%NMZto{
zc|m1r;8dcJV8rC)=F{e5^@OGI?(Xu-n^I3_e0+4&@}*uaEIuU|6_xpF3UV{EV|SG-
z)C^u0@ci7|+|0;LVo*Dz9UM+{)bq-)xW^xQc(^_D%nZYl7Z()W@2`5}4=L^y*g@gP
zm~rW-3CpkV@BN+IcoORcp;5=^=pfIis9fY1A=2nQT`%zaySpzR9qksI>&_+)34smq
zpz`zm#?9SR`=v~~qPAo>>hJl`6uTx|OcUyj+Ip6jo(TERHO9#~IXb49ms-}v?3|SU
zO-EW3Vupb%qoVS%WHs9!7lz2N;NalQXJ=-*eVdR5js2g3jEc%XKdy+*Ja3wHMM31K
z^R-a80~L%}T6#|GOcZ3{ld*94|NH*`jQ{`sib=+^iL#im#8tgiWvq7=5MX&D(YSv9
zzgaCkH$F0MdAfA}pHHVl*2meN(^pdBh!A3&FtPIUv&bSY$!Gxq7M&Ibxv18j2#y%Z
zX(x^zZ9R1O@X4DuBOeH`=yWwq5bQpr-OSA-=IU^w!}a0W`_2Eq-Ok^Vbd)P<bDHlz
zHm9<DQILmO(`LE|Y~WyWa;w*2TFt}7G9mZt%jNTzsn4r$Vi07}>2rviESSOIJw?OO
z&(AON-Pd<_cdya?^6lF;3pJxgg#>*L4#|zvl~dDS@2UT9C#n}S<K5le>FL_jpWf1D
zs`PX_H{ag>L;tVO=j}JEDzAvBeRzm<mT|h@vVi?xuSJ*q{Z;zwVY~c`iSBYI>o4f3
zsI>e|J~z+y^!@YmY-hi^zJC5azJ*_0yT!I%ch-D;t{s#H+S%_)MIUqhd0Kz}lL>Kx
zjt8VTUQ8BLx&8X?Zu7rC9`}c=3{vgZd-!~Q{j%8IWf#NaYma_@b7$w|Y5MVg2M-<$
zS>V7}_Q-ACTqW183pX|<uMAxsW|DJbgU|K)FUO?wFEq3BznJWAXLuv+NQYp^?y}sf
ze?Omd&YE^<srP3aftqXE^W$IL+`QcNyG}DV(=!)`6CIWpr)<0=Sn>60_{y}ivuYlS
z3bL$eY_OC3`TPC;%!5s=pbDhh-@fuw%BrBHTzh{!>Rz)}!aPsLca}+~G5_)7$Acpx
zB(~<<te-Ub|JN56o1dMZf1X8Ez3AB)NxAyNZM-3SDn7QiZBS!8ee%}QeuW8=Oipf3
z?rh*-y1FWK@&13mx>tp*&6@ZzH2{<gYK@wt|DBy}o_VZClI@^X$qNBcl^(N<VOHzM
z$H#*|KRdfqcMGqA;cT<qMGF=vn3>ysK4Z+%%fj*`ss84s)D|178O(2=pPPI7Z~d>A
z>bLgQTKBKjKi((1_<CG*uZ^v(rlMlwt7~h$^Y{NXo2nJsHJeY$q$BUnj)V1wLoTn4
z-u^{#16zjm1^;k19tj79{}H#Jo}MoMi*M>C#~2qD#%Onk6CG>i6|H0BwLTxp6fG+h
zbUYBn@nZ7C=ku!T`+j|Sd04;p<M;2&HG`LJc(%@Ww%L}lw^3?xudlC9f5hm^&cUuX
zL4oH&#e-x2o=o-^(h6t}SaAQt!^4`Inw@U_a%a^}CLQe(<T&2KDGVyy7xu|o+nIcw
zr0Sh<e_yRh>8p@$Ul|@|+}@^}$$8tLJS0S<F`?ng3+tUtUlQ(c)r)F<n5DqVE%s#N
z1@T!56GVGf|Beki&hSr9Sl#c;1s2K4_J6+wXUp2nRG+V`kfJhwKEt#d|I+8z9`n!G
zVbI0Fbj`_OdXGc&#ns{Z*WyCf#aJE|5_o!bp)<RaY37NY#m{F5<lNlU`tQeM{%_w8
za90-P-Q9IGS<&b2@#F2<{=a|L{}lXVrN9w;e_O7!Zp;pYTDc!R59-a$&DG2d9y*v5
z85ceA_$OaF`++;t<UY0N?Rly9g#}ccnd~Jd%>DNEcKQ#gCofhmpJ(K8z{r|UQ&Uq!
zTzvY&Y>NX*Rvs<_8`zkf+}1R1YCg}XZac>#K+*o!i^YGYbf~+>if(RpR=B;Hv7V*m
zB*zJcd9N0}yddtfl1I*Fh9}DzV}Ti=4)f~&|1G~}u_f>BtOb#c2fjb~eBNID$=RJ{
zZ>45uhpmlrO+7W`;roTH4b5xntbSRSzq{ZrU+coi$oR&Edo9}^SAn0Gb`&PR<4zB*
zVO!g+RuIL?SoMDIccV43fhNxFe5s2T%`Dqe?`CLd=;O4Y_Tq_tUKz2-CWQnMCMUO^
zCQqr<R)%?M5BIKbRd9;!QTL2V*<JS5>*?v~;tZ^e-O3BR-4@L4?(17te!tfI@E-Sm
zxzx=p935&q1Rg!(`^;&=|KZ?^OG~-yd6k%axz8xG^UI}t?#qjxk+;lu_Oy5Ti>wv1
zj1H=&)F1DYE&25&^V|Ikhw2~R=-T%|_oA*+=OxYyw;Bvyo_=<A_RJiGD);yY`<a-S
zizhBDcyNY~;S*!ypBLO5Q|umY{NXr-^OG*9m-2R@`Tb*sfvwK>-rn9WFLmYW)v0^`
zE-X8j`J~}zn5MF&71OR1mMGpIx{V^)O?%8a>aT9$R?w<9*nZ&8r}OszFPPu2IebJi
zg~3kp!n=d^EX$@Gm#gmawTg;=!r>S{fw^TvGS8RBw54Hdqt+BQDr<R6oIYKAveFht
z%b$<B^(#C-$R505z@3@6^M}k&uY{<or&Gg!6#rP5!L-)1sK{utTJ6ov>HO{di}$hn
z*ndcERIUtb`tb0?iTV>fz7A<7YbP$AZ@$U?w!;C%lN^6UKd3O}SH}2!s9)&RdP7_8
z<c@^w)=WnGik5qdETVmB`}XbIRv7Z8KvYyaEXAjkHHAg|3aGclSFYl{&78%=sA+kP
zv!&UB#ub5!+48y1Ev!(yBIY9beC4;_?iqULBJV7jc$)dyj7h5A%j$nmKfuqLCN(2g
zuKrJ<*<pvtOqV%+IewlrW5x*<St&l3oefhC>$7}5{G5ep{-o96>o;k-hdV?#lr89O
zT*l0|Zq@<ylm`bI*92Y^pCFQv*e7p)Zl9ci`oVe^7l94zEHZ692N-j|zPh@l<YmyY
zz480&{)9A$AM!puP4~3FWJTlGdgVF%;!I0Y+fIp=B?^dEY<$eF@BeAp+<hC8A3s{h
zIq%Ge6fW!C9SRA&ET4>+SF3(!zc2iwS;32amf(zxBQ;5$M^fMHaX9eqBu5eBfsZ^(
znEd75-r9P)Fpt|}xnnAqsk+U{iRB8bbvi2x59E~kEm?c)vg*8Z4nG#;9ui*Gp^#9o
z%OW$iN8zNTiN1nD0pI&OJ2!7tm|DrgxLPq`dkV|FPwV&pvvSYR2$Xr|^7g~S!#V$3
zH%q+PbzxdZN*_a!s7dy<o?@8;Hpv<x0Uv}}bUGUrPZIP_QcLD$+Sb%?ccS2pq>n6o
z!rV^Zw+L8zGjiE;Sai3xwSBTa(ch8Ck|5q*?<Kh{FFifI(NkapsHd@pYrBsc#|%-%
z-Ac;Z;p?8rFciqWzPERGWaEiEg9-8$iw^!j5-{uen_th{-!JrXyVv5@EA_Pf?J2oj
z9!5oFvoePi%ccfSjvKs^j%REy$tm0D=|A25eqZugo=KVqC*KQH(R`|Rx5t0!jwQFJ
z%qVIXs-N_6*V?#p%|`p(-bcH|7Jay(va9<2J}=w93UB}ZtA8)L_l|~!QXiLCMGKdx
z&WHQe2e<q`J*8w>ifLKla;GOjdpLHAY5Sae_4L#G)1kG`-UUtBFD}U918V=Q?C`91
zJWwXEq0)$HYW?M9zM0q7M8>$B5@UJTu;YWG`ayR2nuL08Z=)<;!7dM%BWl4VF<!TR
zuNC=PZgh0je{Kz?htc~~RF~dUT&~o*B4gsACreJaZ(LNNKj+&zJ9q0nTt+t?N+$h^
z-rL;pU~~0<p()i`Mlbg%X;mCg|M7d@smuv$j`@4;T^(0`>A&CJ-u>^jl7c#zoRfFv
zdTvh&+0-IDwWwH0zrH`||32~O`(0TWok7k0&rSjx;#qQL^(bfx9CCgrvvO(NHq{jq
zgBgx)Eqi+_)%)}#>FNTPrYhASj})8Oey=4PR<Nvk^w^PK&c;daoa@Q6H#@y{SbW?)
z`;wc8W{&l(jw{yXntF~`Pan_@%*+-F)^OZw|LFv?YgnEZuXo`mz52JS;`Bl`_Hh54
zQWlnSvg}%Zu)4EdRFCasK^JBv^Nz`rgWHtbZW*4Ko78>%Kut;2$uQlXhO?6dpYY5y
z&zF09clY%}0#EXO+}&MXJS#)R$?4JhJrg#(P}#L4@6vRqJgu|GY`ONV(~DUeGbL@|
zjw;LGO#bvwCFO~_ZF{**bOSfmcZ!r6PfW^F4chX{+Uf7x=yfc!%U4xSUyx<@E+kA)
zY~r8R2GtWBug)!)#_M#QcWFfXG?7e(BISx3PS-+pefRu}$lv!<?f0t8(5hnN>0d-y
zazq(tt0?c-C~sMGWZkNLivq-CastC-n!cQuum5A{{&$A;+D~6kxNvdwE#*FF{<6O4
zh3?b(z!|5e>p7;{-kq}TfN!RqkzMS~SDYE^N>w%9dS;}|xx{evV(HWElP1jZ3f+5m
zWtwaGs&yt#_q?i=9^K%OP*Of`_gjaRjcr-J%n?TO+9hq~ZUHmJH7`%h@rhp`=(?-i
z#zIo)iG$M-d70f4eGH8qg^YVzz4rcUa_Koyzs)N77*F%o{GOZ5TLf9&Ffz_oRxS`Y
z${&1sNfy6CR<_HnXB(4`7fBdgvQTD}&{L||b!zRL9WuVh_1!N0Tx}S#au56L&{Nuv
z9==@~visD0=O>9Q4`vtHJ`xk2JjHsurixDAAFZiN+OFEJth*Y-G@V%~p`&L(+@$^c
z?SGdkN*mOla+2_N+0@M8!dyCYng9HG3pKmGD4GjiI$@rFZw{wtno;Ga6hGB`kI#h<
z53%}{Oq+R4Ww+_6?@bMR6%v9|STyfGKR4G~LFJp4Zq$|sQ5HKS920gqriBNq{`?ke
zRi`+qFSch<arokuUFDvu*2IPG@ecf$<mw}<cYnKo$VA7+`h^$&>{>M^CHr@kkzr=U
zViQYIy&3O67P2rH8DExI#n`;dXvO2AkB?lx9slt0<3dhhwSd3BzDjagoL+oEmW5yV
z?ygerc8+C{U#^O)c$SoxKkt87z^~NusB%STYrC-Tp+kpmtm)R;U2x%eRKZ>5%*F%!
zycer9A{+PEYV28_=^Ie5C>^<|(3erLsh=~5_rOfKeX2Xk@@{YI-R~@xGGjs@7jx5V
zp{`#Cby#}6o7s5fR&_U<Cg#NK-oQVjeT%8(p`VJ?8**84+Ik#9fByY`U$`#kn^yL<
zH4m51Sg~To7u_8@cM9so-E!62y;nB)R)6jC!y0xk`F8FWQ;v7FTlM3_<ocSj9Wy`K
zUU}EJ(9p-{L3vZbqidz74J`#X#<LhHEB}x=$<@vyIceX{%gg<XL*srlDLBe=aC<Oo
zeRq0yclYuA$uq(Oicj9YZM|3jocxupm$$d;gZe{%WdC?hsA6cE{dY&<;~&-`mt41H
z%qUjSxYJpgc&_ys%hb}svp04WDu>rUpKDufmR)o~-i1L**5*P2zd(p`-qls2piW6l
zOpL{4L!ToGb{Wj~g=Q?9z$z(TF2d?sr@~=(e2>N!_DRCh(zD|%1h($9`~RodOt*Ot
z1Gk8ftJ2{$EY))@3X|H@uC5MG7oOUokf6h4=IAD1+AMtQ+T761Ork&i3m6Z&dew&)
zG#)zYrY)V9(X-$~g;jxC&!6*m0@P+q3fa7Ax`06P`2^!FyM=^&8N-z|EZ(S@u8*A-
zbxqS-bWh9~gH1e%zAQWw1@F8OoVY#XqS7^yjHdhAs~%_VKfN}3`=+*s)8p$r^PA5v
zm>99UEVnK9!86<Xe>K+<UU2(tEq!%mp<(i|`U{{Y-kFTF^pH1SKYv#KvB=>A1G6vN
z34wL>2Co;fuyXKu_`komH*$Al5ch>eUtV49o~9o^?_i|dZ^x|(84(4%P3L8=Eq|TO
zl+>zqXt7)GsRt$8xjY>bCpbD<HZtY*EWE`3;jClH1aJ^k`ngTWlg*L!xf91K_jSjE
z1IqPsu8$^ciVOd+_(-cuJl{O-<&&o`3N`T%`uTHOs!))N4_jrKl)@4V1p_C+$pz=q
zFD;m*Zu(h!a{B9uoxaWo1SA`$F`j#3VE<tIN=~`Dg3DL`m^g$b{Bqc_Ppu%TmDBzD
zkwvxb%j^Cu`?|k*zjWS<9dZuVjB7QxDi56$eQf{vOug|O$0+X0t(+XQc-P3jcG>z`
zGkDnt69dJE#lG218#ky~9DBuWq9!OPct>7MEW=}gZRVvVo>i9{8W^r!XlZHrz&t5W
zEPF<m!wiSMSJ&2_&i?S>gTY${k8DQ;agHaJk}t2U6n=YSqq2DPhPCT1d{S7&W}jta
z*s#LkD(CZ^da=9eKO~jQa4uWh-n_ENl0WOgbKj*QKXT)^CrwbioaGdxwRD9_%87lm
zR{aSJy3&0=^qtTi<>IU*T+3U}N_w8Ln0a&m`l3?{zqNH`TohXTad%MsW3`44Nj>K|
zuZH+dSoBJ^{_)c_H3@S<CYeru<XTx1U2vi|?BSu~=i37&T$)yr8P!;CWx8_0^>&YE
z=h+yYQ&>cI2>LRAZdWrnsNlqEUVm1d$vi!Sx5U@-Le2x@l=^GpXIitT=P9|}xsb?p
zka^m=hA9mJGBy<x@;}|)p6~x(>h%n>T&eZU{Kgdqm$h1(4>)8qEn;buWL>7bCORI}
z(|tC-Pu4oEdY;2$=J{d*CNt`*N?4z8?^80+PPV<t`N2uPwy~2dw9S=;F<J0Lu+gQh
z+2M8{x6a<Ov3uL}vJL!?o0#HfY`-_p>s{!rOWzJVUfHtE-1N)mz)Hh4&pWuL=If+K
z`#vh4(DUI%1z#hpr^Bu?aaXPh0%uPjZslb2aE>wy+avz0e9we+EG){s8GpVz)vJZ+
ze$KM;OguEnEhZ@ce)1ybpv8i+6J%2Idpmp|97$7f^f2ZRdK9!~#i{9!PGx@xkc_mw
zGr|1muAj~iesBd9efd-RYK_N9;k7lQVc+JjKN?r(ylhSI^qvNZhLQ(tch|*Qzq-HQ
zKAZQ!ZAM<kTS`u>{9GMX&6@1z<`#%uWihW${^7ge5A(V5A0-(^MNd4+7+4D(<b*OJ
zO(yf?9ht#h^yf$6I=w!2y$qE$K3T8gXJ-<Fd2c2A&4_sLqU+TIgN+S23Y=1ZTx)7;
zZ~8DFoO7Y<%j@g<%sK@tT;(ku9(vB)!l9Nlqx-{e=9LGlHpc&WdQfF+;$gNvy{7*>
z-`Y(N)c3PI;aI9y(m8YC<A!Xnl$4aV^$i<$UY49uB<B(R+eP90367kN8=hxv3;x}5
zen)8jo%*R|^EsBfbv2j-%@S}vIk`vr<c=^;&F!KWJrXuG&dyxHRk&Aj{+v4J<Gr%8
zxF#sx`B3;+KjcK2lGnR?d#5j38tB4V-Kf^qc}3TC$rZc$2RB|XcA7PJs@hT?Pw`Jd
zocDBm-xNHwEwh`_H;;RvyY$b1@6)FCSW8?wmBsO5lHd$of!;-&hbkTI9-L;_FK}m$
zxPIK3c<1CsX}f*b=LCGTtnqS?F)8M8`flo2pP^O2+dT8>;(oiNc%GIIud>wpWUY_2
zaM-CyNDFEkTyD^LSl`B<)MB?a`?{Y$XG6P$0P~E91*HyKKeV*4sQGkETN$=Cip@um
zky&2wM{h&SRPFG-2lJb@HY_<a$8xf%OF_)lLmgYYo?m#PB*bxqiSK*CH&#L8aE>ik
z{TODRSSNTw*r-WfqV7Q3AB{IszI%_px2&9d?d`5JEK4eFPv6~>AjMhV9d>fx6>ATU
ztb+>7+FQ6P6yI8J;p$Vm@a|5Re_(ZA`XN!l$rHjl{NIZ13_n%1tBA?MyYv6a?2<=^
zN?+YxsOA2!;|3ekv=axiq^&21axnGvJItFP*s|rI3v;@j`;>+S5x+8TvudrMcki~z
z7t`vy;y;WPJ}Fzo?7gyk`K6+ep!$F6A8Z*p3(vMoMg;C>JEG#2>Ax<ne__T`k(h;R
zs&B3Cv7TCFzCNDsjL+{r_Dj7hqD{7V`>u*p)ZO3WaZgbpMdkg5=`&dy?<ggd8ZrG=
zu{p6&ti&a9qiTat(7WKrQKBbeXF8uzy?%xF+axZ>-teQ3AA~QwoANR4Mw^e$vs?M~
zdB=Ay_ObQ3@lQ2(-KiyySN%y`74{+JQJP-kv}Y%7CKQ$Hxj65-VsL$fksqIcfYBu8
z3ro5c5|lY2=Jp)W<l6YT&E`rj%VZf{=F+5ncME1kY5RK2U6m=xU#va7Y0-<Kf-Opl
zfpfm?o#j;*wzTQgfh^bK*YCVL820P%_m+)3eUIup<R?s8#dSLC)m@pQUqKSG7J?p&
ztFAtEs#UrM8n^puI9-yJu~;!7)QE|F)w#LW_D>?x*7ZJlYO!B){{-#pk6(T?tCK06
zB;EM`@XXVRCI7rELPPvNglbnAac)#)zkKJ-d9hzk*-S}Ak%E&KY=~I(G`j6oi1z9^
zRmIa^@O&+(-}c6OJ=f=*LGQPP<|eNGnZ@hm_UY=wX=kk@n8H+*KSa25$ke#WxTfh^
zyL`=_@_b3^&WV%7*I$<WTEg3y#%k`~a;hsrjIl+q`43~Yi{;g|VQsHM_2;klJRcwU
zICh`o<oS8CRu?YWoXNo<X~?UesmpOg2xM?arn`{A-4B-a(M*S@Y;AE>zqlrqJu~C`
zu{RF0gbxb;dT6Xz@*`)0lETv~y&H5YR|bSm+~cUAq&6=h&vNyaAm8lp1<Ojc3a0q^
zsRU*2sJmG-=bqHPo>LVTek#F!8#aW=D=J@eih3r<a;CrG>In|6AjP?cLJc=}&*AJ@
zX8J#7*}<-kCo1V2MfLSER>Cgn`m1Np+x76_vGym4O4}M4oEGzIFTZj)y=i6M*P@!5
z398pZ93O3;Z!W*Iaqg<XwO*>eZ}r~#x*V8ylB1|`K@Q8R53jgi?N^X(n&x!RC1S}=
zg#>Yqh~AzBEatB)Zd7}|(!TyU<M6)hD~n^_%E&(0t0iON);jl){1V5r^>=167j0p9
znIgn=Jamz9OMtS;j?(5+YG1EP{onao=WAB<%$n0XpRL$mV#LQ-t*X4DrduW1V^>;7
zkAk;p*4d2Gk{)O0C{Ctttqq|kW(e(F1zStNVZ^maLzv6{=H?%(Pwi4)cO>Zevt{iC
z^Fr%5|I6(2Ir};+CAXuawqCK|MahIq(^kA$?YlZ?t=2N}^SA318fIP5a6Owf^WOD+
z6He{EDy5{nLX`P<rSF7BQS;jD6meHaYmqC?ZJ{A&&id5-`<d?4Xq&S1n)s3;_rFqi
zU+u1J-*85UBZ7}{^#nnYRHv?_D{&jbErkRe|2<b)nXPR<d0WGw;_5zDE&G}EOf|}r
z=P0NhOb_nOU%hId!W0kQ`|AQlwel9dO#6JV_{zFC$*hRITYTT14iro7=()46c6Pu{
z@zS_}H9~dS*A$dI&hxqdouul$$xNa}#dxMoWl>dE*<Ukpy_g4FyJu$l$~?Vs(vF33
z^@NF4Utbk^=1y6*&E2wAbynG29^;uEbLztcMgOTcueiRrv`E%0tAB^(t62}{?0T0!
zHF#NI>Z;(QTQpX!)%x=NVq5CUZR<l!Ouzq}ICEy&!$YklUtfi;lV8l4b$G!JnJrsC
zfci%dm5Nt{aW~FqTNk-vL$_0f!TbY0O|Nzch|G4rdOQ32y1<$moBIAo#ZON!pBeX&
zflaBUUdq_f(J^PyH5DD5p6n|!76lF7NeQ~e&(HaqC+6SU(s^xt{CQ1*0B^RVzfIP9
zhJ8*tyCSxEmt{oo*;%GLpYd@$chrmDcc%4cf>_fr*4ED@h6iVDP#4fFFE7_i%6gwx
zT0B45Xx>wS4Hl9IJNsSIH6&8|g-W$s?c!^j=G9%=Qg3k9e#(4@jf+}W7I(k;wj(!k
zvmi%~bwOj$)NM~Vh5xos`&ZYY#*^nFx6ZY9hU+YeZiloKmidc+%+3>yN@;Rqw6?fr
zZEY>g!R>JvG=eHv|AS8@@y?FIH|rS>F&$#`WoK#q5w$hzXlO}nOblq9#p_(hg0s%{
zA@A>QPUnAHe`n`pyWek&|M971_uO6PD}8Owf(vpc^3vvcPgWh+oPIvdm+x0Ihr{CF
zjY+QSjOJY3qhOcOy!DXD(^s#&cI?=me}A4^kHo>+kCxA5EeaGS%Y?jG8>oEtNsm*V
z#-HyWkINfZGK#H;=+W9A$@$Hy@X-+--wB5p)wBd14@9u&__@_5%sSXF9jg2`a9M42
zLsjXT<42$M2)YF5{C+I3`s2i>!ACX<I!uePP_@6kdgevxy(_f@^6%8PrP<s+^uq3v
zK{cfN6Zp35;v&~Inio=zc8SJJ{obv=??L~zyt}7n@rHb1?PRcw*p$-g*dCN&T=n&p
z>*?wG>5CTVCm-)yYE@tQ>cR}e<c!bH&aO#}+*P7!?yMSXZ@>Rb*3;~3YZ86gPF%RR
zxB8|z%jc@<>dlq{H&-pZn{jQ8WOks@Z1LZYN8>Ugl9G~MoS3K_b2R+=b(T79S*wx<
zuMT~fdr>qa+2M$Tn}bRZnDvGAu`*~<!a$6%d$OR7?U~u;{ZZTMb28t|%2{^z)LQWs
zJF2pb%=Z4)4K+`@+2;JEX1<su7wguUy{DagoD}Q~r`AQ(PjLH^>9?XWxo1vLXnp>D
zzl8_q_U`{6ZJbw^m)z0gaQef$-S0R3T-e|z<Y;sD@v_yfD;m}uc=G9CyZokWk3Sxl
zulJa|s9QToq~_B}br$x}+Qxcit-Kcs3K#qqylrCT&iMN3s*PP&^SY?5TDCsorMngc
z9=x^j9B9^Xie_*{#Ec0ab}lnLnH9IjQc0=l_u(T<yqsIid}o<>#wR&AFl1*qIXOj0
zH!ooFUBJqe$Yj}MDVzP_gF!s+@;^0kr|$JSA6S&a!Xs;6aYNy)uU}l>vc>h{i&y$8
zKfY9@+<3EJn(y-G+NYV<@AYlndF}VzTg)bV(v26-ol-6zdNH(aUdZ+Eq6sq|OGqdw
z$JhTgb-#Sz&%%>?tG`<ybyX5hfrbFuZ!OxiL$T3PSxc+y_iL`a7Y3~??CkbmEIl|m
zjvj1g|Eb1rFXFqQFY|K!vX52<>Sr_#xA8t+@0hT_lrgX=#Y}%+vp)A3ds*wUGh$vD
zjSns}HL(d#UUxu-HD2(?xdd2GrEF!0=Ev?+eD**8*|X?)xjhhQm7lk4(H8Xw%j=)}
z+fIF)$vORv_WMN~x4U}XGehiuU;cOFvf;+|t#x~=>}_vv*0j{DuV46vMYP`ht)2Jf
zqcM|ZL)>cDd%HRCI9L@l=UrbX`}O_(`CHjMf;b?Z2Rp%zFVN0IYbLYjiDUip=cRZA
z_&v5}UHwt}<ix}^r7y0pzwi9IX&H-@;GGUb@h3k%KK}CS_4><wvepsGh9xfoW*MjR
z&CW_Vba0Nr#sl>iMb&a`4m3VVsQc0V<JYfEGu2jCew3Y4c#++2$A_N`icZV2XLLVU
z!xhBb9{NVyZ-aqn?u`wNi@P7#Gp`lA#$YcrVeuLh=UdAX-MV^u&J^S{6u!J9WSDlv
zQ@i();06uJ%E!ItKQb4mpPyI8y7T`{1FJI4Fw6N;)BRH}uBtUzR9{}K6}4nS?Au_m
z>^hbJ9e$}z;=i{WWqrui`tK2Z(mqKf?561@Rb_){1u0kImd{N!2c|RDDekb;kJ~e2
zf7>x8^O~A|X^R@;2i`?5E+~F$XHYzN<ARlnii$y@<CQKqKLfTuj~1vpaI9Zf#?<#)
z!KFap=#2`8=9z3MoaQwG%JpAX#_l$2ZEGvK!aFC%ktZRk@mk`mD=TN7Tm6>*R{D>Q
z1NkgZR>kZrdIN6uA4unyQnFYVwlb*oY;do%`LlQ0;p>*%um3-{vvu}tY3tuk+DW|v
zFW&U6teIagut7ue<CiZ_<Y(_)`TE|!H2JUZZ%v5l+3^4WQYTaC>z^iGxcjI6^X!vl
z%LOlfEU{6TB9}e4)^FC1BO+1TAB&u=aom!1lWDdubM@H+vu@XV*E=2%6xbjkX<7J)
z<+lIAWn0uOOYG+8wW$PcuF6cTisaOK>vmjiWzU+-SJ8rw4$cX?|GR1hpK=wo*O{)X
z@lSny&|9y(D?8d&Y}?GL-Oc9YR@6N2OT8$|Co#tEiGnT{4&-I#Z+ymmecqx~Q%_A;
zUw-Xe_=f3q|1Qf(nQw``C+BGT`&jAz3s=nDE>EsyzkJ4$`*V%$wk-`CUMpVNSNODj
zn#tQ{)-PW^ua791xonR7^ybAcZhiHrS~<N|jdhF01T`MLlp`z~maJ4rNal#>={evn
zQ}}Je`ubblHUZqVx&QpCN<D7pOy3i4d$wwan6mx-H`%>Mj^BN>OXV+{y}SIm|7M48
zOpcfK-2Zpels+wcQE~Ch-79<R3pxJ&{H;|~s_p2mmm<5<BrC$7Yrmk^{`VHM-pci6
z7JRy)`0LZ<?=R1+Ocs$Ac3rynRM20yvoBhwhnk&sJKHN+e`ryzkkF&dn~%1%1UF9C
zzwqm-_6eyJmd|td3b}Fo&Yjph%~x4({{Q!Z-ANB$G;>Q9eo@x>U7W(A<L0)j<mI7<
zIloSp=188IJbm$t`H#(4RNsE1{YmdnsebR9+mpIP^k%%B_Fzre?c<$Q-{swk_j!2N
zEz!>3V>G8Oe)FVrt;;smPoBN9=*fe)Ur(A>UU_?RdBzX!nb!{-*daSRG_+Vm$-?hh
zSfAW2_wzPaXLebME|%NNHo@J~f0tCnM80>oJ&n`qJ?~b3J^xlD?d|{X*LuHPGTyt^
z%`GVZ{?k9oi`loFE0dQlpSy6K@7|StOFGYstv(~gA$iiU<Wiu(iDDKVC$|Unj(s1@
zE6Q$9{<H5pm#D@Q7gO!@wQqL_3Hhdnh~Aqg`$}T3?SzTP=T&q)tKVznET3VvE&ry&
z@^xwwwsC&avnKca$^T+tCb;%)(S%o5{6C**U$SaV539(^O{V!Z*^>nmKK`~}zB2CX
zr&DjHm+DO{4nMR~;qEf^sL*pS7nZ)L^C++Hn-HAB@9L!Qtdw$Op+DozInBxmf<{dn
zEL<)sc=p?NZv3aTyFYH>r?|Y3tH*zoy!Xwn3Oc<__GS0`u+)YpQ=k97ocQ1NNxhoR
z-`x|Ps{#(}yj=1+jYqU%2}9`W$aY`(UANk{$Jb8`Tl>duZ@>G=peOZacNCuJW=#)F
z6FIClr(Q|x&c`oXT_*Eiy<jK%^2fu!B}xW%(T_G(9+rwSNN00xyT4$`5f-h;RVG{C
zU+KL!<JZDp*1X4)v)0@+R=e2xdHGbgvz@!XzFGdNZO10g)f2eR*3^FTd1akpv3;*t
zMd!0U_O0jU+Bph7ylz=qmU{oymGTG1U*2@DFZ{<+YuMJKP_KUY)BF8P;-}ZUH-_s?
z=w2<o_3eeJYI{t(PyJ5u`8!uO!$Vwt*`n-QW}nV((&WfXD6r^#7p%Wq@$=K~C*rzf
z6i&1^7KFBJd^K4}QTgYmD-(RJIhe#0l|9}x-%;Aty7CR<`kSZw19q!jJ+b=9;YiM}
z*Y{<e=A1fntI<^b+?uzo_3pF76!+iynt5?k>6~N+^OW?b@=Tj~eAuV!&#OOC!gRSe
znv*~Emxilh;KVJ7Z~aBeU#r#reO&eGhUr=U^z-)7nh)N1{;PhueZF?DOVP5Fl%xFI
znyQwaVzp+o^<U`s&7HDuZPTk`f9LFKoULViU(VmY?mMe*Ww~B&MP|*kyN>lN3tTmW
zug&IK-4fvLuy2ax^0>pxwxoS#eE0ix*ZYiDEz?)@D~Tv*d+(cfehJHyM+fHZfBB{J
z@Rq{oo}bo6HGg}1%kMmI&74(NSNP^yl?472&MTg+J)LRA<z)X$hn8kr9-O)^#of&z
zBd)s8pwYrK^IqGmty%upo!5Qb<vm@~UAn$ZX0gz_WlK-+_3m)}?H?1m@DcB(BkD1N
z)Ak<MyFB~wKkxgwuRaz$`KF-k@nGtV8E&%z@A%E1!Xa7s#Mt56vlko@Ej<gaF}>1|
z&{9f0-LUWLS39X66^nCTpQ_n^Za&)z8<lnEj$KteIIp@a$0haD)aCi%c}tGQpIc~Y
zy!^r!e*606iOR<WwkVh<Ctu1x)n;I|-oyR<Io~Pq9`;ciemv*#omaJowUsUY?3A7i
z*)y5T7%io4{SLgAvgQ333tRg%rmcC`Prh*e^NO4K<$C+N#rC2HSA=aYvyzP5|JLB@
zs@oGbEO%<<y1ZWc`-P|6&sS9cuKM!MJ^s>!^ms3I<;VAHrq{>)yMNn8J>=(O(U~{4
z{VbpL`>D~ZtNANDQ&JA++h1&%K7V20dY>ho?`vk<6Q3n%A?aUHEw=Z|tJ!Yv`FSV0
zr<}buIcB?>wx@^JQq|Sv7e4r9E<B*&+J7W;g0y*Ff#mN$e|FkQUfgiSgLBdM2{%RF
zKNGpq$M|i<yVd@AhWwM}-mIS-KgV+8#EmSB#YzcfMol_Rpn0?_$G@q~|5p<;vF**(
zC)wNPE~$%sHG9im#aGK>U1my6TX)ZkxoXS8)u&hjR{JqWZA+8peSf{RR%hCuU*T<r
zlP3wioOfx7LeMhbT6x!uJukQK|6{!6G`G<q@#LrSpZ+fLpPKntyQ_y|@vN<{>NhT1
zQu_NG+s8&pmLsRvwpgzXQ(KlfInM9;w~SeWy*)P84-C2Zcl*sQpTBIz3yrME<vM$R
z9W$xRoFy1*)Wq4mE^e8gZgx=nxx1MkyLkE6Dq5dCu_Dp`(!RUaGh`f<7oU84d*zj_
zQ{`t*{c_yonTo-;n#$}~JX|*0I@?{^eAaf<ORrk_XzF}>c1cG@^Av}x;#a~Qj|HUo
zT~${;QQC55@vH_5h0Cip{_{DZ#M>*$_4p-s*Q13WWU@}YIDKxe_0CoLm!utpBSDKL
zn^rCDG=H{dqFIweozsCgDJg${eQmk^{r>;wrxWxWq}EGXt}s#lIyLRde(A8XY8l%-
z{a(7Y-Sy9OW6o9Tr=5#+xqP*^;(ez;Rq7K4t<@gK_PA<SNL;z{BkP{dH4z0Kai+<9
zXLLeW{nQLT+R1bIsi@>du_lwt^?x_E+5P<>%f-pH#DCwfiB)!{Dz$T$_sK6~mG@5<
zVl);#6MVGGI@qa3rgqy8@o)Tw9&T6unZLJ8HjzBo(!08T`jZp$-u@GpJEUoC)b!>5
z!R!CL71!}^TIZ>``|;Y^{I0Z=uh)c4Ub{ZNbVAyC|KcsiOC%lB(nTJ%xGl-Oy=~5>
z*!evU(QT*puK4`vcK-g2`V%+0s93+5;H+t9H*cB${C@$LH>aP!w4?BG#-$~mb1uo|
z9y)aB&8DKtGKmx4n;Lf33m8~S&a|mCnm*6%seAS0o2U8zzc!v!x~@O;)v}Ar8b3*X
zG`g3ba_Wcp4gDqI`xU(=8_dt2qM(`_Ki_M0eUuZgl=<?N@0YKf@zA_cy7;#H?n}b8
zY%8k---(Dy`W2_|UFy-@?>kBGZG^M+r<CJuZ%TH*57Ah?ZR7iYccTp%8}sYEN}u*r
ze}5-?Yg3_a?yU{C-lQy<8J`)tZ!gPRi;|NnMweDbnz~BlOY!VK=CJP6%&nQ;mnYrn
zJl|2dj%inl%lwuM<CJH{n+hH}?W+2!Rr}?l`^#=dMYqD8-QxO1f5qkH<@Jo(v$jrf
zY-Zb7$-@-vyFKsjrQe5GdiVWm`la{oUH!Wl9e!4)z#hN&3*w?JfrjGyc_&N(ZE3i*
zHG8N2s~ZP?-oJf&c7PwV>zjb|^bZdXK4T1W6J*H|Vca}f@QJwN%s1!e@~(cFE5aT(
zZR16g>b}^8Mf0>~Eo=IC(L{c~`Aohye`n`sm`+uG9sR!6$ylxb=?Z}vx^nf4^n2@a
zxmMS=ybdc;o6j{hQh7o4^0xi%A0O$lo#ar8J~zi=ky7-dO)V02;wMji`F#H28B>Ox
zwr=|UFWhgNtzGW?T>0k1;)j3uGw!{~l-!~^OW!SRmf(*?cLM#laY&n8^I`T4+U+)V
z#nex+k-M@^J4fH#T`b)zaiv$y|9`~K?R(}W&i-)s=HL47Vrqs5?#mPzYD`jEBs}Ht
zW|zxP*!=Ho3B1AkFJqRPOZ}cRCphffKbpt3SKWD1__$r4<By+1L{n4KrL&0_{1!#M
zZ(wAe5z`=((R5#9)$4C>Z%^0$^2qv+-&Pq%k6ebN=10}LTt8ospV(%QTK^+)mcNkJ
z_eHBLpIOxZv+<o{Q9tp&oM95ndhs;h88w@_eXjg^mCST@;@;GQ=gw9t)xH&H+&p=r
zkgC^)xguBP-}?T$u{`HA>%{aU+NYO3S2=&;d-t=8lk&v^rd*OaV7{dCHDAkY<v$`e
zq1P@I3NH`-uc%ycuPxMT!tSm`Hy3q%czJ8aW+mnIy;5hQ+SdL)AFO)+Onvs#1NKiZ
zG~WI4ec|blZF~IJ@d*a4ecjKv+55a-?~7$z%64lu9el*}_wDrJmyc&oez~`Q|DuD3
z<9+5ETN^Ffz2nS@6Wsdy9Vah4`)t_`&pnGTJ#t?C<=mQ|U(TG^$p7~JoteUKSpHmD
zke>Oi>AlgL+PkZsn!LRFe8vd{7U?>xom0QoYn}ICo|?X-$gI-s+-e(TR=FjYgx79X
z)7=@{Z~MJnjM@D9nIi&{o?c#$bS`v@=`NaOn!T(%c4HFj+xz?HpJYsVeQoWUlMb%&
zz8`LaRy{{?*E)*EwTK^9$@Oqha40B{ZP_L<wMcQrl5Tc0-^+|aOiImW_W%DF&$TM;
zx>x(XKK9kc#q6_p{r~k^U(NMq=B(f;@5QuuUUS!^6!?k>vgF7zZk{9<qx0eL{(^^z
z(R<f6FIfA2%Bcgp9^WW^by4qkN*Z_l-Q`9b%)<RvN`8)%xb=S7{qW)&E80)3-1t64
z<NlI|=@(b!S@PZd`|r7L&a_5{<V{5<mH1fme!oZ#iLu>TuekQ7{^@;zJN2|Auk_@^
z{MY{be(Ay|!561H$0|>GtgaOF;K54m{eO1MxHr?HZI5=yqB2?e<-cEX3OspYK6S^L
zo0hL9q}?qnc-+2NBj<xc7{}4QlG47`+x{G^(_63c`EvH;w1VjgPn|D)zSvgs{-13h
z+p372TJ|4hLuV#`UtMsg{)~z8uFt7%ZWq`RcXS-vx!}_#g>X(2&HXtyd{4|@q&;JE
zardhdWs``f*^&qRR(YiFuliiKw6G}6XmRT|r6p1e_b%OAP?N-Ir$2j&@>)&FqTsyy
z3zxp;zwFJt={xg8o;5r7dr2rMe-J--RyIx0Vp0|pFH1-7GZmgqOu-YDZFH!&wpe?p
z<@3Gj_o)#wTX+j*n0}diO=5rkjJAZbMmE;OlM0drZr3^l&p2LaI>5tH9I)7}_r&@0
z{la@1S$gH|=YdvDzPh)!`W@pWK^8`7w*zZZQl6fgI)_QlU-p~U`g6aJuXt3>?iaUM
zXq{ik>5f|_2Ob>>6y;m9DC5igQ(Hqm)JIil-+H99EhID0!64z7r>}3~e!=ydp8i}Z
zo5ogqu(#x56MyKvetpY8DUZ`0sa+SYx-8(oa{jaZtaX>puYbUjQlON3XN_s?mIu{Z
zFEeYdM%=k^UE7ywi{bLAl^iZCnGdsnTwTg|c%J|DPZ_Umia%-8ZvPOhW@i{E*rj2i
zd4ExTP{_g}(W=L9`7Q2u>|<VSn6kvPd)t&19a4)XPf*}Zd0ro!R<xy0(Q<Xxi#^64
zQ?2U1u~@GBYL;`U=~>M_liK$;#Dz|-4Xm>fpE{F+<<#bqNY?_XmAjno&kV7CvF6kz
z>G^B2E?+sji|NbX-~2C5$A7z&{@D7)>+{FduH|<0)cmdgdR@ks*`C+;gS5k2oe5K(
zoxSX|&b?pGSJtYe;>48ZTTwsGOxVx%?A4W(!oR1bs9xB2V1LT-KG~c$#-EM$oO3c3
zFJ64}O7m+qAKSfEUy~+#ZA?0PWBQWB!)-os30{{>g(YY4tH}ze*D`W8_4jrtB)sOB
zF}0`R>~ziGwz$fpo9aaabva)hDqX(9tJNsvsQPdD59!+F(ylQ&JMaCmDob0qcUzOY
z)WI8zyY$lDf9P7Z*YWz|7f0i6W<SYEt>3nTFV={OJ#+%!kJc^O*ZY2be}7)he}>C~
zl@8G@Kg9Io=1iJAIdbvur_<w$riJ?o=*8?TO5HclaW&JV1)Qg1O)@St)VJ|UAFK3O
zkXl$&q-3!%spryC@6F6QPW5~D?3wZ7KuzzrTj>gl8T)f@oBextH9Wr7qq+Fm8Od2z
zrK=o`C+hvH5a<LAdwFaKU*im3aeKzgZCBabQ`>xRxV#PJo8P~nVFF9mJm00EZ{B}d
zDlM{Zj_|@?;v4mtw)wejlilG^|I}-D;M`TIn^}*=hc>K>J=rUDeS)<6wuID+4%2%S
zn4X@R`hU)rg`EsP8~9oOI5{wg>BpT}ENfFSVXp7Tz3U|TqIfM%XFZc>;m>aRSD@AE
z&ow9Op*_=N)iX9LPO?tsS(LaSvQd(42|JU#Xhy$d&%CNvn%_7bEsUNW@-~0uUR<BW
zI#E!NMFw2V*)TSoy=^UBpZ<~Y)osa2mrdt4{F+`QksEP%_NghStYmIn^jFD#e}39Y
zkBAVNxj`;lSASZ0(l1YS$%Xgz^A~-O%a|D({L@aFMMZYc9Hpj)ze)*aMoiM`bJlOU
zQS-ai#a44J_i|+|Ar`(_$4*>d{r&Q0+u8M#f-fFAW-{H3ug4+iRBqJ9j~czN(q(qu
zSac$Xd#d=-mGRdEWB7Px-rS~^awI3<ltWX)RY3!3$%|KdJ7$-&%>205-uB`?zMZ@O
zrK_LIiPv0t>S0N9*Q^)wYp=8@Cn#5+kZE+)I+fMZwMi?>WlHFowJNvve3$W+pICZV
z>fmh)mim?+iT24`gjjL}88=Uy*l+)D#@@rFx%0N|NKC$7qGr(c=e&F1?qi|7uZqGg
zPwPh3cf?hk+M%Abm~E<CSu=x7SfInlo%hbJ3^@4T$z$`a1Me13Um2?FzbbU+lDls%
zPZQTlIWn!kZ;h|O2`R?S69tdF-JW%|Ywji)*ScF}6Ay>Jt`GHJJ#W_BBkId8@xSIT
zY7M*o=;rU)DdzKP^5r>>XzuS{D$RHCb8S>g^vB5C7dsnXm%R7m;(eua?))>WQ%-JY
zT9@=Fb4194%>L1>ASbu+W1q^h8QEKE^@G)Bhkex!{OTnn`_SWg)55(mJET0>{bH9s
zw4E)vH`MUF1n*Q&-ul*_87$LH#8`5;KxVX@S!lI%@zfK2o!$%m>n+{Re=|C}?*H?5
z#abH!9Yq5J4=>}}p>wQ;Bj=|4f}+Sf%irbxKkb`%=7sl^Z#j=H9DDLk>6UTw2cvDh
z69khdPAY3^c&nHoW>oa@lB!>LPsxWqjr4WLF4!N>yO6y0ug|S}H?`{%w|u`5`s(gR
zy~?R3c2{?KPWDJ%tt=oX>;L+{-C8Z1a6>ER_1jLItv(lcJ?-9;@Qa6Ey)OI0`FU1P
zOM82<tb~faFle*kWdQ?jNk_4R@Av)IySylHneIumX!-pTp4EP>mmlZ)RCBqlc>Zp2
z=E>=Dxlz4cVutIc_2w>`us^)DKFaw23Z`b((6rOrx;jLR4X0FJez*Bc#^R!o{i{A+
zo%h-N(XzL8`X@Pp_9`c93oYlAG<>_mGux4YV@7Mwf_KcXlwOF<EOM#f|2w;W$>xba
z-AfED<R$z-Q@p)@YeLGdclB}fJHJ2q|B9(q-9M|+jIgPHHm!V>!@&^}oENy-q~3I;
z=1&)$(nT*OPrPv>;?>>V=He@zr-gWPX}x=QYisxFKTZK#%YS})I_H_}$|tX{u68$0
zKUZR5yiL_`>c-FFOlgYB=WRaogiova^>Vqa+}_pZk23Dg%hqD|d@J*|bidbMS;^TR
zqNjEQE8D-9=~bM&rv1RhVnq?5y5N~NV^%ILs`onA`Df#6p0C;Oy+u!ZOuIMdL3)mS
zt<k6N_v<f%W`yqUDm}(G@p0K?b^m2rp{oiM_aODBt}O8s{<hRP=)^Q#)i?IX8NA)w
z-4E!bu!t%z_nGPR_4W1giUS)Ce!iac;`v+g%!2R7LIRfS?A$oJ{pGU{HxsNXAF|b(
zu8nP+b!}xrNgh}7^9f~^?hnr0tuFcDV<5k{&2;Zd&s=$}cOgffFuvD%er>+F?9zp?
z*#W*E1q~(bgRNgo7QE8!7&}waI88$4)rE!5U*2xNU&JdtWrKu$T}_o}yvQx)&YN2@
zg;`ly3oqK*lq@{Q!}28Q=BCt=2L~AEF~5C&u72+6zk$JQQ!5R(?R#@??`&<3{~wRb
z$86z$%p+qlq5I*^-Mfnu?L|I0PmQ~>&9e5F$-;Ae3r`(f_DK0HD`T|V0iBd1SFcXJ
zd|>yEC-UlNJ8q=R+WJO;w`NUZ-`rrq&PHjuyqdawsVn@pZVCy@y7T1bdsfrbb1{6&
z8&(VY2snyx*NarBxW8_k{CZZd`pdTu&z`t!`&(z9<t!Jg5WT-;u*C$nEe&>(2YvVW
z&$s(?NxZ(kzOF&ax=d$l=H<4N3?0XReSJN%!r?OGq6Y4iySqvwA2*)b?{D{0<zS-x
z62`x6{PO3Hegf?jWBm|t^ZSjB$tH=1SoHQ?-<W*7y?&Y7!aWx*Tqv1rW)!9$yK4$3
z&mX@D>pAP2zdTTU|L^bboKKE{`_{O;UVWeKY71yDm}M{v<7{_@>nSWMEUP@GTs+rr
zRQ*$MRqWb1Cj&))Ma<n-(|j(5N7=@=dCHa0t8v_-S~KJox4xRUkkztQ(`VuY1Fbb5
z)~()PzU)$7Shr36>SJ6xr2Hm_{8-oVRp5fE@`W(a8q=-fQ?<j-ZQz(OB`~o3%8I~0
zcXt*)=lHul=jNd(?jO|;TG@4e98O##svS0=zn_2aheO;?o<Dcb-}8~}d+g>k-f+ga
z9R-QMY!n23+&_D^x0Bb$Lg0&QXtD2QMtkcFj|*&d>dXD+Duuj!TYqsed+q<f-(#+t
zYiV_@UYM>5-9)yKGe}S2kh_b(hEkRyU$+m7XWP|U{r!C2e%rqA?zQ=M-)Wa9{+QOa
z<>TpzR`KPDf#$+5dv;mQ3-^EiG?epKmZ;6jtk$5ABOOudVz(DG^UkSyt{2PK7q(vG
z8~e)Ot#hiTE)05iZs)D~%%D%Xzq0RFif()DxA)esXIVNgbF4sHrLL=Huq=3aLEOfo
zk*C2WL-bf;SYth>67xdV%)7fxXS*wWux(6xwEceF;_U0|HttNjx+*kenUCbZe`~kj
zOJa{avtGOW-JOS@Bn);R=rl7loalMX{C<tIY4$SFhcYG^0@;qcN?#v)T(3~6(s6D}
z=H-ZJ=F5VAK2ET5bP*^>0eM+WKh9^v-bd`y=07cZp&Pa5A<x!;iAJSUmmQHQQe`ne
zld)?_-mKIqKiQVBJUOy+#>;=)&m#J5`zOwrGOI7u#i!|zQSXz`kD95|a_8<TnCqLj
zxa!(Mt*1{tujnk8WznH0`SZ=@^E>Lp#J2GKD*Eyw(5$_oA)|>qab?ibj8|7y$~1m{
zwR-&~HfAR91~xr*_y#ryxd-}-^nZVUzkK`sy4huKZX8UL5Rm->+C8{_eg6G@TZ*1~
zeG_c_#>&FAOm0TUgtJmlSZA*NSR2MPna{-H7n4v+Z6^oQwmye-CpqfsuT(f)2${BR
zU!wPV{=T&vIm=fRuH_2Z<WjiVS6oEwwE5*7Eh$~WrUf-2CVodZy?e4a?pR2N>20Ss
zDGRRLF4v66v~9{?F}<q1yi_S%Tz%EE$DX1hY0oBQEInyeq&LGRw%cQ_WO3uH!-XX$
zIkrgo+k9lX|G<=y(P2iehX)6*Y`xW#POdEi2Kya0ceAhh^z`)Q8HUL#oLafwh%^14
z@cn-Me7Wj3hGs7_YM-B(dARoB=Z{aP$A6M|!F<+hZPeC?$4tUz;GJ;iTMh0f9&TG&
z&nDFL&EVq$YXOBB9UI(E%rs7)A>-&gX{t@dhXy5OWn+`ZpYE6cJP`<bsm2k(+gKkn
zS<uF{N5=BgLixQ{!}1pk#|A}Bni|b)A+yC-Ilf3M=*Ajt*IeNl;!TJ1f+kc|?hsw<
z_0;CZnSf<exThAJtmm6-{&+H{RR4?U&Jt6Tw!D>(N;&4UeLQgb@Y$Lp0_?_?U-{lz
zi>)}c<#A?5*R++-a_yRuuT55!=Up~YFoUD2{@a_GiO)OoRt74swPBv)^3luJ_o<A+
z`aPexe*RiE^P0dc?s&dC53;YXJIf!f>OIZpyMCiQ*BO(J1&y4nX%YgQKUx>ao9G*y
zRFGn;(=<3NqCc<f-@!)FzI7V28y7A5zzN!=<JjCZJ@0Dq*_Br(l&Q<hhTY7va|9J&
z{)`Fr?<%|mDkU2KF3-PkD>gjj>itbx?yjlb2b)jyZg^p_OHR=J;YCiC`5i`De{FoM
zE2aiSHBNe!)}yXkJ^lB0`GA}np`%BaU*O&Pp5yDGd2_qOl=NMX?D3wyVAH&HJ}kPb
zzx&xQ&D4H)WxI1|R^;wAOP5V7^mS3tH{LL7M@Xg~2S+_q6~~iVJqHB2{e@V$y`H$I
zrC*O{Uw`qwyL0Hdu1{A2RIN^X<_QI#yCd@Tirl&_EDD;Or^1<3RMqr6Gkruf1D7e6
zUr&0K_IAysnc|AmAGuy#-QjC_;$B#amf}mZ^|qQ%`!3n31mwhQs?rpiG{Z@5-O-b~
zeK?B(_Zi3e?1(v2zpnPuap}Yx$C;d7zPKq+wWiWFG~R8~i&;f}QH$435X@enQ_R6M
z%~2sdh2><!t*zO|<(?@;DmSSAvj4_<>6`MwD+}Bz&+X$<O7PveGVg_gszIj8@;gg8
zQj-qw%A41{@R=j3Ss0q#6!vi5sr&~^UcFc_jW5n`6(9Hh)#4^PtfBS)c%M9A*m!97
zH}hYK>nk3<um1n<?}LN2UOQ|3R~~)8;`?rv`FwjOU0prl75^s|_24y68>6^6gg!L3
zu@@f}VGZW)m}06LxAx_ap8``C==E5ceqA_k?dlbOql;OMrf&o-dKA;lROh%M$Fx&P
znWNHqFKb0tw?zK|`{Pa-rw=N8t50oMcI2|{`^iTSZdoiSoDn9ljBC+?iEL^DE6ZnY
zaMAQ_bu%hC>24|7RikY5PO)gw#A%o0^n6UZw2Hg*PHHYY!Fx<+)t@XArX+5@ofnQZ
zw8Vt}etBt2lUBj=<Ufqlrd2jIOqgiX7^^1Aa;C{)-3bn@uZLcq3b>WLdCj?l?3Ok4
zc1?aUU5lg*J?Auvvffy-D*v8r$pnKZ<(vVoyr-uYEpxkSyK<w*w$r<rlOCOMa`R#F
znZ?ay)&eqW(ia6$n@8_li~1ZgQkdQbpIgTs8k&&5G<L<x4$e=V9TDt}-j0ED3`L_R
zOe;MV)NS?j#BJkp&I@Zc7tM4PXH--!vg4>{`qm9HYZLpo*Vp^GZ98YMHwK3<%KZP*
zpsUY2@Sot(m7B^vS3Qc=I&K<tX0z6DDb{l<8nynIf2isy>hfK3Np;SO(z`_=+q+cS
zH$7L@O*t~Jf8H9~{mK)xjV`T;G+wv=!j{a-fBF{R{9gb2T&-1|;iSG**LNPsSheC)
zN65Et^$AHyxyQpd=j-va^@MjmVsc*ma+Q+8iSt3Li!`%B7H=^+Iwj0B^U@@r&Ku@T
zmA-C=4j<mh*E4zY<XUFU)#5Cl7#d?H3aT*es`+VDSyA!i;HA&kr#xSGOruE1b@@7%
ziQB_Dr<$x3*#CIy<WJxF`s8E^oR#wfGk)mqTD)q*qrG|cq5fGBYelZE3b}M~`+WWH
z)2FAfth^Ur_cIlAdc#>aw+Rz?Ti>10ohEht&g&^3KZ!G0swuA!xVgxcdtI4kQY=fJ
zi~6Ob4`z!jh@0-5U)0Ada@E;{JMO0;v$oN?xc(ikiGhV8tM28m&e{`tdUtSdm+FdL
zr~0D&Y8=*7PkMGRr~X%pikaE8*Jl>kadX{_3<@YLzrHT^%hmAsk0u_<_Vxd2*10!}
zeAbvAxWBG;@$?UWKA$hXE9E>hrC08VgYz0e%~#>;<L1szT(Vvvp^T--$IWk^&B?3U
z{&Or6zqy`lSf(f-IQz_!CrKxCy*&0EuCK1Nn7o{8x$7ij|EX$n_2OFf8ULSk?Cwf&
zSyg2axUq8%3vc2v!B_9I?BujKqZFr3+uQQ%_FS*s(dP1<neIMO(M*iBMom|kR~*@$
zch}0>=lg{jlhys7m3+`>DSvo~bzOF|$mg|DTOT=9C@^{`=J!NQa#<)4UsGcf9wjcw
zlEc|3Gg(li!YO0@LZQ3cwjBJkqkh^I>r*-ll}enHg*8=_8k?@(H(w;QqNtL;>GQJg
z&BE!)rNQs>D#|spKJqMECd1xxL+P^C7TFJ94^%h(lJC44(7k-lsU5E#FO6F|@t^Gb
zb6U-7QW(rwlihPx`@1ce>9F-KsB>^fZ&k0d?!l?r;X4-G`SJ1bW$pKi-tl;xS>{_m
zo8zSHd(B{ZxqSiYY)z|ntq3fJ^$kE>gC*;ux96Eud`QTXmXPoOZFCerc=+(`H#ax`
z%va$*V!^@S;K>_u{pb&a+SgwH|NYIpv!jqD*W}fem^~E}g@lAwBpvPgQ~CH<?@r5K
zW$*9V+Aes|%y0KV%HqN)P<LZ>J^O3!b&ub@3kp9eSkM1$+j5!7f>W*@>u1uk7yRv@
z@G7k9l9I~Gq#{kP-4lF%$Ol?`Y+Wa`bkceyZBI=t!<k0EMShz<*19)$&ZU3uO`F{R
zo!u3+AtCBpXkBK#_F3)|eCcs}m!~HDegFRVs$CgfUhn%-vi_{|U{6ncx@JxJiO{9>
z<@;r%ytBBb=3edenyTS8@0Dy+Uy#<Lqo<uSE3fSCP)OiltTkc^y0F||zV2oB{{8mL
zRU{By4|chA4mJy3G6WuA-IRBC*P4$EniZc;s^4T`KFj`#SKe;Uq)C%9zPz{?!|?vg
z%gZsD;x5Y~Hk!PZ@iBd|KlQYjntlDkg$rW>_?uSk_nxlzbWyFq8QxsJ6Kp~14gp!r
zM_8w}+W-5J{Oe)6{Eg`>f6Lz8@!bFSn{>AIhHXc?#g~gSvz)Aa*ebr`k%89lV@Hl`
zc*&loouB`Hi_a;yDIXieSdaey@^o(bJx}%gA~!CkovsSUQ&@6#$LuUJNj%hYXPx7(
z+w}}g9#`cScW|Du>Fm-_Syle$?D{2Jz9(r_XYEijE_bvLxw&Jt-kkFPs<IXK`+uu{
z$~JeI*?g&k>mK*YZrKxDJ}#*uGab~z(_Qmoy2QhtEH<$G|GOygz22+h2?EQN7Cqh2
z7<}m6G|*`cYhPSlEuO8f6~1mxqQ1ZVUz6}FvZnP}BK7R|&A+fGHe5^k`RVDOqQXMO
z5XNH>+F@%H<mKh}9b9anv957~Y4)`X+j4JPY!cv4zj*QDnu8ZWGaq06e!p-0(BZyb
z?5-u*z7AdvTn=?6j0YWE<7<UlU+ohV6nyEz_DrFN-KqG^4Z~Tc*<$PWHvD?4xGDWW
z17nVq)78X!jx%8w#AdEKd#ui3(ic`no>nf=O}jt4h~>=Ycrv5sfs6Y*K^xr;4kiZ%
z@lcz_P7bEZ#s)pf#$ycs1l#iVTwfobZp0wO_?ai=_qVreCN|t;it4$xHhS|;*WI1Y
z!aTezuL=&vEUup1&c@8QX@<P`6|2%$2A&sKq*(m@{r|0TukV-pa@PF509TJw0dH$1
zYnm*_7I6hd?`b-T@*=T}o{is}PrqhiVrgRP<&jC>xUJvrSI6##1q}>;f4yG+(wTvO
z!j|v%s`d5x`p;-`q;xv;o#e<_9AEcSRq=X9hXMoW{GrMT(?L6joTa#Oa}6wg=2%SR
z1RaxcD4khN%%%Rpo?ow4uQ}Mz(D6WxFR1Xt14py>FTSxlf;O_v)=Bv0I7N|zn`K#!
z#im0wljh7h<HBCcEv|P)N@Rxbg9lu_+$?q)3fu}3KWsA>ZDl>UB5bWxwpN1Fs<^#Y
zprdX;XUwgN+N#yh|MSUY{~I+errAt2R;90wu$+i!T;|#>P=Dzy^L>#76(x=t+@Qj7
z#XrBfR<3bzanGW<dy=1n&Kdg5obmkhbyejHSvDV!2<OCIWMN@Y>zOb?K+38_V|GwN
zUgJ;Je-alW6nM(d%rFdD=*0SsyRrVn@Av!9*NGdzdJYR44>(I6bCAynFNk%SzhZ@k
zo(fkkhfIA$b8~a%jSY!8rw*11tFX+H$gp^j!38=j<NMEFuh;)H7T}t|zvbYZ8PAXP
zO798l;lC^(6L68ePEEn<LttYY%Pp~lMJG>8R9@-W%(jOuqun88llO%-!;NgUg=J-G
zdt6u;ixnp*8#OJl{IT#cyOI(|256gqv7)}<g%cb#HuXGDpErEY=#?_9==^a10QdDx
zRbO9i{C4R2_38VqtH0^|PIYl}3wnEN>&@p({{&~%bN&fPSmwxb_Hn<xA0s2<8dZnr
zmZyu}e|&u0Qd-+bdsjB-G?0kbjEflePXHYg<f}U?o_&jYN7cn&&WD@I6qFR~44Mx;
zxxBBoy6Jwm7-xMmhfGF@!?X?Z6SS`IGH<-Zay>hv(m^jFtm!{TO%mw%9tQ>Y6qZ%)
z69g>+IzdN9J(1bK3O)>D>34o#zCSS~rnb}O1s@Y<S<~szcj82!to4oJ=<9h|XI)Rn
zZ0l^uaLf6;<H__;OD)O9Ha1qzg(n?7)^#X6=-_zL(W6kmPc|{>!w)e*78hfs=MB0F
z4_2fc5!*1;l!IxS8_41)!5d}|m#8X%L+yZ=fPhgFx4Yvt1&${?jWQDjTc((1U3sDC
z|8|bA{$3eQM#IY%mo9GEzn4RD<NjndZ7~)TmLeB7fpC8PT#Joe988NOCl((N6S%;e
zytVb~2`QF(M#lptECQGQb#O@<Ta~IMT@Ac{V9KN$%XB@XZYwh_lH7QFv0Anm=rFJY
zWda7BJqpj{3g61f$!*)r9O*evSs_K`JbxqfK)2m)2QrK%O`3FQ<2wxvRV9uWN(w11
z=hz|Voi*N3NHCFftV+3}>hQ=|(9z*U#~kLSddQKO&zufq7;VbFuBW%JWz`I&riR6n
z1+NG@{(75qwCm(Su=NfC8w{A-CQqDS|8M5$B4z0X9SRRlq&)fX@NkTmoM?2nxc<3l
z*UIuA-g9j#KP_CfN{Y`QIW4S4XZOA$Gft+a289Xt1r28P)ckt6TtrIhl<F#1mc~5_
z^~xG8ZC$H1!o=j{{6bfSJj`0D5tZh5(DL8y{QVd2?XAAt-rD);-{0Rc4}9XUt&2T9
zHLkg-iAPU)^}c5@+y6yq+-tk^Ng*_Pd*0S3Ax7I2K<94m1bN1&@R7?pgP+Hw^Pgln
zy?j%_5plRt^a-=5x3pP~hlRNJbiK2w*Q2-AXB}N~WDb*iZis{HvBYGSsqx84NjYxW
z67urrRd`k3End7h^VXJ3lhRiq$=j52SwQDvo)Bi~QBwx3SWih`p`@wA5fN?NRP^TD
zxpOSV3l$xwh}X;l6(V+ab}>e=muLL>bXwn}`diMfqNiM<8UYQzzQ6bP*wnmV@%p;h
z$Mr(&pi{9rIym$M`FTCAuZx}ef`yH($a=~I_snwNYipz3Ykz;M&9GER(<lgA6|z$2
z_oCpuYjdtja-@HLd3pKe)B5{;=31AlO_`8?d)wMMTbe)-dP4^kQ+=}5pIF~rbeG?H
z^X!R3J<bfv`aq4b>lXY`iMbZnO)TsFSk#+lU+d9}+2OEn-#*E(EtQ|s_^juf=gaMP
zR{n69-+qH8J5x~5<}}}Hd<i|E1A)TU$NAb;eOVx^?k8|A_sik$g@uJzHl=zubuvGB
zes6De&b&1tD}{ddyvRzNpl_g~)1$p=o^AECRiUeo{Zj!Qb5*(d)$G}(($yXTSA_Tl
z4C*h7Xa*g*kym!z&uGJ~yNZ)pxtaJC6N1>nV$PW7+;A|>x*{OK+So3zVav?4XJ=-v
zS=kVIY{HM9KR0f3)_zd&;(}t<y*-wyYHFW+B|LvEINC6cp{ZEnNb-*l53elo6jrn9
z7Snz7e&3Hr+)+D=RNvm-u3xKVk?TLhpmAON{&|U2^*`2wDj**(9?!Je;>~Hv{`M1g
zfld_s_V#w~+ob7lmzed&%9%xOQ8>UcBWCuot5>Jy-Pz%&r>DoXPyw_l@e;%2Q<GG^
zjTHEWxweQZDk`p7*l<9Hxrt%&>4VMeH(eIG9s@0gw><c~V+x~Y!^~w#N4xHbPt@O<
zeSI0f-H&>OTD>dDU0q#C$0KVS_j5cm{33fx3KT?(1D0-N(pBS-I1}Ed<K!mu^Tnxq
zGO~=5PjJjLc(|vFduNBjg8qi3rph~RI(%-pP*mHf$#hYRW9`)?-qZC$_SIB=`#8h8
zTyJgU=C*I|@1Ku%K5SI*z@g0I?#5*HgW|5+^=oVwNM5Y(z2G<_e}CQI2<t`qx=~ve
z9BgJc-fgbZ_Ul>N*;$+#yEhw{nohlQ_wG&orLnuqZkld?{l2y5#@C0Fk3KJE@ljIV
zF!k{xp5qpRjt6d~u&7OAWM<nS@6paD%fWflq37Z6#$utg>GAb{r_M6VJ=M4BLXbi@
z#~*J8vjs1Iyja{{akCzDEbQ;joI5)V_r9NB|L<hl+@;>rFTGyB|6HBw)z#tt_tR#Z
z<*x9XYbA4ykC(T`(<JlG4ny~!KJ#p5%BBduUHnwdQQEn-c=NM2=j>YK@9(RfeR$GC
zeWta%*Me6BEPS);*4yuuzHSd@hb(gG^!a=y<G>+9sa#Xud3TxgxW!q{)OR#^rm%=_
zGrwPB96Wok<aJf&n~F@+uJteoP2jXx_9%&OQlr3U@wke{i=cfQ`zKAFd{c$_5(86{
zwDG1Di!PbuosqI^*sOIfo#RmX!yi@8&&hsMv@CkUady7_{F9w(3VJ&pDtfWGu>Uo6
zEa5NeQJ5oE>~#ClvuD$C@84Ntxw=01$b@2}n#_B3zjLi^Huppq#Z8*{`T6<cD?%K(
zX`b)5#OlQDnbE1&SDVJPiRZqGK>nX~Us#`c%voPB<JfnF`@2G~EELp^+OneXfTy<O
z0X6}H&K`%eyzVwG3LP9wzc?bAdpKS-Ii!J(D|B)C`tI&*PmM(jbTkzfYfSxkH9Wrl
zqlw1!jWs`uuHAF&ZmTgZIMAm2^~T0zOMSjeDk*9TH#;t!(%Jc>Lr{6f7rXy|KFc)q
z)mTS$SlKzRu-ww91U`mENb;cY%bmr~EiO5@2nZN~s!_!S{%#K@H!sOIcpn*+Ft3@}
z)ab5Lv|-*Ii&-X_LhhN4(QNVREnUvZ^^E~V8Fw85ij>mN&3X7y@al?et|JlGR1z#B
zcYhINF>*ejV${Ub*UBwkR<XXuGPbC#A;YbxZT1%<ex_e3Eao*X{;AWv*%#iwU-w)0
z_Ya5726caalx&s(9XIIF(EjD$3DIVk@(Ugg4tftHY9T$#hbp|A<+dE>SbXfpulk}l
zHw?|5AI#yXU%spK^`@6Re3wCs^$NEru`HXFcX!uP{zg-W+Y99#80@uNdJ}U$yuK{j
zva5MV2WSWzly=t0@04)sl}dg6d(zjZj7&~$pBPGJ8p$%&8hyG`e7>;5EbiB%_wW6K
zm-!@4=A6?V7|wBod1BO#m(G_N4>fYvt8g%{tKG5D{^yg)Z$9uiHP(I5_ww>ubaAo!
zW@D38*3AV9kGK|I@mR84o5S1voz#=<2j8={F#q$7I%IaH_d}ro&jhJj_3#ODJ<$uD
zSmT6QCWcCI*DmB_iafYu*ZtJeSqJX3E*1U4dhYfLS2ZP$2xd?LBJ<6`<%n2juXA#J
zeKEMD^2|f~8ffn4K^5y;*QckZ8s~g|xqSY!4xP6Lxt^teap(9VU;pReKe-CU`9fUA
zNuY_Jf=)&YA7%aCu=(8kN<6miFL-$9OXUOOrvDF*teIKxVX0f5Tc6Cy+=n4N_ChmC
zOdJiJf6Qx8kQDlHu;GnDm3O>DA80K^eKU*B=H%W9WhpY(#1Y2^s!bGJq43b0=`FYu
zF+syeIOfaxxV^Lf?O!^V?I{Nb(=Lt|lLRL)+FX@B<=Lzu`lN-iv!RlWX*1UruKoZ2
zS!c^k5NDeu%2DGV@R&n%PT{`8G0hoTPhy%JxEqUO?PYD1HVga}6%aU3@3-Yb)`57I
ziTWI@?FVj(%y)dfh;vSuW5d1b_j`HRB?3)WaI-C1G_!1r+liUXx&mADpXo_yrq5DH
z$OM&s9J0<Yq~`IturS&jJeVDSI<~P}zotuJ$A?FcdSw)pni@<&b87Qzze$E`xpi#b
zCu3VR<*d-ImmdoikDQf#CY(_(_n^6PNu_{*U`AAeoa3>$8RaFv50x<2TirD%$n{gX
z+3e5ZwAX*W-PwQAQ9tx$qA!Z?Q{DA5XTn<XC}{z;T1Q>w3B1m&N)PulF+tA<>^nF?
z(Rl{!fWTvgQ!GEJGpS7!JaYc=lBqww{;Av~amzI4$*!5+Tg@!$-Fa&DPH-|!a{?VB
zxw>(V(XO2d4pRjGt;!U42m$qNp3blTw-9vtxbe3po&)O4b&4PO8#P&%NU6!L)h}vU
zpnrmK%TWpD+69p-LsyGs$2{oZ3VQPN>Cq(|xek6?GF*Q2CFIO#-LfGjp}9u&g3+QQ
z40gx!eS|Z7C%CgO@vM&z*v$Fxu!b4iW&WDTfGvjFjz0`OJ$u1@qGy@urYj#O6|yqM
zf|^?i9}AWlr9_K(eAZ_QaDKc};HF(^Cx?<mg0{FI%bL~(H@6Q5QckSrmEu@?Kz)w$
zN2e9KPC12-uWLCfn|wdaZ~tVrNm1nincz(+Crkbd{aARwhxx6%QBeJ19%f%IALSS9
zXSu!76_VIMNB$|Wh}S93i1)t4K3`~t>DDy|r2G_g6s#{CbGXdN9`5@<xXFm^!ipE%
z9$Z@tR^;)<^Us+4z+Op-;|4R6+hjpc)_neU<NRwY*2@a6^|&X~{>LsmE-hiJ$~S}h
zL-H(vRu_5>xF|mp_EyqMeqPTC(sD!jVuZHIH1Y1Kmbt==v2Qs#Ix<{yK3iD+T7@{B
zk3-RoHBHd*z(oOrSv?2De%&p<FZso<+$12yewp%uOm`RUB&)JZhiy14v?LArt&F-9
z5^i%y__{f`ED$xE5_)0Vc8%4W*_dXPvGi3v(oW(%lFC;LT7PrGQjz0^KFApsX$L#M
zHuKwUxPSN5Dcv@PH@7;>99)=ee!8+SW-BYC9J$qTx~8e&C&&SN{{4C_v#X6ysjuB3
z=v3@3$@yM|p4&AIpYo}yJT8si`!0y7zHEAzxUS2?jh-dn@6}GN`sH}*_uv2bw5xUo
z2Dr$G>U`i3)vAwpu>X5g=KtxMFMUpCy?Nx<dSYpXV3BUvj5$|NKfOPF^{+E`mul4O
zD{;&K9WNX#IQd&s!&`7q=GPO@p}&#eZk26Q)i!K#Xi*Xp+;~z%cKW1mb=t0f=lX1X
z^`EKB;m0(6PtTR*CsukWYDFzEu+;n%xJKh1_wv1~&tK$QU*F_o#<k?{+Ix-;A8zig
z=RCFd_ji&H(ZhO%UXPk`$8vXDmXj+of4`NFZe)O7a=<Qa{_Q~r%U7=@6HZj@s+XJU
zkr>Dsa`bq!oJ~bb%$)8?vo{M*Ehv0cZoafvBj`ri7QvO}?}B2QR!wgVUv%l3%Cdl_
zs`@7r*}K-<36Yxi=t=CY(7iD$k|f1HX}(=^V$$0+_rIMNI3BamcJjmz9}3R#HXWJt
ztLaJOiMflG&M!Z+Sbg`Y_n=fUVU`4E=>&y(k0aXzIJ*57dB0Mt)qJw-QqG;l2VTEA
z6ne@vQ><(CbmOVt3e^0|#jhpZ*zIsxNOZPGpt-Q-voIys)%%sN+~4=qYeVJoS>>Fo
zo;~(U6-^X88P5^X)U)6nPu9&p9}e@g1+P)gjLI_(TAZb~X<b(4qp$t9X6Nto3|$@O
zyZ+Mb{eAVT-k9wNMd!+hjY@Ct?w<bF&E=C=<Lil?A_6kr;!XLNo?eJP^?#AURQ=ed
zQ&qb(a~o%0s!6HYb?UZgblo?WrM8^CJ;727EOxE?6DBk%c;%|?)>qfO@Vh$I?L>5@
zzl)4CqjSoWo9XkH9yoB|OK-EqgJtWl2Atv(40+~yszgP){_^zL-DQQlzF%D(E-jOG
zcUNi58h%SBHXexyFGD<%+BOEP43B@O{i!!+^@+R5E57-by`KJ_m9g6KK!#CM$uSL^
zrP1mK<IG-iytXN{oYfcKv*dGl@`|8JezVn6!jBxj?Y**Ws(t&3!yE_9pH>~wRa4U}
z&kOd5kog&^<;lCcI;j5ds--M?>@EicBpqim)z{beF}XZ+6Dc*B){(+4*ukd6vmAUV
z-nywCcl%5}DF`a4%;a=>IMGY)YSY)Jhg!MkywEXT>sgjP1>!0VqoyWrjae;K+)CFR
z74V#aCuEiVD$paOexhR|mLu>oUKL!r$0m5<j8F0kHlA0f4!o)P_{jC!aR=3+A4e7%
zS*BcA;286Q54xYBd{6cFymzbhJ$PQedv{L${4CS%*QSn>Wkf`dn5LO<a&s$R+3pyY
zeP#Z^sAuQq&R+NP#+FQB_33+xo^sVIrpUE^H(7gfnr`%t4fnQYU!TEo@HorP`2i33
z_o<#>-wImzxi0POteDIlIX5@in0i$$SRsGL>B0_^j0+DI?y`swKE^ijkX?eDP;L+J
zycc}CR2HA$sPlPyYwKhAhK^K0i)CBnfBUru)?AV;D%W+}*HshpaiV%<@glyh)d?9q
z^}@nG<|@qDQF^z?$Is=Bcq3@HLH(DD?wKbhD88I+UHi)<{AsIx$L7t(jT;_VwcA)u
zsQ&&gFeF4|c6dMlzpIn!!Jo}hhPA)HEtRkTGjYH3)Z0z0mpydzzCAwH`}lo;XZiDU
zb92r$F5ohFmV0>_Z?@lu1?*>!AM2Hld~H+xEvNq4lLhu23?^0v6O;J`LX?q?zS~id
zxzuawj2{blYrGR?6_u7gHQ{-Cd;4>zT7jCr%D@bM6?HQ+v%Lim?$`hKonQaYvi8r%
z<34c>tXk~O%JZfstOuVUy`<{vtDHqbf-F6*2Q-YDw5E7uls-8jn0$O{nVN!tNWGjt
z`?;2h|7S{{VhNs<74}VtDT%3WXKt0M$}FaJZUF^1e6!+q>ug;UJWZ=&=aaICm-(rX
zGau$xJmQSnk|CJQWfHkDi8X!7zenBrKNe#<_--!b;JfChhR6D3y`d-HK@PsF*NNRV
z<+#JqID32hCVj4yv$IUsoMez}@|UY|o~9Fdh=qO0^78lh)<6$^fE^#vv`G5~|GLW;
zHZ*8FjOzXR^4F|}h=pAS>z@Ad+kW9#Lq}szgNKCng<}${p7ebblvPpUkmzm*OkuJ2
znzE&>E8s{Chm4K5pSr$l{pySfDxW^<o>p1XF@xs}`-V<s#U<#c-6cQLxMZ_lz`(_A
zL8?P1(+qj86*U&K9%Ray$DZ|PPGOxDpEBzji{EO4hc9MDY<d6T3V+dp|FSZHh0g4Z
zjb%(~b6L5?HcZw&R{v~fddAgNp)V7688A9-ZrqwYxBf(&P3kF;d2DJbHS02c4aBao
z9FM4NJf?y;-$5O8zC*s0fWQH%SraA*Tsx+aKSj+zw9%kZ=IYw$>DM1Td^j<@J?Pol
zb`A+ww-1MJZAfHZ8?)0$-YMmu?t2R%pJ;ye;HO1$R}P$??X~hlwBT(gg{W3;d3$*$
zN3Z(mOG`6ZUtMuobRy?9M`}#3qS2?X*W-&#c+besI>6G*A$sSJ>3ohma~7IjFn#K<
zx$*pjYYy=S;miHz-q`N)y^Sx}qoCk}^I1nP2Ms<sn-k_g-`?K7yuQEGXSP}I^~Miz
zGXg;?yzk>WimpDbdd7zWPViZD(4*)+%sp7ON%%pl-7h9#(N#R%98AZQ5=0~q_A}_j
zZmyQH-m&F3f1`Ez`^(4vhG+hMsE`$Pd$!cCpDXrAJnG1L{hUK4-qEXX-hRKiqMDV9
zrmpwO&Hw*1Do6JFoHhQ_dmeboFJU&%__6!z`~UUd)-l(wTe-P<{`x+vfI|L)2QF83
zeZMEY_1(?$QNOQSWxbXQy`438Yu@T@>sD=@ADzARo$ZFMYGpnL0YTS*JO961emSP1
z(jegBaqeY*d`{7O>v^-E*jc{LJRZmVr0jrmLvrB4ra2wy=jRn_u%DdkA$FC=Jo$+)
z=<1QZTEC{Y?5+CxsGcQ2fc=YN<8)W<lMme1yfmI`S=^?ubYuDZxNjaMFE6$3J;QPJ
zLB*L)0dApKb)Ojr6vZa+nm9V|>zF-Tx;-;thVZ?<ZMnDIn%OzGTCjWWIPsG~(TR`y
zkgG=+EBM5@iXDQJ4)Obhh%45!{XQ%;!JVn7MQ!@8BWJFBb&$}ssJF1V<$XYcl|QNJ
zz;6Wsb)%+Brh7JiR1>YPXK`@io6o(#=xEG}HJ@4@9M+n)N8o_?`tPFkR<$?#Uri}p
zx-9gQ`g&fA16f~BavTy{;dYVd=^h376R8ey9C_!u#r2;}f4K1gul)LK&lMGNmZ}PT
zQ5MVGdZl)KEU>FvqNvWAD7K<LOj(>+b<eMRoca0rYAz=X58hZ2;Wz_&I>emE4<9=I
z`}a@go8u(y4n`-P4^};r#%+fVAD(HjFlt%B!$TL>sc9ulP;S~IIAQgjoyEm<T-TT;
zefs<T{^oX$&ec(pg*%I$R>)NB3FKr+nrN8p=J$<NaB~Rf7Un%)Q@X_*6zcs_SoZTA
z*zow#w#^4-y-DK`nBdD^JEI{)OVwPzdgli9b9a|*zRtA5_pMV*t8$t&hl(3}*zFrL
z*Ek7msAqZP;&$N9>+9?NJG{G&_8qutz3|KLciB_iO;uLM9Xq?MBt9vAuZ*BL%e>Wg
z2JYv7T-wXtztX!oDMTedq@L68wW2~w{gJ90r_VGwI0<$LOEy}_Z8|S)mNVnR&o}@6
zgj%l;s(2!;6>g(%sr@w4@VA?S$s5hNyGo|dxx9SIIo_^Y-_vqy%AMSD{xF^V#L_4s
z&><|jGGHN-qIT?lAD;kI7X~ZC{W*Ibu1-;3;<9i>Uwcwt^MO|B#*#m4^uK=7Iq6iJ
z<XPX}Fk#}7<1uL>EIO?Yb53vw%?s#jJCnEZ1xHRmrJ_0Wynx5A-c3>4`r?Sit7?`i
z3+w*4z(X~XD?)pn`nZ~}e$!1kl6B$qktT;GkPjR`OQ$4d@8ag1ceRgg#*RlSwIL?_
z=DNbdEDZ;y&TcHZ_*|~{MVKRlrxQy{kBZ_Aqxz`|35hI8s>&LfE}l|ZSC8IP6p;8d
zMQz=OMS8xc1Gk;Iw8iJ1bQ=eYJ<oFcvUv*wZMA~VoiTh}%~3WDG=-5`FeQ+MF<U`E
zThj5bm5J<ypZ+>rjotecx76+DFAZ{WscJj1`;ZJ%{=Gd*O|AWc+pAhvR{Yap3Q<y?
zFy|ykRehtwX;!U0$JqXge~~^pGk6K7&`({J!_k6`5hppU)-SqwZfo}SH9LH6&-|6<
zAdzcZHG9_8FB|5%p7hF*YTVBkr*vM5^@MIY+w8dXqWG91Rpo$vuKemIvBs`$7v{-E
z8PDqTE86e3sm}3$2-C(%f*igJn;(39d|X!Ups-iu_cv$j16D<QdRfT+JNscC!yC)G
zsi(xxdWm+QUVr<0i{82R*-FY6x*Ye-PJ3~nYj#~wiFoS*S(dLCmUDLPe3o-|mMKf4
ztp<y<^r6QW)?W<2-)pQ;v_N4(k3h%N9)~EY-2RV@WhvIBU+w1Zkn!PNz02B&XVZ5U
zg$<8Sq^^q8QlF}+w5wj0v*F^KmCH_?=oZ)KuwSv@^QOeZY}b-Jxs;kdl=aKmYIsl6
z(P?6LN;>D(Be5|1VqOi8%_V`4#?MVXd1O+391low9Px5fc*NqgspzR!_L}o*&-N4;
zxA4v57EwwP4p*+!PnxdvcWtnmc;$}HMJt!CU$WESY!fT@i)(A6*T^rfm)w%5px16-
z^2snJHa0SaFKes8lT3%TGP=A9E$tsT52-|nXLX!){QB+N+uL8BoD{B;eN<fe>B+;-
z3%j_LSbAq#m%rQatS7Cpv2jfxbG~s&{)TBUFE4*B((<u(cjf1_Yu6g5@f`VWvfk6@
zbJE!h>l*X;uC>J2XS{Q;;D7e`@!|SIH5}XrA2U6)srA{Q_J-4iYwBbU{>)X!rtJ$e
znx~M^<FF<r<>jTNYZzXxP^#pYbH6mFG<{_>x8~(3{r#x|5&NF1@V*O~W|aQpf)Vp6
zjh+Teqo(JI|CX(H;$9lnGXLY>X?C?$Oo@z{5nD0@ZR`KdiD&kduqaS?p53saq2R#f
zdd6mf_^YczBVDhbJlT2Z(4hze{<hh+=bEeDKRn$2@<1cA)zRXP2Z?W9U0uETIg3;E
zhnk$*+j>JA)&1w4nI|)cUx}gLZ(q&NihRSf+>e@*H-xn;GfFw(@S|vb=xVXAZ*ERL
zfAsT=?au9dK1B;IL~qFmIU(s(_o2amuGQ3&+#4F|lMF-Zl8xSo-rd>Dt96Vcu#=Oi
z&{ZMKh-s_)p2Dv)Yh&2Aw?97iuV{|V>uHO8{4Q?3^ER=5Q~MJ42Z1+?Z<W1J>ixf^
z=#qclapPG^$~$f<GyZ=*zdp^sG3~<-r>W)d?(F<?WnJv<8=Dzj<0o)TXihynZKjV#
ztNG!}mnZK%s=!r$?AreNdNDE3%*@*x8=Gx)PEXf=et5x>*YDo>Ik)jV{2#x+&bHdf
zp{-BG^3YDj8P|^<ZM_h-Fm!d;o4YF_HY!yIo;ln7*I}c$+_ZLn`AaK<mq#28x4+I(
zsl7G(dRl4XlXEYm_ZTW9DJUxl6`EEgI~?iZeZ1Jp!$+V)n`z^u`iY>Mk79c*E?ms{
zx-NT)-`}iB-`@3=Jr%Cs|9tAJ_ub+XudJ-Qv;T*1edx(*w%`<&xVHTLf2SShm#_KI
znAiNnw14)xu!T&UnkJlH;yL-o>x(-IlbQXy?2Ov^WEX+D1QQly<#@Op<&|Y&xyLDE
zoqbIwYI~k+|NXty<x#uK>esIG0WGk913u{M%F5u&CnhRaMzl=$u;-a+XJ*`*pa1^;
zuCa5{`7q%?_G0~qg<oHVe!Bpg|1w{ed3o8cr-!*&4zU!4Y)bKrW1bb;#mVH^>aZr|
z$z^~0rL#=4U&YjVZZ*h0Uwlrz#V?+J;j7f8YqxJ*-@5l){fjO9p+)EG-Bj-`VeeF3
zw^7rG$yYbzvcjK*H(y_0zY}z(m##XKd3pt}z^Tm3%Xp{XnkCHUYQbDPy<p$Ihi!cU
z9EWcGVhdt&dieC|QS-_t3p3hE6<GR86Z~2NK|ApDn-3hwW?IBzY_1>YtjB%GzV!9A
zUQw@##tE01n%V>=?^Bd%jS;MWaxTGkqvVG}mdDuM2VGk;NnBLA?$a-8P?hi_;SuvP
z)jUhCipO=fj=Ha>1U!@syjMCUd)}4bEsx%RbDo|trzKm5X|J6mqank~1H4l9%Rnn+
z<Csk}TiO|pFLGkF6g)rA_Hh8;B<qPfT^HD9P2iO>>9CcEI(+<4EB9xs>aX>$j>ffb
z%e^hNMtYu5g-e0*v6v0U>E{IYoUZ=<F16ofx?XI?tu2`{s~kDli<OQrz5m?8DQt0s
zX;SmgiTX^o1}&LMv&7dcN{T;M;1su%S8#bTr?)_GQmA9rgbiJPl{m!|SFVlTUQ{ah
zDgA-zA#n3cWOBO4ZIeSD2M->+xUOE-;M}}etz$Z$mX$4VOj1=&xCQDsvKY8;6rRp>
z^0%AD)%7}mU1Xlliq4+DJM{lVu1obtls>$kEZCwO(7Q<TRHdWcgwqXspeOO_9|oPo
z8+V*nW~bx{Rm;jxD$ku8CM4w4h_|)38y7lW1)s<@Dej@F1LyjE<%e$9-=57WDCA;j
zrWGczj4|>Mulx~?=M@zd6ZY@7fBsDIr05X_MX?ImV?C0|WpTOnD;ga8I6k>KtSfqc
z?(9#^2yPFqqW=#Ro&L$U_zTX{3STEPe_z$ttXrE>xrMuXB#l3n+wM{F3}nik$gSUe
zgOzFHq=`nUrwVig*W3!s`Lby7mHKswl0{zXSNZyi&aT~henW@Htu5beYX3)yX6qiz
zd*-`NHsb9wrW0-~jV$0gzEa%l@|I0oS<Xz=@w2T|?O6BQHQ;DaU}{xTlS7hn!J|96
zpH9r5cuG|CdYRJ(F{4QWU!KG`9@qt{qXOo&{!qSC-j~2_HgCDp&*Dk5yH(=rEf0O&
z)@u9fPOZas=BAhbZM<(CDw_0Gs-;KewzoRBILnSCan9%e15bM7_kKJO+)=E=cuJ6m
zp~mtv1MiEN!+P7R&;3?8JKL~PZ{GK17uxwXs{3NT1m5iWa#MWO=aidXY}Ixf(%E}u
zuT5v`pXD(xclQldxow*?YtB9UQ#a#TO=$h`uxV3tt}CXfM8-j8nU8q5O}N<`Riol=
z*);carNi&@cNQ-*ed9mz`<Ii8ul#-d>r3LSEmzKLwoN@ZsW<Ouocj93>=}QaR9?BV
zdG0~gdmoM$NqtP!pJi5Vxiw2XG)rSz1xJo`#YCyFf`vkt)a>pla7%WYmlQ2hNGN4V
zQmI!y;pV>9)`VB);5M0^p7Kk4oR4zdn7`>&c<ruB+kERU9Ge>#@@Vl2ovItfR~<hx
zKmBWTZjQLoq%H4%oHlRPVBNdmStz&4+ao7a%(hs&pVZvdzG&feO<|)+K6=Y^f&~m3
z9ePrpyt%pgPNu-qjJ||#bM!AQEseGMeBRLN^>3A9lj`?Q^?r7-eDOY$>YeQU^F02W
zC+v9lwfJ6~N%1L*Pj;0(A;Rpd0(M&Q%2>_wnre9E)6T-LLb*3TSkJX7oABpv-sQs+
z65josU|X#xWnQUwb=`dz^JV9^o;FGURbKMuLtIw}hx+y=*Ol)1s)z4A4c=WVeeVmm
z;{Dx@SC*G*?!KGsRBzVyZs`lgraB3Ya97FIhMIy#lLT6xLeh+f+l3YEbANrYW{WD{
z*=haz$<*a5(taMAqTHPre(1<t(@eMjbzc;Iy}iEE<kRh!S3dt|n>qK(r&i;VFAwCb
z>c5Hn-TJfs$|7HNt-w`l-u>tb&3^UCyCCL$o^I&-Hj|M3h2GaL)!*2h%)Phf>m;$Y
zcf77<UAsJ&y{K`2lk1(8B|E)VSts*V{e5P7ckff@c)tJBx<vbTan83aonx1Iy(4Hv
zn$Ov&(tx#VH(z)s>vizUu~4aRXH$NyiJ!8peQ#vI31O>8Z=DbCp0<7a+Q<}p1?7wf
zqJn}4A3NSTH)9Hi<VKDwMjZ+X^`b0ED$1bKM)R7a&2l!JdNir(QXqGKQ2Vh|&DvWl
z-gSOUN$i&ooE}#C;?`8|kkxU?zqY(jVBVCl=lYF9CK<P`tXQ=>Yl?`+>vEapi{1rJ
zRhX_nZ|S$Qs{;GxRAyY?s6B^)_wtDo|F_95GkWU#{ffh)lFhf|FD`CZ+>&?Pu;^@k
zbi?`H5Z^gb=BqpoI(~og!m@tq_oVtotq=X@U*1$&z0!T}ojvIu7Z(?bzPY!zS||Da
ziSyEv1>gQ%HF47Xx?i2vvmafY#P(Jqfxk4q;!_Xr*>gRv&vh*K_o#AMa4{K95?tZF
z=s1U6M_+>RwK)r?c`dvi$NN*!yKbJN_VhE$AN;OweAC;od`Z;WUl+H@NJ~08<t(4J
zbw%v+d0!6u2hP<om9~6g9JN19@}+S9jtPP-HMdOHy8ZwA$NSfo{)GmQ4_O9hUcK~l
zOWtq8bqt%_rA^apepcl_U%SwGnc5>Z)6hSbpFS%n3luoBha@-e<Faa7RJ_VivT+Kl
zReu)SqXxg#h4pXsxJqLy{s^oyGwXQdSivV{bgb>Y?}}fGbeWvImwHWoq_-k!c13l~
z|5Ni=8e0?ulqDN)so0(9IB(T-b91`O|6dDLzud21w_I}aKE?gk`@TH>bAQFt<8yiS
z3Ug9Y9?NTI{Fpxf(u?=$7u5H%OU>C`^D$@EkF(cJQojXdU0!Itzg|q-XjA4}FDbJJ
z`wjP96<d49sZ`2j^X~1+Hp;Kd<}U4=AHH?>-pC~9Wj4wJNzPm4ZIn#2t~{9b_E-u_
z=lXzmt>?IH_FPEn)E4Z_y=$CyW`Vl@ybUpJ`(k&Mbh7cucz{+(O?zjdW?(R3RmeoW
z-5V3N)?4d=BF3Qd&W;7s>mP8KRX(-<|EwpapylnR@+Gc!jlLYLw_C9I`Cr~U7dRD_
zy~WQh@qFBN;fm$y6{WxP3e}XQ4!-<#e_x2V{=(4zNeYH$(Ph6^mra^8afW@-uaqM*
zS~AjSotBK;kid9%S84Y$pP7qhvn0B8oQ~aJH}`8ite3H&Pu9Aqf~USMz<09Rd!aS_
zvQ|f)OG$r;oilfCqz->&nZROWvpL+Xi5kz8-Y`$P1iDerL*}{DakeT`Jw3fCy3uB0
z8=KGhE%%!%^T^uM?Bx!QBOY!K7P;J!k`Hn>s9v|su>9}77dNMs<=^*FU(XV(_WaDQ
z!~bq=n#NXpZ>HMWKdraQe&uhezq~gz)$h2N=}%Lc#rYp*G(@cV`?|v`Y^_YXQPY#F
zd}p%*X7|}<oLZyW_hH)Qw}GoNllI-&k?DMccaz90^&>xcic?t5JOANzDx39CtzX*S
z>B0$X#>Ab)&-?Ub*p9}p__{6s{=Ac{CmPc@ZZBXL7CAdHxc$0=&XzwK9giPc3qPu_
z{<WymLY}Ec;K*!~Od)>ur*RqeiGAAP>n>ba==}4(ZS}V&UzPf~6z5wOKRd!Pp={kp
z#}6_Go0Zi#Zdie$@yeI*bulxq%F7=7XR|8lwC06$mG-;2<^~7)i=Gym-U$CO*&r)&
zy^ea%zhYgN@2OqQ0Zq5J`r5v_^0@d{-m5?L85Ivs?^@`UuvcDWfyePctvDqwkB^Ub
zUcR!>mG{(Kb^W(CRWlzy-LRu2^TW~GJ9e?(-CH~PnO>wj@2rYjcS{YnFVtRj{sepe
z{NT@7d3>9nf4{4-M`Fj$S;oOBPhMVLzGl<!&*$wy7jBm<Ul+Btt54SY*b|0r+1K^H
zr8ry+H@2xaum4x`ElNT<q(X4ty*-tiPd;sMXn5e@mVBf`aLp9%!{wRHY`i7&_$CMm
zNXl$ZJ1b>X|IeoO_uK7P)<hadZA#(%`}g~OpRP9k`f$JetV14C`Po_%lb!o`RBVrc
z?w(X|Gh+I#SSR`5yx^NXuMX_GZrw2Js!n3~?W6iT*+RSO3-{~^+Ink>YIa$bh?G-x
z`9Dv`?|)X_zn=W!bmC*)Z#?E@e^0q`s4R<HEH>q~syyq8e+uuqN_c{n?%1%n{aeuc
zb-Eg%r$Scwdjwal{%5_R+Inx*->$mIy}hfhtynE>te3*Vu57q1{P{Bx!GA5Q^SVSn
zFPP%>@9(tprmNzXE7sQEtDg}YE1he6snPV|^s6G0j{7+B-f?ti9FZ_S$jq3uSe3JR
zje^LMN}U3pNnwJA3`Li<ZD-`%Y}mR%@R3^vN1}K|K)^JfNsTEfy%LHtEczUW95~pg
z>PAnybNBAfgVG)s7C1JqD13bE%9hN_EzBy)986|C4m~L>@=QCVrA@QyQw~ROcyo#E
z&zAXYWh*mpI<B>mvf-N@TJ+-E+Sf0dUdb~lHEsK#aXk0_*Wh&>hN;ib|8btYU)D|h
zs-=GXK85Qwd8<@@WWT!ccJA^s3trBYTH-WS$|T{$jto)#LRIC6<GJ^xzkFVEvgFBw
zJT6W?rR6;{cbpLqH9OY1Ev)UD(&hd2m7k|Q`&-zO#=k0e;zyZMf#4LDL(v|G+~>8W
zeR#Ds<6@Ji*HW**Sw6MDzAU`8HCwu+=-0Qmr_awkb^Soh*H>2`-<dRn=breJ=?l_@
zoir8fPpCTz>86+oyo%ggW!he4V{2=<WRh8K)Hzkn;AK3M#akwQIqb6RnX?D`k&`zZ
znkLjI!*fzf{o+Mz3#HsQvd%sid~lED$_1-y8f2f&imsj?@OH28hX%XvTlD*5f*!B(
zcYAP?`K3dg$Jtq?D`R(;#e{WF;II+35L4av^3u}9>8tvAoh&~;JNsB~{j7yCI~*FB
zuB?x@|F)_@CF9!aaDA=VT_&Y(Z%zF@;lSzoNp4^FI|^wZJ2B7JTK&MHFXrxjGCnl{
z&$=IPeeU2?@aNs#-9NW7GP6lk6zIk6@kl<_V<@S1>A2Xu^A8?8$S80xwKzBL%5=wP
z!Yq$k!SSlKgX^JLiOBEgr)qb-68D?x^|XA7?rFQVJqJ3Ax1Uh1chd?F$&=ySDe^0Y
zMO35y!nI30^Ef8(KM7FKXJJYB_-MsHi@3PBIXPX|x2lBL@ULf^l)ANm=Ty7t;h!E_
zu6|sTvL@O$8E71`S?)jo*#w?di4P(jCD@j*x5-S+y}ixQ@Yj#W{g&!1PfyI?uKy)!
z9~GqC(KACK;XSB$zOaJbGiGPe(@o_vJm0>bef0QB{jz`VQxyNU^KH$)nR7|`gN4nc
zZEvjo@7Jo?Y6x}h+tTc>(NwfgA;A{ZOnQ+cAtG{QX?|Zy-1O!bQ&#CYURpjwVZscK
zHzB&8wRfj4=X&=o{NU6XJ7!8npYRA$*89xKG|l;dj-;cEke;`Sz15=L_@bs+*R>qa
zN!~l!DJ#f+v%dI|;)f3(gKrf+G3tFSIn_mTngL5oj|z{yWwgKsHKw$a97dZ3xn1{M
z5q!Joy|Y!}Q$~M5hXt_31Xp#!PY7<;ul8eN;hXzy;i{vX13xlFPD(KQJ>Tg>$KUwm
zn+yLcB~0s4*uA^z>#I9cF9g2*e)sxLmTe&uHNJ)zIv%JG;+V5n%3*!HThQ@e&t_Ld
zX6d<0x}WG+9Fuu-xh+Vm!yC@C=K|uMoltuy<-YG%=jL+G^D2DnoZN)i!zDunJh)iS
zOq%%kc)xI*%g&j~w-0adnDw#Uy!Mf1VXb?ed_>Xv(`MBdFX%lzanp0vR-Mk(69l~%
zX(n?rd3HBM8f~gCe(smo-eXnazP)?9+@-qr)mK)`di*82@Jsf*h~M`Me;AprdExZ#
z=e<rLwQDhbX6v?|<j~?@$lUxaJ*lZd;X@tA3{~aV*Vm_iJyH7l+TQyeWmR8hzCKv;
zqh^9oQT6qO7yY(t-S$#p*(SfsrS#OZW&K>K-b*B9sw1)|32v!!KVBbZ;wNnM=*D~J
zo3(!g47}YIv=_X%pcvLC((Ux%$^O6Yue|>YR(kJIXWD(ie@R7|R=m%z&OPcSg?4vl
z^t80K1+5NS8?l6MYGY?+z#ZF)4+^XQ99nQ|chOU?yw81MpE49cx3U#K4>fdj5a{S@
zh%{pImE!POcy{9rYnHsb+>7gd+w)h;smL*PD+s8w{IjW;c<Wlx;u=fiBQGl~HR?Dd
zCQq#W{cYp6Ys-9RKQez%J;yZZ2!~e01_kbiia+Zg9B4GjxnZCczt6_D@>5Ie`vqTB
zK{uo?6EyI4Q}dbOaDKjhx|x1j$APK0`7_VXdwpePrlr@yy00CaOv?l+zW(B^UwgA|
z)-DqrucIpNDi`mo97|!bUvfb`^UsfuYR~N|KdJovbXtE+BD3R8$7Z&dAvKCy*o8LN
z{w~YQXSlfc-QC@r&++g)Njlmk+Tv1wrt$G||M};hEAQb=jqAzH`C#Vk_~X3&|CEb%
zJ(m|cvtMH<E-On*wCDKgxK#WW=!y!37xiyu1o8QmIHWQ;DsX~aec;tcMp>(p3?V)B
zpFXAbUnG5F9=gg(O<gDxIcL)OL#azWn;Zg82uJPzEz|ohaN;IQZ}Iy}81ByKd7yT0
zS84V?<88v~eiuL+V(S0@yW`K9!f+({^Ru%)HV;6%<it}>PEx)3nDOa-G2N&Oz0&6O
zGfW=rk+ZGZ5D_=ut~P>!|J1&F_wJcgd`J+xzdCyRx`S5)PJRCVJ>AjvMxU&8#?Mbr
zeG(>=H@%PkBfVsK@$++c7^M~EJ3bvvxwZ4yaR=XuV?C0djQh_ha;R`HZcbs54_Wc;
z(P6bTxyF;){uA9F-U+#*yZnF(hXK>{8m5=8)+*FXEjv|ybP^wElV5)8^5yE)`dlI!
z0=a^Bca<i)-o3ZC`g8Vl{dl!G{aQ{Hx)a_v+P^4XC~bNyYHOD0&Rx4Mfo_8KdIlOO
zEuQ#>U-UjJx7dqkjEx8Wr=OWIv6I)y!eGwr1&+*fmoqP6krR?>-ZT00^Yg+XRkKaA
zkNvD#kyc;x;Q=GByq%4kg0ix*rjCxzEyDm!x7Pj{;G@D+m1B38Eq!)&cJOq)*qmDa
zu=xASoXua}x_f-prDJn1EuF|>x;kD!Tlc}s&Ej9aU({ZebA6^w_KwI*(LU?7c9M*e
z4eku{_>#FfI1-E)gc#rJ^CXHsnGU*<dauIORiTeR)N}CcRR7?Ub7Mne-u-=NAI{pE
zeLd*hoIraAw_d5EoSSCE-;di@;~Bin=ivtKNg2vYN;6V)qPBE&iFOM;d~;)?a<$_u
zlgvYpr|3pc>pXX3WAe@D<_m$3kM*w1yu7ThIx+P*Ut>e0QIpP>xV=>$^_e!_5d845
z-luze+>%pEKLvW$Kh+d0)?qmp%e|mp@9xrjy50c?Z9aKlkqVEW-*aZ3?QAC}ryHje
zazK?$0S8A%+7G2OvrM&nC5_v(3)pxh4#@FHba2?{a_p|CtSqc>{~yzm=O8yhKPe|e
z%ChQ9#;x7u`t6AhB?Wn_LRMDP=HA+}@apRD;y#Xj*^UbYUOCoxtnis><P#Tgv*UWQ
zQA^2#gN9}k6yA9IfX+QRGil<ludhG1uZY`QwQV2!>?nDmXy?Q6K|3E+YI-j#G23bu
z+4EG8qf*3ix!(PyIg&x$=X#z#tX(ho^(4n0sSj^n{hz_a=rD8b{Q2_LHI5+<S{;6*
z{{Q#)&Bhax)%m}^y*>TYv--2AD-}=hI~vt5cI&lRvUsj_?ky8LaZCBn&(1zJEa0=z
z=Ey(%xaj>oTPDp@6P4M|w;IJ)%mFtIY@BQ=cv(0UmDUKdY4S}_uJA}Q(pbaJ&hBo_
z@=*Tl=g)Btrw%qXh=NL0t?+ec_H+1btkqs)9O+x>T<ID1e%C6YXyf{Tubc9wsFkit
z*;*Hw^M=Ew`ro9!s^38cFN9yMS(VDQ+v0fLB$<gTI5JXL<eH}GM!U`CzN+imE%x;J
zM3pZ45S~Ts9{cP5o;a(kwWaM+%#)%AZ0{dFJos>iPUNN+pS&luHyQA1We7MqO0c;w
zRVrHW&l7J+3E*wsBhr$1X=(Sb`ot!dFqK`4?w>d=Uw_6@(f;oj;nR5!_!DK%Zdno*
zd~dD`YY>|_%bEsIBH~v}T9xoK>7(<58?Dt_K0feW`fGOgs+tgmy5<v+X6*+y8t*Il
zm?p4wWo*Z)iYJ?PezknnwB}~x)j-4PwcJe8oDS$no}8{PFKb!U65F4|>HHvnef{k%
z@3+`b)tuITYR7Jm{7EebGpBBjUp-IpUijaaUsUb0LNw=Jntn2UYUzn3&jbaGl-~0>
zD*Oc1%LjB{K7Q;x)3|%VbXC3gv(58go?pCg%kwL-TCpblQ$v>=(VV+tTNKmnBQlRq
zUVdKktV@3GZZVIqT04SHXR(HArX`)zNIouJ&#0*U(beyqFw2^LkQZmDJ(}n7OK7tW
z)9<~1GnQYMJvICEOk35PbCzb#N)`0`k#TV0Lmwxmro$^7TlaW9IA{8>`4mTISHpyf
zF0J8g;w(a<jFVGXJYzGaG`m?Pb+#X{4}W+0rZoSHdxw`lSvPChPVp0t^2_{YRtTRu
zVW=dqvVKy~`a8S)!)u#0%CCJ1+2&!UrGBXF<g-}i6qTDXT9GRh1b9Ivetn;Ds`*@f
zQfGfdeSw8+=*e|)UZOWttKGDgb$CA9qhvh)#|ihd1&_}$znj6O?=e+q=Zd1vJ5MI*
z)&}|Ne~#c_R8$sY3ThJs#iXZElU}oi=kC(i(?sj1J-HD)Z@;9|aXpqGj|dgZbPspd
zm`wqW)7Px}-k_ot@oH{kN=W?w_9xOGCO92d(x_f$60$ZWPb)HDmUARma(0h$$`Pp*
zr{^>^qzV{#x-Agt?5n%rDX^K3Nk4AiLgVYrFSq>K{YKj9XHk0C5fx)$Vb7Y{|Jk2Z
z9_>_8P%QDT7o4!Wv42HH<C>6d8D*kfC;e6ib$$BuTRE#bJz{nb3oC13g|+W2lWpvM
zYdi!t6tehC5<HRgnAPN=;AUPHz57)wPMl=6s{hQm^T~-Nv(%P8asSgP=l3O>Wv;~k
z2gjetf0+@dB^qWkO=n8)DUowtM?a@;?CsWCy+MQNnu>D9*MId##p5r;*Z)m5G-|qI
z%W*gImQZ$#_xj*t#X2CXIGjQISa$Pt-IQbc?xghM*IoCL(7!)_*gxW1Um3E_^IiRa
zBTLJ9DSsb-@Zj3<e+H9$%f$UvH6LG@ik^Dx<N7&uk<u#bth|$q8~2)TY7I~EadUEZ
zj!b9!r6aJ(+o$=_yL!-VFxA3sW}l4H&!yN(ZJE)2=)Jpqt;lm#&`!ZM(aEbO=geU@
zEL6DGQTFBawYA<S42sq%B!B}#Bi3n7=H+F+V)Fyow%9Ozcb;#kx%1uk8HeWYeNzAY
z#GHAXQp=KR=j5CV{O>nY>1VrgoaQ9KHD40sTHIFD^e=y9IOkpc>U#0Avp#;A!K=2t
znR#XZT+Q8^9}60t-oJU$^$t*DXw`xvvqkPFE4%ePDElCAXm{CLsn@p_9IXM(*>do!
zD6F%8Cp6i`vE%*8$?7I~cXm7}Elk~7*5BZ1)WpyKMOfjV%CtWM75{=-RYO|)>I+zY
z-sNAu`_=vLaxKA)HZwWvPtNvxc{xCBxyh73+q5H#FSna5o!=~Udqamu({|>aauqz`
z|NB+$S!>-_E)P>LI=k@SChL{2lx>zRzp^q&Wk0v7_BIWsV<{~2I9I&wlefS3#75nJ
zp2~}>clK0HW_`-WE4AeEqbAT%3*X+}etB-L^{e8~vv!rgKWAlZu;P`|Vzzq4Tv)Ts
zdC7Xu$!b?t2CLg$yMBGT-One&Vh2HcfPLrL%>2)*Xbo<}i5+g<rFYDIvuADg^>wnm
zG8Pj+lhy_Ae|>!|A}8nfVp8w((^IvNw?DqK%y;&uiVgC0KN?L79!#|?c_F|(UrM^=
z*POYo;U^d6yGZK9am=V!Q4UydQ~F8-bbM4?^C{15od=qaPdwHAVT#k0<PVF}TmHLW
z^~<<n9K@S+(s$z2<rDQo)=v(Z^6hZQozE=&nU`Gt)<=E)|39!rp*2X<cjBY_?tkmG
z&vTzR_uBl``>(fGxbLmsANXp~#EJSZZ+3?V_IH)og#SO5{G@yO6vf=Er}bAkRYmwx
z&&_Dtl;-7q^NR8wnaI$i-hF3Ion9<=l!Y;vLt?Vvgr;k2qd(8zIM<@E$*oVu^Y8EP
z%U@kxZ7j*~u_0XI2=hEX0oEGFX*!XUY~>VKb)&XC5a6+qw^;AqFZbok%ivd+m-GK`
zaQ<XJrOYwq(z4!9=M+PlKbOC|bMndY`uq3ivwlqo_#3;g#<Kg{K?CzWmI~5~-FhEA
z4_e}J@CfUvL&3}aQrDXnc^2GjWM<De+{XLn?SWfj`f+Q7nOQVJhj>L4N}SrqFK_qc
z0{@{fJv}`ewPSr}AGkLDn7^_1^I3DHB~sEApQ`2vf{G_4PS5NeUyjHyH=Yn*|8k*H
zy&A`77mY;%3*|oM|ErezI^)~nDI!ZwoY5BGb2W=wsedl~|D?VP4;-qbN@f;`tzUe|
zG0=szU-Rm8*$!?e7faEZ9U)JY_bQqDy$sU)G_mW_|KHD-$j!a-SwS%~==eX@mlENw
zWk2meyU!}LZES6CRtGL{Xv{cV^7>luZSgPf?$*za-(B<5=wXcjTZL}ex|oyoetv!|
zq6s~${u@`X*1rGX?%lgLA2T#Bc=7J;?#zQttaJJs?R<ZE?cQDXc9E+0G=ql+cJfM@
zT&RSdzQBC2anX9i&O_fn{r&yD)P?OK==6ohZ{PX~tNT6KTED=qdc~W}`}=I$+ZI^y
zINzw}x~C+t?z`a|c9oMi6eK4ZEj7ukk=J<|@tI?Win6epPeSI~_xu0HZ4hR8)WVST
z=*QBQ_^hNR(7qmZ<qG*n$EU26&UbKNn9MkVqa{6|`rDhtewIUGACf{=hxH!Tz2#eT
zZjR-fMhAYimh3P2pFSigIEsCK%)Qm6Q^-fi<nZ%)rRZ%re_}SLo#mL9ZZfr{^v#Wl
zhqXCgA9%2rfirSjj^y(NFQh%V_Q*#`u(5Eku*ylQoJ-0DHHU*80vsCtec%88WgCN>
zLgxM2?{iJ{K&LPq>1gmYV*0N7>gm^;yB=t89BDc5@NoOhx4k#E%uq<+W%+WlLzBOC
zn_7!Z8=q|bDHa#w8bPIWW<{}(2Rsr64Ym>n0)lsrIBM<Lv*$<cx_Uj1L!kYDDUBQa
zpPrgJbHjlN_ZwZpTKwnPRv&X=f9~{|TaLZOcfw-!er_I14Fzrmi4QX}mdSEA1@0(F
z{1&2M60*cYP|7Sv0(2Y+m#Ef}$A|0we01lNPdqz|aejT(*H?y&U8@gXUKGmla(?q2
zkpvYrjtDMLou6-HP+qg)2utG*g@Pw11phg)XbK9mtZ8hplWYuMT>1H#Ox#5t76wHg
zdwcs=mzHw32MOdk{$Twn@gZUX&-VQL`=*%Z$DQMLtmCjbdSbVOhr4z8tu2`~dNu;k
z#_b;MjC!%oVuj_5?~V2-dL+2M*qwcSoy@6|6P4K~3sfXMc<8W5lV`sCoaP!^8+Iqg
zPmRe2>d$tTzn5Dt`dHbdg(YN8vyHHT>XQ`*oSE{u4KA(;Umq8;t0Xgyd5^P#(MHpZ
z!!sh9H!k&`KktJ&%vYxGOtY_DN$rcyGLk%AS1;($yysvu`{j#^-QSi^-ef%6%|TiD
z#7Bdx^O;XB2DNi-73!JmIozjfg?8-~&&$jEb8D&hbPIiD3yT>xHZ~T|nSKgJ)p33b
z5Lo8O>ihUu@5@(LSHDp`uu<dm(Vgk%<|Mv1a?<{lJ=3~euiDnZWP&*8WYOXkuBTr&
zd}j&OufO&4!-fYI0)hhaDvOk!1g{R$b-LFb#@WmzQvo@aVHJ<0k-^4GJS}W-auc{)
zrcSVLnj>N8ct8x)+<9;;`}VfAdS;p2+*3_&m@!@2dVSmae&sdiX30hfOUG9fO?bZO
z{Y%d`mZ>$43Ywr2=)t`s`m8&|kAh;gA;PM@PIggBkdMFyHl}Z0ZVwh7?02px3Yes=
z#&JWLN$sS^T&q%xd0m&x4ILHqI2JUDaOik+f>ZIEsXY<Q-ZO&*JhE7PCQa-T)h<d3
zY2^}~RAp-vZ{*70`>DYA$MgC1lV0+r+&n4EsHlAMFW0GGvXYFGQ<l{H{50p~+N+1G
zjp`jXecz$jP~@rdqj5?HC(|_thZ7y90n;s68BZ%DOzKg%EcI7uL9K!s#}QV>l>dt>
zg{>UBz{>XbT$TC^YWFEjFklI|^iMw2XxSCdMLe;b7v&^XQ<9n-Vgwo(B&swUK;|}q
zw&im$eRMp~(6eABuhEXnOTESCZ+ucO!|uY+JMV(e%R4)Z`F2#_+yp+tps7Jsz(7Gc
z!+Fhfjg3cs7`4q+X4rb^++6G8@1CHcBmvNY5DIo2FHUe+F*q$g7{dMF2anEk3pS<~
zQJhmRbc^d-9cxRS4z*Rx-ND^W;Y5p;)C;)|(E2V0OSg<OEI~?Y9Q7QaqZt%9j<7Sz
zN;b|2{`%@FsG0Cl(*0av0Ly~&j9Y^{N;<*kH-L^-_~_{1?)GGvko=D)b3n&lDoEV3
z2aUsl<eD661TIVz{L<NQ#bj0lcpVu-&}-k>W=mgRUw{0oh^3zZ*x3#O1`ZDHZhbPA
zPKVp;`IjGV=jV25a+o97&|>-Iilf&N@F5EVEG&&b6c#8eg9`f0%gZjFn``~pxNpwm
z1sp$q{R;Z~>+8#BXJ_+uHRRmB#o@somL1PHGhV<$f{9Hw!aVnu%jxO*%X_8G)p9~C
zZ!Z+sQTkd8v{>E&WO}xO!Yz|)4zbTfSaj-}9ZYhiIG*I*-qstoHY#<dWQs_;1H;vD
z)9h<YZg0=GK2n!Dd0TtK1i{<8)ix<PDy-vhQC8mn=My)mF(9TNCv)BKiG^ds5|x;H
zEZ5u|PIPQt-*85s<A{7?M#_`x@%5_q_V!Zdc`<+7ry9%hG1k}DuZr1ew7>iaXw_;$
zZoQF^h=|KfqtrzvnU{|2_#3`HPOz`Euy7-rkI{04gvlV=f|vO$yl^34mQCd*U%d#i
z)Y%*?EGz*>yF@vcxPWsGs44&LJG3P)sx8@gU9z?^KqKLtTd$Ps4<FswT~ii_rsXL7
z1@&&rZH~SEvG3oD#r-Aiw)OS@=GguD;G7-9HZxeDL!9a2i4#9QKK^-DcizN`hc7p%
zv$C-T-Q1M=^4{KRzV61HJG(&bp+75}!XMnP``!EL`T6-Px}!Iz@q$i7e|CQU`Olym
zTtW5t!_)kBKN`HIYPsI8|8Kk0Z|*G6xfh|U!&0Ya7n`M=5D?S;`|-GZy|3J*&FTK1
zK73f<Z~u47gUpljY^~2TA1=<lwdJ8&OHz|Vk)wi?q+`Dz$5UQuGo77p9Is_Ea~yaZ
zv#TVN30fZ*O5WU_FMoEHX?K9)j2mXq5z^X!KcBx@3tDVh-#$%0e%?Hr%0u(JwL(`3
z*od8-Wx9B#ak`OXeP_R8kI>`%ySt`dTI!u{;1VRX;m4ZTbAl{q6a{*F9{9$AYA=I?
zh6QRIM<g2`JV?+AT*PvBf4%*==O-GQ8dL=h)RcETw6FTo@!+j#DyZ?T@@BC)pAC02
z8}A~osahWwelTk|l5>CG+|pff`|Et~?k-oaP)Se_*A82=;PLVL{?9TNb-%v6toiqT
z|9`*gZ*LxM?B$hRxBt&4?^DxsrCZzEgHKLU&HVD>;vZ&#Ya5f@=avgDoTcVF>qXlE
zsRwTlRQ_Vyno|>>oSR{{BLD5Jt;vs+z*DmqPk6k)x7Ske<L2{ro4;;7{VRuqafOYz
ze%u^r9!T(U`}g~P{r{lX*Vg_#e<Q%qqUebS-%JMyk=m&aHfH(v&VU=Xd^L_u1_vHD
zN>_J_>Fzjfz`=U%^~J^Pwza>e{QC0pu&&^xWxn1DGR^G#%RrlitKYx4y(#tdix(Fc
zo1~qQ;A?L#xUwR!c}rXF4C(o*pxx?^RY1GdXPf88#R==U)^~~6h@ERy=SX3F{A$10
z<*yF=PG4B)yjZqLeyMTVnGMI5PO+2~Xv|1qDLexmhLjQDyIE8tSn=b7V)Yd#C#Of&
zEpyaC<E^zuOvpp1ck=G;3VeHOE2sUwgz5V6X-*C2F4zD2ss6nB!TFOXJLT-_&Ll6a
z|2}E;YVH34#pUJdPf8D5TOaSgyZrq!@Gz?5IgWq!$;Wzpas&=FW<Fv26~BQ~Sgk;=
zvo1m=cEiN#q;(%vJ|#GmWnEn*nj5d+E?@s=qbE}u-<=aDJh-^IyT2I;B?QW<sBx&U
zGF?2uaqmQdyAq?9k3a_}qsoniRc!q~KDpOlHvXe^<iGOFb60#F1VmYcxIrVqMh)>_
zKa@yFuL`j$eRV{I>7k^=A*~Nupm9@MzN@Q3l`Fy$PT0;gPUiz%20HuK!{3E(Z*84o
zobFdA8^@t?LTdZs`k$Xv7g`B=UGrGf8qWMvX+@1DXmwt9O0W(4`tCH3C|1T_?{>eh
zU$j;>)a1uPjzx=F%eFLsXl960sE9ZDG&v&T^p2*6rGg!9ZVUEsi7%;JbLrCLQx)GD
z4}eYrh+kG9+}!Zsw0Y{ODSz^9+Kt?IEI7*2xWvH$GHSZF<bK`n+$}2?dh~5z0gsv<
zIV<~6xI%71^Th>@%yp73EGg0t1@-mo_4(evnBRTj?2V1dr9ahv3CmfR^%OgOdw16x
zbcOXF-nD<kJJ(u>?^OMum33`<e*Cvv4F;08`(&+W<SeK<eq+LZCZ^_si7Sf|imxvy
zetyoUu7{W9)Qk@*M@y$z$_O`Rq%859YxRfmSi7T-KnE{laeS4>g~@*=-ncONx^8EK
zUA<&uIP=4_mT(jAsam4dZ%p1Z?RyStMuG-Pw`5#YIyG6HzmZ2lo!S1>1o@@_FX%97
zx16Gd_>+Wtdn#?%&NZg9?hO90W5F5OB^vI1GJocFB|G>%J2&^Vx6?b0V{Q>1+NY0x
zei6>JK52j5-yfi*vTW;5ZcaZx!$h)PddjsxJD!b(Ep-!42kj_$D4}?apFMbfaLqc?
z^%GUsPwY|UnBfd60Y7Qgab`q5WtyuXAk3t}+HNhQmvL4={rL1{DvqbC)inh#oZzT?
zI{Vc|Mt+xzi(D%`J@}5wd*ucubxZG`JzIKz+oe06lhr=@O6|1~=sP({wOemLOQl9V
z$K?mM_jeQ~^Bq1gx#<GOx#s7pN=i-IX&)Bzh3aV>bdBS9cHK_=gl6Lb6(`GIY=@XO
zG3WEx$o{(L`lO}g#f3&&tz&GHnDo!gG)}j;&iqiN<?d0I#wQI9CPqzqbqOCnu5I+^
zn?21mN{q23<=&pk#nL9l&(5^`*g8XD!W52(&GpRLN$-Ro`q#{x(9b%lU!b?eUr4x6
z^0pMH;wyL!T3ivbB0w>Fjr%j7HjW3^CHAR&)E3^)@G*ai6=<<@XT#n}f+m*D&skYm
z=CA(s^|iX{8l&k76I4L@S7f^zD;)6ywKbI*Ds1jtlQc>(h*XofUiieqQDGm)4Q<K#
zPJOwec?uKe2z0o)2}rw&+wZsqQGdiz<+1NvtEs~OkFYc@adSXS=z?NnuZ@VR>&J^l
z3f6}_I4(X&Yfy2V@*U)$UeJCTx0q+bEIRF=K$xUArMlBnjLBa){^DZw^O|p7a(8vq
zcs@M;;k(n{y^HD#>fVVi{cWJi+G@8neEt0u*DmKTmb<^F`pk4?<-0rO-8Aif@GtfG
zcyRY|k--1+jlHX?mL2n(uBK|a)Z16`(y~QgUY&n=e?QMF%k<^^KT8cAAJhs87=7B;
zaq=U0pS*+Hhu31Ni5dJlY4sd;!d)KKy)fqD?Cz<mky-igZ;w;`)&KPiI9j6T>3sR}
z)7xvZm1^w_E77HaPn6%bSNMp2T`})?;ScYFDUX|1)US3I5U~0FE57MdROt0RpmBf-
zzW;TLJ>D6c);;+g{_6eGclXc7Ke)eM#L&}o-@k=5In#PFHNBUaOfgNCIyKSq?f(9k
zKkg?rHE6Q5^qlzZB;c`+qh4Zy;FagfKFz<%Ha_WQ$a_=%zJ2w6j!)cmPK^9Y8Xp`j
zL`%-D*%S9)arqbdpkrS$H6{m3)ty<fM{s5E%|7!-KTn5?h^_l#^g(>#9#g@IBJ1S-
zf1ba+YmH5i+_aJ>lWd!R6)&o>oO{Bwy2^E0PWs=I-{(%=6FzUwNsoX4f&T(N^^?_n
zw{$nixBtkycI&+Q`_(F|#aVom6CB(=G-|}|GFctkr7F+D<UH>ZZ|UTH55Id<n#E1d
zZ}|1-)T<>n+%K=hSDl(?X`5PHmZa}iWL^;^*Wz}e*<J9<heDRt74`SS|CgPf+j-c!
zHP$FFF+Ba$QjYE!$%+#sQdo8wU)U76tE7JANwL=-)YMJ(^R3$XV%Mszo#y+pGITn^
zxMz8-PmT?}xpuKaf`gj^Q)^%23oebc-5e||?ia4^URd(>o${xT^=m%q2L;Z1GgBjc
zThFQJ34xV%i~jH0boXvVTI$17)sN(5mf1KYiY)jPc4)rsawolWAv*JoMFZs&#h=;=
zZq8>3spr;T1Y1ntGjXE7-OrYq+S*cS_QC_c@=IS78ftu2YUkTq-1GLq!R9;fYVYnU
zRcpVsB~!Ru-K~GZx3{-v&*k$yV<0xAs+adH%f;N%KR-TR61CP7_v!Q8#vw65aEoc1
zhscawGjbIRuBo@2i{W3Uy<INopUq6mHIv*0`Rk|4oZ7njljRW}L5DoA1AmYE_$bBi
zQ`mpNpy>Sk)>9%U-Q-12X%*a5WP)r_dU2#v_zUP@vR8UqdmnkZBsFumFejBiKGxe^
zrs4AI!Y<jhLJDgcA={M>u6^QLX%}#_!!7;U9<_~Y1LMS5CUG;dfmbBA#0y<86R6*;
z$8<h!&m!aNO(%c<V%}Aw-NLmiWg*k6^$Wbiyr!FNEft^6linXN)p6fH#XsfVswb;<
zq$Vc?Ez2nLnylq&bZ4T@O$o;0M5$mYgNzI9=MG+9AOF0DCp<h{%BI4=k&hYFWE0!f
ztQfL*eNx?v3yOBvZzxQ9{^rdYfB!ia6CLVX6y}vbKPP)_#zmgQf)}?PQpJB(Mx6fg
z@^b0C{<pWcUw(FWHmIYsriJ&R?b+;2#n1i3t~HBGyKq>lX)Jf2?Db^YpI@)nZ>j#C
zSGP7|W6~OvIojcR^Q8VRR(RDeKl{TD1(o&dyPHiDa|ATsFh5khs4@9`WRM~#eLp-P
zERa<%w3(0T-l^Y(Uz`+dD}POzW4uJw+|`b2*D3u<?>OEacWeL38yPy@<2=>5dg__8
zPh50<Dkb#wJg8hTvHabg$n871#q|n`?VdC(@t;4>A;Ljw!5!}EzrRdvD?T(F))u(p
zoSt>|!@tHbpV?-)FSg&Wdwl(Z5Tlf@!JRkkd@?82JJx%CKhVf5bFR^mRpN8l`nb8a
z>H*hUpC{kmmb>Phb>*j&Z%-c72ryQZe0qBNxNS{BfbzPity-qp*Lvp6nX}`zVHcl6
zO#x4l_lIVoxf4Fd#;p$16?@hEu<{J|LfZ**E?fwhTkv3C?e7Q$QD1JyxCYiK&5G{@
zCMT|UZs+SQ^O&eq?`q+qs>X3dl#$tpNix?X_HnP~v=WXxoK;T8_1P|Uc7G4yP~#TW
zp5V;kap|DH`Cq3Ouh#x9G5;VK`ssvgsMnK4do1TnDquC5sw!aM>Q=Bh|K1+UzkfcT
zf1`PUCuzZti>Br8V(PN4uZw+?_~UWEeaZ0$mK7>yd3PjoD}VIOv8*pvt2XFaTmS!G
z$RZccSGTw8gLXlkJoD-4>7ND~-*)ZZEnF0s09xSU&vxR%L}hmi^OT#LQhf>>sszm1
z%NHjd?Rw+-@ynMbQ?<j5950%`Vb41FVMBuk=z6{D%jah^CAH2uaBpw5yZ5eEb2b;A
z6c!hyb!_=O91}MxnzLVZtOt!#2pT9T|9Eg_mTC8gV<O%)EPM3WFYc69TqX5HbLZ}-
zNkWsNoY*?~_so<G2&%dIeV>w=<DK(MN*?BfT(n(&^_sHqetD*4Cph--{Ag_baF~6S
zd|w)PThz4WjGLM+9ADx&x#YM)rl_LKUxDs#N_AhGWhC~uxrE%g;OOw6ey)QD`~8(3
zMMr<!-Ce$XYxeb5r%D8wn$nrGk6Q&V_w!YD?|YId;B@Hotm`bviM}2^re+mB@9ytE
z|6KV96F=u3^{1z&UzhEg<4}?D=g*%T(wDbn2H(x*5Cv_IpQ;l%>AJ!sh4<h8O<pYA
z*1#xvaJEdoNAP|YA+z2&Yx@t}3$8EEyl!8<(&62ikXv_KH?8^<ZFt*B!DTA<{GE^7
z%cpE#;@G+;WPMHC?WhH7)TBU#(4kiDowL{;@}CuGa%|<w`xoWMl6UTd)Qk3_2R9EC
z&$TKwvJBr__0?j#i}9Tcf?PYRzpp#^Q9)HfBrYzlX7c^b>HY6Y*CfBWv2hRIl<!LQ
zJ(sp-hu6unu*C@rs7f5t;E+wcd+ge-(rmu}SJp<GUn^&`u{)8;=;*w{{l3G#3EE9}
zB-f~$F~?aPzag-w>C}c93IgFQJSRB3&O9h%SuMU<he<zv@50>Uc^Bu^zYAdH7uS0H
zZq=_O52aP9f!qFMc+{|JTGpyIywVQ3v}e`qtoq#fe|~R`kkfqKzrx?mfycqBpm|;7
zX0@%^*ZcOeop{@TxsPg<WZtn8vtL|aFJB!x;qt=B^>KSG7)6dS)_Ochz361LLoiuS
zqKk3gzhBv)#ShggyGmX<U0&w<IDohB_#BRn3KvD4CUb0T$XW1Q_>*h>ojZ3de3%c<
z`B1hc_qLhT4UZK^Ej<poo@3__EQ%1&bK0ck!F#P6btlyZ`!$S}Dhlqs(&lCgY0K8>
zK{ivxF&(?PIeq&-X{WFWYe2(i9id7$xjbW&ra3dHJo&JbK`Z!7>nSaN4!bo;C%GAG
zK32tltY3b4`rj3Pk>OcUs`a04H88IUo8P05)0ic4HsBohr^XnzC#?^j?Wy>fR3|CN
zedoi+UDH~+o=*&BdbFT5IqS-bh0^@b4+l5S5ow7GnA#M>Gv&jpYV|#P_s$ehJkFB5
zIEgh;YJ%#W4~{(reeLb+KY#x&zQOLfPJxYM<AK)(X=fzrx%m0h54B{?Jk-kVzIzsy
z{Z%1bA1XRMS{3FNvo6L`F8%APt1Vs%0-()RMVs_HW-RquAp2mgdh`|sCbkrocMem&
zE6w!MdC1YwkYn}YFw2r4&7GC1GJ&@x9v<0wyX0FG188-^>VhLV%#BB09LTDdww@fy
z!St=afl-q2HCwk^(A0=Of;+0zr_|lA?ftZ(E#>Oz9XF@E^Zrw=n*K`pL(JDk%c^73
z-`Q69#7c=QR;pb5%ey0zpHWfy+Tpk~F&3e&21ZH8N#b{!en~#%oO|Hnx%jQ0wOZZx
zEi5|vdD7;fd7_*1S)2~fyR_1~{G?^ASN*Fy3s%(TUprQ=o^s@v{sbL=feuDSW}~Jf
znn#WW&er=@$Kjy<Z2DU96|+9IOwkaz`Z3^4p}>v;<q3WBzb?HuPc?Fdzs^fR0i#J@
zW}NP6YFG-2caHN5czjnEZ@;4Q&F&oARPiF?2b`ws-uYz)b^G<~jn6Os-7I(R+~V*l
z2J!W5S)q$3EIyR5wU%3Qqtq9pjS3SI!1?LIgrmLcM<2BvI3lY4a`B?d9hoy{C@`?B
zyTh}j+wOaY%XTg%4<RLm6cx^c(^j%Da)a880sj5FzU(mBz43$9q`L);ivF38xb$CL
z+9H#BTF3YFq`Ry>PgvPecCyzSzOGjJ6eN>Yp{UGPyfSrhSqCTpW360fT!?W$W5Xm~
z>zwhoPX5)Bj*c%pp1aCVwHU@<{B?i&r5o~T!L$2pC*?h=IQQk*+32Xvk4;KfgwN=D
zeqQK&;FB#olt3HYS4k{<$|M?>-29K5i@WHVNM_THed14cJZW!Wlze$@t#tis(Tukp
zlkb-a)gLuyK9uqL;_WMImbJU8y?zrpJMZ2Or+)d?PZg1y(w2K&%?h5c?>=F-`;<95
zBSe@&y`L?d!2W%uqp<(1{W;E?8lSFjo_=Dp8)MPwxr#T}SX%0t?3^U1asQBX@3QP=
zCpd0xcFuOYe9^P-y4y7!jw7JbxN((o{T8tcR*^bZOzKlMy}LPkVaWDLPrWR>pZWXW
z-1K}&+1%<P)yenoMsKM-9rf?~r>)j2V~%DOY5sG4@ck)w=IOTknHN6fuiT`RbMoXj
znf+c*yVI6-9esK|H!0RUIE5w3@P*APNvjQymdzKr>$>nOtD|j6#L@EAmd!luEZ-Ig
z<m6=3Kjr%?Rr~eskwgB+L|N8!HZV#y+L%U3ZO^%RX;${8lRN!m_xh{ru?HVs^-e5S
z{)ETOPoWu4+sYqBPCr*2yn9*b%f*|fW}Lm&EH>AlZL=JcbzjV~Sxbw8W-2YZJy9b3
zponDU$49J=JH@T^rOk2@bf+y*P|7%eZ?QZ7sY$Bb-4E&;C(PI^sO<J4YKydd@!MOb
zVK+>Xw$@8bnCR9kCAeg(v{_C@@75b{=7{IDT{u|WD5kD-V}qtq({ua0n3)b<dUq;M
z#V^>P%wBf+-P_qOzt|twuy`?#>#a>lQ;d92-t?L+HFy0^&3hc{dj47I>Wr6%W|lm@
zr5d_!Zhhw~^E^-H_TJ?(mW#LR-{1DLeoNWa^)~T8CL5fZU??nFsPB2-cdkDxxA^7Q
z>3?@`zr6nQ^2?U*C+n>F8hEqIB;kd{s(_z!)7bg;6?_vpJIkuCzgp<qj7!$33+_~Y
z3Oa8WA2^%uZs}vk`N;>*$Y<PMW`9Gu<&X69Gm(}yrq8GUuMeD6-+EFiMANN2@8^qi
z*>@f#*WJDGLHpBe#o8B_T8%{>)VCkHddDwI|7zB?<%)~z6{hcL)BX8gn<w4>_q1<s
z|4f!^V+r2%#c#@b2Su&ebwa(fP1FNFHI}Wsbl)nqXkz<pjagB@nDg~~m@B)Zx94rF
z>q)D4?-=v$*Vor``X9cke|1W!IAC?FqCa!f!hjpC0&<7cIVL;EinDFBTygK-zS`NK
zVdM4AHG2N@Z2nk<6gsv9%&h=5*ymi-F))~5n$;(7e{PpKq{+^8==S#f^n*beuN}PE
z9@WmeuClChV_M0@wmiOTA!{NGuia@ZkUMkyczf$l0kK2rtcNP=f6Ykny&>E&W%Fj^
z)!(yL9fO@a07{54W-L>)uC7w`_}dLy6k(EnH}cgrrNUDtXD3cPxAxVAmBQ^ados8d
z+_hWhuih{F<bto@cOkDUry@B^T_@a^jgR_qJMHe-;(I-uT|7PN*Y~ilsG8d*QgVJi
z-<z9$mc_d-?0Q>&yrhL?;ev<E)t8oS)t+f^CE)n8z$0uePZlYueYj?kdg6q~njfir
zij5-XuYYN!Kc4z)(w#kds<y$O|1ayie8|(Wk@3nRzf8O3m9P73!gu^i+Ee$H$@KG^
zgb5W^Z+}nRQgP2@Rm4`Y&^1AmJ;M_k<bU4T;k7^i-ui0(b+LO}4u#bFojpBWKjYYv
z{1Y;g2dD39&z3qh$5h%h=Tg`Al1RbHkKMl+3;uk4pweq~h<74?Q}c@4$yz1n|LeV%
ze)9U{WA`ne*Y}Dnlhgm{`pRR$QhT1$+vhAfIQLZ0GamV<s*HBI^D>eLKMT}1-`SfM
zu&T8|*2VGia{u#HF$%ohV!Df-pRb?)IlIChw2bAmsY8T=#e<g(jvf3b{{>tuEh<{H
zVS|C#ndXOS{ht@ytN!sJQA~cWZME5cHbI-7*u7Py-{v@E@Xzbdy}fOtmcg!s!;_mo
zJF+~ucyhYF{A!-#Ci)%xeFviiXF2WHsM+~=_n!3g^J?_w9p58sRgy4?x%J*6*Tqit
z=L9}Af4F~TW$?^B4BQ%G&QHzN4#$0DzIJ0lQjfq?XzR{ns#a*mwKb6;JByy~n3w<k
zc<<k*7M0g@U1!c&l)f`M>GQG^9xo0Htcu^sk$QGobD8Y!MYGvbo}5|vxa473%gvRw
zwd(>e&sxQu5y=0m_B7+Sq662~M7Sik*E-g(otmEX>WxO|v#;6HE}n3lAGkH@Yv!@0
zP_0U{*(VDhZq10f+kDt_|GIn2K1ckB+3&PgYRdCJz47(`yU(+3lr(#=-=y@MQP$mk
z(LDlJ*T+oZ6!|7GebthVjsmUd6P95{L0i*o&uzc>W_MuxrBkY(UmX9rKk#(_;yjNV
z=HLFGJu<WY%HHt$yBp_k$#*%<_trPW=#$19$z1D_iO1@-<{Nw0v~4l;nJ9SY&B8Kn
z!GH=bljyBkqN_ihn`=FN{;jRq;?-sgUT_OB7BPqx2Xij{kfyL?Z_!gPHLhczQzh~y
zUf7-=e`{khdv(M#{rGe33zIJ1y*sy!M^fmkWX%3~wvr0Y_3nK#5AO(^dHv|op~~d7
zQCpMVyB+Nkz1f|V7x#v}Yww2*HZ?yc_|3ICs<rRePPG%!2l@S49!<1foc{rO%-E5u
z4|*8|jm>)cUnv-Z<_9GvOf*V8^`V{ZowcFr+P$x572RF#f92ad1?6{gORx48wHEQ(
zE8myCo^kQcN}2jsKgv$oy!zvLMPDf*=ERBfo7FacKQM#mK{xMDJ;hVX1)p9q|GV1n
zHSe-||D}KRd8-2a<2Fy1xyM~$n5Oq@?y1lfSp_lo|JV7Li)vcFmj2rM<@`tID@#jb
z)$|^#pI7V;N<G{6+jDnYNsw&6fY<c@->y!SDONu+z30H}D_ebSf7S12Je>LAMdz1a
zU$a*&nB-}kdd{hOZ(hL(&&^8|lxMu&6ZE(CqtYa+tNp*l_t&T;yB!dbY@E($B+g;~
zB0l}ktmD4ns{<G#9Z#_Ciuq7wl6U9Efys;PKQDA{e{o#CUPWbvl(Z1!9JF$#<B!<y
z?J9j;@#o#$-Is4|%`Q#d^`gFtx1Z};U+E$4Riy{qI5^K|$4#E`?C7lbh#sC9sE4Qf
z5wxsf;m6OPlk<7B)J>bkIqv^ea98!7_QW*h+?>dJMNJNqK*JgWv+wLER5mVm-(GB|
zSn{iiBUFF(j@-SDZgCphH|$e1`Bcx`{`qY1{YOr2ZZ$W%>&^aboMZOh()Fmf#H3UD
zRokA<5uVU*v+n1LppP<BPFi+1em{DpRQ_vde$?_`)kjj&^X$U(?yh*2zj~V90?)_o
zANF}oUFq?5_m_~kN$)P6U_0c_TwSh|Kb4u8-|zCYw<}&Rk9UdQZkAtj@<i|I=_?}N
z_OH0T{BKDAq)3@rD`W5V*1s~%a<pG>uxFu)sN}(Ud~?4o^m=!5#gf@y6gD3D-m7V}
zso<g0x@C?(oQ)?0hOBt-Z2eQ02hVucGdfx3-rDjoD7tuOF-JP+a>4W0&Efsc&+z`H
zyIfaV#PJ&&lPhIs2q%iH-O9wlvqw$A%b@u4Bhx3F6zcy3Z_m3Mv9Lv)!%|lvgTwHp
z^r65LqK@x;VrIoN>CZ{d`Jk72R;w~_V;qmA1E|%g8L>g3KH$!sJ2G;M3ir=jFs;;U
z#Rs29TJM{Bo}7;FU|Z#KVam#&l^1-<N{#iBFL_T0FuL@8ve}mB@7I<*n4(|p<lr{J
zVAtmtPbJoGcPprWu=3>8<5!<-G<|Jmc4A|N#HR+c6$U4+^=4b-Gyl~3JZ;V6CE>}|
zWfk)ByQ`0jb#c@?#ZH}`@}*_|qNh1WruQh!X}J)3eO~;EBUf&ggg?D;WqnAClxe<m
zaeZv|hB-@Almq_Ut$QKVJGr3ObzlCMlN=q49_)D+OtY^&DP#R0rBE-!!Oz&|+R9a=
z1X|FvP)4Qv5xb<5bcT~z#s!6M2@MqoxWx6&^k;B!u6Mq@EtmHiuRo`a(hkAw%DD7%
za}-bHyt%u3x~)*`u9AhBmzRCyw`(adP<PVtD4A=J$kZO;P-I~L$@N2QkAbhx=SQY0
zj~~gXJXCtl+@j&yB_gBeR1fOk+T6RLKPf?Q(yd*k+V#gK*fXyeyw+eNG-2@!8|Pcg
z9_I4$@%5FxZ7BTqCde>tqWM;4-XsUN1E(G?yS!<=EC+k@+Ic%fJnor0h2Cp>`m1xs
z;Y%@0t5-gL?BrHA&vs_oAA`1KEA|NdJtbPBxKK+qB(ygpaaNyh)}@=5)idhvd(M|Q
zY{LEAXQ8}$-?EdN>z4Lg*L}K|-|hUn?9%nx-K+HXg_QirxiWo;X0^z#32Jqpr+W3o
zit0{hKK}Sw6U)`8ud^;Z3XNXOyHIt5)bk#R$rC+FKWm1o7>jB|O!;S3aV{%`MfiQ#
zUv~kcN&l4J|J~MA)?_E?c!TMx#;k>N#Ot3h@8mhbeei7M$45v17}<#Jc=)(<kNL#e
zjWLrZtNRz(v2bu6YrC#!V>73ddsUc8QIp|>FI@VJUKL;W3g5eT&!=O8Lf6K?jZFr;
z_g3$C*evl-hM%AR)A#SsS4pevY?4qo!nFSI48!Cz=T^Vv7d1E`=y;FgMCiLaJ1y;5
zvl%@d>l2x5Ts&fq_WQLgKQ&eR_;S!G<J)p>9y+3we5_~A8}9WUK~o&m|ExOA@vW(W
zQS#(8UFmuYnY(+<sxAg*UEjcCxzzhl7Q6T4iMRKeukh>@dJ?umLVZJ8QD4{Zbum`+
z_eon$ILYF{>f@c|YI=Ik(fR<fr&A46%k%F)VF@c~kFDQXxPDjX?DNGAs(!EdFTbdh
z%zFIw-K|O5ReSXAhu@pAV#ATc><2GCbFnn2TBER1<mA$0VM|@|zDY?wuX?a!$0R|S
z?i$gGlxMoJ{=BodJ<9yx?SFc)=b0?2zqYNeE|-?=eg5+I`BgVgmv>$LHZjI}Llm#U
z%m{%Eil8oTgy9X#`lLC1S?cRqE|%`+SQT+<gSu<d!^BLZrqXl&GnLNHG3s;H`XHoW
z{`kc~+duzbm&;uLs+?&4?fKk{=i7Scyl*u7azfSd=DV%h;+Y2~Yz*0)r+m-Kt6IJ8
zv+3?q!)4uC(se6Oaq7q0crV^Rf8lG>O*t2JoH7losvpb<Ib~YsD!aKp|GJsp|Esfh
zJUkYEQ+c_TXxJ`YRqxNU9!TEYS}VN1{N${+F-6%qkK4X0mn7+~7Ru}v)Au~V{_^uv
zk5#ezPgYNySbQ(8<<raUr;@L%_<4Ma;pEL;`)tqDMkY-EJAd}q2NA#a7N8yqBZ@c_
zMq+|s{SJeRI_}-&QRSJ}X4W1&_BlkO-mj?GbJmm9M--GD&h2<9ImvW?NbZ&1+4CAj
za(>;<-{SW)r@>@FKF1_aZgFkL_?3^fSNxNowJ`qpBvxaKEyC-HtRHA<My$~2m9X~}
z>@upEas49mmPglj#_+bp$w|oX^_~#SR5aPrTV&mfZiD*o$KStva8Fj`!E!10g^n}5
z?zkS_>~Hsf(${n!NgMsg?q8nYnI67AE-3J-e9Dz_`=Fm*SMPWCB;=@^Q(D5B@00cQ
zp8m3DZs*0;STFmO>Al~$_MP2=+l&Xl)X!7ZwcX;}tDbUXq2BhKYv(`%BOW$~&K?fr
zwf<l9j8W>s%?*oJ)GvPYFypt|=FM(byMpiiVa<FS?>;H#_m4AYfBrQ%`*W4wq_dg*
z!km&DkFVCME)roWNpblbRNL*>^5f{M6=mn1GrqMi?w@sSLyyw>#gAsleB(WT@ty4K
zoxZkFuk5*a7c!snE{-pq5WAs9dHP?qrMbul(QE)6L{nb^If<qu#icd<o)WWkqLlkq
zUH808?3$MYHZm<*llA_6qwec);ZM;5(;PcEER{8^-`#wkJ@tK%{>kn2Khp%3E)c)6
zC#z9qzO|)KhrFkTrR(<qlP^Z8PHvM9Pn}}Q#k5TD!bHJPsc&MkoPkQuR!qC{Q>m|O
z^BZd`CiA~e^(VgP34G0r^?G2~$gperB6f~ni3?&xQ`a0@;&P&6Pbo{|lkSGT9*xvf
z+gD__J1t#&3;7TjC-<Yy-{zKZu(aANRK90tvgh^gZ+AV-cfUQ)V${SICny-W%ZEc#
zda{tB^0s=})Yhg3OHc@U=InR1PVvaKUe#M4RWZfNM9=%><z;0d94Yt1oD}}NERNci
zr~h@u^x5GInpG{niQnJdz5Lgs;L7vflO1I<O@cmM_O~xg54MTAv_Q65J|p0}sp_+i
zwDe<(il@zqKPJQ?!^$WtxwGu;t>_Z5kp8|+-!p#C+4ziSYY5lgB+$}j3FtW`D=#Y6
z2MZTA&(iuQ^Rse-Ajgh{%&Rs!#<R*gU$neBqsKv;ZLYg1bD_iXt2@fXTX*TLnyb*0
zo+EIy`Gbr}O3&K^jm&Fab#Hsap*vM8v}^YQtD@U1*)_{j&OK>v=<AtdU;j_{mgMT=
zPc~O^uMe?N7Gn!(>o#Wk(6i=t=`^*vycJzC&+8fA{Ba1LCs@+uHcuMkY?0G#ywW=|
z<6}BKaweRcVc0DE?|83tdcljO-qSN49B2gXea(B>m(0Y>ywXeb<tAzAWuJCER$8E}
zyxebYRku&+*B2WyeByqd-*2hhcf0XgqW-?A=6aUOhVcxwMoB(STa3@vKkd<p*wAqJ
zeDE@#i@r=R8E~8v(gr#v#JA+RoK;E3c~i3&yDhA(H%G{^xGTD)=U9ky9SS((dV^hM
zsdGEunrVH-3zL~W`NYM=n{3!!-YnRBd1bJ=_I~HoDo2Gv!3z^7E_UzVceFjwR_$GV
zkD1M`kjpnd9NqYNsi&#$8F2~oT#nsO&(Dv4&S_|O7}|Y(9&UW+N#SF6J&sR)4iWF}
z>|D&<?x@9>wfF7q?de5~MGGVknFU-29Wbr^<&miXw=aXxqlzDTtv}}N%aA+Q&LFR1
zuI4-I$YIBw<v%|?wK+ajJ3QmXg@u3K*Uz)9{#2z{&^h7!9LwS)77y#|KNKqZ9vl=D
zX33Fdl$E@>zy5#p4(Y3l*RHp`c<uZ1K+$NwMmuFop<F)62myoE1EN>cpEPejzp~QQ
zobdz?(}$!7&$7<>Oq{sffBvRZ@6Ox*_c_`ndU@`ytgBj9Wp5-VGv5H62RND0HU8{}
zJAdkbe!iKVm=o~OwesV$v$K8m*jboZ*sJ1|-Fgz1#z;&lkv7R#@VTU6O2df<GfcCu
z70mc^JAePuw6n7=PEz%L@qYjRv>=n3A0H&{i%*<5ag9Mv&WVX9r)W;zvz&!-HfY&v
z*}FSG#Wse2&G_8yw}y3IxNOAtD)xZQvs8t$xg^5{>J2&@N)mj3NFRnDeW5Th#JEDi
zA!mz9;snu_CX;^FKIcnXP8RoTzsGJldhm8bOJwl+O!oaDO_Moz72h!NbDf&xXf&Z`
zji7Q%^8;2#366&gHl?1Pw0pO;c?C14lu3qwY2KX~v&?d56*Q!<I38#N&9`+_9(%^O
z<zfBah?Gp`x?BFtyY~pUZ$7cWs6#=38GKx6WxdS8o6T9=ew+>mL?k136exyYR`7Q=
zZb^vRl5tRFY0y%yKPB_+YB|>OesQ*}{r&CfbDyW#3G1r9zADV~^kI9?{mJ{=ySt|o
zB__x}nXJ$$#;Ydy3jJ7$den0%4)1cD)5EL6VQH4s)UZ~0fwHpJ<v+UjcAT&%Pl?{}
z(nj>m?8#iaZvAJs_)(`2`v1gKo7-gzUw#g=_>{W+)x)*>Cc@Sd+*^37TKxfI?K@4m
zoh>mOwMI=Wp%eI?v|d>gIr-Ms?Bl6hIXVvnHzwByKANo;YgP5_jbwY#v$M00$HtjD
z?<{C!VqFoxzwS*aqvr+KqWV|2wrYP@Y@Dv@JIka+zC=Q~`rDg14BeOR%UBi}d~}?t
z-*<f;Gv~pNyUX7{yUxZVk?`Q)&Wevpy^_YqQf7F_t3TPm!p`pA%vXKX>Ii6wQbS+Q
zii-ND(K}cLx0j^+Mx0l%y;`EB=f*KryTW&3l~2xlJncHwtE?FAGQVd5)6-{XXYYFW
zpp)UJ10U-rCkF;G{kSvht1BxDH)+OQpA_)XW{(s{Z1zKmDg4=OpC7uqK@Y6hl-N?~
zH{b58qGZV71Dl%+cs%%dEVXWLtIthN`ttF(e6b(LsfmW-|GsF~ZCMf~AZh4$;2x-?
z5Y-Ob<9cXX)t2wJ$9L_|7n5IZ_SJq7&rAEBuQ#65G)xeD9l!VZ!--o`?^phMXZ+G}
z;)T_UZyyMEEtsRv5y8(WD;YTRN&dkzzE_V$=T}~vdGmFVz}#J8PQ0u3oZh$noR?62
z`L*w=%CoPpU9^-t{ZeoCbPeY=AJEZcQWiNjPfti*-4?TX!2yO}nU~DB)PIkECH_cQ
z>s8#Os(<$tvMxT0UC%N_r|;J)^H_`Ou0QYZEqZ=lS#!74a>vPGSKhC_I7M}Kas_9Z
z+-|3-cQ*&Kmalx^^<sDI2@fa1DW6#xUn?$9R<2*g^Y-n{&FMR3e0wtfOcK7bCycY`
z+y_0soctYo_$6nWCo`R$Wi>D6v)F=HrBXo`Z0oHyq+35d^VaG0Hu=kflYTpXUlDtG
z)t{=lY-eXA$lTjMnd#5F3kx3Jza-w3aX{em_X1z%nVVCVs_EX={9Y-wtKgl<-{L<4
zJD(LVF0Gf{T3zq-3D4;pRz^nI*Vb&ev$I<ww|1x8?3urzXK;Yd-AKEZ^0M{F)Se%|
zViqqm^$vQtNbbw;Y0Og;lSA$|nQDt&ypb3m@S5*#=BqbL|J=FoZ@z$yhH$#hqHnQw
zPoK-#b1n6oxAjE*_hX^AD!&*#)ei7*cUyIBjr-~A`?S^LP0F===NoicmArKou8YZd
zseAiMMZ4ecfUA#guBd3#G26QC$u0>+<&$4eo!rIBs3a>H*cp}I+|*=|=&i%mc)vV;
zIsfG)OM_f_4hwv1@{c(Q8d$o>BU(1oDqV7G-hJQgwJ9IX*SzwpS3Kxg^63?`n8@k?
z6YZxbrnY;w?>qJK*#Ez7huOa^Ik;H;(tlowylFiPm=-xsUF4JC7sqMlp|M5$&c^=D
z;mj|-p7wYZalb}bzxq~w&>Pni9zoy>>pMXW01d^J+XYIR7EHNe&GN=FMmXHa=+Wu8
zEf4#jZmB%4sTH?q%fZ|AkGtpPW`6&6cFX_yvwhrNh3UBP&f2!<r}uSfnev~HBC|sG
z+iZRNC4QF4XYtJ`Eb3ohUYzyq-Ji*Pb3QG-qgVO%-CK=^Gmq?Cf^-~7NlMC}A0J=E
zeVTS9Iq1ynoM#mhVxGqTJ}mRMd8@xJpA$YD;UhC)N_|#ePmI-j-?h?VpxLHN{Pwzi
z6MMe=-Xi<<SGMq5>zB@Ym2cM{sk)h_FLi6FjHUI>@~vS(^(R_;R7@Wk%~wdU1U2Zk
zD%Eix)YzgTX6*9d(c1Pc_kYWou6mXie&NW}o<BC#MrVH{^7sZ;D&~gNb)+fCudv|a
z<$Pwq%ab^(e$CC|OYa_s^Byw!u;##Fqm(oJUn>768cq^ysbhNo^Ww|b3&kc^Z=cG&
z{qcv?tcZ)PcRr_Xo2q*AtkoJ{H-Tqud_1$}gSKZJ_zlWU&TTxEvyIdJg8JW^H#N)D
zKC3d2wUc-0UA_PHg&B9Y%Y_>i-TuyIx-zio4wvX-mTNxe>I2O$P4#|$xm=n3(s5b)
zg(o-HJ$>G`a?b=`E&C_CSAJd@+<*S(&I|2|S0Yt6B*{FP^yF-OfV-R5^wmE9Z<j^5
z$4vOsrE<gV?ClG8;;*Zfcko`@|M!CGs$eto+7OM|v2Ra@nI-!gHHE!@aii;4rjuLF
zQ(48=9)27zPIP3={&VeY{n_5z>fJNlAZM-I_WUo{+asdC(!?NYYyY;)kn;<sbL9N<
z7tVY(rErRNzxF~Vwxzq>`QL$#1%7OidVXSe|KS<AvqKghV6b1c(5qqd%IAf5YOhv5
zSuJ=!@V;Z<JoB|%#Q*C>?2wqcHXt-JyX8`x=%>O{6DFQ+<rdj8|H--f*ca+SHr7-1
z)&9B&z5ac42GXG}u|dL@FYNsF;9&EbPu$H}?@vwD-g(wK>Lh3@*1rG$s^9I=0v)z;
z5!Am><@zcnFVW%LIn({YwY>(nN`7p6<@jjgo5V{eI(GJ&WM6Ge(~DVKeRIdl$h~g5
zFTAf>ABnlVxpsH`_CS}4kjtC>f7hg5_~N6itnvBmS`J>Jm_X0qol&_`R%t?_T3PmT
zEA08>mTcR4M<f0m&&hwb$)}|a)RcdJd;2(yb+%cq*O%0O3Bx8XF`W}m71Q-%7d0}o
zU)qp(cty?6Pc4TSIPQn8REjMV{_Avt<)j#>QaI$FzdCHKmq0_kP`6~G_ICM8vuv-g
z48B}6#mRqKOhLCbla!?4J7b~mf;s<Bgs;DUVb<H_E4>dd3h^m&5&GLCIa%<<QHStj
zF+u-MPFCOf*u*49LBl-bg2LP`{d@Bj3~z7GUv6Fg&LHsJy}j12&m3^jQ<z)$=SSf@
z=HveJ?dG0uU&b?~(zkT8T}k~50d0=|KcCN+nRUbhG%;dmlb)Xb&Byr!zt-Lh+jbT_
zWO7VDKBcH&5wn&s2a{(9sMhAcb-RsM`V+gKP8rL)cDu!$+Iv<wFE*N@+#UQz{e&PJ
z#|>*qPOeI)*X8pUU$oT<GE4vc_EENXes;1^)9eb!eh0rf7LLm9ef3NJ{rwHv^)!>G
zp(5wro|&EOHnIv_KbmwRH>p(HEckPKkt_GE!pCfr+2&kd?9MNC`t{}I{M-*5Z(hHB
zdo~-t+?hw!rLV7<&X97d{P*vl&I<P18<W{}<M++s<oV>+#wUB~KikWfcXk?wuTXr#
z9Jp_WkM;E*5-T{*&oDeZO>gp=dRGC1PEZH)*<Z1bp@|RPfA^cY=aWdK$T{DmcZ>Gj
z@8ql7Vmo=Fw_Rh&*DwLz;HSHjJljDVAh#a^ouDnw$|cgVtt0R5u8oWG-rw8%bL;8p
z`oib#?<`iY2A>wAuC6}y^p_dOk<N>8d-v|$u9W{@UtizMwV{6B6gCc)fADP%5AU$?
zO1;>Z?&$SE@u1-eYs>n7HjYcTM{Z8rl5$cg>}xH)<6>T%=t%mOKifS2+?n_{e+6!o
zyikkX{W~J`){fv^CX*I?t9x<t{6+uydl&5Qj|)uQeeOx*;rg?6X48Di7Wun5usslL
zSbq2h6BE-6o(2~M8)oMS)}N};+j0{1J+@|DwXk7we#dDeENzyv;P&?X&EG5D-rCyo
z%30!;B4|(T>)WTN>t~*tqA646D3t5s!ZNwXwOcH3V^W~f99iqK6n&4$YQ7b7Hl?06
zx+rN~rc<3QV3l=eN4=qG?k$s<F|3ix6a?&9N>Y}jo}QNfQQOh>SiTCo{psWX4R!BT
zP1Krx%3XEpa+fn31uV*S8~*JqnO?PB$C35(3H`wAnA%z+qv~%tT%4SV`pp~X+SSfF
ztf-(c>tVM+_O&&jZ7}N&NE#$CR2w8HD1F$X@aECer$-;k{w@B<%vb+}@u$);Hq|c|
z7rS4+x3}7ohj~$Raqv>Fqp!0sFXLSsw|7>t%Y$0RMyD0*ii?a=L#EDF()XUO_Z0KA
zn35D1!_V70nwu7OAC+fR+1A#!e@W5N&q33CUOuv)FlVNY07s?B$Nz8UvR8zJ{`w!k
zlvPOKOZ}vVFML6wE&+n9Z&+9At$Xw?UL>+!YnNHT!LGdvlNw_SM31(0ToMTon4+||
z*+D~-#mUKO?*E^2DvqB_xuiaG=9Aj_>GM3(-dTRX^M3Bk-+S|apX%zZUQ%^*(<)=(
z2gc7l-9BVJc=?p+y#z~x(e1s}-%m|Ybk+$x$nE&!>2dk``Ym$ZyGmc*>N-^Q_0`Qi
z0-v0Z^~>u|kFVRw`_dx$;)f(1OZohLKbOsIHmnGX+Ln`PtM~i+dvTAzv$IU!?$h`z
zYgMx1x2XrmZQ(}`Z8-!Km9BNHjo*K7lCq7;P06{NA3u6zl<V~4_{TpiKd!uZC)y|@
zSTI?zSp0#K-pf0@Pb`A!%})q5<{tC@bn(tvwT)XBmAt<YaOl*uz%5;pOe>H3pPCeE
zt*ZBN1&`TPBi}_z-8Ti>?me_fVc~Do=hwfgdv=!TW_Gtp8vT!t_urOND8E}e{X#nD
zVw34He0m?&Ms3~naK@_8)w@1>pKw<6alR+4D00X^fb)}+MEmpB2|QN~o-C~wXj>Ve
z`1e=~OPEmXOY@?`yyiFNOqd>D7x`hq2Zc3{XH35G>hx~ms&x18H5@7Z4YPY@==XN>
z+s4b8{#V#ga<}eh(nY?-zoMgeZOeWz-?MaDgYt%wuYQsHA1{ch4@`b}OKb1V*-;M!
zIa1iK9gyF<&OwkPm*q~%5tW>s1-6rREUkYQ&$2K6U;Cd8MsByv*K5CxcFD{a`hO@|
zW%FD!Tj#LczKK(%znyye{Hpmcqp#g5`*%&gqr4zR<$J`-X<ZH*6d$N5$L=aA6#Q*+
z{@}J_NgL-Gr^kFcJ87;_{ZTo~?UAuB6FfX!SVMDpzfG?Ga-%SEZ|3?nH;WvqO8cg*
z*3U@ssyA$|y{cMV>*eP4tn&I|JvojYCp%8wDVw;}mu-90L!}RoSM4=Q|CzTk-1<_L
z*DCj{%Uce)+PvR<TvaVvXp_F4XI1&Sbe4CEKHGKnX#}xM=@HSqv&-1^z<Q1yCp}(Y
zTN^vS=2Pd4^!@J~)K5z_-ZwjX>iz9Uss9%yUpi#sn|*OX{jw?A(NT)r0!*1|nx&W5
zMcmx;dBK&HVtTT(!lw6W<%loXJn{QoEy<JCX;w~x9AcobOPRSl?cAJ~=gj!0u}*6#
zJ#s|#w9w=?yY8%Da=*Gl<t(pZW{`jCv+h@S@^8!LTQ7UW`tsJUZFBDL>T?O@*I#w_
zb={wqhDn0<j4Ll|Fx4|U9PoAXiJX=F?#|A4+m13ubicc#u%XPoNhh}4L1@w?l}$;k
znF67qQm&!Kd)Z9_55~(2ObRSNCNpX7Z$8hYpsp(`WYomBtW?gG$}HBXPn7AXoYKR>
zRC;&0(gS&rYfau1g4QE%E9{SYAZStesb|eSDRu3$$&b&mtc+h?-=(M)s$+QZ$n`z*
z=P%Yg&dcaIA-1jIjb%YZl-UY3*;!W&7S50gT2@juS##?JH-!r+PaYg>4r5o-(b3t`
zc;%`#6W9Y;*Vnyu%DrU6o*=pF^c;a3J6=yvRR4IaSV%w6RD1R^&!umT`L7;6*&}CV
zXjtg3^6Y`2WoAf3W!~lb9lN&V2l+<L3pQ2X@%68Oi^7DImazBb_bQjy{C>N=PFdL`
z#reg#`(Y8Ee^t-5ia+Uez}Ky(uCd#%X;reoANhvAoSK_T&nMo@k-MGDcbjj0sqZ?^
zx%To?mA&Sr{GIs3<feGF3*QlS&z?%V!dF{N)=6ugeHA`!*)r=a*|UtC|LXb67{g!q
zx(NvjuRX`}ONZm8=(2@7c4u5vs(ih6`<uKB<^QL&*GJe&MV+}{|Nrl$b+Nl+^dD}!
zows?H>A!1S$-P@v{C~6ge9>O9y8(h4T%Z8=h~0g`-QiP|?iPU#U!Sc@QeNLvo;0WG
z(!bcvUOPh83nhLnT;;y(f9$T17wyXRev*>})4wD<5)Ss+ciiyv9G#8N-m6^<RasP%
zd3oC2O+T%JvRtOwIEh8gkNUs<+U%YM+q#9`PmiyA`G0QZ*&pxg|KEOgTd`$(>S?jn
z+0NZR^X~47RJ8G6(0x*z-0HbV;E{FJmlZv-)@J737js1#m7GDr7qo<1)Kf(Hs*`kM
z{bP0Ch(jSSjvQHf;@*vvo5}y~$<No}eHA7+$z1u+;Uz^bGeV5cPp#<F5D)ofIpv1h
z(j}bt_=;>R{LKDOKC=7vlj<ug#S|}^o?YzLveNF6Wz4B*nxePVeZ%7uSoWOcn8$gg
zOuqh4;r!zNzh19ziaXmUYrST{9oz4B9*5m?EoT#|H?IDcb8~O?_Mi9WOxyqWZGKof
zn{%jm@2vQ`pGV&{zm2bW$Z9P&(T>HPomVPl``w+z%kLDO)(vBM|NVab^z`|)*Z%cR
zkp0XfVQ`@9;lrtE|3Cwx5&8fB{Y|~HB5=}_DJiVqx8>c{I(hPB(EhsG-&M!^WZ!=4
zd3$~R{JH$qzh2faU(3c9H}hz>c=XqVeCHMVMnR=hx&A!7%*oZ$FuP}pUhJ)@vu0^M
z+j(H}E0%+u4u2+^Ja)BE<9ufMu3*&%`%vpn&y`71N4%V!!}^xaHQ4KS%HwBIYR-A#
zd4~^O`mdk=&;GPPQ;=KemXMY9^KJi|%@aRy?xpdw!dp}Sz4`cEa&f)htZ8LILH={R
z>dlrs-tlwx^DV;aOOt+XI<|VUh6rEiN)ffITGOY$dM2swZW{bCXmi)cPcza@T@Q#e
z-AiE!D!5yE{bu`i-RNyTa#b%BB`u4Vl)S%pcM@aA@$mTC)K2z!d>u^o6LN2D*(k^F
zaWFJIHr3gn!cM|G=Z1l0*_#=6>I;v{hB4&d-BlWvDX#SR&JDp;iGSu;7VG@%?Cjjw
zR3))$fABJ&jf-j}ejl67e6!-m!}hn?OTDIUI>MUz@cI1uxC}o}J<i8sdNCUF>;LWi
zTDD=E=VY~=w~ZaV^7j8NTW!&^>HR|I_M8{(8$v@urp%qZv)E{lM#ulk+sXa5&(!kk
zEzd4|ugzo$sz|2C6m@1FY+|*{UH@J@nB|h+oN3N&GX$P_8oDSRwfVfgR>r$tFMiz?
z8IzP}A}xm}^aej`|9oZs5@o}84Kmg{0<*U6xzW;msgvvc(zE@tM_L5}b)D5N@%-d4
zf1<X?Nz&Qwl*f<x{T!cV664xiTBe@;$-Zeu{X90?HB1T*Jl#Ho#T1`4y;gm3fn&4J
z=6f}t&xVP7k^ldry*B^xvED-!9L#auD}$Hca(8iQS-^BA=JGP%$uC}HR5#{dT-5s2
zBEdNA%!JC%&rTlc6wb9{lS}ho8XKin^Wh--v;qUM)RN=bOqz`%4s|lk4;H+5?Yk)8
zdv9-Vsc^mIA;&Z93m5))uyXmlP1*LhYrd~|v-9P$**O^;R}*_Sh~87;2#@P|+^AA1
z?RBi`^DJ?d(%WwY3MLC4o)Ei3>Z(ZN9|Z@WIo7K0u3QmmbO3qehs-4Thp!Lq&cAQx
z&88sOlD^^R=jYM=EQ#EEBskh0MHcEkTEG9_uCES%K>P2_VjfpcJl^csr1SeZ`&7Ma
z6IhP_hznUCcXwaeQPJ=dyWj8AK0Diddp^I6#f7$Tj@Jnl`U;AZ)&0+1`OG<~>HA^M
z!wwA!8WkxXb5e89+kT%jm%WLJah6@}u4>r_jGon>PO38(R+Y@}a@Yo{**kT2Y5WWd
zX8F{7z~BCF%H4bQN0wcMy1S-QV~(j{k%Vp47FC75kIo+F*c8RI3f}Geo%j0S0R^R)
zBZ{J@r|WN@UwnR}K#O7Wu^SUu6X$<EZ+~A%tmhA(P$cJk0k-xR2HSjQ8eMC4y3xWC
zZlfn*sWE|-gH2BO_?%ot3Ex>J8|QI3Is48wo60U%;UHI2|G_cy&W??9<*Pp)70><A
zVQS50x3}u+CKiqDZOf0Y6!;|LoW~`xQj_T)sN&HIS@FQj)AQr?Jy!ml&JAsqe-xa&
zVB!8DE2i@4RI@#gBv={*j@{c^oqA$|qS+Lu9jza@e@ay7XdIR`Olryf=Ml&8Q}OZf
z{_U0GEhY7HHVUixY)Jm-u%PUmOwYmHo66osS*zLE#U1OFPT#AjvLR@#Mahc*=~F8s
zH!o9FtVsG$Fd?Js<KM(H*6;Tu$2SK!*xhKW-8BF2@9(#_8rd&Y6bg`Zp2z9ZDI;p5
zQW1UN$i|0<+fVO&J}>)vLpg`i<lttrXDPh*#N_1kY_5nf*4Kk_o~U+M$;7p5mvKB{
zZ(Qm<{Z#GuyXxN4bWWZxkX_6nBEi_+GvV>W)%NTs7rQl|e%JnoSFWP@_O{&Yz2dym
zW?SCp-q>*PS?ZCaM?F`Et<5>d`cu%aj<ZsuC6goj`^(GjFV442Z^+d=oeH|dD%U*B
zNqb&)<>OxSHP@LJ)jP(W_<p}W-&xl$j{TnSs<=5HD<W?2DKULi6r4K2|NK1L);;3O
zh1$4eY_uk*_OS=0)?L=!Sj6MhJe|GBF{1O2U{TT)k;Vj2D{589%126ZD?z?symPu{
zhJtEVmxF=;sOfviF3!gC$<B(ZDz57JR{NC#rhrmo;%&Y9cPv-Hc}QpOZf7qwa9RwA
zImxmAwE4Y?#b2KUOj^B+L&TlMDC4p~cL*rqGVm@bD0PO!dO*xc4}}d^YPFbLdmCo=
zNE~~sbZ-U7{zg&lup22m@7Mj-wLe_iqZE(;YMM$o&2<pukYLI)N)nnCv%Bmq*Nu9o
zlH&_|8J<p3`QZpLb3uxVz>3#)qKy9)9;hk1JvsSwZRdmeu`hH~85uYI&%EcN?qTYx
zAiz;EZ6jOK|6TsB4uTpIOjEYZPxZPNGiAj}O{SlY42%X<nhsu9L>OHi1Or$=9xMnu
zJxw?E%JtPC`35(Cli;Y$X})#!ZLcLDY8YJ|&KdO<#Z)O?^mnQgbXZ&R;laUezn9EX
zTEL;f)YYIgL7wGY*8P2Zy&wPm+30t?fg#Q`#OeC_`1K87X^^U)P7l_cn`^!Mh3G<1
zC@`wr$UGA=$Eh}>^Fr0`nxCJ3Ho6>#Dt;o^xVh)jlKSpx_p-Vi>I4*iN^T8y+11s2
zQJ+<^@=8>1#}ZqWC3ja^D9?Gha>Xl|{HUm?X@`<6dv*C{yfg$G5)i<0&gd4qo`@p2
z7~GJ0T1=ZG?1pG$$AX31x&m$<Y-T??Wl8kX5SG(_GCelb|NHs8Nor&AiDmOGi;s29
zuDmTCUsInL8dv`Q-rHiyo4sG3@0z>QZ;nMG>+NNJbF~Z&4a01BmxhN#Uj>IFM;Obw
zT^|l{$99YB^IiM;a#xcK<C1GTALQNLwe><6*H4GFSDu)kd~tE{Qny~Iu%f-u+w-PP
z`Egl5<uTL0E5ZIxv!bFNDw}lHc^F76;T25{iGF=$Wpe%Q$8xq+A$5N~9#4pvx6Tn1
zTa5EL=A_m=iRBj83#kxktWk9M!StSG$&w8`=e;W`cGUd&c-*esF#ViN<(rMib6ovP
zO14b(F1Jwwb#-ihzuCMg`8c2D?>C#5*8l(K)GYh&*uNi-`6cb^_MDk#8=aJ#yw=7>
zZo+B3-Dia6&aJmDzx8%Pzx%bj8};}930k-R-!GomiY?7MBDZE;-6VT@LDL#beGB_P
z9}ZtTz4-peJqwoI)?(^%2vjb(<|-ch<kIeCi`r~A{8qm6@yFwS_A<enZ{}|8m9@T>
z=V-iDFLoEl!v$@;(rHp(@0Q<>to%AXzV4>o{-4ige|p$1e=C5GO|w4p-kzN{zg{dZ
z>pQK#KgRC&8{?giy0lx`azU*D+2g-GJv~7?<UD<Rwmf<M<>h6wD~T$?Ip;c-iywPh
zbh43|ea$Sble<9$`%e{6yX5!Tyd1}CbEZ#rQ_{Q9D{X#m+Z+9L%VZ<EU(dYySWA=R
z2HzU-_?nHc+vV#tG>@;TKmIbE<$~#>rgs$re{A;do$zR-g3ja9QCl(+_sUk78)zLq
zXZ_yB)6;X}rqt73Q?)`_^p7o_9+!3BT(({Jql8(`jFMMZPR6YP9e45jo^<{mMfut<
z6Llgt9oegWNWaDQ+uPgIGrvDsf2a2Q-Dy*PY+7?nEamLZqvH7|8W@>VE-a{b{B($0
z|A)_>vu|S#?wgx+ndP_SrLUSyk;)IQEi)7sy57XfEu!tJ5YI7T$IZp={L=3<c$!TF
zf@a!O7A<?J=un{@F!z{qqu{hg1%aZ-eKk90%+V-M^(iXaq^iEhZz<1`hSKZn<L~z^
z{j@Z6b=apbm;HBtcYWttQ?ti+&R4TfuJt$i*MGlp?fQ+TH9MW#`7E7!j<Y3wyOq8E
zWOV-C)anBw<-tZlcg}B+i!XFL;OkcP_0`i^_Vsmzc44;LoLm|L9KOH1yLpY8=8JVZ
zFFdIH@oM#YBi~l{{wI-M*|M+i?%sZ7ZS?hL6%Pbw7$&#r#O}JXueY5wpOJI9KtWNU
z&GAXE>l@RLY<6llivP9Zf$T@KV6l8#{+gLJGuLlqjod8Ebc!pu<3#TEyJ^nR@%4YV
zURfQ!e!++KBNeL3iiNXECU!OWgL+(lDt13RH+QvxCeu%+hB;h%rz+IB4s&cQDEF7^
zIGHbWf?tlW$lha?>KmilUnPcTf9EXHisPzkoe<A-v;M&4k9UgC-&{KJjOV-)Ya%z_
zN#6hWTeS4GnMSEycdFm-HCw+V^YXHH&W#yc^X^7z{5<OHtk;<=YhAV_)b9Vk@B4F`
zbgtU@<qK{5Xu3@y`yA)}@As<Dw=D=;7o!=vI&AA~=Y&&JG$&uaFrm)=LQ2b@C8wrp
zr{Byz#yI^+#<tQu74?d|yY%7&KL~>=g;i`ZDc>LkbPU%gJq6X))CrtI!9Nw-Q|{FN
z|6BC??e^PueP<XPv<nIj&h=os#K5>vpCdNp|L^<%PfZPv%iP{7GhxlI2hIGq{%hV>
z{rL6C$;oEzDM!0RKb_KEA5rv3;z(<^Y^afd>@jP%Qhr-Ejk)!y^DCmYLRU?Be4}ZN
zrFD;@^8{UgY0IJ|YxT6wiFp_~ZQgJFeowH%*2Kibn}=*NFR6H!S^kfdY?=99<jL*u
zW?K*Y`H_>BRmwBnTNi8nz2tbm{Pd!yr$m3gd{*sPF-2?3o+!s-&r0KW)qVgqG@kKY
ze!6;Q@CV(<aFHGk0Vl=!>52dU{XMwDCN`MU;lS*k0AAPdgbt0V0joACGQbuSv}Oui
za_tto`A4QlC`;<x!-Iz>yPcPsw83*(o5Uq)_NlMe@GSD(!5j4Ubl%>tVcs>I#~)@c
zeBKwl>|FMg*^dQvyp-Q_8Tm6i99KU6&yw%Zyb3+VKjE>ZQ&sck)la*6V^PfhciJ03
z4T8@8-5RElTK*o_uIjYVz)ABhOM8?ih=V$_m6j#XRgZ+<aO>z(c~$@K^ZaYkhFMoM
zDjzhm=PVVIGu|gYrTg*51#@K1OS7ojw@IjeK0n9O*x6#j&aO!fmFs2qXih&~{W7zn
z;6(f(Vb2WFMPCoH*UR59syMz$YPNh$iBE>o12yH^-``3ve(>}aJi*V@qJLuLf>kcQ
zf*dSN_flH8?QS_me!aBt&+I=^pK3nn+$lb9`|g6wJvI-X{hc9Q7xmlH$}3b1POzT5
z_@Z(n|2Fl&Sr_D%-VtFo*uH&XkDo{X1x4{^X1TXcDEHeWNk2(sX6LIfsTSwFI^%xo
zcab%W`RtEvdSkSll-KmyS<G|WX?<j=22Vf7PS1IYJ|%M$<U<Zl4CoWodukfRXz?sN
zCvZ*VcR?FaxFx>Ud-MogjW2k!HTCo~v*k`uH$Qn_|9`jc<!29bPfydG+%8vjqRr=o
z;-e&vj|-x@yY1%H^Kr1-PY__Uk&C&(X*i*VXJLX&VrTO4zLT=$cM^pc1y-~b1eCg(
zC!FWj%YLxP=f9Lt&&jV11rr`WG0923Uo%}nVuI9rm2eOK&q0buXBluX6dQ{93#7DG
z+TK6?u4cmfraevDCm#5F$y;CaMTpZDk8f=`6V*Te`Fx&xYTlFj%KB$1q8aK|J0d`h
zt+uth_WgV|JE<_BO2D<BA$8NA3RM*qk-z6k`@0$(4@~ZPu=W9;?0eT{HeREL#h=fb
z-(ID&T>0&>Ug@_LlYab^Rk_~`?j3$U9K+G6WbAOsWN+q<-N!Yg=bPux^O<9Daj$%l
z+mHD!Y3eP9XY=ipw5!=se|K7(!IOysmkN7UxfS^<c<k%3kvPF88gq}$a@WH)=^CL8
zYwT2}Y`t81<F-e`QY(%9Y=ufHM-7X7o=kSE`e5*|^wSyblke?HVm>+^@O9&PTrSug
z63kN6&Cr<{a{j}aetX~ZJG7tFPkLDs%Ti>$J#RV36H!KgBPPiQ>+XfeOVzImdBM%x
zsbJGA7<O>AL%f&`-<P(7o0}${cTg45I;Rp<WVm$Es<5?D-)i>=sGO+UzF0j{n4iJx
zn#x5h@pP?t4ff+6W>1@XuOBs>6sG9lZ}&4rjbp_kL$24;R#+^QO;^t2_`vkA_T%<~
zl(_S(x2+>8ANj1~_*=I^BPf`~MzElMvY>Ex$wya+n`8Zc8XooKVSIRed&$d7-}2)Y
zpAi(_GD|5ygrj1Tpw;<DOP%Xa-hQ$FknFDX$?kO=J9h}%9sKOT$M%qgM~UgCnvK)-
zxI@<Sk~#18NE#nYztPC8zmV(H-s@|l(?6Tf`4le{E$N-tneH!b_3hEtMuSRe*Lt=I
zyzxmBpR9LC;1K_*#eVLU43C`6jY;zP%?_2q^Y+Yf_s<u8dak8kp{QkxjWpM@RKL61
zet`1nG2WM-O2q?f_+$Ie$aAE8UF_a8?^*v3=ES+m+d;0~Bc<Y1r51eY3vd72$FU77
z1o9OBG<m$({eEBeWF1B3Cn*!eo$5I16WM0H7Q9q=?2>ZJ#tF=;+-Ec!-nlT*y7hSL
z29_l&($3DBCbE(D3CmmMo;!li)O4OqdHTsJb$x~CM9BxGp8{kKXFKW3dQ9HF@V3iO
zgQVn^x)Z4%*F=15RW|3_w{LmPdfD}Xc^|*u>pLaq)x#Nlaf-ls;Uz5R1L95u)qP+8
z*onKI(OlB7=kC#VS6{&s+)PtmKjb^k`c|T_sLo_=TT10j|LvhHpSl~I-FBS*el?ky
zpGDlY=ShIvlv_bbr(5p_HZL>zJ~MsZM)Az5N`XGb{RdC#EAqD*@XoJ%_kr{915ZVh
zLl!pr941aDJ{AN#b#jmU<aA^I=e-KcSsFc;8*eDSQ!gNPg72P$jqJR8u0>80j1DY*
zlsiFWkHM6SlU_H9H~pFDASH1*x#daJp}>{{3SL=V4dzU*Brl$KX%tjYab6yLTwtMc
z-P&awBC1S{Zw^GwIPUpl@_XT*5ff^hOjtSRi#&@e&2v@Q&yjJ?qi*8m!aSB8(vRII
z?e=-c@N@Q#4YQQ$1Ez3@gc~_cHn!0h5ZD(!J+3M<@X)H?K`dP?jBA%^3eD*L@7Hns
z@wRml8yB57I{2u+K`wEDpvJlsl?yvw{S$3m<lykTM}+m1YJ$AVxrsgQ!OBAauZT1*
za&tK85vaWGcQDJJ_J-L#UtV87?|O3PEKo0s<6?oKg6D)!ai*>d>Q@K`7zJJFc>Pa=
z@xS7NTPFVw8!bt<npWn;CvU6gdEC##>z1dd-_ycFHh!L)YTr%?(Fl*?Q<Iw<y!`x(
zHx=Q(KF{1g@AdUHD!Y>>FS&9v-ScHo`v0#nT7UmXg&tPDdL~wJ%@&ss4Q<iW(<c^u
z?)dRJ@ZugZ$-r~_)-MAU1giDQm99S9!&#cx8AIRl&MOXlq1|cfqGV<mDm3ZRrTP6!
zX6_GGz4)hoo1y^k>#(WG$Njw`Ze9vnmm|q({A6*B<-F5N>}OxU+2^k5ZFbJ@|7{y*
zhl;mf=CeHAvT~heatGJ>J;&~+`}jA97}wwW82qg8(%<hNh5yu^5}15xld;;xy!z;^
zL6s|`EPYmma!sBUdg}krn1lb;F5~#&<Z!a1PEVxqf-7i#Bv}6X_xttoyWAIPmn$D=
zt@Y2@RJr8Dy&a1;>D#&T9Z~mQ{6cG)^{YrB!8kvy)^^XQ9R|N+PF5(Nn#L)Yf8^uj
z<tmytU!;EUFuXTwiixM$`SiI@jZ&U&__(1yWWow5(X+}<uda7Zcp>$$O^5aTudj<G
zBv_ng_Q=>&T=>SeP;<uig|g1?MV9}qNw{!zuNG5lH)tO2+Ht)jJy)Fg8<{zer_A2z
zk$=1TMunN*>2tNu+E+)leAEds=r33qs2a1%-7P}jZH4r_X+MsLblT5RYVTX((e%^$
z+Ml}{>itrs?_~;wM$U3^_sVsSxZ)+o)avMVVXoupXNURizuYvP5~IoTlq+e)vrDB{
zGlk#ViubZgo9Dd=d#QV3UsI*d-6GAzeQ!3M{<P;zQ_tDY=SmzFf~vA*Wp`UYEs6S|
zyg^^j)$G0J6y0jR)8A$&tNmZpb7gH{WLEh4ls&9dd+MJnf4&>~K|b_g*o>S#>V}1$
z$C#(6{Pwx$RrIPbQ$|$t>}z+g;KzbpM)!obKI(1IH!3=<yZywuxz=~t`3fH_^4F}&
z<4KK+T6Wp`_-!%WsFd4#>%ZMhw_ENsbK1KjF$wv*UM|xqcNaE(=Ctzq?F==K?N^V>
z*WX$G%{Q-4QDmEAeMtYiO&knWt9~}w%Em1BpP#pN{Q;&&&oU!>d0QV}GyT^J$~>>G
zo_?zNZ;1k{IMe=o{|Q&4AE#Vi=Un7>SIcZo&~<_S6|;_Q&HiM0#Yo8^@6^5<%F|{n
znQNn1&9CCwUA)Tc_p+-?V;^U6g&VpY5SO$peAJ>7z0GG{-LK5myXw7{hUay9_z5_g
z2<(=&F3VZwEBNy9qf@P4_k2FLxkW|Wd3S5rr!8LJx9LW2yWy4|S?OC8mAqn4zmd@r
zzPa-L)jyw3&#kWB<IM5akuUJy*DH5UX63Aq*}cwKmeE{t=aWg^F&f^hSlO9Ys{5UM
zrCYcuD|=7Qov8}Fi&l9tf6fr85B1fW5}w<>XWmkgV971UY6tDgW~$r_<6Rw{bZK8C
zFQ;~}*80mYzd3d|ge6MFOBtBFSX}(!+S=$`JGYXOEqBWA*Irr|Yh9hf%+9yPsa#^=
ztbMh=clB3%d~~#I&345};*ye?@pZ3OE@x3{skry<?(Vh6dXm}sWCXtC2HbS5Z>!(B
z=fffHyz|H3+}zB1@sg}{Swud=q3XWtDd*-`hDGq_Gq`lf2%mZ>_(OU7lfU2Zi`(4U
zbA3-`@oJNp-DSDEU%$P*{dTu)qN0u7^Lf>IY_T%ej@%K@>|<tPn5e~dSh;CU%km8m
zXPIW}{B&44<MCku`zNNwdyDi>U%#;^V)MJh`|Cl?N5PV!g)u9|B3QyC-yfe;%KqfV
z#>qmF8~2!)JXsvL<=)BPpVvJ2byu?ZgplwjKMnPL3w&k0{jNBPH?BFsu|B@$<58oE
z4+-B7u8rP)&g{9exQdNUjDpStwkg$yEq5P1ew<sux^er86&l|o?Cdj+F7Wt$|Np=1
z``Wp5#e;k5yY~vpZGFFR$?k%OPSWQN1~8`Wzq`A9`#j+(r5|g~%rZT_>hq$EZuRz$
z0*p3RUteF}Zd+q;rDJ#L>onKrN=iyO+aDL7vpg;&zfEy_%LS3S6Mh!Hzqxt2=&QpO
zbN?!BVL#~@dR>t3$nFonUa!BstaXC;1_w)t%Jw%s$+EUpCChy4XPM<@Ift+sa>ze#
zGy74|5i$E@?iQ9+D?EZ6udPr1+y*LX_}6yp_eNb*-thZD;Lp^*jFH>w_H!N#nfi=3
zON&wS(B%!u`As?ZM768=WTt(Nx;ky$+HCK=vjkmtFx{PMFYv+DZO4sb^S|G2=STZD
zCSP9WD}1H!e#K+n-$EyU*H=h@E_(QGwx`X#$vQv(@uR<>t|EWi_Ffswps4M6y2@@n
z6W;IpeQwfB&ERD>&IY{6y|}1#@9yJiXJ#bYN={ffzy9CNXKfES8y+}Rt=s!8>h;|h
zmzH*aUG%_mhE?e*RgHPaJ}waJX=h!uCFv;FZoBg_!X2VohgR@ctKWUp+gRUGc(C2$
z$+Zv196I*&{#?m-YW+jqrh<!CXMS#&&UL9-$Z)5{yh_!dcia28^;qttEOGCb)0?#7
zN*7<_Q)&KFvvN6?Nj;gdB_rbYqzRj(j5Kx$KiI17)n)#^$MndP1#i=f@+QB!wA6KN
z!&>+9Ip!=i69xD1_}hGJ;kBQW`|F{_vHI5D?sb!wGe#<Y`FO_o{EqF4nNtP&(mRe;
zPTpO2qVaq6pSB{$_J#*@6_$K{wR-)n8jferlYYHiK7ZHg5>Cd4Hxu5j*_?B8)29p0
z{5S6kI6W#oo_#20O=tJU?GFt*dwaJ&=G^!|@nO=vmBH%Ym(4cM-zGO}Z}s=6{GOM)
z-q!VZ+t;^n7F}89E4`cT%68^dzOXgR-Fl_UB%gFSD5(3+5?PV@vW{C2)JD^@5$}mv
z`#~^bLsI{lzq$P;D}r6m<qE1^_4&Crsz7l<!l~Bq{9D?)d$%tse_nNIb@1K?Q47|o
z2{Y|GaRM|`usV~Y-olNeZ55B+zrNk`yN%<R&j;|$tLKfAHp!T9zINu4`q|NsB*aUc
z6sy0zIk~}h35(Olnx9406Tj<-B*-Qo>)H5vfme&csS698-&Sz&#0hr@xk<EM5Pbb@
z+v|0^&$ZRt6l-q!)$t%xVL5A)+lu3t72=<CO|X6(yY*4F-G`)}?ShMx_DqVuy`ylk
zYSNPv6U3cAX}R>(f4;KZfBql8)sLGb7|kVL-rBmF``XGd3ywK9rEY1ucU3>v-`1TQ
z?dthk?BX*0Hnl}!e7FA1o_ZwQ<K!vd-%o;^z1F?Cc45ml{=ap)|C}`{(mtsu3ov`I
zi)TFkI`!Y*-_h$Ccgj|9+?d8CTBHOTHPL8);y1}v_HmNWy(6*Zck5I4YCS2jFsu1d
zFq?s^Z~;GOoS3@G;no?oi6Kp(@gJu`2Dwf}=e83qoWeOP+TPw&R}>S9<@6LtYRtL7
z(pxjJjaT}X0q-%pk7ai%9{0|4P~YEVsPb^qXGTS(_SOQyldC33T|51;s8L<TwD_4%
zbgauip5w=*PAE6Z6dwGpQlGZ(oKfPE9XodT<Tq}7eD=iq<;|rNCOa<`tkkWTuAn->
z>sh#V9cVGj^K)}!_y7Bq{n7f6f`yXD3IFVGp1+?3UOHIe^yRb&i@IvR_k;HGtIH%J
zcNVUXxuY2MtJGG#-}uF|*4_qnNyQvT)2`$xpPlSZq$=pQbZ>tA?s)P+*7^0{=c<Gp
zD=cO!EI4E+9#^rj+V0rnl?v*u2Hc)gpCknwdi`>4#MZ2-sxD7v7?_J{w`3}K$9zz9
z>&d*jYU+_AM{aE3_gp8y?4(m6D_8p^@U>)vL7?vA$ZM~3I=Cc?Tuw+g##~()eEiw+
z4PqW#t8P_vc*K;R>aZ?*lhJ<pc(a#6eTVB(uc<kk^j*%xt6unN`@UsYg!B?2Avce+
zij_a-1|MhDXUa3Ww9uJdTt3D0zaj(U&!UTE-VW!oSBvZaSCqanbK2UU&UN=U`LEl*
zV&mFFf!us7T#K2r3uI=la}w0}2MW2{+j3*Cl&%()X*|1I_-Xy;Z62|gC#<Rd-R8LT
zcYV-@#g`==9_)C@ygB8o-%a^?m$ol?dcLohexDw|EyLvH#?-#|U8JCf255jTY3Vv%
zX)}?MeM0tix8|IkG^fnXYTFw1iEfo)Yb%r|%qZ~*%ekRE@!`_cg7;}he<w8mc`>Dj
z<tOK*r<zPRLCrEX#?!9Jl3V{MHu#;XHokt6wf>m!rCo(p$DOxMYCf<lm;K4~`{k$X
z*JUQR{<_j7$Ed38+Q0K%px_5_koB9k#m?i5|98vLbH?-H$>N)m?+3oTytlPwYUtb<
zlM2q&$|_e`6i>@BI#eV2^cJ`D^biTAvO8XGOl*7KMF?sbfQ+#EwKKzH@icRmdB4uD
zzqBs0KKAw&A74R+Mqg{b6Tja6etO@$Jj|g>0Aw@Aws&5FAB;iTS~_B;Ok4Ss57gFu
zvhu&qbj80`USG9tZP6)TF?G(`M|`TM)r-SUA3nUxW3ATB*VR*GLb$$rOzjcT+h?^~
zDPRUD8qUr#jlHsX_0ygMzvglpJwE={=!Ae^{aeXN#&N2p-Jo@2AIr5<9oDN|`ZQ;i
zs%V>%^}7Hy<v-sRJ#|Xf&v*XcTBp1<Yo&?f#M&7p?yh~H`L>6O;=RRJHn}Gp-={Kj
z=M(;&i`=zay#!B)GTqm^rEopwhJ)0#l`~eqEnWOaQD9XH%hTvtJr_&Pic2oEwT?3G
z>+}0pe}VH~U<bn`hIgAz>z)3b#BF4D-ug0QYZIv6Jy)$4FU8!t>AJw&l9_?m{?@uL
ziYb~mt)T6NCeMvc&;M_Vv@%_-78~{IZf@dSmiQEwwGvkSR&MNJdcRyR#)>#vvwYog
zV9|`(Q)~ZxJl=Fbj_cq(H|_E-*)~_pxw-c{ob%rIsD4A@;WbX1mMiJc?SFa5s`gjO
zZCf#&J*LH*q@+(gzF7Ml)QmKo#ky|Flqq}82RON{xgn!{+U(fL)VonrCMaJ!{KcH<
z{@*=;Ra@4ozMZ{n^@LSF#TnyMo*d~EZYt8Z(g!WdxSFKNrPMTiX1BI@n9BzRl}O27
z+J4<-?{hP@H`H6igvAN}SCZIqGh=$cfkKq+yl<aQ>qpnh?{VI8WdHwvzt>i+Pxt<_
z<`~<%jFR&|L4!<ZWShHhEQv~Ex%Sp$f@?OPhTuhh_eQ}P*<SVfdGXOvrf2pq%4`%5
z^ie;d<zrm<$mQnN?CDqg3wd%Sjy&C#cQ@;^;iAQh*Pf8c+A2}Mzcpj=f(_jQau0<M
zsjPUM)NyuU)#=!upzBb6cbuQ;#PHj0)eZqgleDt;_i|0sWvmMxG+bTr^Xc^XHSc({
zD<8Is-{Cs6IsN>!_4|IE%6k2P*J023kF!#?$6xCy<K1PsW@Y8)XJN(c4{dUOe|!5a
z$v=XlpZiedycrwR`Z$$DCF><KIU5#d#oUmWv{B0VE|6E))AwKk|CJ}QF&CddH1+>0
zAD_%!z1M30f6&6w&yEEjmj;~f04)Qm{JZ)7(`T!<_ypTa|8q<@b$$P-+GZ<{sa;S1
z7%x1RBzU5$=Y!Rw{CQeiG_EQoe1F(3?^RK;!y!U}_x--#>tyWf?(94M{$c%!z{NTH
z*jiW*J!}yWc=Y<(+S#H7-5nhhmMl@xp3z>oF<s`>Ui-gaChNrP2#BkGYx-MGsp8Dt
zz18KfEgduX&mUhCxp|G2!Ky74MH2ji2Noya(z}s-c+)NYBkj2n+6z~9iE4A%zq76W
zHYGZL@72DirQfU*4lsBZ1srn~6hFMXq5i}D&*$y)PjW{ri0C<$Uv_L`pPa4LWwjL&
z!i%&z9K|fnudWIewsBdrw*39QX%3>Io?0h<mFC<7tw8y#So-m3;OUM7GrreN%+|})
zo~mq=zEe94G@WZPXNuNlvGvoB=Udy`A1?I~<M^SZ9P?)9?7H7?x367(U}NUxWs#xx
z%<Jz}9NuSA{x0VAO^1u(LX4IV`tF1~Y2M>`_h7l-+^o(fjCN>M#4?|mN#@R;+g-cG
zzP*#1ZJ6BlHN~N=?%&V!+0uvV7B5=VQq!5ee($v^^Iat`llE#vzMcNq@r4Ng>4nbV
z$&j$4*Nv~Y?3voFx9ddxD(=bFk25Qh6_R2;C<^T~-D4<Vn4es?s`*p4fMdtKA0HpT
z-Fb6={eD&3`ro(jx5UVt-!tjYJLlu9;!Ki<Og7ZN=g%zA-JTKt`Qjbjpo0d*x$on)
z?3muNcdhBF{px;8PA>2+{(m@Ls6g|C;V&iS3dwmDk2t04EPlV)eD`v3;^X>7uR~Ti
zgmLV&`FzIs+V2a?{pa({6FFb18@DGSuI8g_b>J5>p}?IBoE<*+ZFswS{l2WviZkYS
zzg#}Q?v>u<+8+<w*SfcE-F$9S>giL?{I)5}Ra=g{?z^tC`|qY_H*Q2&&lBL56ViJ&
z*Q&Hgzwq<3v)7zAm%opD-TU(T`uV?Y_5RfhsGSI$ZI=5+YE{U}pb3`)7i@$yLchpp
z7r81-n5^cjb>WVcg@xdW0N>5&=j9ATO%Lw8q!f2`)?3a^McGXy?-%6m?Uwi@w0ZYY
z?d_*4bys=MZoAcdL|*-_xMbz?x#c;gybt-0`^~q@U2U}EhxNNUy>k`398Y8F|9;(;
zq+GvRDEN@%$B!S&_AD=SR2Mn)e#LS}F9i|MLLc$UPXRK?kfvwC{FcBrKH04MZ3iBh
zHfkhBzMcO4M5^MS$1}YriY}{=I+5A9Krrc(NuI|^QN{9OyR(j7uX*rvHJ9*vp@&od
z+&b1Ptt+VP&h}p9<Z1!SbHXlxYkz>|qpsGk4ln=6XmI}MdyUV9F3I=f)xzWN+-gY=
zsWg}W@Nz<oLc^o?Z;nc*tZB<GY79F!yJC`H2jh}v?vj2v+a>k?|6R*=nCxW3lH=XV
zWhuCF<w~<D&PD1c7qysWIF+5Z{T{*3c7(ZD%c6~6K5s9d`MrwdHrI!m2bB-O8kqI(
z_ijo!$n<)mgi%U|&kTcuZCM--H~2g0sO%D&q;Q1kWa9rP-4bUFq?aAsbW8vJ#Q1{}
zlL}7$Y!H|z;I*S8CDenLbG3}Vc!g7tar(I}S6!c+e_)!#`(&a+-=@zzmh8R~ntFPA
zmpd7gkI$a?Ir8&f*4GUpL76Ub-1k&HIF|%T*ZV#bzxqJ#xtg+?+si{sJ=bhna(fdm
zd&<>qE<3-}yj2gnWY67N`{%RI|B2^r)gRF}sGcNvNNnDr`JkpGhx26dLq~5Get*32
zLDTu)F$YebRhSOC^k(Z%0Rf?B$6g<hwK~$eO7#4vph<Bbg^(JPDji0U#$-LBHK}mi
z@hUG;WAdWT_ty;WtUQ)VPkJ5Jy}Gh8`Ezgtw@T`r9fjANT^_9pcDu7N+1+}Mog8Rt
zSXHdznCG{n+Cd_!7jDk2=#b5B4srU&vC>lIyuQ4|T$L39Cl=i5{w<&ucj@iK^64J`
zPv7!(VO?8artxxq>mj>;OQx9oEIJq^Szdkp(7n)&^X)^dH;DZfUd+CN;|UX}1D5A3
z{`J-le(z0xcfDMYb9c5<?5$<fU#`?-YVAF+$#nOUtCmxjBpc_pg$b;g+9SeZE4!M5
zMGU0zql@^>D@R1VH~IcaT5Dpre40tmsrpZ$p2z(AZQs{(<lGn5uYc1$`G2|Z>^=O~
z1oK#?^t@<y6y%5nO>JM<xZ7jdqIr9l%sMUeV}D$vMmhgJgC~kVzAWZ{vj6>lP3vvB
zMv|YWE=gZ+_o@EBoO<b^S5JT6c=VX>)LHWwt>^It6Ky`m9NTvG=R6aB=KD37dwgbo
znN?$3zx2vF>ACF_57zJ1>}**7|Gj$U-~W1i?^@Y@zCvxWg8BsAQq`Yhk{F{m+s4(!
z?2Z2wD{-r9&-(ozmVC&#xomGtNv6H!hW!3Bzdi}CjM}@b#;khfl~r@^ZL(Uwt^B;+
z%d1N}@0dI>t9-Sz{Ik`b1GnvetiS!F+y9?(ci20v`mhw1gf!hK{pqEBldj!Tv%5cE
zSXKFFn;*Lt6QetH#la$*GdAosfsIiIuG_z#u-Uua^E2DR)oXTT9}nBPC_Vb+g{Mq2
zKfRQR-qn8g?>FzAuebdxy4$e-<mdIZGxR&A_B`R<7Q=gM$KwT4CJ7g%ZfDzhYu}Pw
zm#ti>^Fli61J=DdJ*8*L7XMbc{6f$gKOb{Dan-bWu|K;mH$Hn7(dE4F#Om|*dM(EH
zerHVMJzH^$xAxrh+-S2C`mgzC)G<H&bi{da%B}YNnQ`K;mlR&!FEl-W=gXqVT@{Tv
zH)f}uJTuMr^^;ZIx6?Tj`@Qw1fB*k|ZSDW#m77yP?OuOk+u3?)m5%{?H2HP3*WN0f
za{Jv6`<HjW>kF=N=KOeRYiaJzN4FS1owAnS`TSq*O@E^&x4Ki0*z(Wb5VUK@jl0|V
zC%oVP)8_PF|M_1hZ+S0r>#BzJH?<SzY}udoy}tGA+2W{2+ZHOT+dBNPS;X1=uG3sI
z&?xAUomka@qa2`wDf=g;q@KSdM6>&%;j>=>^XBeysw&A+GF_ea#%soF&ZdOJ(d^%*
zs&+p+{pzjzl0DN;T;+Z&Kdt<}!P-^(<22@;dp$4PXi|lSquu|CO)o18BJS0FmCU^U
z(B@_N*LdFfi(Z>gu?xSO(Esmg`tcJ-!skunu8Pyrjhe6BF7znn;h&q6GEN+Cu1}sf
z`C<9J^zEm)B_j=<OW)j?ySe7~*X5hazUCJ_|8JWVmHYpy=8sp>?H*^NN}Cw|{?UJ!
za47I+<<+vvf*0{`cYn5z{wU2U*;un=$&wq*i&w7%`|{7Z-Ex5^L5<a(NsGHDBsf2W
zttIGd(y*EqQvGADs?h>}cFubJ`S)xMMb_r`n-$%d&>ny7{rN3_{`*$CAG52NzQ?3+
zRZQvM1u>Ha(-+-o$&@krbTQoC>-p!)pZk;e-%WQ6|Gs6XcJZ{lNiV8+Ke@Qg`78c>
z&cr9l$DcO)KRdBN?&Z_H92+kF;95LeO>33v&(eIOM>T3PL7zUI*1xSc*XH--3w`xB
zx986f{r>gp&&eMG3on0m>fzU~414=w^Wp6Z$$u;Fm8`n}TC(qRzg}G4?$`h6A=NJ*
zUoF}i_<}ce_l{!a)!%j&96jgL?)XVv{L4rEeag&jeELR4hn!Ztt9){={uFb+t@if&
zrTZrd%FAzZKi)HW#@Ekpthe7Sn_Zj0(ehE_Wc_+)H-Uo1%&WF58vDO4v+AgtEVyTH
ziCihqBZuWz@05wV#!i1Pe5m4Hn}*z>;*1j&w-2>)uU)0@GAZnJ?(J<`V*{G^Hfvb$
zOPl$;uzb3*hnua$d9GdUuD6f6mi28f^9@UO{`o!RdRz%;oHx_*%^_Z^j0+1E-L9VS
z*3(w`rF#7l>+~a*zCXYA7}WipHMQvP)90T54{kqoS>Hd%=+W1k$BjSTymSBQ%K9?y
zP5G_`I>)D{vy?`$+*RP3YhAug_x9eCCpv}IS!QyV#M%A-Q#`wPQnb>f%M&MWFZ%K#
zP|~_Ar_I+q=Z3;!x86zYauo-*m88#RX6N&`zV6`s>n^vePSlI$%GvP7T)gS%!tcc4
z*{o2#?a2A896yU!zq=SfZZ15%bHbHlw&6i<Z?4?`ccyWWVT$4j?{g=9xc~S6_xavy
zqwI(8FKzi5FUr;G=w_B1p?v+zD-Cm=J+AX=zt&n7Sp@FdvO=-e_ua|t$##X0-)c8r
zt=w$Uo@tV<@_YRpb;<gVYKrGhtSNF+^P8iwyX@_x=ku!1?G@gVxI*sEuF}<4>pT1U
zwkZ`)kj{B<pm9@uebtJ|0lxS5)!t1O*N@9NUM2lYZw;@n=n_+b#h=ZNDSc~8(o2;0
z;96K{BiQ45oNcd^$sqw<*CTv$=PuginIfC<LLjd&=j<%gX*E9cY$9J>tM|?4Zm{ED
zU-|084=L$Q_DgnsPy!XZJl*ArKY3>BuME4iD$~P6^?359FYj{?txS%bII-nN#LH{T
z^0qxaxUa}<#`o8f@+be6^G>dot2v~$;L*3-V!s_*vhN>NyJ9s>R<iQXedVW%Pv)Qg
z^E7(tJkMFWe#@?!+??-w<eos;W4}A~A6qK=p<N}8o0i91=W*NbRA{MG(0TH7&+ojd
z|1w8z2E5+;a@lO1ogYL5o^I~mrMGI6#+OfWN1D1V+AQRbuzj>W-);I{@j$zRob6nL
zDb+7)&f1yf-cniY-oLH>e%0%>WqUQ=34N;k`}O)}))SJi_X?WNs3_n{63{nz^s9bD
z>S?jce?Olu1@&+4%q_oH$vQLYxE50$sP;XPHv9dI)2Gs>hx|_K?9`pU=)A|-39ScS
z8eiI1Y<@faNTKKXnO|FbWa=iz*cZ&O`JPqv?;wA1`pN&lZ*QGBYeuT8+mFV)>k3Y<
zOfz&gi?97U^TP896U&#Mo6=qVee-WmyICpq*4y(Uc6+7$+u${8yU+R43$IjsKDPDK
zTut3|<$lV)qsmgA^ok!pnJqkT!u0#|)WYM;4N}feT;G~+RO@!GOm@1qzDAtN>G|rM
z@7<c&csI?tBrXZs*Ri*|{`cGMODjJ=d$$p^QDLd~^r)hSvvaMlR~ZF-Debv_uj;k#
z>#ZNm>YapEJgNJ7HQeqxb7RAU1x?=dB2$VCe=R9cEVq%JAk@Kg8?@dOv?{&wQK$ME
zFWtlm@Av<|H%aM`^3BMos9B*B-^_|qw8GcTd4IWqy>n}iy#2kTmhBveT=E`HkFT4l
zxL)PH^~FZe`Wat0p4+7p8Q5OyuH1I5Bq4S~*8G_Ii-*gDmj)a>`trc7MWNQ0vzM%w
zxv@Wd-`}iVZx2{K-W`8OHe%=NMfDte-7`M=*-x1AWU*2Cx9QtNuD>*XRC(un-;T(A
zKOZGW-@E-S=Fvm<Ck07OZ?l^$Q<kjxDY$3B@uk~>U-{dgo4R**`Kb%h@26}$zvtP!
zylIh_|Nq^u7_>C1w0`H8Ppg+EJ@vS`<zM;j$454w?=VjIea&~<!swr$M4uD|d&$Hp
zI&u8J^KpH<&+?o5@4Q%8G|%SxO4$hRx@$EP1wXm&*dZ*YIPr?1-iiv|2}_SFXty-U
z^s}yi*r?d@`N`y$fAeg3^AA5f++Mryd5-%c^?S^R^eO^0yq_KF`+ii>t-huCLz4iT
zlFXHb&g|M@R%vG>yqi4EyuG~K|G+Q%M$RM2$9g1#7Q0m~6<6U@GS9rUq-nm%Tt~ql
z!k`*F&p|wX)|@+yM`d^Jj{g_v#`{w6Q~S%6zQS^WfmLyn*7*jT@2YD{zAeAmeO~n=
z#>h<-`&4GyDaE{a?Q(VcwYOF$itCpJuYS&N!OVa3_{qure@+XueR;cDvZ*+7|Lc@D
zn_uRowD-i^dY*MzCwH%mjev6Lt80@F9{#6U|G)lw%B=ifTfV1$vR;2me)qP{&buBd
zQ?s@)-d?-R^OoxL*qJYF1m0CX-}?N`w>yDm`8WOK>OQf)%&<DwwrZ~B<4NZBKO^II
zKd)aDVB4}FV!OW4r*qctZ)8b*GLboa*xi&v<tV4|ox<a?MHgMgQ#qdYNEja4_e?v!
zx%T2(DWi#V3oojj*_d#UNxH4^&jkOb<3@^#2ivMv1Swu|p2w!LyyoX8v*}A}e}9{{
zN5Jb7kMPf1@`|z@learIajbuG-7N1;hH&%qGc%PF>k|{rDn*N!;wGq=x*mw<*m3g2
z@Av!P|N8lS{!yFX+3zOINq;mwduia_s7o4F|KlG_uqm+DwOTz#(B3y*XSw6n^6&d9
zem*x{_;w=qmy;h=lv~6VWS_Ktd2!MC*Z24Nr?V6{+$q_ptGZ9rC;7mYD<RUS7B;o$
zt?vum<rVQ_-R^gr>Q$sBJ-G4V;o-H1eqLDUoGUN1M<-^DXHqKP9*!0hjlXLnH!oAQ
zoAPth-p^7hEpMNnot=Jvam9hl&714~RvCu&*&TM77a<&XeD&L0nbn}_gPoo}K3iHk
z4T3hOoz2k~?-MS1zWTja-S@?hML;Pgp6B|RnZ~-_`X|5LUKVk4PrZ5Mx$J5`&o;&T
z$JeE(yuY9uz1cfzZm_47nRj{f>ZkmS{zgu$r}|{A?+8EaY^a&w>=?(va)8rVCw|`@
zwb`HcO>Q~mut!Q*&8MI}*~ZmHvR{1WoGG9w(P#YuW<E30pPrg(rs8bMGf(u8!34P;
znW>SR(;|~f!26s9PNmh~6z4zRC9l3xR7uz)SvE#op}ZpN!#kGh{ZkwsE&Y8?9yIzq
zX=2O{W=}7zm)~wIiGT9T`?lxN4@Ii7T{iOjCr#YrSf*m>yYFA(TARx158oG@xIIzy
z@3mWe`(7Acx7C8|+u?C8pSXcFSpVg=n#Ycj8&w^v%KvKr-nS%qQT_3F3yuqN|7$Mi
zFD>rAu=atLOv)#_)srUfNnWNb(wG2hLi!lXU3ccURWW%QC|vocIkhPD{57G-kLxWq
zK3c@_L%7k|z3*hXeg2e<+q2fQ)jwF3aztL^l}wjIf<VFKiTCS%pFKMLrVV?Vs^hln
zU#<C1Zu0$jX>synh3J`j%Xic(Y^Zoz_VU`MU0ZSjw(gJ#a_DzH>EZD3`dn=$R#11O
zXI@k2Ti0+$UD?L}XK!mgJ#@<Z_OgY#{_CF`{}A|5``OO2*2tGF?v}|9G4Vy(K}JH0
z)cvNf<&XeXUnS-x6My<nciy^R;6g!foz$P+hPL!Sy&1o1yxh3h!{>$yYSe?btY+Bn
zn6T50+3Br53!m!Jliu^!Op0E2amT(oy+6$do~)mC`h}vbs*%&`t$&(VOcJbrw4zv(
zsS&j0#wT_UcW1VU)Kw#;4RzNQe->V?+xe$SjH_2EK!Ii7@65$9*HpctuB;DQP+3xt
z#=`Vg@}V1_ti-IQm6}Xl4)+8=>;FPNy;$LHZol;m2WV%q{aRa%+Fk#zE(|OTV)@i`
z;LTC#Cy)3_m&87PRVlgb_A{YIhe?7_doORCdMtCHpIe5vzxj>Rdb@R|pZLEu((%}{
z%5<v*5sDKUdlndU?kPRmCE9(}@z?8wy1$$MpICaU{z&|UX)`LHUGiU&%KP$4%<uY6
zk!zmc)s(jw?f-Twd##6TY!LV5fIG2UGA4%pJR0zJu2t!(+|Pd6l}-;HAM54*b#5ug
zV_s>qGusxdkNUb^OFu8@<ss0hy(5D_uKbDV%TrCa85CaM+0D-+d4eO!XaB!ntFNq$
z-u^>o;r#xT>;F`Kep+tt!#^pkZeHYa?R6YA^_w&H-np@P;g$7ERrVX4-)JuR^I^OE
znrU8vB~#sYFAZGmwk_03v=iEXb+9URy7_B`=ssm0xi@TS^J~A&y!!H^Nz}FVaeJfW
zYCbq>Pb>fb_j~l$duqP3c9@^e%Gn^ld7Zw6zzGMp3G4p7TD|_xr)vi{9(fRbbeTQh
z$>X25*PmKYC%be?yH4eYwISgm9xQ%y?Ud!u$7wq2WviVvbzgG9ztvpQQUBS8hlfA?
zc-;T{j=6c<`@P@iUESaq@NQo9yTa8!l(w*U&a|!G_U7K++pjh)2<tw_!?yFs`TBpL
zdy>2FvftkS;ShJ3O_ZL-XQS=gFNO$Bv}F0n(QSObfswg>jk=PN(Iu|micgOBD&8`C
zeQm99Nm-31yExl|HO#eghjjZUx^w)TVN<#3x0`69W8Cg1lf3WT{wWnLk$uIoTb)Vr
zgvax9b6HR3-q;iMjpK;gvQxqTBlVc|^7TzuyJ^I9Ik2(tsmV;0{Cw?%^5I<`Up?MF
ziWZUQ&o?^c`e)bcb@iM1^P{)r%)C%o_2k6FOM9!!z1bAx?({g?d?;}c`O%njd0Fq*
ziUohXE0S(+%bjLo&^LiCsj~b|VY}!7=bPnMSA`bcul*hgZi8k!X!HkIG*#UwzhA2k
z+BM74wrBFi#qPKFE7~@*^G`EQKX+pS|Du|kGmWoROJ8Y;uX?$(p6THK6UzM_6%{)|
zR)_g+%ekp^-v0ldFYoT&?)v4p541y1VM1fihoh3#Wou$;J|6uyW4Fthk8iGsURvcT
zn|X6XSf@pt?TI;pH#Yoj$hlV<AZPG&cb02=gSzCw=!17{?{%~BN~J^{Ict7DN3`_+
z$Nu^o-$B*i?<r?y8XvFHtv~VofuNwEqI;jr#$w;uX1<FSFMj&%cE0$Y6BTS5B#qM|
zL?3httMB^G-1wmW(}y_%eECMxxOYB&*)ZjSVxsgXziaDawO4#u)aNb1vUbCd9~IJa
zC(m8FbV)^1Q}eRg3X$N4lj9FRJ{)zdUtYiX`MIfoe}9iY)H0pp{KWJ)&${mm>mQ3S
zf>P&?Lm!{+`SohG=v*6x4^JP-Zc05b7@T?8Nvmb**~1=pw^%3^=zMLd*`G35^LFo)
zY`vU_IefY*eMcwvIIw--c<}PWw%Xs{B8?azE14DdKY6`=|F*aZ+<H3>w7DA;DSq&&
z`S<g=(<C+VNB;JIL$a=V?Psj~@vyx<w@K&scM~Dok8%QKik;WC_-_#ZR(`+sx-6Hx
zMZtovO<&&LzJBiVLT7gGl4CuR$$L#(`hI<Rsr>iXSMC#*1%20rwLLCfTI!v8X^AIe
z<m7i-DwHNP_V7p=9T5_|)0|c5oKSs6aHdJ|-Z}TT?b~8FPiX6;)&sM2e?QsITm3{n
ze0%*gx6;q`>QS2lKEJ<MHEH7YxN2V^A)z(X58e)27nAw^ouUG0E3Cw$i|+DUMJ=Xm
zdj#4FTM@;v8&m<emD?N_+SO?DgDbKC(I9#O-U3@zdp*8>@7f?m_m<g?93l(loLt`C
z-oE|G)5HAsTi&Oq|4Dm%@S|D1hrscv@t?m)1a9*Aq?OXMK}5yV7c|{0d4eN8?UU<|
zLo1&0GYUluPxkjZKJDw0tfyQ*XS=w!@-T6>2d5l8W*f9ODe~$}&JU5FRg^1CJvM#u
zInu%qkhZ)0ecZ!i+>4sTn?9WGxBr)6D;6TuCt>LH>gwwB&rT=!6<rE{KAj$2zkZ`}
z*`XHBG963#ka-5|$sg8i$+>x{DuyG|p)2d!n#}L6Gv8lW=zMp*qtlIf0{rhC8#n|v
zy5;=+^>v!eL&cNlG<NrPcc0$E`B47&=gIejohwbCjh{8&If9&o^ggc!?cG&imQ-Ym
zTJ9%1yJqRMdX{aH`X}9s&1WCER^N4Lud_3!cC_06$uCbVa8daB!!vSI*775&a#Ig3
zi(2Lxk`l0Pvx@F1Mdb>Pn$}q>^By*JO;kDc_{Yb`+t^RUmfuz7m0s3<P2;TU^k(jw
z)nRLMEZXjHsPAvF5jkY?B=~`>0uS@V1zV;UR>X0f4B&N+<4T;tC3-2}$0I@Y;?|t{
zo12PYZK01yC%yzvc|Nt&VUEX}qrr#IYP}8*X8F_Uz-Dx)U2fXkP|Z2^<uO9fqITu&
zui2Q<9{6{yZtQJS4bJu$rM|+KujX#5JMOsi-=brewuZhHDm=5WU_#@Y15#W+UPy^H
zCb%guOICh7D!w**VpXMS{eQ&|e?QqjX`gC%X<ub*=6xlv>d8O&Zz;`wx#gwgrmW(g
zHFq|I>)Eg0cX9g4_>|w*WS{aesw(e1?72ONrAe@nC#7Y^%Pvvvob`gH|CI`!^?2`#
z+!Xw5{SD>kW~;Sjc{Dp$ELgSnpX<&4n`LdwV#4xoX=iVfnGiR3@zYn_QZpm!cmDsr
z*jP-4QB|4sctCmp3sb8Dn~~F9i(g!<xs!xfG;>b<`)^0q`ZJOHqF-I@+cIy`tBJQv
zbZ?xCjY-<1s`&fSB+zmvw+DJwH>Rz4{SmxsSOv6d7!>2ib8<4R1XqdLG40RontFfx
z(bNB&k1uJ>oONxHqpxQ8wvvbM>m$BD5N<S?JY`pA_?n!1l>x6pxTo{^uJ(2p-NrrB
zzkAZe36`O|0$de@K^3|c_a29^?NJvM1%#*Tc>8R6U9^}>CUo&66Z0cWJZ-1W)L&)1
zA-8<b+ZkQrOR`_zDyn;JB@}AAXGPii-yemUyxjh<Eb&{+!NLFvwq-U~rd)ne@1|?O
zvF7eX1@G&!6Izy-bgqeTlyV83>K1s_=RKou$cO8aijt-2XB2Pld_O@&ZK+4^sl+13
z*;bd91^%1u{4+b(%Kx8WfYGG-HziAy1Tt8RCJ65Ocd>6qm(<n+N(GOeNJj28^$VMI
z{McO1p#6TL&OWOmk`{HYue3LJ5AKs@npCf_n}_jc@q-Opasqs<Ojaj48JaHfdHF>x
zIBQ|A!-A714mPu|<>T=^2--`mkk65#pxmJwx-!T$C^-1DS$AIS3yz#Sr6(p#u87>N
z9JeSd%*?ml>vp=_s`kl}btOqjkNP~$98@m4WRm@8r|;uem-cy@W>)%Lb+V7&)x5}E
zVM2Wh%lc1q%kOF4ulv3B{s|7=n*zH&Zx_9`$8xQ3t@M}L7h$u*wNKpG^UixaKMyDh
zyc@1wKDfbPA4{X<kw@FFQ*```W?g(e%X;Ncpyr=@I$Nz+%NwrC0e6-PeO$Z!-lp9P
zd;e&!-;?w;Bg4?Y{B_yeTTiPvK|`m!Gk-^KnPPfr(Z9{-?M{D<`m<Hh*Yu-|;=kv)
z8hn@UbG?<0zdGk;@7L?UO6DC<n$X#Efv@iBs?ea_+sdZ#yjgkj@4aN<U|r3dyS{g*
z)Gwd6rT+RFA-$`sT_S4dyqfs3e!8CMuZJDMZ7D}2ZD;&AA~N}X;Taos?=?SndzOfH
zYQ*2#lDa<UU*8m|iK_h*p8gXKw%PtgO?k!JAX$&oO^3|3L}#%3ul}MNy)7bEI_gaM
z>uYDX1+}wWaI!NGo1xOh{rBQx_tZBxHtvk<ir>Do{^_*n6i~}&skiv|JzLJUODx>a
zte7Y*ckfyDnt1W$lUZdNeNtE^s@q9)IIL_{V><3XYeUP*3!R<b6ZdXBx#{?yz4msI
zQdia{eNjE<;ZiXpq>p2=*R!2M=LMfOdD*uM-Ci=q<lt?`b?oO)KC7QpQ9iq--|DK8
z%C2RVS2_%?y4TyZd*3u#>hw9~<*L7J4d#*ujT>*}g?$p+|L@o81>u{MkMn739GBg_
zcu)5`9+fsT_TA<0x9!qdadnY#^ThaeH(t?>H_dN%ZcevRs;&L~ZK`84+s)kacXu-N
zH*`Gs`|tPru#~?2g0qt6F*38=xF2(+bY}hUZ*L_HUf$oozemdSRDIl<j{-S&cU`R#
zcy)b!e*F6z8yDZ5xAE_<ubV$7`rH5Aa&^K%?adV*lUB>U$>sR!>L%8^?$X=s_w%H)
zrfGe>UM~93F{JZjLZPA4^5fIlPhOAMUt3VJXn})*uw>)O&y6o`ZOsnQ2@rp1&+@2$
ziKPA^Lr;VAh3&zu|5x7f^zjnCT(1x&CAFzY=WWZ&(jd1})3uYU=Y5b7oA$51^7H@5
zHi0Qds}?Qw`up?E&+Q-gIF$L#H|)Q>?n`?A{__%d7jM}zc~aG*kYAe>Wsje|Z?k-^
zg{J7~>85dWT^1Is@LFoHGHmCb5cBgDmaArVO`jFoGyS;DYE7nn0w2_rCp3W;ZQAXr
z&%L=R6})=Y`s=&9yQ7U5K7uy!>U=zKnKAGI`zGJnX4f7wYVLToYIP0=+j;iKZM@QI
z$NOX_Tff_JnCk&($+gy7r<7CE{lA|RL_0cW?_AJI4cFfW-+Im2H+}qYnE&?m9Tm<o
z0b+Ikem;MDbhd%j<9ac@n2gT`AE4*P9A!{cjX4r1<5v9U!a`@WFU~i#w6sp0d+Euu
z=~u`@SI0l+Z_8D`F*L8#exW|;r+m!^$JxcryEa9gbOr@@<Y(9Bo2#eF5Px(`bNzGQ
z?*Hn#RXk=77nCeIRGj(QnX6FICFJykP7`OlL%cgXR|FiJ&~GI4@450J8}}L46%`Xx
zubvJpva9@O9prD+wEfYn>~$L<&FotzA<b-A)wo-d26BfQt|z^^vhtgtpkQM0iy7TV
zPQL)17jwM0=QyMC&Z2vJDvb*3AGyr#pEzMcf~`c~*}2x?c0V34ds|4{-d&!*{W?n!
zlV-yo3$}xf7q8EDDV!`JE&W=}sHJ%Zzms#u-<3oh7_-#-_i|6=A8R5vA6w_YHU(4|
zfws5pIwK>Ve6q#0->Tl8<HO=pvNL8W32?C3oaB&W{-j(JTk-wv?ezNlXots$#}qX3
zZnqHscs;)UZj}P)Q~~LAANQPid{Du0+TYL3w|13gdke`NZVuR6Rr>puXn2fbMuTbo
zy*vAC3m!N~#}(%N`w-{gq~<>_r#Qfd7kqk5{b2``<6~r*93L{-*ZwN`E`m5dX475u
zhs*rtTKzmD&bjO8t0peiMxT_FBOQW8?{+?q+P-)eXoK#Qo+qrjcdy+C?Y)ba<4Uh@
zVR7r1%jN7y{v$AHvtvi=?2WtM@4H=PV9>!KUg&Z3)6>(r702s~byyP5%rG=dalG;T
z&*$^oTUeF%H@k!#Qs3g!@WNnP0E-;^AvKj9j|vZ-aBS3ZF!*6-`7DR~V8*2-onJ#f
zNZ;L(8C?0Gkv*qlapB`*WpzBPWry6?+%){~^6Kj8st!9%ZFK!wCp?$1d6Dph-@5Qo
zi)!YYnc4kOCtVbTB_Ddb*8jX(`~7aXk>JI}=ggVB+&=Nn<@>_SG%tl^{V~vv?!(%B
zjtm@$6HF{qP6$YQDc*7V(OSb}BR1t>k#pGkI9us;YW69Aeta~0-!!2Q+LD&*Fuz}O
z`P@N?J=)pV*KJLH^!PDo(Zn|=Z>HDtY^zgVTv%u}C3th1ueZ~O`Xdh>DqIx#{c`#I
zHxfd&mAp=jKNF<Covh37IvF){{sjTf6+MdQSr)nUamfko(M@=C<HN(lZ;#G1eIVO(
z;>nc-y_S*9+gsb)x%-=LNKW=I`}D-KTReqJU+neA@E6M!vM+CR?RQW!a&oiy=w|mL
ztIHuyAi!vn_?D9BdgTWb1dHlzYQ8>KsN52{IjwB_ll%`07$a_P%U%0@QR3mYZ+m&A
z&2H@J*|Ea{wA%RWP6hiz(EWv?Cbhr5OguZ=JX)XecF?rbH?FP@zdhRu`D7Wa2g~%`
zPwwDP3~;iut^OwRDQ=Eey@Xc5hZPRfEaW^wk9LWo94*6Bl*E*(^61kC6Q{_8WnrHL
zPPn*rgbL+<{WoEXO~#jw&s`0ZChk#McKf$*qeEH3*XM;lW^w!TRqD-~H}BBB<>%Ie
zb|ua{apKHO<J=nI?+a0rsYtj{Q~R^}W77Fs=E-;!T@`73)Zw6Jw5jA}&}{upDJLgA
zs@PNI)7sH6X`&NL`0{gtjgP$Cifjt*ItXg8ail0Ir_@jW;mW%k)l?11kLHW4<|_r1
zfRv~t1S+}h#iyiR`OPe`gHvJx*_JQkcp?UB+Pivf*XCidIUT{BTsJpZFo1<ioYCvn
zc_Y>2ch_c4nHl)oS9i}Wr3uk2Q+l3=Y2Nh%A6ephKpfOMd)u>e@$$SlU%?Z)OkQq9
z-Ba?GLl2KpmRz`yZ;`ic)t7)RB8@SiGbFDEylkuQY5<=vV<&Lpf#kx+@^k-R@C^X%
zUv8K*@s85c+nP*Z1y5c`I_9kOp04-q+i|X$N)x0(mb0neb%R*0pv_`b;Wpuj+jWME
zs-~_A+c`8OPwEEknhQ3&%b{<c;E^e1@9(Wms{9ps64Vm^#4<f^4TlC3$o>bd4s7R7
z9G9=pc{=B!6L#A{qjD*Cb`)+(SR*ap2U|>Vkjd3s@PsMItGrY5RzSS^fVq)p-o##M
z^QfsOc%{uWBDx&bftu*qD@qPKIXGBv5Mx?lwNxpfg(KzFf%;XyOk6wa3~x=HG%=~f
z$oWG+$ZRzh|5<vGpedgDw@mhguZyv?topKIif(k+!625R)`m$FV-7Bn78PRha^vER
zm>VXj!NjsjMfv%h;y#_YJrS?2ua9RreIZta9dzbU`(}w<#}}lil$@WtE=KUgq@FqT
z|9(1kzOQ^fce#cpQ~gdShm#)b5-#;}aW*<kp4iOJ@AdT5R8<`vo!iPbrLU%J$PEbz
zQB+)bS&M05yTi1UC!p$1He&t0U#~7$YsD5i2m}}j3EU`MUautJVYDgpvf9qi=d7RJ
z&fkA_Vvo-(6VGiqHz(!Ft4sO6yS?4t?#~D3ht*GWtG~aS3OWo%tX}d1s1>dK_SV+o
z{IWkk3Z=8cgISzd8+A^0Y-{148Z2S+I>boG=J_I(bw_6ROz5b8yY>31`v1S<72m|n
zaLZrj+ARh?s-%2#!9%B+R;8=n+}!Mb&hB?k=Iw23bEiA--8(T+d1>_aJfo5q7j&kV
z{QvvC`}EUE;_)>XpPOyJS6{U{G<5&lZMRwcy?doh-_#kzIfwh?t8jnrd41=fn}WBI
zQQ8>^&ERD{Hs5X}|GT&RT(}Qt$F7fmPKV4ibIFDAmsYRerxjoKGj*Z-!TtaL=4W16
zF|k0neS6ZC6@i})F!OsX_nVuec%YG)eM$E9bxp?~b!o4g5FS@~RA|bd8^7wmzJB}1
zIQ`rdE>W$N8H+SHBkHcLOBQKdBXD9;&w&p=FMv+V>6)iBK^v6fxzz5im;h?N#eCqG
zx6|pj|F`43?Z02I*MGWK{r)8AY{m44pfTC!Cm!ZD962-3_O@5@9J|_ES~DL{JfI-{
z|L6JoC)4BWHvUfdEgn~Kuy1*B{ntM~Kfk?mZ(G(?t)JKT|5LrXI(+(*Cn=eim-X6w
zI-wl2Hp+DO%L9$fssI1|{g&@!3Ob&`|De=|GJ|uM^LD*d+xh$5?zgwL<=>z8a4%@T
z&7(!jI9RNiA}0wZ%`bj-W+M-%FyIB{kmgBw!4Vu4lPB)~|Mz{-UC6<O_0ikTa5V}U
zy}Y+~cg@G6;?pV=nh(0l`?I$+%X@Gz%kk^I36CwEnsa;GSs|`tywYYqeX`bSpz+r;
zvrN5DP1n~K===R{_xY-+`a7RY;@LgVrgD=`<R%x;W|Y~l86WQWaESY8=8a?f9zTA}
z+Qhi>qhC?$7lZu0RbSuC+7PtVtN!LriEed{O^5c(d!O2F`BQJPd;hsDo|l*TK3zIJ
zPHWBOrJuE!Btek@8gJW_yKhTYmqQ+?4wIfzGW(Dd_)rK1PI<_o5N|&{o1H%mw60j~
zWpc^fG#m4T`!%0?&te~Aoi3&uRnJi=d!t9vI0f7qeB|=I?u6vS|6WtIB9ojs*o#k`
z1y#5IAA?SdQ)wxYnQdLZ?yPO2!cU`52@2M>)!)v1F?;f&O*-#`=YdU!Y;|>gCrz4U
zwAYtO=c;2?mqVb!1XW4J$-BL$>j?=92aCMEP}`!xRR8B=d-c;lFW%qUdb<8^eWE&V
zn?}m6H%=hWII!(lef;vn+&|lRrA$st;y7e1ktn){3$()Wt3^z~LDr^pR^{(4X6&Gi
z1s^KaV?MuJKHqC?)Ydn@D-?gMsouWWzVg!&o9}muH&uR4o9)sa-drQ76>nAcCgb>|
zoKx8qu`<e;qD)_&O!iNUvJN$=cW&d^=yH_vt%bC#Rmq7-0(<oNerl?$D2;MG@SY>Z
z)9r@v&6_*^)l8lCvsTqA@r2?5S((V+OTDLW%YJ@*`jYe>`MA10`uqQUnqaLLTacmj
zz|#%1O5W4MV}km;3Z=^j*Tn3+)TXKc8bv*EbnXYvdF*=*?XCX4E#KMY!xjO#`h&?n
z6~~Kp7D@~KtNof1wX0<1Sx(22lDoS~&FT+p{#V^Nb>ow10z!p3M>+(rWiuy!+V&o_
z8IpHZ`JUcCq8vOA7w!3z#*cJl#Dza8FIG7-Hs+k<xEo&o?`OL7{E%Rl8bya58T0kq
zA3pl}b=RU593o<nHeNlfkvC=M!J<9f0-}d>TjJ~fd~~n;ez$yS-rZeHk`K)LLFZy~
zEOl7L`O+d;-DgI^aVI{HPw9Ne9z<r|+_d!TjtL!HnyWxF#jZKbmY}2c-b^|no0xaV
z$uClG{pIy6`j2DF@218-w|*uOH~Gqy5bs%g-Y%bCx9REO`i@?~Uy9S0dQMimdC2!?
zK}<}{vRnn@zUwPCoSS}bHAjWg1YOC4p(oqt&#C~QI=W-wPHmgQM=if|J2*snj-0wG
z(zwX!fTHr9r@voqY-C>qYRFltw0~M~Wtvd5-XHM!fPu4qpD{jvquXS!4af12wNY2+
z#yiPzB}(n7udV;}Wn-d*jb@9RMEi49Rn?<mXC6FUaH>@(=9AM6drNf*OVt+BpL~^4
zEv%cG&Ds3fIoI_~6gEmY!0<ZoqnQU++ze35FNXh+=ac>wnoJ8D9JZyj7;To$-*d6<
z$5l`{`r%vOif|Wu?M4TM39=E~pDQ@)C-FN?<uh+@$uOy@51P^O`c9*RLbQ?7F|(zq
z-|toDD_mRln@5nvX0P%qQO=2z!+54g#+>B%JMY6D2L}zseP1qlcR##&*;(r)M~b)G
z1-k`vH(r}H{Y$^+x#RCE+^=X)G7j72`cMIMHsHerOE+sV9c%?TYKKPu%gf8zudgaK
zb!=~VEUOskU+mgc|L)6_4pvP`O~K`n{F`QgLR)cSR{(G1{j4sBG7f?3%WgGvu^9aX
z1+yE{krE&i1$cG)FQx@(-{X++wpMNLmz%yMSzSf-?GlycpDYsPmaE*{SLUTPMO&9$
zxc}tJ%jrJ<B{9y6s3-Nn2xZuL5ugNqddZ$}TjhhrhqyLPif~p*5)M{X)YSd^(__|8
z>rkPy|I?+JE^f#RwJiIyq-CnE?$cA#CK@=~otpl5r_Zm=m2=-#OsU_L^7ze{{b!9?
zTCV&3_YClxZ>gNl6ZmlE^&h``US>|ndggCkcgn_Z*MGaZrv3hsNkLI%$5d|Rt`5`E
z57ln>-0GDWwAt&Y{e0~k`>%>H#&c*$UOeBbB(RI)iHBRpKIiPz+_$n;>NB~2&%2TG
z*<<3~Lig18nl70R|7mU)LytVIxAImxblP+22ZNO|f@$-$CG%Zw8XbJxYf<-Uii)cA
zULHBkW6_f*shxcGbIs<Mwly+la?`kkLKiQpbn*BbB`6fDd}qSa2a)wJYJ$41dpXa9
zo*BW(&3(EgC_~Nzd}_o;tL!S1E(a?n%SnPE>c{(JwLwSFC5bKJkg4~uP`iD`wd(f0
z!^bukMwPuYwvV~0)RVrjsj|hpSL<Prt<j+>L#MkRwj5Dk=JDsDh-Hb2tI(PI8=t*z
zE7iLF!Ef@4Q<qe%g0iF!EehKtpuuC*^o}RWOup{N!t-LUO?($Otx;&p(u)nBYxeP8
zvF(os&6jo*CU5u3cl@?AS+p^s-c`Zf$mzSoE)J_jfd{J;R5N3LKMG3uJkRCkrso@S
z`Yn?_>7VkrD>Zd#*;lV)(E%qb?2PWmik8<*>iw>wrgyTUVN%NclhK}jwtBsbm6lfi
z^~lV!aSA+Ey(nyt;0IT?yq!<QZtg5z{`-I;U(6R--lcN{9A{3S_R>W9^ES|tUiD?~
z=huFlc|LRBpHJSm#Tw-l&F_{3PusnyQ?hvBmDjoHJU^G+){^b5&Ak6)OI>ZeAcrVR
zk&5ybWjCGk(;tMakVz?wc>eyjaLU(f7B{!No-ikPdl&EOE2c-3S1l`iJFA9oYY)eS
z*_-sgb*QRks{5a~$Lx9O(VSJ6_GOl4Uf(h6P<<#5$e9x~BevztwE6qxa@Y>Rz~gs>
zCeBf4%1|h4e}3b(z{|y@*11m<1qCLp=?SWcI=NWvO>XqIoQ=KbHhr4)WQ!ZzRT}1t
z&UMK(M_p8$Q1xiantMX(tG69HeJqyqlE$i4CI<a(fmfF}RqD<?`+BB_;Z#TKWT7|p
z$<sY2<zC&eOI7Xd?5h(t7%lx|H8)Sbg(F3D*~g`f&Fgrq^rE-tZT%^6@#4j8y^g!f
z-oBZr*xGnO)@{YI{QLXfDaY-p*eLrtx8sSco7=7F^Q&HIuD;$<A$PUo&K1kD2L~8`
zS9hJ#`(?c5X{&f#LA71l`FXnWwO^;cxv}xER-$tKp63<5&(6$DZv6@x&+%?KVdz-Q
z-e_OseXst1?M(Chd9~|7JLocZl)b%GcK!DD{OybCT3SEsm{a|3r({WCgmAI*5(~aV
z&aZko5AjzS#s>AJu&8>dZ2rjvns-^<^F8_GF6N>yH#|GnM8ru+eY&U-_5R7<PMf^%
za}C>d4C@u&w-i0%zIA2e6BpeQLGVgY%lu|`{xbPHyGmcL(bC_k@bKZouq}L-9OldL
z<QLS`?BQLnu%cb3ExD%dLf6iJzh1Y_HofQkbX&;ElAzN^>#nQ_{AOEcugRb=r+FdM
znYzrG4bNFpV{PO&r=8vOYR`GQ-)p`;F_2SgaA*Ho(pS%@9Mf0u5;RsNC@2UzFT9&u
z!7j%+j}yFi?pDizdA8M23+;NY-_(yvo-pUg5tr=+5BTl>D1gpTw)y|(^Rzt;tVg*y
z{-mbMynb<Uv2pIDEt$b@@5t_oU)r$7#@$FrSXlY_{Q7-U&xL8ru$0N$XzgEPuW<U>
zdvnDZt1i{=ar9MHXl34dKC=9c?}W3vlTyz&9xjSoeG9bwe`UDwB98C{yFalr?K{CC
z{#$$fo}hbmzjI$}7UbO8lIawD+#IxjuI0Np|6%p{HIMGKUH{+#YDUkTcKqn?so`-O
z<#_Tzr}=HkyBp=!BcXV|_WN8_W6;`!*$Z!guC}RH6la}{vV+d3@>7a;fr9PzxazfE
z^GaS`I$9ODZs#*8P~QssX%0W@P1L{m?K&#WEZE%Rpi-1wk*wfy@7SLZ_LZ7UJ3$4^
zA(xUXE<(5CH(tA=w{A!NcF-!U&AJCenq}%Ii}7Yh3k8KavoTt~og$KdPcpmXq~q=L
zPwuE)UFzK(#TecH&LSVQd$2<CM7i>xf^9F`A4`;fjwzXI!+U~jVSoC(%42(ZJy*9B
z*f{H)oBgEQfp5=!Wx=W3RxB*<S>&FE$Jbs}+iCRw{=aYL+8ZBEc`3wnX!F5ak?Q-m
zRxC2Cck<ZtuEKXlx9LQQ*SQrwNy*7?E1YyHJU+|=9qhUH<+HQ1*S5Dwd+#y)`|E4*
ze)km|hgdALE-&kib)Kpf`l*bmB-?cX1ILrDo&tBn;%7eFz1K7RzPymFkyZQKi97ed
zc{E#vKDi?n7<6{Z{Z*{uOq|PND!wk;yXkg?cUAd1_4>V5SGm`*zREIEe9+yq=kq!1
zZL``wv?sSDtO$7c==~Fyg2H3j4<t_=+<Y**nT_|;&h>G7t8U&AI=*9pFxSH3t%%KX
zKYxCHzWaWfEaaqyJ<}m4HT)Kk*rO((+GD`AP&xi6%k|juxxD``bSbJIe(dbW&C**R
z(7)Vq-w9F0^0u!}QXU+Y$X9l0J+%QmzEkAt_Up^b<jK4B_W${G=iKVsm5K$Qu1L<@
zS~C62|J>~*d7`Rsqg`h2lbF>Z^+sMqC|*%j+x+chZ(hxvo1&dB-?IDidn=3eyPuKs
z)g>Dx9ISRYe18F17rB@1N!bI%glMgh`V*ZVzP?)*%1pBM6f5oNHmno|-J<e!)r89r
zK|_tXOd3ZT?Nllv=S<)cPja81`SsP+qW62hpK4;|UgMs0VuGSk;vp94Rf*N#JUd!l
zu77-d{I&phO0!zVlWvoo8yDI#4Zd}5e|$_j{|pO1=hmKw<+JASa|l}Mv~+ufwO-j!
zAJ;csCBiA_PC^jU$qXN7zxO;-GnfC6M`2;%%zI3arA)J)JQsO2L#W4L-3g9zURkS>
z0`<VD341=3zL+p!{<SxgznxvYr1@jZhtyDRU6vmYW4CI$h2`DR7BBCZRu_Aob1kz5
z<La*}$}PGp+zNXHjGr{euzhmcVNvft%jD#~-s4T&cJHk{SM2fg<2-VZ^LR|f!`62{
zCHj{)%@H}Ivm$JAw<G8J<>g6NSA|X!n3#O%PRJU8WsN$82iqkKmxZnlbE=a|l%Eu%
z$kr0^!3uPo?xZ<$ayW&r8u`vLIay-1vO&?kWsl&A(79HnIdxpwjZ1o@&CgYJc*N90
zPh)ug^Tp!+7B2<(aST3(KdC<O7ItZ$p>5<OB;Io~+%j4~*874kE7PZ^i{4Iam~%Z$
zKhCkd>C?^ysoDP~+~59Y@@xI9oYe(anwT38y*MBx<GyTl085uW(@rJjl%8Ow=h}s<
zemGD0u6$>Yb>Khq{O&8JyeI2-ZO@ko*`;4;FD3hsyYcZd{xg%VTBp|k5tO{Nd#B0T
z>G$HDbPG5%Bspci?MioDumF_Pa_;Q7cudng=SIMVzoNfCUVfG{?a3D7OB+*dJ55e)
z&N?dHcw|ZPrliAiojm%w&DG}G_t>SMxGzspIpIG+&tLGz|F^O1HNM|}*ZtpG&)L-B
zm2{+|BXHyPTdSMG)~>p?CU)z}$mpwU?rP~8)*TV6KEFb@bFZ_~BneK(m;Y_@s~$bu
zb+i2b_rEpoo~wPI_gVJ*&c{37R|z>Nc&4y)CUk67I;Xizn(@VvKii%Pg@)QFs&XAK
zu@TuU%c2*{zfAafexUjKNjg{5(`r~+dL-)Qi)RK3To7mSR8pS7Q~Fwi)A`fnh!~cd
zDSIZ&=ARdQIP2XM!@Vz#+<5hxxyoX9Uu;;*&rLy}U$y3nvQ2&)eWK&!g6W;CjUmbt
zQjD6|EKhJ<zmnnc^-Y+;fg|?*ftlv&c~NR=91M-8&6`jDKjtiTZQ&k<Bo~m)f;lt2
z1TNGYGkK~hD=2;Fb1-)7(Xe>K>ig$%|1EPpZ$8T<nKLc-tIcz1xqPj6wPt<ep^(jf
z&Wm+SO>8Dzdt>FUY!$Y^#!~3ocY{++ISE3F%4P)<Q@g{u9VVURI92`k@86xC{cC6c
zUuzIm|A1|4Xh(<X7oM30pV*`Jzv7p&&E(sfbNS+{dcF7e)%yc}Kbs$P{-5%T_QdyB
zgL(H}EPwxR=~e4<0k5rGS5!34X`6TM+41cuMon=F7m6CW)^BtC&-&Nxir6Q!`h~0v
zt6daMrm*<dUAVzssk|-6T;67}?(ug4lcnU`niJ3WoxSq<_W8_LbL>~7zFu!XW4m9g
z(iB^Fzgrtl>$_&xuL`=<c}UKFQC07rjDJS+D~?ylNIA*ct@oa~>e`~h;9!3*cm9{I
ztN-@|dS2b;|JronQEjv38TPl-XLj|hczvTzqhZe~ah2<PBEN2umvk{_n&#!Upr%_b
zIb+wd?w$p<)_X3k>g$WCcRU~^=wT$;xWwd^mh+pFVQ-f#T2uWmjivtTx{NzQ=N7Yn
z4_I#2oAIdU=$F*r=j0E>AKuLHR6C$!kNG?Qi%TQ7)(GZ)i*)_l-j}>caQ@x><+B}X
zHn6Qx-MVbjM8ErAm%sT|=(>|jM9a%}_IAIwA~pL~p5(Z;;rkI$t>@FawM4`p+U|`B
zk!^mVq<o>v@p<6Y0MQQp+T;>(*ZO!hj#mp0Yl##;-h6d+xG>AN2esGCwiLD3^)CCh
zZsCW?g{+LhN}$B$+O+j>><V4`+*7;~+3zlg%UwKqAYfJe(Yq1B$IcbsdatqdbJs_=
zEx(`b=6}|nzSt$x|JT{-*^bxKG>kU={*kKn;8$XIc5Uu4nG+n}Ha*TzxT-O={gg<(
z=v}_r*yyu`B@-uJUmq_#rF!A#M~@#Lj?y`%pp<d`-o|A2Q!|a#yB{=8IFf$2jki1Z
z;=0;1S67EeCYsx=?^G<9V#vxEtS~{xh$+=$g{@P~$!Tvcoi(s3QM{qz!eYVxYyR?Q
zrKc~h=-m9`wO*}V!@j2Kyj4Mq`OZdeYMZ~i^l`lw@2k5Lo8H}B`2765fMsVQPi(Fc
zJvaS>##71ay1l24zIgXmdgFidKW~a>{l0yR|JeSjpF*|YGG|ukSN7iGeepf?_T;~l
z0&JB#Cr)IpuE~5V|M}&ys}5ZJ+)L~4{c~Q|dS`|6^m|v9IbXN<{Al_UdG7Q}vqbk_
zJk0+6#7RqgQN367ejKHe*6A+d3cIIXy6=Cw#z$`5qKsK@#C??1kBBde+>pR{cVF%7
z!)I14Oc#2hyDoP3G5ZZMF)<M-d|6u!o@B0x+S(;rrr6RhpTsfwfw2JFM#~lV4h8@D
z^Ru{4?oqLypWh!FJ9WpF1%}M)jZD%*xcT_fdS?l%`)zo~d(Ei!SN)d=*2MVuc&F>x
z8Lu6@*&fxdx~{UYa${P?b%iB;rjEI?v#bTqHQ(J)*j)TiK<v<OmP3`ZW+eFD5bl_=
zcdza0@2gfF`?W93Xr97?UWZL5I7GA*udzJ!jNW+Me{tr!U7xQ!G`>7F^WN_2?pI+t
zUWbqU^O;-y$6@^x{<+uJ#|K`^slWNts6uSpay2gxXWqFjEcOcu5C1B7aZE4UY*+1P
zsodK;+KkdSD&Kb&*YuA|w|ifI&->-g$sA9a-<zJDVbk?USy}L5;*-r)Ge0f-+ah*u
zp3hQS?V!hL@25J)e%k$M=gF;0CQY0f>~^^+a`FnVueU<ZEZ(BT@~x>X_p{=f>@!bx
z)_)0IK5t`izvQji0%clD1SY)N`s#A?g54!g4(N4-9XWiau_s~SY4iDu8gp$m-LLVS
zZ1w5$XK4I!f<vzT$LwWXx>K?O6**>h>qKr6;NbQ+{OD-+%d4xy|HybStzw_Jp79XV
zAx3|89;cenRUwI@vDVhspsTLApB?;=+W&dMz3TcWCj_l3J}8`>Y1}@)nM3Z_y0EoU
z)qV<F*v}u|mU}xwwBpnh%{M0x{#0Q4@Z!n&`SxK;O!iee)@<-!Bf4<qn#j#Uc6YL`
zt?`^|Rr+Y>M~i2<cXydimU+>;bLY{PIf_r%AMVe*yv(qYQ7k~G?Nq)~+g?67n;*^2
z3zB*Srp{295K_-F$<<BGf8LoM>3o6cjTiUNRak#(smAWR?UC<}9b#CzQncIp(t?ST
zS5(heyz+MS`4<oF$!_`kfK@B#OtY8Ri9>Re7cRd4|I_8T+wuGVdp)jy^Z(#<^S<t`
zt`sL>dC9=zMj<oOe(t*4#jYGbM>V@GH^k`C-j@th*NZHkBlYKj-PQW_uly?Ke$wnc
z#`M$hYIDdcpUPjS)7O7;lnO4Y&A9LP>ejxMHz!O~KOc8#+u?sE+lp0__qOCD$;GCy
z#DDw2xV7M+(>IY7+lrogg+2ZI^?LkIMU`iVH#54%PvDr)oO*iNOCOC^^TVf4cZaQs
zIOyVd``4G3hwnX}XIp)#PuBXz;Z5~5Ka1>mymq~N_s%5ag2KQ0zrVh|ImsYtRrN*V
zd0RqUdU|?OP|4?KXK(HfT<FC5ZP5{P{cp@i<0>K!9X|Z>%gf7a9<834-Lz+F)Yhzx
zyEc@qki91%U^qcgpmT}T9z%tsTWuc$WmVKTR74w7CQj6i-nQY5*^_0t2ltf6hwkBw
z^r^phPxkGPZtL^~@7sHp=I{Et*h|&!nSRutyXg-!ipsstpMN-g|2ErD8+l2iloWo)
z#jjLX6rX1_$vLydqJ8G9o)=ZRvAlKqwhOv@9?a>Bzp_}^o&Uno+Y_fa{=90He~e|8
zZLZGQm?yI8Kd;6VxtV;g3=I5R``2pLt!uu&*!S!1sec}{**!LeC9bXT@v*`m4;S~_
zb;-)@fA(?d>aZ0JYZRU|$;W)KGs(GO(5LQN9NW$>zbwA)r|a?p4WYn~t$a*O?^*ih
z+E$ybjo#jO9CXLmOVHe0&dhfmg331*H*HOx`||Sg<qr?He=gxrd{}rjd(wqH5uX>k
z_y4GAiFlycSbu$4%+8{X-usK5a#d%%yTAYZJqeRdA-r)40%{W$Pg3>%BvtgJV|K{A
zS=q|ZxtKZ?C+HY8Nu>S#_0{rQ#@n)oZW42Min(32IlFl6_VkG|Uvj^{Ul}kl$t3X#
ze+$=?T<L2Uj@i~52mZ{wRg-mlqjmhW562gN`P}kwOY&)}Z&e32)u(P>p6)f{>1w~d
zBJVwVPDhvqPo8*ja@u6)cROTrq%&I@A1N!}FVFVgcS_EmttFsu&d!V%-{)o?mQsCJ
z`#@&r&gngO=UYw^)Oq(|rgX@~yNzDEy!eG`Yv#RBQtlSheUv5DVjsf1)4i2z-=C{<
zIBF~pXEy}+ot~z<^NQH~(p^0D4||UH%YXhkt4+V8#p&JM-Qv#+CkP2}+1uOyo8&In
zl@)RP#l^*yvNMDeMOqFgDj0m2)u3_k(QNVaiqlyi&cC%aTb)aFkHd*fMn~rk&&vXR
zPU|>|(l^XI!c*~3Cbzj<GhFXvw-=9n<v&}dlS;}KyoN3+7K-~a&ze2^KKaU<%k@HD
z6~}D8MY^AqbX@uRZS?gi{1bA%#O_~sWkFWqtv&B~Dz9pKf1b8(@h{(~0v(By>&(~3
zFT3Vdr0!LEd6}>KbY{H`&kx9)^hn}d^Ks(DfA_a8e>?lyCC;T!tkU(^rM}gQe~R2Z
z$LyV*sCwY_n3EhL^|k!$7bG~Zzj(UXWpYo0M14ca18yl@&Zw<fN8^}HG+WvkjxTaz
zou95hwMMC>Xu_S&l)x6V3oUEv|Nnb)kl|y4sHRZx$E!=d#lKlOoQ$~~aEiO1V_%1%
z_?tUBi%k*^Fx=XnFJG-PA^-d5^Y+Cn*c4^XbP8|_#j5+vIG`vtLFP<<!H(6dwc9g=
zW{ICyloYRjuD~g7DX-v?d1=YR0>Me4j#(2nbp2K06jRi!*cBMt@<+0wcLUp#i48KU
zPb7oB_ZS3aWV~R%&L{EwoUUkcRb}Aq3koOIloLKwFe|oYt}QcCm~k$yf5EP)J-7W;
z6m9lrgtU5T?-Y=}_RsV3^Z!SAPf1<Zas4V)b#c+o;7sqM^~-HxiwV9?a}L?&6dH88
z!O=y{r~jubYw%~=l8J&f8Pz*dLZX(MFH+Useq=X~iJP-zA@|acw@j8YMrY~n<Xkh$
zQh7%7>>iyt#;s{SQl7$fkuGcZzY^MQQ>>~S@j!0re~-$S_LFW+7n;A`(@kJogL=5>
zl+R5y3ey=Y>lJ?#xt)+?>u25f;iJvI0~2RA#!L!c?pJ8X!ohj0?Yg3o(WFl9Rbe4T
zO@<S`aOpF8RhaG-PCq{{)v1Lgu&1|6v?bGF+J{#Dq?TeE8ygJ`jgHlM6P|D-H3)F;
z;Zt_+>nV>bt^d*3AtU&tw;|@~+1b-S2X7FYFy-Up<D1(#?CZl6%DO&;+}xD<vm`%1
zpKot-M9S%Dy_0JniW<6cZi&;|!;>V+p)$Lt<lUW_^_eRqZ-q5BT~n)zsp*Njs_$Gj
z^X&3l3*C>4m6(1ID)(}YP+I(GrW5O#u%(fb9mnoX4&Pbz?9k2NE2|1cvu-WoJsY#b
zs4SgxqM%I3PM0{j;`#UMzglc8_04dI@)F;&xQ3OxIMgpI`r+%@JqvtxCYtj5WyNm&
znUHgJg5~6i>46uw6yA50y1#Xk>AtBwyVSkk9>@xrkYeO`z(>%-Ow#euwZrZFmf5$Z
z-d=esu&d0zOKVD(=4E4XY4bJuYh$)GyfV%6`}{xR{OO=hb`Qo$7MHd6|Ehm5$@K=?
zUPlG4@I@t-Wk(l1=WvqPWuq+Nt=s8pFSPxU>dRkK8D4$-Td*p0d!P&l+m|;E(dKKO
zeYzJke@gJG)$=Y*?${t=8WVidV|pC($+*n)^|`rLC6A9!mRff3=Ley`MjzeZy@>MF
ze0%h7Z}#+)?{7G6Ub*bzz2_&nx1U_>U{<g7T5a9iD|_y@^ekbswG0<9&}BNQrfgmQ
zZplpJ^rAhNrE(9pb%*S5kSi)RTmNMB(fxjlUkbZjxN_4w`RE&g&1*x?O^wsmdnEji
zKUtsMBt`7}T({rl`HLq!P^h!nUF(;}aP8acJgcK0l;+zn-sqJ-X|MHm4ZSDka~P~1
zEstLjQ-3t8P|tW$a{aH5)mC*C`szoX6%{P`)f;_bhGy~PzeOG%v)P(|%I!PCdwP<y
zTfx0^Ywf-qUlb`)@lxh{z`=XnJ4Dqd$YtD{G5hY0?=#-na4dbl=brlAS+}Kh6K$Q`
zbRKG*lwxJP3rau-w3~ABRZ=2n|6QH);&&wb%KY6k;>7Bcni>oR7*@{N{fgu4--p4;
zKIvPFIV3mU^46B#%*Zmy*R6rmXel!@^T~q;53W+!Rq)tQ*5dmFsc`doO6x-zHD-Gn
zGTr9;8T|Ltxe%vYN0v)CD=KTY`T2>k`1Cn+o#5DXAkgXB0!QY_`402BZ`6EItDRz|
zEUK+>YSphJp~t*r>KhNtR-Don7kpWZRoMOpTff8Z;wf_$RclC9y);r(Hv4gCf^IY~
zlcth##+~M{{Q<MLs2N|c5zIam@okZG<LvK;UtHTO@ap2CDGp1R8B6qC`31flcG#(P
zDq^c==LEsZmmEyfx<T%E^`tO*_c!O(@YAhL8K=4~hb)c}EIK2(y<U5v>U+;?d6FD0
zEw;-Sr{`a|u>Rim_uG1=N~cd%P|kQDDk^$Z*y)bn>?s_QhUd0Uh-6`OR+yk<)KvC?
z<LUy-e$$&BuE$rDI{TlRq`9nm(F}zI9TuhdJ&RIbe+xWrRaxofadB~>D39mk2^+UR
zJ-+p1M^$V{P!pGZiP_P;cD%Rh4G+k@+PCZ1s|7b_ZhJAu?)mhMER4~P3a%+E4-|Zw
zryYKABVE@l`Kx8tGL0`?oJ`9c5{{hMSn_&}zJD*@%vBxIS3(LmKRxc~rtqMRZ^bRP
zTG0|7*XJR*MoeoZO5{R$k1);+n|v!)<khYfWlr^~xy>4KEzcJyF3x4=mrDtauc>!a
z+7hxVMDtzKs%@R-M_+qC6Ja?c*(fquaEHh79?8RNo7WyaVw|KKe(BRU#`7n3-F=>!
z^j&M(_6p@S5!2IIoN@y0wYo2<`ueKy*1^@@PLH%<9avt5$zCo(x3Bd`8c$hPfBt82
zz-1;TrWNt~>r7Hk2;{x&OKxgzURhM1`f}53iDjSmyj7ZzWYm-;m7bn1*0@P*_NGVg
z{};J!iaI?lMP96_;Yp85%|ert9cG+?=X><iQw}z<mi+#fJIksx>y65Vw#FTIwq{?C
z_<!{1(N=Aig<;&;mzVLjA5Y-8YLfM3pYX?rhueR~<Tmf?-n-Yf`>Eqh@uZSlZN&=}
zc<PVFwA4BTMQzXXl{U+HP`ogid9PH>wne2(E^iiWzPv3rn!8f<v=M9L6vYWiModdR
z<KyGYT%)=@O?$V-c%Hu99&%Zw=In>1youYHSh<c=Ic+)L(ckl+u%bXQWx3S8Cm)aY
z#|S)`+pyuz-fD9*88%t96<_OqKArBP=fD@@e1on2)h^xWZ7HpBHtb1^iZ&8QK04$m
z>|3rmtNppc0d~hdCnu|a-uNPAZ_Ur5d5=FmJ#A9)A>p6&t@sH2C$a*cW?Gv+<U9Uq
z=dBqBidp#kW+)`Q<5)7Ir(y47UiP+p-ECiI2u1rf+bQ>5+oXE4y;Y$g@}=>oi~HBF
z$P5kVj@<ZhI%mB;+rF5`#<3|+j&_T$*#tTTTq|r%#G92r3`_s~C{$zLaCdk4W_9MS
zeXAaB{Q3F$=Ic(k7aZ#T^vHL%*~=I{4i*l+qE{D~7`=8HXuQ0(I$ZzSWyT;TrRL<Y
z^>M!9`f*DNA0G>xtmd2X^3qb4pS%+mEp%>I<ESVpG12D9mM%)EpKxDYjbny2lct*T
z4T*ah2|pt@N4ZBlUUaFk^!`ouD@)usi}&YkveR~Uu-dTfU(+ik<rCrur(4&VC{7G9
ztx#~txuTLdLA0eQq@T6V`I45Ch5g?zm)X0Uw=;5X-Zd|nzwYxh-uAW+hk4~hrl>Zj
zC`d-Cx^b`{<Zdz$IOI5QUj4i$@7|r`z30&M>-+ojQ@eYm&HZd0jG7b@j&UsM>WN5J
zzqZeqKVbhi(=$INMIOIowl><c`FD+Qx^XoBoa9Ek=*^&^y2|XnOLP8h&B=XTb$7j<
z(WZ=xO6!(6&TGr!I9T)TX1Y(1R@j;o{NG<(Y|gs6N>qKy<<05-bw1(y*q+s|3SQ3l
ze40wihkXkBS)$@NmNxuRn9t(5B{4Bk=GDh<-<}2ZPoFN{9%7Vw>c~!&)KgOuKQ#qR
zN}J(vy@umugGHvahd@!z5f;W`cZJZDls7jvzDc@rPR;zgulkmKtkU{H3u`J@Oz2MC
z-?%+D%KNnV`GwKu>n^Mgzxd=yP=i+J+IrW$>^&;SZ4Ccv`(%de$1k$oXQ=n?@bB`A
znfn)~tWIHBwYOZ}X4$*AM-#ozW(Q5~QE(Jp!d$6nnssGC*xIPVNL{=24^|%7sPXaa
z982T9H9sdgTYi3a_OagjRSRQwBphtIvb#M0+o}eY71x$}i~o8u*+0TWq}Jm>>d6^~
z%8H$$^~nzVxj8pz{4FghNqN+46fd*hj!mfP@%J}351;?~_O^K+`|<nt=ezaEtTgsn
z(kWaN;pFUW-@sRW)anQeqql=XXbQ_mpDSOLIZsEud4Ib9#i_p@t0Go49L;;Vz4CJA
ztsjX`CaqVvnt!S3w@S=@DTnkweHYg~o_@BeC!@(a^^QP&)UJfKvy&!H7SEgP-k1||
z&3B(g(C1~BRg_ma?5zBp_NL&2OGAx9J5!~i0)zK7orll={`q5ZQ{?<=&lMFialBJ_
zS3i`P!oRxh^Td#@IU*`omFihi4|9B;V_DqhY_y`SF`YG0VuGARQOLI3+sBT)_<Y{}
z`5b|owj{kfCfapZmehv{NE$jGxFzTzD(QGAWM9qCl3nb&k~;#u{Q^$!TB?~njYn)}
zZ`RV-7s{%e?|;AGy6N<<eVVZ;Pl`8fHs1e7E?Q05UwLun=YQQ_g2P`rPP}D4drPyw
zZd1`dg#;^>AXm2yIWJrtmLEHO_;AVY3!l%_H7b_;%96Oc`j6f3xbwjh^*I((n08q&
zUEby8k=A<tp3C!@hLs|@cQ?#ZI^^{7>+I`K*0dBy)!b~{^<DPV{x1ptKgW4_i=SKa
zyF5QI@A#f8+mg*c+1I!6$=^77Z+fS(Snx`tr$29ih5~OsiY)o-#o*dvAC~m^<;le*
zk+1GNW?yyvg!l8RNltF_>^c|xG*+*_bS~FUMC#K78T+zD%g){oxbL`Fv-y$S_K@b~
zt0q<FX}#AIjaj+3&B-mOd%+xijv0K7A(I8CtO{Kj)GFY-RZV*L7ZuaBkxg$l@9P(i
zKJ{<b)o)9p&DR@AY6j~)jK9PE+$YfESZ>jYx$VW?-`?NyGG8CR@SH9Cg$;(6D^538
zmDj7>*L}Srd%a`H<0yr<x3)EY64h|gjjs1y{XfR%rE$uV*yBty_netyG)wHvESJ#i
zYpUOc<_GL>D&l+hHS|PZ&^(JOt<smKADAVC!9#IZwng&pDt>L%K9@_haQWuteHS|y
zv%k1p<yCs9>+H0m%tvdC|GebBRcJEdSV@=XB9VG;Stcj9p!WH5bU9`SHHJ){c)$Mt
z+~m2tcJa<CN?tkl_Wv-8xqJT1$eN-%=hniWx_?KD>w}k@^}cx8t&w$gpK<A%ThqD3
zOZ_id#c3ISXuE3rVyhBE*&+L7%&I3&{JVSmMzn~isOP-aw=b=a78`vy-uYy2j$*Iu
zwe}kR_(zr@M)lC)DB<M#B^5@u%vO6I<bPLqj%$zRtZ5RGl9nsj*9Pw~=AM?n;MUjK
z$L3jm`F(Cn;@SCI%5G0|@eH42mj9%gZ~jil&@StMk4=`nHk(WR)KiXFtzZ4-l$n5s
zsbnJ`^DYsk&$n;oT%DKg#i1ZPRV(Y)tI1E2q(2#S)Xg=lZ!}vQ?YMqQo5$8yX3HiD
zrv?3M+I3RY#AeZyIYPf>EHfR>^KHp}EM-+}+f?jha+2d(;2lfmt?w>OJ?1;t-Fn-a
z<#l&&Z4hT$s2H2V@?7D{=f@52Oj53hyt-8#FzF=6DkUwA#2%$QIg{_(zw@p%Xt@8;
zd5>9avYNPlvV8r&Q_S^Mztv{ly3)J9bNa^XDK9^BN^bn3t?_z$Doc>B8$;aItgAar
z_n2z_Sf$V9&38S4|HRgaug{gDOstokKh$=2`HF;v-{Tt;WV@gFE!Jif-|<RqZ%}T7
z(XouBcRse%8$3Ppbiw4FnxCQKzcO$Ai7@&!-N`M$N=9Dt<NkuSD6<tFYwK^7F7h-^
zUL_IYeZu&Y!3(*oTGI={gIekYm^O(_{?L=SZps9~!w<9;b1@xraL`X->8)0{dUug4
zck-H>D~em}yO&93pSxrpH%<1seOZQn?d_<SPj0LcJsY=amSKo=zi7}Q?YH}X_I<K?
zy7<KN^BFfMS&CG=oD+BQL~84simRI5_4Bv?Y+H8bpY6#Ml^6G9SH&(gn7Tfuc~@p-
z$Q8#=Ps^7o9o129th%XYFLh_1&duAAX<MfS-fuWL!{>yD(Sb?Mtc=FaAZPj3<lWif
zxH<j2+2*$|(=GpPKm78*&Gst`vMznn`(nKMpSx!KnZ`MDK3{%Tn_f{cL3qNH!|Cq>
z=IyC}-+JImOwSJ+<&1!7w?8KH{alea>xhl=?CaH=+n4^oP<Z8a`;M;VD_%reR2%(#
zW8<l6uPL7XSY}z^wYirMPMn-#`rF}!yyD^h9gjT}uC9OJ^X_M{M4gfJ?1NWQR8&-2
zN)s;p@$nH5V42k35O;#($lME&9}cy0pPYTSsn4!lcS?O<49~*0tM|$?Os+p=x8LC}
zZ@u$KWZZ)Pm05AyWv;HdJfZIMy<_J~f3K1Z-}T1g(fW`Td-Rk2dU`VWWaK3y_at=(
zy%bu!oH5_K{ru~o14lP+N&O$G6}Bg2&Q{%U8$)4t-UTZ+PY*h6CTrhNdW8RO#@$u+
zBIi#eNEswG9TgI~Uw@eK%gXdPt=Jm}W8$sE_UZ*s65LYdemu;?PuM8wqP}wEo=$-a
z+>!@xUCb58owhcT{o$4RzwWPQ75*1&F?|(Uw%Gqv*rR*$GmX+0vVVVH@Va!$JH5!A
zQ&-hT&5zH!ZT`RiS9$*NxIQ*t`{glwJEtbcX)fJu=Oz7f^~bi%NltF{6RLx@y2Yxw
zy7RqxCZ`%-`pPv~?flONOZz6>Wee>NzLs-y<wPMjrpnH`pG{)_c24Z)4L{X%+kE{|
z)#I!&-5S-aSIA`hD;{+8@p<-d+clT``AhtFOaFE0dcLga=#u#!mjb=-O}v$&qNLO`
z%RK*_Qt69HSsu-g-Ys-)k9aE1cD4SVsCHPv?D8iGQ?)|7j`ho*pBsLZh0*bWGs_n@
zw}3$Aiy9dY5u5MU{pc~1+qw9Qg5i0Y*5~KvT{@M@{bIqTnm4yZ>(@;<nKr-K?&F#h
zmg1tTuJjaFKDZh2_j*#(^Cv$qtKZpZn!fJNlc*cL%g+Dr-juTOw?d<-X3CPh!)Ya7
zH`Ut<vprd~<@JrZ`~I%0mw6g;w(vql?y)5kMgDxSJ0I_EDbKlSRpSDkz*~3T-`dyM
z$vbOh?VRha2K-(<XK!wk`?Op?Ygf=en`hVmUYk?s{qEM5lPCWD{cTyYr!bjkrRfr0
zX|o<KQLQ6R6)OzhTwgEGD{0hnYO1#T`T6$g4|kuMy0rfEI>q&kJ-IhIUi9`jq-Yr!
zOxP;E=C0m-&gECD*9YD{ma5s?rn7VFduz?#-RozmX+Dp-ZTNprt^4ZQ^8vqq<%ReZ
zx$x9gZavBIC24^+v!j1(*{ds>@A_GIr8!Q0eShCR?5OxoF9pLDLVK#e>vg}Y{rmO$
z8e?YWoj*RGw|{x0vtHPSy>Gr<t<`*fUrCM6uU_pf`SBq!OyJ(GQtj?<iF2;4jovIV
zZ|`1P?%xLw^GuDan5`eX%jLq&i%Vs43LH|I91nDWTpm%pUoiIi<G>@{vwkd>-<lWD
zCR2P%^5dkL-$R_-lGgcq+$fIP|D5UXjg3r}H=^Uj<3HC;eHk~`OtSvqbPKINC80m(
z+Eh+z<CpiV{q^PHH5LVC1-aQ~xk-;0{n<O1>=ZzkvCHvS)Y|`kW4x>GuhnF>IoCHP
zyNjKEeQ_~6_XEe9-{0KaY*O&RLG1qO*xhAwjy^gb6%Zi6{pz5C(XN`GMQR2otR-zK
z4F2<8y1Xvdx@ixylbyg*?-N(*i?{YS&ic?JX{__H=+vxS9>%>B1s_aP_m^`r(pK4=
zdvw_v3tu_cPeFy(ZMJQC%JN+^rA6a`n?dYOStsWypJELkD=zSKd$9Jyr%y@mT@N;~
z+DEvw^T|d8Zjauc_eW;WE{po>>tf$*b=b$AS5{W0!_u`eY+a0}o}L~HCr^2KdA*d0
z#<I%$zrMbXWUV;Z#JcfwgTsURFL6Q678OFz;T<Y|&OXO`oObpLFWp|^DIB${M052o
zySg<Vnmn?z6ee8sN_OU$o+Ta975GpY+?%RWRsJzGaJirCv}4;;Z&&<Ki{1M@WaXB^
z<!2;S9nVf%xA$3G{GJ+L>;C%Kuv2AkPp+;y)O#yORN47m^yHofo&(AZ{_WdrD?T)w
zWbj})WNgq9Iw5{j<>$0-O(AQerXE%{crx#R@x#ZDoy*_dd04=E#PQ=}ZnLB#9DWmy
z{+add*;(mXR;60)u@hXRMMaOQB!7H#G-OSL&sV8WZyvB_URfd7|M=z0lP>9BUesTF
zv)1v&ibUt7_5qJ)oH8+VJP;*#L0j^mKBqzDo{u?a^H^+`sz(1=8MN|GNYKYA9XG|8
z#Mjv^*w6efaC@5SiV2hd2$>$edR;~NMXiXK*s(aaT<hXzJnha74k3rx6}1@M`(#dv
zTGamjmdek@<H9metR?o(pFb9R7FX&ynJe(upAf%j_M+_XkH`I6^6%U69d3B>;7-ZQ
zOCNt-Ft~L4_UvMp2epiiPAk|I7a66VGN}CdxZnQA&lVm<*@}WKyz`G<i+PyQb$#ZU
zObM1RUTz<rF+FaNz8J>axmIvu{)(KBHB*eM)1q4srhSwBrqbodeowi5%}dwxV@JYc
zC-)p!eQ`^DX7InJa9$RM)NSA2-(UXi?QP@0MscQx)0x@%HXJ{6@?>Y#w>O?YKRtcC
zN8m}j&kTb`DU*x?in3qt%&-49^StXh_DSCj+0HelFRl3a=uKhej}MBCB8oY^I|H6|
z*ZI6kR#3E%Q<Sq|XKE1;yvEUdPyB?SjS8n^iVUb5Wx?{WUjFLm<VW7hm${ibl@^2>
zHQm$-U3Fx?O37a@j}p_cq64Kzy&vuU-8JdPtf{LicLg2$@^Vc|`X*k{#_8+tUD&vJ
zyN1al%dNqyQoAf}b6cpnIjAas5HQKU_NMvjf?Y??p6!))pQMrZVs*2e!rtodYT?&s
zT#%adapLJl{R)15e!k=NPtMFVHrvlqsljo1K@*#zNK2xFO2Dn|&krgcJ=m@qobYy(
zP%C~mLtf1z!F7@LuU>^Yy#Alg%ruVtDP!JJ8WKD?s<g7)hi9vgKF14L#=VmTr(A5D
z*e_}9_P%@T7RLVgDi3MCyCEU<_RCH!<&L`bDRu8hg@QkKbU*EvbkfZhtzULZPM+H@
zt*JrNdc!PEmQ6g42a*IYSW7zki0&5(uu>OmKH#_Cddq(wfvK9$TvG4MU25LRy3gRA
zmaX%-IL<}JjNex}9{tm`&!>+2PHU-t<cW^b`1qT1OO+Rt8!_#eU{Lz@mT6gT*HMKJ
z@9ys3^7V%9t?FNLwU3^;%;VDBcv`1^)!JwOepUQ?BszC@&3wHW-ep!#V^>68b?SP4
z{!V!A?AO9ZNwcrVmgUNFNVN1U_`<s}<jSY++KvN_o4;pHzaM+*`$pSpFPS$>GiUAG
z>*u7bG_%Eqqt@-~spr8g?;dgS&$?ZeYI|hq))V^$gjrY^Pdgt_NMX5o|9GFQa>|_U
zqy6;<?oXG$`15uD%fuhtMd7oS?G!Ir!t?SnSBjdGLX@Z1-kRTnu{%YbDvMJU&oAN&
z32S-lQ(`*Fe(i*bPm<NrIhdX`H8`H&*v0tl#Kh*feKnbSzfE43vb4HgGE}{J)jyE6
z?A+YmF3lrLJnSaUG{5G$yY9PTxlgP3lA_N3l|h|*>Yu!sa5UobX`3o1hZ7z18m1(&
zFbXRq2pBchE;uItv?$}2^0X2by?Z>1A5U-6d=qJMGySX&>)hfX#pf|z?-@NqJgmAn
z<vUZZL_0n#dF^Q7YSX>w&Q0T>tCK2MrYwy4HOuLkAtRHMn-9Y-A5D%IoQ%yA1xt8a
zoK(Mv9+}jC;B~$E`xke%23Re=!<63|_-~J;NYbR{&Q6tmYVzlw2d)=k5^~!v%2cHP
zgHu$0Mz_t~BJG7U?o83k^_i?`c-rj%tdVJyb|&Md!>L!SAjcaRF<n$EQMqz;(G8a0
zTYhLV=eKJ=d14s5=jV($vYWMiCtvzxo42U_^TVgDe)T>9FM_$aD!uYWM8ob(*LmZR
z+Vy17Bi1FSPCvRYU}cuzWz-b*es9&+MQ?9!f8E=&KvBBo-Iv@psqp)+r+m!e2Knbe
z#QwV4u-PG|ayi^lhu?lnj1Y<4{k>(K#&&5({~d={Po9)L>HGfZGV9xu*VIc%`tr-!
zE`4zPyoSfl`oHz(qqW|o_~-rH92~gRIxFrZqv0LyOFnMb)RYAU1=koe*NSo6l)SWH
zNA-&finHwN?fjd~K5b4rn`A4sWk&m<{Fj%OPTtdUZm#v_yxw(wn>^)QjyFaq-@3Ry
zdb^(K;S)QX8tw@iXh=qG$q<}tTRlxoFJ{H}*3%8|1uH~8PVzjtwf?ui=BKC9|85()
zixr(nJpRjq@0(%$a^K{i4?F5bCJC;3anPo(Bj}TDoW}QwUp#*9?(pW@bb3ly=IME{
zKX1<~x_w(tar4*jEoPF9xAdk|nCIQeD9C8zl|J_NfnrPf*H@v}wmP1RnW_~U!NIS>
zp!;NUQkzPkz@zGae|DCf@H^F7KOwoNtHJRk2g_##siodWPOjL!W#h@Xy@7{>yjuMN
zGDPmyi+FyYvR~e=Z%LD%CCACxWsycfWxIQ(`0hO4R=t}iZRz}Gq1)+!7g_Szi);(z
zSN`c&xwqSEpZmR-vL}yL+$p-PdDVT#d8e0`mu}p+TIcpvH;36h2aFlF#(IRj*c4p9
z+)waJR^GimC!31?{{G&7^-9w_9*>^&>-F#Mtq!lezen|pf73Z0o>jXptgtM6#B#pz
z@pAw9=bk$+Ss%FA?aH>?XuEIM)<%ChYkq$N$GxAQpJ$$$qWQ->#n`~_^|iH;Jp5;s
z4FB~?n?KUo9=ba0%KCVFrx(&zrWqQNl9HZ3KR>U3e*E+_-P6Y(-#OMR{kdX;=VY~w
zY<zo+PTX&<+OW-W#rb=2B~zc=O{rqx@p9X+cU|o6py_(CKg646WC~XNF^T%9v}b|A
zQ@bxGy$}6gajUc9+oUOM8=tJ2Fjf5G`l)g1FExVOO747S`7UXE?9Y79pa1`hI8AU1
z6!o3_Y=8CH{i5gVxlf$CU4H8A+x>>$rRw(wUvXW$`2K~{*Z&{6qrK$u)KBK`7RT>j
zxMt=h?YOxdP7ee6awIjwzxg~_aOd#piQX$K3ePO8sGL%5=;$EMbSs5r$pY7Iv6{<f
zCqjAT?dJUY^3wV0s?cO3hDRGSFRQ7^eJE+rc)$!gT;R<|2F)LDHlMGzU|~Mbe(v>+
zjmmo~J~mZ-d2x`bV!B>z($ZLgnLaa<_7rnmeefrCcbVZ&PA;w_M_Y-I`sw=d=N8o(
zoZ-#qJ8`T-Q2ED3lgvv7g^im&Y{|ULag_0d>cL8OemNC+dHHW;3Ax_WbUH<uSu_tm
z*B5DD_(obz?%YE8mbG){&aL$6sh>N;F`eg8{VlVsD;l%KTzq-VEA1*dB<A$|`S<(%
z8n;gKg)@FC3H<$~+p2wpC2^ictA>l__aC$K^^@Kir+RoRa?Sk7-r}q%{a-_V&i_B|
zRt5DxKWG)Lzv#!wepEp8&hk*ZHx4lZN~ac2=*(!XNeMjB8~dz;>!*i%<iFiFHNV%F
zoqDXGD0x}^A7kb|k5i|7S{u|P8@C@ieY$(EI4if<p9Iere7vrA^X~5YSlrmyc;}#K
z3u9cjsCL+gv**tBP5xkb?bKB5lShxX?tgIi?%kV@8KU>s*}lEGxm`>r;=oUd#Kgom
z2Lp1yzq=a~%5kW%c%t+2DqjaL2QG)Y5axr8i|W^#bvl*bbnlltDkRy`Y#_(Md+4FC
zy5Ezn^-K1A54idH>Gb%b431TY4O-Sd&}Dk))t6|m;CYK}XaAPWrJl(}8>S>bc0ceS
z<;mIEb!+ZCXJ8PR>*?YcVm?LHTdeBuudOlc+HMTI?;fNbTkm4%ctC_BW0GJ6&jk5}
zue-k=Y-abVXLC>znAiCB?(XTfLLAWtx&yanh4O7*5&x+8`MFg7BXh0GEn*h`RORPq
z6G}0(w+rx|qH$2g(X>K9Mn>j`;g{H`Jr#zc+F?EO^FT9B;R4qh4^}e@ZOXZ6bk6aj
z$pn!K`zr=K90D9%Hbx$Ej^0}4H}}-?h6N1_Yh!ntm2o_%XPoryvcLVzN1sm2X>w=+
zMSQ}yZ*OlGhgIw@d;6p)LX+u-fNs<ljsIFA!Yn?G4Qi4XpDqlz-ZJ6vgM-a88&<UO
zJ59)Ji3n(9ep<MdOTdLC{*i)cfU0|i`{x*emWzwsi%&2m&i9(CWtiBgxSzRm4afY3
z4b9L-ZZ&ItqOi)2Lywu9`M6Fnaj@B_ty+1!DM3UtsKr*4gZH7WdCCcabx}GoI}G~y
ze?Ofb|D&Dp+8U`3D}$Hw2`^Y8Wuu$bI^mh+313FHq^5>_0tQ@?jNZrB^BqY!x<;7s
z$ia__HUIzBzP_{I&8atn7gUr#WG&z}Ii$U+kwKxQ@w!HxLcQXYrULFm`X`Pz9A`@1
zVO#y}iJa#NZpS*%eUldNnG|Ke#=OXT7*n(D>}>P?;|DB%%-LP>QE7fN%RkTx3Ue0D
zHQ(ReUA%tZuP$fHzP>&mrw5&l?aZCaHYmIIeXv~NawJ(nL_ywytvG33JHPy=C__6Z
zXAg&?mM4rE1D*P~>TOg$Y-13<I$`(slj`$7NIj5cn&`R4QTo`Gr*B)fOPd=yI>d8G
zwDu%ytoZ!%_wVDoT)%E*lss-DaG>3+PWR#T1v3;9xL87rnq>H6|5{Gi{_NSaW8TjH
z*zz(M!d8W7ev8|&YuBe@Mn=Xt(;KxM`1$$I?R2PXnHAq;Bhr!?;K*EG`bj%{-G=E5
z#~0~{Kc8n^-nV<B(w0R#r^VO*ow`>}LFxqe&7H;S?NL|u@KyK+d|fn;pP##rS&;Fg
zqmq`BooV*9l+co`LTsWIA67KzB-$&4Ms7|^O<F3&!Mn#sL7YQdv7W`!FsZ4*QX#>_
zs3~Rrp32Xc4m2{KO}xK}%~rXgKEC<K(b9*9SZ(`t4s$YjIv<!K8!>s?p(*mL{(PTe
zOl)m6<$ceIu$<v%Ts%>5%ASYeP3wdVKR3IEO^IO<@IJoYd5RBsTKYiLNe&y6_?nNb
z)kOi5wADBwl$qR=l^NC-Jv}88?3&j&qg1is73&vehc~f40tT#1*Sy?(8kg3e(d3Yj
zW?Vc;@XA|dx2|Q@N!MB#sx%c=>aEmWzc%~(Ch1}ahZ7x^F|3iz3KNW2dc52=xTusW
zuC|HZmZKTHaZc(qj)sJ$2?{&?!KOO7`84i2qsj4topJGG!IZAWDn7ZTYv$*k&{*ci
zAj*>A$!p64DF>!)oRw5Jvp!frfF-D%!Ryw4e@)5YE1o?0D<<lPuamjHvpbTXX>Zln
zN0Xe+206XHIroi=fB*{%qvHVy4vF5L1mhL6-T&I`;_r%NXL_-;A%58lH%&DT4yL9C
z1qF^JEFdq1#4Y&QuVTIINzlyamY}IS(ZG@l5l}na(E(&_Q$t|=Ne;1&0NyWBr>5!7
zp7iyUWm+3UiS312(YacnVK9&~mc}Ux2_;5MM}15_MsClG^}440e1;%XhCc7t%PUrB
z7)OP^n%=ZZf6KjdQ#6BLu}>CcVFVj5<a*#m3X68r1re2fp_Xr5!Zq1vs4`tR>M;9%
zueABBr@w+Wt=M1x|KIG?CkwC5vMf%MW4iS2hIZ7wY^_zR9=p4{AJ?{-c4Mbz<l;HX
zV1I2@Ob{|^nkO>hvfH}G8Bdiqq@9(zwIPvtZQR~l4`w%TNL>k=m@gtE<kZR~`e@xg
z0iDas9h>eRKi=LaXDel`)536nZ}sus-&=Tpx1V}_cXxTIp7O%_;;%dN&Te!ND9lx`
z=NB~RI9gd%C6#3*84e2U#t>zYm7%+L?AS1`Ged95FR58M3^gww{^FG|IItj_;b%jX
z=A=}1ez`LX6+PC4uMBGS?6l);O3?_NXHl3msjc-@c149nyWH8M4qp`Q`Da$VaAMRi
zaTEi`!GRb-gL+BH$PEdMjvq_^{rUN<t9OmIAd7>*ho!NL-FTamT0bS4oasK#ygB>2
zo|I{p$o_c_MT*ncRrx$noMDv8b$3tYWV>H4ltGia3lH-BYrDI%xLwU>hQq#n`@X!m
zs64H`oqb8&-(Nl@d3SdmJ$`U@`=*ks0<$jZ1-f&vKT7j><@=&Orf1?TQ+3ui;p^ir
zZcIMDqWJl_n%)Kfv>-`or&5BE5tG9Q)wVl=D~}kwJI(yCZ)5Utsq#xHXWF(p*6^3T
zyJNX3U?EfN-m0lFv9b0NsmJ?db=KPzKl9l)-#lOL@5kfvGN&3Frzn_bJ~=Vb26Rh?
zMddEf$!aD4{#34tt>0}nzy0}q+v;PB`UC|9ed_M*tMzttbPQSGz<5sb;+I1U!V?M#
z4656hn3!$|Ga5}&^Oc%szOXoW^Rp!fIsz^)aBSAdy|rb}^=D^it3NfdbOS{j<7CBz
zAS0!n%4)mct(^Dc(o*j$>te0Hxk+3C4e-w6YDq1ToV4)a+lF%HdeCs?s)&tB;Xju6
z&!1QH<itVFZKbcTJ$-)n&Yc+*3N?*J#R79%xy3&fzi52?_U&0Y)~f<_juu|w&l8@k
z|MK?sbk9z`?)P`Dtg&1f(d?3#`~CfWb$k2$OXjH^=LF@&1R)k5FSiYkFD>=Hd}^w;
zrJsJ9CC7o^1wTF{M(rwDS??&$d#Pc<sZFV;?Pjnmid1NSXkGnGPEn^>Kd~j|gKEs)
zs;@Ur%{XzwLruLxW~z|_E63$0=jYEq?)H>h$j-F1?7}lpMPw!YRWY9B^O5UE{IBm^
z8ChI3IiRpsz{{C)+Z0XdFR5D1+)PalT7m|al8$d=@={}`a;>~GdrqDMOZ|k!j7k?)
z2CFllbGnlyH;=vL^OoHI|NgdQiB7q;Dr|k6ZBbFtBN@=q3Btc_$=KJ;nSU&MP3h}v
za|(9l-?wwp>w32H#Mg#&m(5P>sr$giults@K})?rU4y1qOY|<6=4D-5)7CJF%Yx(a
z1Vv|yepk?R2n%De+kq!3EcFi*A`4zzSlFU3WO&+vp;F|>)3sHiJX^C`B0xLR&oQqI
zT`jgyQPM`{#NQotf34bMudR#qetLTPbC=Kx%?sKrKUEc^Ka}{)wVFDe{b<IM&YauZ
zbidtsdwY9v1bgbU6<khno);InCT|a38)d2$xQInKts-NB_adoX9EU#}<lLw?@SEVe
zwg1*^mg6t1%uTcXc#<pn1<FoM)0Gz9U|0KV!*q@#fp7=woZ#4}u<z$HX}>TL<9e2J
zb1a?j?kZh;dAYxI>P7`&4<FYcEf2Oy#=Fbjo+|$T=kxiR>Ytxf+fy8*92_o)v&4q{
z|Mglw>(&-cdG4Zylu&_qiTYJO6Mp=9c2@eF;=#JKv$KvqaWNGTuSkCo%W(1F&s7U|
zvD>=Mv#&o_eCRfl(u5nJxUFeWP855>z0-6Bhm#YiWgF>hxl>MbaZJceNDaZua;B*#
z!S+XhyU(B7`TM7Gr#$0$FukmeN76zt1mYJ*2LTUVrZi`_`VE3L2Ooy3tx`C0Mx3!l
z_kn7IxdVzCSGNb>+!igeEZyv*d*E7`U6Gam-;ScEU0ZW~zd_1ng#;y*GhICl%pvXy
zDVJ9SDhoR*T~=#hnB=lh*tqgj3ZoY|Q#v{bT;OI(b9cM2ozd3)3g4`%n@f4>7n!p-
z2&JEyp{OXeS5b=@oM#j`X2?n&RBbHdP`Pt;75h$~XN?S}5(2L_aS0oOs}@iV6VEY2
zRr27o3l&_w%P#mkov{&U=;Jm?w)^`f_;bXBHBJya4`>M(L>n=cTukBmE3Dw4mKMdb
z;Ahjf=ZlJyZoO96%v}%8qrDt6OeJsbF4tH0dUtd4ajA{lSJ*D>wX$0HNDWdBDkyNg
z5N6!0rhG#qD%bgr&nNdUl{Y7wU%R`zd}sH{g`!oHw`*BOL9L7uFsL?STA=9GCidWh
zyr8ii)BO5>mY|uBE1OciAN%VWbAfDC;E1qgsuy!~D-e~Dl|6a?{`{in=W@S_ADv^?
zp;jWWvN>Vy3<U*n&8LvS!J^aFv*4oGl%&8<+G#c{o6^sp^V&I!so>b`*fb$fv%o=M
z11m_;gCq~v_QLo{e}8>lyvVhC6VG0RDH<#~T|Epfo72x<+EMseB`0A;efW|3$MfuJ
zXYKp_&idEy_xpKmCiCb+Eq%=qAtQN^_4C)SOP`*eF5L3<2v2iEcQ-dH3yVj^F@q1M
zR)8BD986Li5h{`ge_dY_>HPZI+GNdY!@o)mt5ml<(GOoYr;dHvMNou+Lr0b)LPzpo
zoP*n*y?aj{Kki<yw{D(5#^q(awI7d)x2UBjK}`g$3f+)l#MEGxbHibC+Sx_T?EF&9
z`m5(9e|T_kigCK1lwHk^(D%V+>QJ}FaYWciGR`^PFQ4vcTf(6mv0*`_VvgeKpZ|Wp
zUs?3@6sQK^j@+mNYHxuWQBeXLxQv>*>jfnxJ(qe-U1VAOEMRlm*)>mpNu<ipwJu)<
zYN#IR6z(;e@1q2<LxCehDZw<w<?fW})5S&gVrHbBo1+<S#mRAHRcQCVzu%%?U0E3{
z)Hlr;?%Ti038g740*dV^x3*-)6b3JL<Ndohja9j=wKeeNrKOoySJj7xY)<plzA-V!
z5ga~_2Z97Qco{WyfBf*!*(sG{UqSufui@T%?bsr}KRG!$^ZB{CF`ZAIJV}{gnGyiY
z3koYaB6KAK8E2U1%blHPJ9~F0pNxgU${i6;3*GzWo)-A54qKaXX^CgpPWPKrph@bf
zV#3!Hmg)6RuC5OM^0?oA(=VM#Ce>eFBvyuNiE1DFSN;8+?{xk6G@b?K%J4iegPY0B
z(Jf@d;lqb#PO+)@&~Vs1c2~*9S3wCA_*FhRooAn?_$C})dKj3q<aG6{c%VH0$@ly9
z&!ZPQwcZH6w8T^R)FjpJow4&p)}~*tUl;4+ly`rhul=77%%$(|*}6VH;fb6EJdGA{
zzS&p%JEDO9<Q!G+X-jsMzW%h<Z?4s`1M&IAKYsqa`0ed&&hPp6_oWJ5S`nzcw^tul
zh;T5qIURU*vO{fyy8pZ-d#k^HdV9bA|5EpUxxh{#)kk;k+`Y?NU#dIF^xb52{~0w8
z*hC*Ixpr+RXP;$sv|HT&T13$XXkaNMc(Jtfs5I=`zu!Ld{<_%RV%~nMLRY($m6bht
z^G2rn^fcYsaqFM&E`R@MjiQ1=!~g!Su1EhA6cl=;&C`4(;f2-#AwdD7NoQ8K33PY`
zOyJ<Qom0PH!GasoCzz|sSFo_wA3i<FuD0sb1V!fiSN0pHo$**7zhAAwa-Lo7Df6@Y
zWo2YCK0iBKXk#oVC-<j*W75${JBuu1AnC?Iz`&oSrRT-ZT@K3ZDz2&;=jYib>$7>V
zd*u`c|7Y9ybN~O}_M5hEU;gIi=Fh&L^WELui;9actA6H6wSc9g1A7F1)K3z+eoONQ
STPy<u1B0ilpUXO@geCy>CEtPo

diff --git a/docs/img/OperativeUnit.png b/docs/img/OperativeUnit.png
index 64a947ab89b5c179f8cda30834baa893918774d1..d368c4a78e8caf82c4811e7453ebe0a3f1509074 100644
GIT binary patch
literal 294675
zcmeAS@N?(olHy`uVBq!ia0y~y;LvAaU=`<JV_;w?{(7U2f$^+IiEBhjZbe#VPAY?{
zu``6FYGja+UtFSU?4oL9lvq%pmy(&7UX++yte2NsqH1KMYGja@o|*?$;G18SnUj;K
zYUF0BXP|0ipb=qcsA}w_YGmM(nO9n&YGhDhVHR&@0ud`JQ#E!pG&a>U&{Q=ta8FIn
z&Q~>ZGcqtVFfcSQR5dbi%PdMw%db#1as#V^SXY)>RGgWg2XT>+nVzAZF+`#`IWZ>{
zB5Vi|Ny;xuNiBj18GwY0T~!SnRgFMSQ#E#mdPUX9ATKc&sxu%lJyjQ~Ju?NO)g#Z%
ztE|+#!o@t<Ii#|}IXyEa58X7FnYk72MTrF&zWFJsIjTkmDHRYS3@r`JKw69pQYs-L
zmZo4A85yJ(!R&!r3la#<tb#ho5T>j&GbObcq8F;LBtJi=B(nfjFgZUjFEtq&A5evf
zMMe4LsLImvbD+M1swqfJhuH{mC`cd}BS=A_;h8BV8BmuPnpq;0d!%NjXF#pCFfxZo
z<R-!tLw!=5k(iQS4$%)5LXH(kI6_Ec=c4@l5>;H%Fh#i)&Z#+|z=nk**iewH8|ixC
zp-}`+)RY)kT3!@X;UDRq>ty7UnB?i5WZ{_yOI~G(Ii=7bS2Z%rDN!|cO3TkHQ8hA1
zOH77Hm=%_S0>CLWsWh*oRMp79H$N|5)d(i6YGjrU(&m_wQj}U;3^OIENY%)oxTF%A
z8(^wIhU*rC6BZ~B7@8MUfb$_t9%h<*YF=tlVo81x%sf>iH;`VKJS18X<{K5|m*%CU
zf|8Sgs<BgfMrKKBZ~?>%<wc1Fs>V(kCAm4O#x9_;0Avz0bsL(4yquYn<D8$956Py+
zDT%2TY00X_PQ@if`Pr#RGG@sZsYz*Y8CW7T0{a0}4izLK;tU#%WvN9asjw^vayS08
z1WGdo;G)kxH9t4Cq^J^9VnI@>p`n2Z$OIz;Xa+Vkwt(=<k+K8G1dv-YkaGew0VP6n
zU^?7vkV;h}!l_i%$PJpv@w*>XXrtu?tO?Kv;&7A%2yzQjoR$=2Cg!DsQV_uiB{>2i
zw$nShEU-iuG-i-v3l@WeC$^x~8@|{|%}a3vmGP>^E=f80$=Rq`4mE$K=9LsxMyMLQ
zfHEtX6A9wz8JVgYJAwHwpd162bpfS!2rtDgGY6KBaYkZEVo`c3v=YFY`k;9;H3g-@
z!Jo20v4W8^kun~vGDVI_m{?J2PGU)B8Kgutg4&KPdWmrY!XW|qnc%`26j-W8MurAv
zXyt<`G{M2FD$Xx0N=}7p17$bDSs!8>gal=7sAdD0oyLZ!b{e7E35g7-HgfEAEGkL_
zm4Yx&6o7nP42gVV-EZs+F2LYrD*kYWss@*1gj7OHMI!@P)sHp7LBj|s19`@0=4F<^
zt4&bAAeDWfl<AY0l$wK@jS_P*(?QM7B1j|02~@dcCMV`NLdA14Q&PYMW>IQ!W>sPm
zhz-tU&@eN0Q8jf^HFW`1dii-JZi%^>IiS3STDe0S0k9ep)KUi5n9$lBT3{iUomjmL
zD>KmRKO+NZ`w;3pRU=U1G9u7U0;$2seb6*$pl4zVNe&>tfHDGvN1t4$YXoh6!qR7c
zT3RuzV=`3ICp7$!(kCPck(1;gD&Rrc1KK76xf6dL#hP>mdBJUNu4iFni2$@O$1&QL
z1e`Ehtb69^LOaw**#=asCl?LlVjWgej27!i138c)29ty~BnGV60UiH9N}r(O61it?
zG??1$!>w31GJ>}IVC@oEI|bHMph0`w2)z%0Zs%yh?U|<wtxu6MhJSoPQGRl2adBo|
zx=VguDzuY^+yF{RPsP(F&q+-K4TKHmCOND)817}aAvE$}*=#7b$f2DIq!b#Qm|KvO
z3hnqJrAySY6+&a5s6%CGX=z5uSjRe3%#zGZ&9IJjf_j5Qbsa&2tB?u@xwi<798gA~
z(!i)CS~+WE3C-U~t$agJ?F{N=BahD*SwMTUu#__J1Ea9CixlyYAVi9Bq&hn<KQ9$^
zi~uz`K=fh>{$W<vq9*}kb7&HP#Vie~S_2c*>K(&Qva8yGZZ{edF+4w-2y-i-qnfb6
zP>e(fo1dX)B1E@y=q5tg2qN+%C8z`+EeSz&8FU2!!9-|^o*?K@5@Ogn6cV9@YnEe9
ziivZ1nrm@}sX?$;UY?0AtoMYR=2FsAgQ0`r`9&oe`RVz2i8-zaQ76<Y7@@`|KfeGv
z2c4B#Qc?*WVoxkB$w#fjQ!6q{!1H5z;2E)s%o6Yf8EAH_BC`ZBIR@f@`j()%F`P9$
zB)%cTrC3MmVKX?CHwox7;%;t=TJM^{=2c<E>`+K;cw+)z<F7a)u>drYSW=W&kXn@w
zns#z3NG;0DO)UX0G=hr<AOs0dpjV`(KvN@Vg+x()Nn#0Pg_9*HZ9!H-(0jduC0ab2
z7(v@~NX>e93m&CeKlobpuwhf;>N0xGppy`<lot+2u?CwLM~*a@7=6kRLyX3|AvAHp
z3K2-L32otlnjOT><v}WEY;)xX7N`Z6F}j^(7hEtqhr?XCA#9jxG_QbGyu$LuV9G1#
zYdq+YSJ3So%`2dFoX|oGUxNXuqdr=%(0&aT+Mtjrdb*`UUcs<)G_QE(>B83C5t&z@
zBdaNi#Tnp*#h`XE(jsEiJ|51_*RX3gp-nGh^z><wywU6&KI5!LRPPoLwLW&3B}z*R
zV?7J#d>LpoMAgX16xLOwU27hjt>|U_Xt@h2cd6b+8L5ff60Q44pG1ys>u4g!vY`sL
z2$;ym1rZJL(L@g3@M&xYEk0;llA|Yb*a`xet)nHmXP&MxbX*lBkwdl(rev1EHs?9#
zr>3Q4CTFJRmB9A{sv5Z=setY3LlSb!%qb~KRW$-_x`miXP>Y78sV2-Mbj6_YI;1HZ
z)W$lgOJq{ba4eBAw@fm?K0H3?mdF?yTR;~pLt98gd5w%QYzYx@BZSbN+-T<wJq=)V
zq-fB2GllIsho(CWJIO9t2YQi=@#rEM$g~3<65A{YMk=Jk0tJjzh;HZ5O@*VYWbmXv
zh!ioYkRAhB=&2CH&Y_zMp*>TSmLXarjLr)ebO&(df}x=$YHMo<FI_OE=@{h*UV(s7
z52CLIph0WQ*aS6Qj;=t!b~+BMae%KhLz-2E_Af?fick)tFg8O?Y8aIrEs{5yox`WI
zVM1DGgT(R0(NY+GrjjxG@HQ=yDS9c4ZYSC8Kv;WsIIIIRA+2XKn&iPd0w5^>P7>Ai
zK~H{kNb;jgRIoKXV7m>7Y<Lin<gp*L1>RKvI)n?cl>p2GjnRPyv{UntXP>a|MgXmc
z0m&eYpweyx$XXgm5lEL^3xjK6vLV`P7mNe7XplRN&<+;FuoDs+6fB0uT_F*3cn`cd
zGlHA}1$78)-<=`OFe7!%wyKdE#HGZzYM=+8VXX+H(jqu0J}5OY1%CdFfd=Rh+m!tB
zypnuXBLmPWw`ij>ptV3l`&0v1p^5!iF(U&iZZsd*lg5l;d&5D+w5pMjt|@dL3^qwU
z6wf&@q19@CaQy_T)xcZlXmYkB)*XX}uyv-OoCT@Bz|llpiIQ6ZKP<?|V31Wz=Fq5u
zCO;#(j8~zq>oYb$TMaVUD<<?XgEh5DtC%45AGWr<r7?8m9Tq9%SpcrehEB}~+Y^IS
z^FdmTNNYY)b8<2Zib02C5LoY#SX=-(tvoHW0<_ZGDGl|EloZocixki)n2=Etr1PLH
zjFLd3T%gnCLA5HDQ#Pnr_ZS+OTIxZ^;-Qgk0bBKoR8YW9G(p}j1QUbR69a$B39RPA
z8-e5;IEh;7gEmZqssKbE1<V5lA7(cP61_xia)p#Skis47MqXF~9d31iDQvGeC<s-J
zjLcy}<*=khgU*Q|tep$B)64|A3=L){BsQRZ0#HUIt#CDVh7^281~3Dmr{x+EcPK5S
zaE6fBifD{5gB?vnnlo`*U}TP32bhy*!BDFMNE;D{PSW691O`5K9CYRaX(b5kpaxJ$
z13jhzYyfC11av$xxR?QPK#3Q`A-!@Tp<IIGJ|fB`NR}Z&;czR!3=PpMQFGY!A+X#J
z2}BBt6O1ES3=PdNY&C>7Y+<%SVuTD^!Pyq6+kn5H0aZ=Kqs>i88>S~ZAA&LqI8%cA
zZ=n1IuDjux3e1D$D$qbAJoAp`L-0%hs4g0`ok;@=L$t=Mxhb@J3Cj_*$cM(}=(d6u
zoP*j7Fk6RiK7=*`@HVN3f1k+E09Kw4y-pFdcZW9y$>|gsK#q|Dm6${orWDWq3`~;+
zngNDelf}RSz42gaiPm_aK~ZU7f^Ms!A#9Ty#bqU|FN3?|1yVuiRw|Gh(0tIqUhQHE
zUFJk&t|hbmgUG?S#xkHi5m@g7oY5h=K-~{`vkAn3wVDRFF9OZ4kQx_XUj(XfxMgfZ
zjMj*y1?}5Fm@O|$*nTRC^EI@00dIBEy)Oa{KC0(MNJfHHpx`_P;t<G-(0Uiz9|3o0
zK-q6JFM_V~LTaADMoW>$tYKnwIu6bdOLd3dMW;nAi!m@@Xozktq<kETBLmR6I()g2
zvYokP99|EZC!+0CjRnR`jiG@#w8@5)?6Dq6Z-zFwJqYG%pf1N3jbz`|NyG&N0~BM1
z22g(^#~3tnkP9kMD-?MEVc;XojEE7rp&nuAN3ENhKnt6p7hBNQExzo9wAqA+HJ^mn
zZsKo>fJa?Hqp4tJpmq+pGeKYoma2VzXk<dFzLD59FhK8p)1}XEjBYDpG>ziE0jQh=
z_11Cs`SJG_Ao`I>&;U2ISp-`NYKbwuV`yLuEyt-f-D+Tj8A{~Y0q!nA!h;yUgOv~9
zOph7W$0rGwRN$@_&Q24eq#~_@M%A(kT7DBQtDuG2FdN3O#F8Cg>yluF1}%C{7!yc_
z2A1H3mWXv6kSKu`5ui32X+;377ls&EViY8Xu#h9orI1n)TX~43e@UJd;KFAJl~tsT
z1W~0`g}<yKq0AX(C4?a;p~KdBgT|ggS9BYiK&xdMmJx<%7f2Wy!+HrYONU+wVFc@z
zQmKS6G&F#AYGE-04<yoT7-9v4IcdwsNG%{hy(VxMO3xg=*^bJ+C`j7H(>a1>uwho3
zSfVXKrN=e|19Usl52b{}#b9k|SfbrK0uM6Mayaf*2HNSF)HdG`Bm7~@j!E;tP%EOK
zJqx6fA<*^m1*IjBt6(7mqsUtx@ZV5el$oAE&nt={?n54G$GTP?UJ}#(T4G%TJxfE_
zsmaj#P8Zr~pwC2;F0@^WW;v`qG8A|4nG-SGOu+yqq9!#WsV2oteb9)8l+~m4CVE?#
z`ki$23*3yTc2OU!DuQ%K5j}pY?%c3MyUN7~7Gk8;Ixx3lEDOg7o)NKk&m42TlxKWS
zequ^+MrK+GG>_7~QiEN72-@d|_wZ)SVg-Ap1}hZlQmL6+m_Y-MPPG`cYcZs&Eoe=Q
z)VhMiEppl;X|*lNXg1ZWtzp)Uv%oTJO#2}r3(WCQ_{t1eVurL225Vmq+u9OXxKXKI
z!WKxT&>T;i6+^AV1)ZTmDiWYoQ)w<{*+7@h7S-z|SgA*!dI^5Z0JOg|Ahi^#-LPyv
zB)eMBT!@rFG1KKBs<R+PDW0wtti?M5DmV1a6V&f+VJo-MmlHx_WYAV_SgL1OxRF-#
z;Oul+VC<$bG$6139AcFl><|#7vI8`lm{}4$d@46s@i-DHH$!L-bNJM57No5&BD9kV
zQZphCKSNs|!>qC~#M~fgXap<LV67eM*EWWR7;6*_jbMc}%v!Rm8*t$|fNR}gw`C!f
zmN35|j}PGQ1LUNpVViGB$}cI&&s8;cDoQQRtV&GENmVs=0j;%#j$RtOsG2&dn!2bO
zJLM!MrRD_W7iX4a=I5yzyP!|ufKP5jk}t_GP{no}71l8-Sbn3+!HK4(uzfA41r~h<
zte_PG)M{Pm#2PG>4#lnjY^NYn0)-TK$jKAY6&NinA-xc6g(ZB2Ff2XLr?51DWeAwH
zL$|QR95*q7F0VmOjYGSzq~E}af!@FtmgvXK4u{ebS^<ofmf)j-AiZNW65H}&^chgt
z+6h>ZMg5Y}68-pds-5^s$0lV#g^x!;luwqfk$-7!L5QolXJ$EUoe4@3gj{utbPq0N
zm**Pcx(^q_9u4EsyK~XfKlE&Q_~8XcuuVmVrf9dh!AG@`HyeUX1MM+D*&7NQbb;j;
zx^51|nhK%yGObggs*$m=CAcdBNqXoPG($v@626AfXo3VKA=L62R3>9dkQN5ep%Q3^
z#>mo4&(y*I0iad(P)wgtr=uiLP`*NLNsjhwDxgF7@G=VhHbFD=wN})xsxj^q$FP&^
z9t|WX(0T)w3EJJ%@Y9T`G}mBghPLpU>K2%zUEBhX0n&T`ZW=+>(hahWsMzZ;Xz7Qq
zj2KC`&6*fMJEzd<z{JR04>nqfR0SBpS|cb;6zJ}sLDWLQTp);VWQ)X|IU{%*o~b2j
zGBGwZ*E6!PL;z^O!;%6GY7A4@A+OMsO2`Q`tUy3j%%D4A5PNY4jW&G69nu1O=uq$I
zb+jng(VC*&#A0fUC?jc^;L)r#HpjG<>_iT(w&^oThCN|oj{A<5)J2t`Fd(KG1uB6+
zC!%0%3^2qfsZB9c4LwR{EY^~pSYf_}G&8Yn*#M~+A|*0u!^xvf6x2}-P(%}#@J+F#
zKsqFRY}Sq@{LFG)V`y&yUsGfx^}Ngsp<PjEd)CAVG!O@>08no48zMa~*g3%XVi&2Q
zIa;g{Tdbjv*BTolk_inPpXRU=yP&BPo3*3G8mL&qHY-AK(0R0nh0?<^hpi`rrZ!V!
zGd)vt#OMOF%|y%ej^+YmbIfc;c6uM^&XzHBz3*t@Z0w?HWQ07EN?B(Mqi{CGOf@uU
zb7B<ESga*GvBFx|!=bYUyWw^;;nO(bn}SjxeG6=~1_}WejAjQ=TLn5wPh@sT&PgmT
z&P-M{cEWx2CG_eT13go7*cpbPv&wW0^k7`XMHis6SV0v$XuUe<*1dq#qRiaXlGGyT
z8g}@RtYEF6UGN~Iz^XwUgh5~)%p^#U3Cms$NWlwPyNh)ZJFIkoqz#Bd?Dw+67LpCO
z?H@))&?OU~z*IFdGNZ%2>?UXn1I=I?Ibp3kNOV9KDS%qiq)tfVuDOV>?P0Yb<^Zb^
z+QhsGEX+uACh-=)BZXQPV8j4<J^;6BAz@8SxPp}r;EaPYX;WdO6@H)t?uojqhlXVw
z_IVcQAQL20;Vt8!ovh(j#+jm*H?RW$VdV{^o}i$-!7L+8(aY5#R>q;b-vpL<NGpQC
zS$+tW5TwmX(x`+Wsy%}>ufs;zhg%LFh*pXjuGMj{QUg+;Qc!9@@&&fZOk!$NNb><g
zf>!!M9Rgp|X^vI`k(q;ux4;Zmtddql;I;rG2FSBusFgv`S{SK|<{9snnFH!2fi}h&
zAg^U6Ygb%uW=aZZ+b|JZi1D6g2a-fTVko$z5@fUsbgB<ar;H98h7HXuP4x^6j0`L+
zj7&_?PhvDTG}p7VG_|xaHZeA~G=R-p!Ll{-*dQov5?WLMPF}ec&Z#*$s`&FQD3^kj
z85v}z;A@CMDgdMe01-fr_|Zk|=!@7*(Dr`8S2M#Rminzcf>sXhD#8S<A4}~8=H_TO
zC{W#eH21?}oU{rEoPi;AG5-7uDh)s@Pv~}KG}fUg%-KfBf!@WcMg~whq=JI(S7d@J
z1-Hc9%pA~wQfN|XUP-B{k%4c1UcRca6G#$PW0-?Cc!5TDuv8Ld=!ftS7c)qM3-Aqi
z=)FH<*iatQ;&!Z;X~NfCzzUFouNg?2#e=Tp#W{2fsa3Fq{xIu4V59)r?`|?ftBj1%
ztt7i<0GC!s=^TH?fT{+!ItZzRwqXb~PN2iZqm2`6%XeTk1jcv}MiPXb1`aD*sNXoj
zNP_5A4%s9K9nc(2f)ph|_@%e>OM)0y4%sAVg4xmnRhqEgZ+=lpMt*vJUSf`GN_r|(
z)CsjMhEU^^4?1|u1vKZGm0D6#32h-ImX?6pOi0x?Xv!3FFBJGP3!<hQa8~k=5(iH=
z6gpK5@)txE_yj!swFsgBMA~>xpHsTb&`0@)O_d9c2z=!ootI5pf~Mq2*bGU{=Dazy
z1p?|{s2UlWzy<}8n)C3M`=HsYinS+4+H@ol3#LnoD#67AXf6`8LIS*aLJx8C4UY8_
zsd>nk50DV8lot(1k!A`VGDMCum>8W(5cEbn?GG$M--2(9ZY9|@B&;Nc3~XSVWB{ok
zG`I;;Gw?$mq|M5WW|T6}nV_J1QX!Q;tbvC-enCt%4vTz@-V8?90{uoqNZSHBBLy1b
zA$3F!!%B>df^Ow#M#(eL#hf~VqyVG=LDIJ1jMgYr%_yemsh0K`1;fhGjFM-fYY1&@
z;A>MO<rL^h>gb*w<UKp+=M!P{?x~-+(XAXl!>X`jQ;AHFM6_{`+w_oHVEB*pn;97E
zSwJg6X#da@)<1-`f@qK`vDk`U(2th7pi&n)YBrk4T~!SnRUz$b{3K}22(%pyTiFFl
z<Y;$h8`CF|quV-~$g>=CQcRr7(_D))Obvp)Ky7f?)heTjoZ5-p96Am_+makDk(<Dl
z3&3n0Ey+P8Idl*fUm`Cl%1q2l2dxHlD$mF)NewPYOa={1lous}?ioRv*GS9E$#Krl
z$p>u%Q#CS9OG`6K25mZn3`HWzq?jd{nVJpI!Vy#05`LsXOISYwdC(G6po7LdU<n3!
z*aaj<Xv~fJbM~-vM)1WJQg4UE#wC11i-DdwcwP!V^b8w^#xd>;iQe$cl#&cpV;3WQ
z(F)0QkZCBaW9iVA#V{L@Hid0agf?c4(1(X<(3nNH61~)gL<O`>0!rtkj_u(dktTku
z1(c6K<I))8gy?I=;UPwvD{-4|2rc>0V}x4fqX$21)dp$igUb*|Kob+FVC4h2sK}7&
zQ(?%xU!(#GF&74&8zWFa;p{d;^1x_u1gjBYRUP$<BU7~LUij?^Fe@QZF<6TuQ?w~X
zBUp%$mYs1HM;O5a>ynXXJ~;mmq2dDC5kP9sK(E@)$V@ANHU*I9Jn(OmLBAKzF()$}
zbYC8c`(&WYb_`9R3!QPUg2pUXur_I6g(4j`%;*~EnOm5F*Y_A1&}Xt8Hdh79$AkPD
zJ?t?A9cn~Mhmg2MPJ5#}b<lU}U=)h9AH6a~+bUuNJMaLO{K&3Gz(wZ(Hl2*1xfUr6
zBKCto_X{8;N7OZlRPUE#cIiM{K5&+VAW2xUNw57NhG<ptP~Hy$+7Alqf+0ozXaNLi
zEWt@EBj^}O0DY+__1m%NXT}VlnM)&RAv2l=Nm`DNkp^KMeOQe|hct+8<<PAJp@TA`
zX^^5cNQV_1=;a`Wl|wcSnn1^}kQ!T{(P-%A4;RFCk0HDz#0a|e3gj`!=oh&4N!$=4
zQX`pOolNxM5%>;qSRqSxYJ)T{Xne<<DcTV<Ca?mVw9y@yTQLU9(akrbw)tp-cVwCm
z?qUs{(J5Fa!B-iLoc%h6==15Y&OXvC>JZtlV?@M8EYhY?N0(O<Q+41hzt9^K7`tbv
z--b3uJ5>wAN*dNEpl~3xcmSjZG%-5BXOuwOLimcn(VPMry`j@+J4Q}{%@e^ILUhO}
z=vI#Alspq%*n*DHoB}?d9=72Vc|8?Oj6U;H=s5*r{|xPO3Wk-VIR%tc$eL^$-Liwc
zWyct;Ly0l^LW9JOX65i1_=X*}OTD5SdC?MN9%uM(*)cL2h%GzVY#nW%VA;(8I~{d2
zkyEmp0hHV<O$-nKI*tlmL`sM4JA_;?n)tCLe&|xN(Zo;7#E-tfoce7L0*QZgi;pX|
z#81S${!riIgFXpAbhr4xwhhpxs6tvnKY&|&z;lg829!@eB27KO1{Vfyz`+phlx+Bp
z71|BW8KGN=UIf!{z=8Myf7nnq#<V5+Z6NRvqtdjf5iB!7n`cxvA3gZVn|2*y)1t=E
zHZM{M<sTmj>E@!Xnea(WO3gvNbTARRCZ9@c^@&=M3R=hyaUXIw9P3JOSc;*~T764H
z*sw7)h3Z0!M*0kq>OyCC&@6|QS3_|nzcJOPc9F+&kg89*Z9s#jh~ZvY!uC_a5+C(D
z59kXRhS&}?L-d^wun?nCWr-0yu#p7P%pYo%8MFvQs?3mfph0_1bg$K5TPZ*l7ien*
z&RUMxS`At%(x+A%-GPQU5eT$t0eoo#f!i2hi>Z*(AtY{*)86O~H1r*47=<D%9BEgL
z7@^IB4WAuou-*$&8pL^FT}f(1iK;Q7^I#xJ7`f36ZOu^e9C2fFXb}!=#hO_{Hx(ld
z4wyqvi9{Y8fX({BQp&)e?qWjP#5jqkyI}6<L$qdL$0tzjECsBWw8MsIhFMeA3~dwu
zemfd0WznE1Yk;=*(ZUc~!^7+x+yg%tK?WPQBCV~7b7095ZE>eLdFBtXwyXu_ESJH6
z4a`u`goV}-bZD#+JeCQ$QXqc76V&K~9%KSL>roeW2n4j2f(`V*^6O9>Yq2Dvz9MOx
zdQpB!Vo7E`=*SN6#Uz9CI5|T@*jfr`TpGgHk|Q-a3}M5h$ZZY_=+G%F){&DJs4ye6
zC>&fML9chipBzC+keGglp#ijMgw*JRwA!$DJczj|9dv9Q`1%sGW8^^hB7xf+IF6Bn
z*o&pL2&v2<`_!<G+QL%eFl$*@nnH_0SVA*_#TG09QNL+{Zl|R=G?&AyghU3kcmthT
zKx~~2O}y~?qzENo@XiNt8YZL?nj;8wu?-ENp^22#A!9xMr6mQWC6MHYl<WsjAIB1Q
zI0!5u7{gLLQi)>*&B@3GjtNu@7I}lAz`<;#V1zu<mJ(VPIP|@S4Hjj?tdKG^Lc6cc
z(AdmW53Qo3emP}m0_!fr^8}`~L%6Jh4m~54RoEt32Tu`YXoA)aHZ(Sc)*M5yj3VL~
ziXp#b%Fq-#W&q1TCI*-hHbl-vHh`8lNZAE;5yzmc&`n@{b!ga|SwIIdk@5<tLjY=D
zA=l@FZ_v`v0Gf)BgC5%I7?eQ|Um6Gxdd%_Sp%V1aM1vIcunxkY40?04mIC|~k0F@q
zp^1hXL7$eBSrDdb>;k%r6~y*XH3r`y3~3f)=?_zJ_QcQxZK!l8#|gChLXHz?myhJ^
z0E&kJixZ6FTwurA4ZVB<Yd;{x3E36%fX0a#tTj9Is|{G~h7>2NMrJvn`P`JuGF2n9
zbP&@yKQ%2aGdVLgucR0zt!m_kqyi?2B;=NvQ&N<wYGhyxlO(7`!_ZI@mts)!2Px~4
zG?<Jrc${j6<1P|&%OnF(*^4<tig)b|ND?;7L9a7UjSP&T9Ybg`gfC)4DjE!75sch&
zgdfc_h=zh-qgp5l5iQQ?e87?}c;W#wCD5fVwm@qinZQ;DBSkJe9#J9}+Tb2caZB1T
zAH|Cqa7NHD8*Vc)fGtsnmH@`+Ct%ZHtj!Yb>@N&E$sT9}6;+^Pjv%MP4ei^_4aqw}
zcl2Zn<dZFo4A3s-p~JnO7<L-N){DRjRI=0efKPhCx_9{MSfuHe(Q+8u!MmW@bm)vH
zY(WQRISgBm4@)!jD2LJQBs-lBuW}f3G#Ju6K<Z13rg_97bx7o+k;J5V_*g0((>#Wq
zqiG&=k0+KhO=0UDkW&5NnJ+Yht<;A$V~6m#BWzLzsfvXSdyyRU13JcKY6&ek;c)^T
zQAO^5Lc5yC-A`Bmz)FEZu*$@kwC*P{t4t~~OCYP-z;~loWR}2J%U5KUAeOX&IH2$Y
zjVOUvyFoP3Yrm)=Ea?riey^dWIdm)^6u7EJMkcUx`eCV#2JI~iSjvXl3Ex`+vy+B(
z5B^1OXqB}Qs1J>CzJj48Y|91I{qQg&cFi8LHCP%17#5hp8l=>+z#P_pf%<?<3&7nl
z$QT1L;R;rcbj<;NJy6xuT&ie{IkW2@Ur>~voLXF*nV0U8pO*?P;E-w<P>#oQ;zCYp
z8faV}G<leroR~xA<%l@9q9PB6!}hJh?#zJJ8Ca$=>9EM((8vt6S~E0*E?I!(;=xc~
zVa_L`M>urge>B1o9T!lM1Yb{V1nZg`8ks?}546xQf-MgkOc4%kS|K$WDeR+C<qiUf
z8?a<lP~ieufe)^R@t25@Y6C5HkQ$M&Y6-a!2@|8w(I<vRunrS6jbIcCG-ys3!3rK+
zcG9pp0g4hr+e<)dKn)4-%6oXe!XMQ%sQgU8Da^=VG;5SVa@+uC4UFQG4p{@k&e5y^
z8s&lYd8n5)kdHSaVcL7RRR`wir#iqoGO#8C4br?h+KPGj1-39dN7Fnw&0{u=A*~eT
zmN4RIl+hV1Y$N-iwiwzBmZ1sStiBN)(!43!5|`05kL}1_L)hM?(Q+Pqn+$RX9e;Ba
z(u9SO*wQ@utuXXR^Ty~ab_}2;+GsiNTAX2O5bTu)D(7LXT%<G~926gvnwa94l2Vjf
zT&!wjpkb(LWRQ|yo>!8uYGh!biCGb(q^FLKfu-i>rj`^{sv?F&%uFrxEG;bzEKE$y
z%q@-4N?{{o3q1n^V?!egOAAXgBly-zSOP`Pf1tcYs3S>(P9bb7Jl@n{JeoQ{(Sxl4
z01*cjiSUj+B6V1p8xQf+0UZs;n>x%!QwJm!;39FP4kKf{sl&vO=(2;nx*IxLrVHJa
z3oDcc`H?vpi6;4(o&l!rrb#(z*+D7pzJBq#&@p_ZWD48*P+X9hr)p$?=+l@Lmga-z
zYm)PG@{3fB9aW7Ciqexb3{;JrK~*1=(o{8eQZ+IFO}^-+CFW-4R6>-h8kr^L7N{CK
zK}`uwD$Oe?RW&m3&Ckn6mqaoHYCp)fy!@ivM9}HoF!Re2i!u}QN_2BF(-TWdi&Bdr
zR^e2aoLGQMNqK5!dPWIEKj=^suqSd-OG;9Ubc+iTlQZ+uA(F_3=NA=ZB<4YlG=ex9
zw4g;7dZswY(a=dQRU-qiOlDq6Y97=cgMtbqJtakndBth@MY%A0@=_tP<(Vn@<*1gF
z=NF}*Ity-=Zh2}_c4mn#*fz-ci7s@Y8{{0gy7G+7l2ou6sW1!6ixNQ*q-tc4l$e~I
z4&L0Nix%5PrlydHMrJ_c9BgGuYI1%N_|PQXl8nsc>^x9A37l9m^D;{^VeyBq7AczX
zszY)Pin_F%{6uK5=H<geE+w<LASV%;05kJ)GV?$?ffO@y3-XIf5<$`6q-q39*060x
zu=Ec~!C2EjNJ6(bvkDq+hOi(-Hwd$>h*91{_Bg2;xq-|?cYvyq8zc`xwm)ICEI~my
zI8MAYG(bCm$_TcW3Yzj<RE><Vo<9X!&HyVy>DsQtS|CCv>QD+qv>iaCt<=Om3kF`Y
z13H%qu}%lf163`Mg*wRNppdv9{*z$n`?2BoW5Ch}^#?u84WaX%ppaKJGNR{B65?AQ
zkOmT{IfOQ5h7n@0ow=l~cLDd02IX=TteF%VWuuvNAg1Gt&7tjHXpW&nCdC-ALf>LW
z!-)whWKxU}gPjOHtTQQW-6u*eg|v7e%^fU#3y=hI+sX*qL?+y}!Wf5x*o4uxLa)n*
z((oL<vXBnz)(rGa(AKR%+9mW^AY)_+T_guBc62SEt1@71gQ3{{h8;aYpFDyw8aWK}
z2sBa9Av+iwfJULrEewoI4J=I!4A9c2k)gSsrKP2zv5~p4v8AOYYy~YWxek0+#}IRj
z5|W{zopDgF4XLjK?N?`%<mN!n0|Ji_q^3Ym3WDez{+$#{w1ZbH=rBud06Pf}TKHK|
zZN?7V@~6+ra;(*tA+`}n^hz4Bm|`>$LYn-B=oigkqzLMFl?~Ca$FzW5&;l#d$Zm!Y
zcq)W;X^`q+Sk;VF7(z$fkV-)4K(9-3YF<fdk*cv1&dm(q@z&si#N<>}W0!KsNGp-$
zC&<jy3TV88N?BsMB%rYZ%!xJhvdbK{;s$A;4%@NKgKwP95ZV+S?lER)h}PXUw}ft9
z8G2Dh+Sux7Q4h`X#)d|)<Ex?7zajjNDOkx%gVNsE7}Hu)XcWS%9l8ZRbj1_?;sdm(
znDoUvN%<uu`MIjbPDQE3nN^8NIiMmRG^k&Yp9wm<&e%oO)JfIUMb+3T2Xr5CKz?y%
z3FMX$^h=1rmLtiR<U?lYKn*11mNxdL6*RKw&=)e$Gc`pUNQZXB=rcF~t-hhzLl-(y
z086Dqa%6x`W88>s;G;89B}nVjjEtZS3}~7%v_MN#G$?T~_JyL`Np^7yn?Hg~@eG+$
z&9D}0AisdhKcu1?eP^ms5~!Jsym-ZkyrMieGbII7d=pWWgNClDwHFzyzhUJoU5fL8
z9O;GiyoPe|4LeB`srLv<fw2AO`9&oe`RVz2i8-z*>8VgrC)EBRLXA&8sM-e~!JU;_
zQc?*$<TbIhBwy9o38_1ZbbpLF=mc00AABf?o`ErFeG-U|DCSWP2C2v_2}mu<%uNON
zW5Gv%BOMlEY-WjkC8w@|o}rNiOax&f+7gD6qDpi#A^8%y=>lyhfI<h_-UX>4ql-(Y
zmWHK)9&B|Bv}%B#6a-7KG%Tix2^UZS30rXs8U{dHGGGFd2Nj@D=bM-r>%p#aCv8F!
zWDsb3F2*?@=vJ7S8d2Q}ST`8z3-YZ1k3B&uM&d&kOSl@F80#6ES|R`_9UB=y-3JO~
z69Xgw9ruK#8M2!bVCPeH02gdxQ9fv~r>m*~Xw@L{0SA!1*hPs28NT@`sX401VFuQj
zl9`xZl$Z;Z01M?-q-BDtQIK)dG}?_B7!(*hT^vIy;@-?<ub6W6+BR`r1%aye3rn0W
zL|Q!_2nRHoXmZI^z2^|>`l80T#Pj`L5BH9e07lJABAJ0z?E(r84!izTPWx}2KFKFd
zEoIV~mh@Qh>2oSi-}`-U@=Td)f7eZYP@2Kf%;M321-(%zc=afDr;J3>^P4tjLph(E
zdZXw1c}e-zm&Nx~9GK9Mo|^*WQ&#cYhJMqrnDuDJfoJjhC#Nw_e^enRW4DYm<hR21
zkng8tFCYH!56vVHcL9rr{)b)+OF&Wrs!VNrCfEi`ot$V{I%VC{ljr;&L_J{MS@(Ni
zKwQDUy_-xAyZ6g^UR>n5H1qPZN6(+9zb=teXB1LEMvWG#4wZ_2ABzi6#ldO{Y?<2j
z1Y9$oKIQ9~msQ*kde#bAR6OdEu_?Tn`lR*u_xIk<&&^H!{Os(bXV27hqqa;4T<qrg
z=t$@NUvu}Bzn@o8QQ@)JtvBV}ot=D-3!k16T^X`+Qq1l$-%Cq87uU<1<;)P#iMWuM
ze4}{r<>mgT-Q{al?$`g{TTxkgvzYU|BG?IV#}*_pO%b0{QEXv~&7p1}$-;0MbM^EI
z;#J??Xzts$Z<1|w*=+9LPbT}T<lfq1RQIQ%^23A1EqQmNPEFBNo~-7(sq*dZf<t$A
zm#c=Z3YnzhDb%j}{k;AEDVtJHui7IZBcpSAn(k!7<YOo9?XBK=$=T=l=JfL+$9_DU
zozK#A?A%;yP5mP#CHLl|#Bx$2!_(G?hBJy-Qj&t5;DS8@^UI7MMa^-H^O&H}=(nc&
z`@2iK%invbwh62Id9-qgKKb?a^&}-%uD#Fh>@1$;z|X~XY02KL6+b>Kys|Df`U8vo
zk#?t6u9F>t%1hGD&RTYQf=c|Jij4}1{DKURWh@E~Oj$DDqHs}<w7H*?lhc#S{`ONd
zFE4AAEI&Wb_S4tv@u%PK|F7pi&*q}x2dQ_>DmDNA{Y^bP%k<NakI5@TS5Na2Kek7a
z+2P5L$Nlypb|)sQ_rJNl-T%(ryQOv4`pVzl3Vq(>VA|EnE#Bs6n0-x0_VuTyr<v}B
zt_~C3GfmN%?Q&&=_%(3}2@Of(v?*Pp+Na9z*Dluyc*zi|-y>mDu|dYRD#R@J))M{L
z9R-e7Wp7q|K08%=dXJ1{(5ove7au>cD{gO9(WfV#Q{{`EozZk|=ezn%%q<}|q4>=W
z!@YH1UR(@X?8a-$Q<rdUeZ2k82hIE`N4rF)h_BgqxpD$ZG{iJBJY~IpL;c(&6k$lD
z%xPnI%DVh!m&D8t<CEMkFE0;IWH?!FZ@-^I=x~pu@sa!Y<G1D96l!yAWMWM@HAQpQ
zgzaM6^X^Vs6S>*T!J%P^&&;6rmlwP9-`rQbdy00rpZ?z;kNc0_z8$@*^tIZ{OG_tP
zm%qzc%D!dk4CC}Z9(lVMG2JMY$W18|?P`CW=oZ&cxxFp7N>}KEot>Rg!2^et@%#6w
z_|J<G{-06W@Z(Xp{*yO1H*4|!`F!5~)<34sUo(x<UlpF=HF7=N#{2Z==jTPQu4qm*
z?b%Q&^W4#{fk7ZHt|8*XtE<|LB@CPk!`H{{Vq3$?xJ`g-!?8z4yH{^${F(H3+LKn}
z^m85?la7WQs`&Y7X+>4ls-BBWy~Qm{UQ8%?alvt0-rZF?yzeH62QBy0-Ch2E+P2)=
zr#?PDu3G=^Pt!J$rQXx0ot&)h{q@z=ue-jzz5TlIgJJ)joyEa%9TWYT?oB}n<fQow
zPg`%KpA+=NEKCn9<qU``c=>j-Wo7y#@t%`zYKA=0W<J{NXXe}6pV_4qx=Lkj)YeJQ
z&d!dGT|d#K{_n4?FKukz_CNWvtMv63(-Yjf(c5@}MGsi)EO>Zm)}Q<<D<<x15o42+
zlPmi0z|r@~;-yD_zu&)ps`#Pfz0&3^*B5_n3iM<Y6;n`Opv3a5MT^6Gy57{RtE*gh
z+`Crzm?`@cQwl2w7sm<C(%08QH!?6Xvgm9mW0^5UKi)2=lCjA3(h|?7udc3+%4PiS
z>dL*ii*1#P!xe|4EZ%n%XC>5li2j&tS)6w8pHyRVLUWnYGsnt<&-zXL;e{5MF<sH2
z@?h$=E@4&F5)CZ*T*0C8pyfBkWoe9+iKliX7G>Pt@BhF$c*oA2nXF9CW)4j<{x937
zi(k06p!D^%d=>o%n*aUgTAe)FEnfO^;z{lm8yZq<&l#_0`*5%NedgCTHQ!koOmZiy
zK4)>V`G-_GNVBXtX%n!O;i_7|#DurEwq9BnYb~k}@bh5C?1VY_uPx4P4v%9vUi0&l
zW_*ahgDTgSI)~L<ExMJAHXFoFaIcVQ43py)(=pJ^eSEC<6f0A+Y9-_E){lxlQ*@)l
zZs(}@%(zfus};Q9)8QW<A0Pg(XwL&5`-OA3IVUh6kV`+q)7BRsA72GkT4*)dhijlB
zasT!^Q?>LXvZWiRF|Vje(A81BI5Fk@t*xtLc9nSQ_x9~+*v7XyZ0#lueTPX7vpG1J
zt}*G#UO2^=YoOqnb7O<!Q6}Xa+4&}!le!qD-Ex}|9_PTy(ZMi5J>Vzfrz2-)n{S=U
za%kEf2F-;Y6P2{=79=rgdAqpAIo#&x=@*lZi;FvTEbJ-IneI<cfBxA#dIc#YAmlwg
zrYYk0*8P2!g(Wd7++xwtcadHgeE-1AC#^?1g|m(JI=Ax~Wn54=InTCQqAX+chllO*
zuY&(+1}(Xe{QUg<>t#HSj*hb$wu?=B()#dl`{{|w?x5mEf9o|frju1Fx24VV);xDo
zIWbYW{muRT@m=EjdKs+&yGk-8EsIiGG81yvuqQE|+bZfm-%fPPVFxGqsfvf(Q;w&f
zouz7B{%*?E)#2?sS=LG_O%^XuTIJ?ow<2!uuDEpXIEQSWHPWK03ONhrx;Z#BtjuMx
zSlG*Qt1#kGo}Ru#3<nR-l~cmonm#q@I@$Q4v}o2jH+*V({YEt13q=?bmwt{7pPGVm
zoO^r^&3w{&VhQW#qrZRr@L1+EGv!bV=cfk;nKQ4hntF=i@^b&}Cr@}R>X)?+i#AfY
z+4qgr<4gF;AlLQV)%4HIwGMCNkzACkt)R5v>A}hB{wc@%WUJo3ySqCy;lOKNDU*PM
zOrl&D*bd#j8ymGHLy?_N=0X_9ym|9(=_y5SN(tJM5t!TCn8kf{Rp{mF#giQ0-r9Qf
z`t|TzTQZYB@7VYwc6Zs!8xyz`niKBqsr=lfTgkYI(PF_X=5>*KDmI>(ZSMc>&d$Y3
z%u&`0Tv=u~dpL0S&#(U%=`}?|Q9!dxRD0P}{R^)izPPy9>fSQn*;}|;bt@Tv2W(35
z+`BDubJ`@E$|B3+XFZ-v4%~{{TeXzuLRQkvO{rG$Yx*5g1MI;r77hI!@9q^ogM=Ll
zDWJ}xp?`$)TZHr#$tSJzY^$>-+0`s%^%iJqZr*zIz_YWnkDfj2JIf?fDfw8>#r?Or
zlsn^g7NuHNd{~fjW=3GN+>Gto8`Sh4{F|VrAm7r`;&FDCY3MAE$!fisFXq?%@)Z8<
z@jy9XEn^Ua7Mo4=H=m<zqS|3Ac1+NXHgkO1zRY)a)@!zql7>4C`<*YWh~K}@VT;Ek
zmCmz|kFiX6;2z4{!^p|V#N@&o{pnD*xc-v-`}=O?DLFQ=XoWav?Wp~|EoNttt5(<=
zjSSO?TA^AWzkL%6i8ENQ(8wh*!9So^G5y?}N6(+D&#(P9)9>`OJq^X*-rQWA(<f`a
zth)1~Vv>s26p#0efs9Pel@2nEe-45RMno&Gz?W%?cuetqi)@4#TuFy9(-iSXJhf|h
z-tc^KTBBo9BH-=$<%ZPltH&BG8p=ckBqUG*+JQ+$Ko}I(ETQ)%qf}d<41XY#Q^u|)
zqVDHY@!D0lgBJX$cy(pvSI(@qRFEy-t(!kN{ka!k9bW$FiRap)38vX$xsi=tys4+A
zOe}nS>}EChJa^PccVG%sa;Q}F+EHPIC=j8AqeBYIt7~gl@4cYN=3**mTeU>>V4#-l
z(xZpjy&4xUw>ovu-TcDhy1&1^ZYq52*1i3Z%!SQ+!`H`Ml-0Ty=U=IKFK?}$&b|}e
z;p^k3PEz%D+ZEZ&&OeQfS1O}OZmp<9BIkm?M>_<Sx6EagXcUdg+VlCGwTmmd>kOS5
zJ~{OyJoQB{-VSW#Sg^d}hsym1MrM=3)clId%9VQx-rcdR);FA6{{Eir#}6MSxb;eL
zK56>USnZ_I@I)Z8=F>^_O~udscGmpdlyZKa?WNBe`Yx5#N-++ViobZ~{^a}U8dvf4
z)l?Q%R@bguckjjqE%A7GCi>vciAc2tC~yu$yEc3}6utFsj1GFJMW}Bmc<2<lF{w4@
z?k>|)EB^iaonQI$)6ykgQ?*WAf5c>Sw>x{Xil@-Ss_0LLKt=zYo&Ej&B3dCHRo~yq
zzLe3>zp>rcw))$ZYf{r(1Ws{(Gc38f`BC?t2dn;A=A);tifGP&xQgiVd9T&bt8Q+V
zdoFPio6~x)tdEa>KTSJaZ)eHNpc&To6(1a4U0tpIV!oV=jE-gTvndOm+q1ekT&}N+
zO}(`x^VfGV-6)P{339s8+org7i@DCwjq+6Sp5_xTZ(q0P&aP7Ltn2G^<7+;){`vR&
z{j$%2YZnGD_j~mIy}oAfvMDl_MIOg`Bz<$0|NZ&w|MqF!j}M7!|9v=b|KH>Hx3|8z
z%Aky8d$0cYTl1Z@zqhG)OmKL9ds}X_Z1VeidoS&;uXp^)wWj_Hv>pBJ(NXTb=TA-5
zX1%IvlyX9$_Sct*`uqP}TKBl{r`k~~!MnT5!zGWzBrVt@Fx^`Cb8>K8N98e@MvPpe
zpu~8JyCxhIbEpwHgJVzUo`jg5C$)2}N|jD<PvE+sFZ;>q)s>a0-{0Mx^~1jI&yFYO
z=ifK^cBE4{lz~HE{{c(%RPjA~_ojY&ax!RDh~`w~Z*Ole|L?lMzuLp2!)JzpV}LBv
zTLU3=zcrtjSUL{1a%b=6;@}aGv?_nMW)t%gW|pXQ8H)mkv~zP-zOMN9XXna8N2Y3r
zFDZF>$*Sz@tE*d+SdXx;;Z<T@H`Bg;-<qhcUPkHXbXEv7z7k>O61h-)+e&VFzOQqf
z$9%iJVMiMx9zB1)T!{H;>yA4!XUNa~<aEaP83%fK;=m-x84&kicN0dy9B@%kd)j(^
zef;cWE2Flqy0SJpyp>V;UPv4V50A(RZUGsAySqv!H?eYiP1lPJ5p&q|_t)3gT5<|!
zZo4f|Iy6DiIV8$}NmS8miiV(DoP#N6jJTaay$9#vHeO@dL%Xy>RxHpJS1?@@yE|-K
z?(MWyLWy<!(Z?TuTAO)-`<Tob)wX`S*DCGjY$tA-x6|qWAMH+qNBoSZxId=r?-W8$
z>IJHxLN;@|ML4=lM<UZkReeym`P0+W%ioIiR0LNtPUZERYqfN(vVe8jn+sap(c5w^
z?l;fB7o*CieC8=@NaBuyhfO;1`|gz3Xnk_JxhZw4tLvc_PT%W`-?Bu7C)oAN+fO@h
z|3Bv6kH`E6XMMCvVOL%w>z>V9tf|zt{ocFVyLax){MxxrxNg~=1as5tRuexpWxo|q
z_eL+iKDIJEZT+!natu3qIbqS@Q1XOT<wf@Ob+VbaIX<7_&XAsHU7jatQLrHM+GI7~
zAi)Dcw>v&RKYzOZ-)H&CFE0XHLa(ilkN@-c@6kO$4vSnmw_H7VZ*TR|)YH?7-rh33
zT>9)2(=qqZ)}`LlXZ5+e#(nto>5`eSfXbSq|Nj0?J=P;><=@k9IlF!BW4;@=H10P|
z6<^e&a}QMZ-OEuGcS3i%o@>LWChuHvbqr4#dMj9cIuu|3SM}-X>C+c1SYR-DPwDGv
z+j2Ij>Ytfq>RtBkj^^s{^?e&oRDXZxxy)zglbf5<nG6qhgs+eD?31y4a%!sfBo$Ag
z%dhS1>@ICi_s{*`@Hm6}<GbDOUlkTtCW5;ASHt5upUtx<OtO^|tz>+}bSrFuX^ZW~
z#KUYaFDz_s=v=y?^v*m(nbJN*{f5)0r|XyAYi8rUWF|Aaey>V2Urf==Q{3n0+fNo>
zcvUHANy+vu^&j_SJ!k7RFBaJKrdC6L$D3<~kI?hUgG($L`a8aSEBu5ZW5MJRpdYs<
zB5YlZ=4`XvOJN+(-U{UOe);k;*mJhoTAipZ9$wRQH2LN2rd(R;oxSLuTxH_*b+MNg
zI5OvcZ)n-Md-v`qXJ=nG(Rsq!!S?ml)!;}*UFm?g3$w4UEBf)_p}}QmYpyM366Sex
zwq;wCy_vCXlEc>a%FoX-Tibi3%~$a>?sO`8ad~+^sB6Eg<fT&Rs*s6sd#hgBy7x#d
zyeg?++tT0Az_3^Dt->tRY_*e<)u)5H)1cn)75Vx<flGPs*k@i^QpJDf@vEz=%Vf77
zwNdMr{?xQ{OG3}{tWSr2w;FlQcU-)rZnD`#rD&u4RcGc|h1LE1TjY~e+F2bOSJ0We
zse00ih~O<5fufuL{Q2`{U!VFU2Glg|kR!ZckHGCCmU5`#44f8<j4IzJwwU)bGP7ko
zveRn3#!+D<|A;|Lo=4K?NM0qQXWf>`5gU_QySOLtWL;U2C?%&WUQ=5e8gpX0{`@XR
zZZVw+r>E<4uQHo)d{^n~lp7lowagRrCP+@xiBwwgfMMdI+TY)nO%uJoK0f>>L#TX#
zo|Z|?=d<QPo6~&vE;X%WJpTR7&Bfo@oz2b7eTAHkIJ9!~bfzdiVee3wAaSfma<QnE
zz_vd3*1e0*AN|*8uBF@3zhjE{vECQ*T9Q2z+s@uPc|AG(#@08NSTA|sS4>`Wikmy#
ze^RBfT0k6!>MNg5O<TV&{Q2zu{`&an9Xa>*MAq{~Z^=+x?AB`(8nW?quaLk0q3843
z+uKdDuAErZ@^#tiW9fxUy{2x_^`B*u`Q`nOJGv1Y9?ZUH<aHf1?4)X3bMMh_=KJE8
zm-$Y<vNG8HrJc=8)S~-9B}YJ9!Mwc>d_avtv{8%$svJkA?g7=gC)$^O_Ba<)`E-4Q
z@TUJqzFu%C4VryML;r@0$TODoN#Z?R3U_yxPrtXfI(kZ`!B2m?pDx<_d*too<ZLPy
zh=xN)A-irpdi?Tc`TKiQLska0X08{$yL6E(zt#hXI1WC(X{o2Dt(>#9{C(Wi9Z6OS
zryN5-qeTmLxvUOb`=!>P?9GiCa<jgp4eE9%GEEUr;?T**C|Xn;m_AN*h&jn!UH*1L
z-`UqEw*6gx^m+3kqr_uDE2B2g^F1>~JV%MExXJhwXk1KO<w0N|<5izC+D&sT3Z1k<
zRw%4k1R9)-J-xH|xk;m(h{zJp>g+}_^R3y}r(Is|zxqeOiU7s6JCZ`;4{pu)*|g2d
z<3_Ta#Pb7<%*#~%9_bX`>g(&_(NXj3<?<-T12r)r89#r$UcWW<>|}NS5}rM6zZcZ<
zuG+pPa<iM9eVxwMtgAsU5ABdMV$cd$Fv(|<il>VIJey7V_wCjOG;b)A$t^VyXStyp
zv%`RgD`f4#h!qLUflIQku8KOT=G?}!?DGb_HTnhy0Y+(OG(@$-ro7+x+b^=BvXXOE
zoP=S;hXl*2FDtgmIW4eqI^HK+x^HLE(@7JR-M!{om41<kSj>Cj<Ye{Gx)U>vm-EP4
zh1`yoXxu6}HMijJi;Ig7hdeQi=h6BPs(DwxFhENrF_S@Kk9W+^%|cC84J=8$4l9F}
zZV7E(`s2mo{*<SurfxJ7=X)Z<^2jUh#qGVlclh^d8;h@>zO6UAO|35Y)DlbWLk>Gj
z9<He|UehmQwLyJLs)LYb;I1`q?tfm)BWJ1UbDLvJXT-LIHkNyP^llbCnPl?v(#fx@
zryt(Zdt-a`@|=jxA%6cp&Sqh~$K$(=&HK{@LwDaf+E=q`^Q6j8^Jk}=yRxxJ?ZJ{I
zev`wpYOh69eUlfQl~HCq_w(<G@u`<)C>H(se)!XymzOVmyyU&{(bAM_lX#aE$L*=m
zn|z#S^4Wi@pFZndJxS-L^(577zL%B<{OwjnX<swDFZq-;`QtPdHkGv(!_rPq(@m5R
z)d+A{<}-5<XJvNb>ub8X>cRp73Yx*ouKfP;;-d4m{QG)OPffk-ZU65_^2cxArsdt;
z<+<y^_fvDN%eP!|esrWW_1qjwqnaNDky|n*rktGQ`s>R}W&OB46LN2Fd%4x)!0#VF
zGFD54aC*$M*{SyL<Ihfq+p{*OpI>Ft@JcD8zR~6HnVH64EuOK>T$FgY?bfYlS5^jV
zWlJQ!wm8>Z({Rn~;ZxQQ*7b3Fg*@0N2wZ4m%svn$kifl=AzLSI&kio>8T!}qJ>x8j
zpY@1nhxw@XOxKU^TE2YwDT&x!B@><7`8?bCWK&*WTYKu#1HMYewQ^<e@2y?i+$V3Z
z_w)1f>+k1YTIzlJ$;rt}tG>Rfl8yTJz~V;>{}!vJHAdCn-bDP4eCU8yANHv_R36-D
zQ)!OT6f0+$z~fYOI!MKLR)}y#&-QMIm=oL;{B;33vujMBNdJ7ZUpMvWqnn@3epvq`
zz+r)>&<<e{4O8uD6PQ}Nyk?)XH5L4G`CIR%td}v8hIz|8<7SwotvEB=F0Sf}tlm+t
zJ?iQIZB)6_e5Wk;pWiZ3obAW9>5JRHd9QC&KB}B9=PCJXNp-;o+mo|&+n1c5;At*<
zy;tgzx7Bxv1x|;5Ze}#v@?QK=@ZxoSojc0SJ+$_IUsrQ)$JQ&k7kut<`o`Vo+@)*2
zK7Ojz*Z<$ASYF$ldN@l`L@VmasmcEH+U+LRfBX|4w85kBWcY%DHG5B<dD`k6Eq-c4
z;^Cy+oN9Kb-3%(9;`i6B{p|d-;pgY)?K}4bE%mzPwV?9zv#|VZm5YV?${)7aPx}1&
z+S<$074)~{+%)nDWq5oC)Is>PKX9?z|99W_RzG_9P?4Qq?uv_Dl;ocO|9(eRD%RZD
zQRrM?8>KHXRU{yhv4}~_DZy_=(}t3lK`X=7Mzy@ol{MGfpr-E-*07+VVNSsIyjW3g
z0Re{tOxf-Yo<Bc5Rh}xoLH$SEj;gP#!lDn<23|ar@&DrbczaRPfYd`m<sE7g@<FWs
zQqIk>JoB6X_<>{BubcC5_b^I6xgKA?Rm1GY?|qLd(c-_*yWx}5olnnSpoxRH4~keG
zImKD*sNcTj$DS@3i%RE9%T6ztDzNAOW5wd>`IG*y{_=kE*9jckeypD;yZ@i$EC=7T
zM_KpGn5Igf5<RcT+}1x`ZLQVpbB?NQmBwl=6U6iG?os<ZEq~h%_k-WxooHYB$>Z|1
z*d^y5TwGRsZGDu|-<sPe|2@85vQGWKh1S<q)27C{>w9c_W2t=i{Wo9xP)(+(vX6{n
z)_!6VdQ#zKzh_UwH@3CW+v}7o8U25jzq;b77q@3cwBXD=4P4wF*B;w^&SH4K_xrrC
z{ESOWI@KObTw}g|TEZLsRW?^v1Uf4u&tP9Aw!oHEOK5?p)9$jjAq@^s+2`cTZR>j+
zQ~i{+;LLRWkU5-F1p;gtr)pgI#kf{WVA~Vc4+o+Y^cNJdL{;iUY&c+Yw^!O+OPqse
z+7s3{;>X$z0=MNv=5C&7kmz*ztH7jypNW5dd|Y;2VO_%d{eQnj2YYwz`1AAO4N%x3
zjZNqKHGDcWd-LO|XyPF5hcKZI?n=g_L;3CBPro|*+xJX%n3m%Esk-{k?*3P=f7stG
zqOF*`OowN){<BYqzWLtwzHavQ>G9_DBg)}%mn-v?^cTb)nf_M#<h%QNS=H>yO?mf)
z-Um1){p->V+Lt6+DLL!H-#x$Bi|oI2{wa&C_B^}mKX0!7M7=}R?B74Xz2TB|B~zm}
zx@1aF>c8Abf!hyzJ~7=gR#@1-jk%ePchZ}in`dvJ>QH*eot?$n*&%feHie&``R1xN
zZcx>C@X`oc($U4gqNDMYP~b%I3ua7@7awh#K7IP8jbWC|-~WF&%+IQ;-1ACc<KNGR
zKBCo-GVTqZnjS}d@&g4YQmBKtAHoDWxGNW~Di2&4`hNeVt<M#N9|t;DpYA*R`l-ij
zgPpLo0Lz`zzi$1cTI992P4~$5_#2=8Jp05H<-zmjYM@_yty1S?6~nu)eV??Za;Klz
za6wEkFV9o?&F|08&!^nka`e;7^xm&G?)S@|e6%yz>2~ZH&Rj`BiCxp&KDoX>D5z!l
zr|d_=nR%aj-~7v5{p8%_c&n1%T0YsGH!7Mf7w<{%j53H=aF$8vM#U+6*DjG?pMHLR
zzEzg_);$Hrd|jQB+=tuwrz^Ynb?o22KQ3!+F3Y5^9^1_G{=AQK#xt<rAtAtBux@R|
zuP-YX$g&CjTEQb|r(|uHeNE@)&f?{3;`UmdQO!6jFmq3XaG)vECr41{!z%g{Q&e)o
z-4z;)iOg?Jn3$M+a+MEo>dIZ{J1kUQT*>&zDIsA&*t`uQD-JP;nPy*`a&K?-ZKvap
zK>>{v?s^UlpPIOHgqC}t_fl0Hn9jK<Y<t@JI$Ym#>G#hob0!!Z{O@&Q|F7p$_i)uZ
z)%@OfdD8E@OhLaNUkcih!6|8*87C3*?9-uhYyVx^nQHs<=ZVUb^OUE*++#jbd|O_O
z_P)8ZPJO@4=P9)Pf2Y7PU*oh>TJKgKQad-X;BV>s^Pk?na4h=!>gfe9q2DUI-QRKC
z=02GCb5W#G`m2b{d*8TUni?cMvR-yi_?(LVmp3<qYier`heU1Ik|!@Oe{zcEWY7SS
zoK1zo+NiBTk_o@QyfibE^!&0h`FNH$o67Br`xrX^X@#zO@%7r1)}6)APfbvC-jd7e
z!m`Dt;?tAPH#asqufMyudOH_S#a_o|Hm!&SPK>u4cI@8m-7Tj3<Uk|yEv|!?F9+Xt
z1&!BriRo&skKca{Htlf9;q9%hQ$1&!<>ttli|x<8t|u!U#JDPgwv!GK`)YP>>uS(R
zl#7r@TfK6?6g1U(w)XKF^s(IrmU|NpJUra~bb5T<#V7NZMr_NOX}7X>d8Onu&Li9Q
ze7JjPrcvpi>zls(mOt#n(Rug0-A{+AZ}N&~Z<S2_sLXz<eBo7rZSVOfe33ModCqjY
zxRadSg=u`cx5}@-?x_&2e0cD0b<jCu_l(<JnnC+LXKU<@1vMF$eqJ7~$ynr+Vwih~
zBhn&!lFUDC4X^M0=T4qe(Z8{~@4<tFT*D2OpPyaulE}NX#8X((IIZX3-7pEyFQ=wz
zpFY^ku9|$T=hmkKdtw_+D;dAHn=t-VP*HKQw^s07;yL-4$rpe7ze_r6RXzx=xW=H#
zper=bwt898;Vx0_keCzGbZ0O4<a~LV@77XgEh~q-1NRj(Kts0*Q7W8MD|2Mc)f(oD
zhL|3Zm>{5_;BaMws{S61+{#Pa^W$^PCn`8HiE1f`-q}|hy)I^_lBjl=$d*|iQ?;g6
zR9ACr>CXtC;K0fga<(C1f^oXv$IqW9pSSz%vpm&1?to?Ww>N=i$NOY2?>+KEz)(ol
zYe~@ID=YtR>oMf-Q2hDn>E^9((I(tf9<;D%=vzEoR^Ww}O;sLrfoBZ-A3O0BJUbA4
z>Gk#X;$OCG7x9pIwDMux-YUz_MvqGJHtOn^Wd7Z`E$v6yvrQbY^KS20X6I>F{IRO?
z$Af)WzG^OhUh?K%xJ}X1sS_?-uPVz^YyP7)i-mbh{s-wN+W&L!#cBP%!sFYbHjOuX
z+Nt%w%TDU=k2mWzJg|N5on4i_&vft0XujWh`S{80i`ic9wObJod1>isg@XsnRWmQ8
z1nqmY-~a9Z%2zwq#2C5T{n;@q<?J)X75g^)K7Q6H@rpv^p0ec?mEl)Df7rh{<*Z(7
zm&o)hnU_zkmA;;O=6by6sVOT{ZvL8|oO?btFs_47#@qADi#z&u@!W+BZ?zN*(0f`Q
z2QGqwYL>=(jA<7=4+j6Oi)^-6@<<psxb^(FKl$ahX-}U%UHa+J&f@1ebETAz2hNhQ
zy{jL;FK6o!o2RWW9{2lJGIstmYBdIp)hu<qyiHJTf5NlvMygx14nJ(TJxL=Z3DmGv
zZJ573|H^*lkN@)y9=eqNAVV{B*_@YETWV%l=gyhKX`#73ZttWuH*G`AB&>eb`xo6-
z)_>4uzb0DG`<d_5;&i4jaWkD+Tinjx@?70#TViv9TiA_v%H(<((@x%>Tq4)91h?Af
zC(XJ%rSNcS_kXFKg@3zj>i_wycAv8P!^AIA57Z@%(|i9NyIIM8io2|-irde+^3#$q
z%M-IqS8rS6u(Zu6_0)+Sg^%wwT`lfJOFtWZ8$LPZ2tTht6IXd~ge5>qCiUp{yt`6Q
zBme#Ve*e>_r{22qa<@S>zVC{K?`s!2wJs@te{Z5+<EN(D-OQ4#SJmzQ|M|=zeq`C6
zg!?&_)08=ns8>FGb#=Ai5uM^NjHxJA6|*gy8I(6TdH0szt88C^)*|pY@DLP}GMf1q
zRnR)`2fj~QO|!3M@Rzx)i`n_)(o*k41{>%+OGT4-?aPbbW%k~`IPV}wh_^$0@s>Fb
z`lbB*{E?edIu#oGK79Qu`laXi-opKRDnD~ot(0zz`>gRdZg*Mk+vb12-|wH@XIu5f
z<Mf>0zkj#ewayPJdU9f+?c3gMg7yD?F1Pco`SBr9R^0#B_Wb+zu9z{|UjI1dWB&fX
z%Zi=vf6u(UEc1WGk$ZcqeQj@U{C9D&d$xWh%dex(3=unvmfqQ2?vFmL;&;gE@>1{R
zd)RoTMBLyLE63F<8K)XFH8m-<K7030PcvvqN977E6D<eS7*BDV34UZj?-*88gJ)VU
zIKJ+*Dt+ZLLA<B#(*)RBo@+<`Ls#<5y7K+Ymno;FYJ2y~*?xI>uUlN->*1kR)!bWK
zs(v3?_?DsW$3ym&0SlYdq?nnRRlTR_Eb9ez$3aVfRt7B%>ASH#fBrx5M)g)_CnwMh
zf72_0jP}Ogj&Y#+v+weXKxI)01*4L8cOp~SJ=i&HN^2e*V4P`LyzJcDpP!#E;}Q;E
z7c=qFQt#I{uC5Nh-X$s^zrn17XGhw<KR-8RUDY}{$8z%mCze&gA{qe>?{i;Q#O(X5
zpf54euD0r+yuH2sR0$3JBTvsA`E%o=XIw{R6t*A=1f`=cmG{o*O_`4k4nC*2pQ+wl
z9kx~rwA?3=VJeqd{ym))1p<){W)4?bLl`;a1tb;p=UA8f@t3~7=F26fqY=BSBrsB;
z`rDhLzrRXF`30WkDhCKAo|vGhr7O|cC7|jxg+p0CAqP4&qp>)T<r?crrmITuc^S2n
zlT^D4_HKPV!S<1n!ju+%=cFHdo=*Q{5Sgg?dZzZ5^ZO@pDE|{!ut%W&+aztYRgVgc
z;Ytpb2d9>G7o)EpYLrkDi2mgC`r2C6_<c3E@)jl@ZVOryVVLVH5E(I9JmBVoD=UMW
zt_gO?T9;)^ZPkt5_Tv6*^Za!}&4SN9F|9I)*-_v)O+P-*N=~$LVb0A>w-zZS9%@<k
z`eGT=T5W^*$NS~8mp0k|`{BGHZ4ckggB;n%FGevxa*lCL`Q&nGiKnXjyFWo|4~v}Q
zF5GHqXruSERf6rz?a63^)(o5xDh`#6`8?<;R)JBt@x=*lj|<n=#adt5CirZs_ysei
z@^^PYE8=o*1}yQIXg*E+)%ErI|Ni|;y7x>WaFI*V_jj>3cbBi<l5;a?UF`0(sKq<?
zG<*yUO?-K2>9WUx6UF!J*|X&=3kzrV#`^ztGYym5&IvzGKKCr~bw$h`*Rz#LHJ`5u
zo-E#@9?ciCXpexnv@myaP+SM=2^pnhoS;ERg~<y`1u&XXpt0cI+DAI*%_xO14j1>h
zAFtQ%UsCh)laPprNZPvXd3P^O<DGbtd$C*ZB+%4ChJamS-W`oir?}V0?Y$Hx1j+y^
zo|9%A`}*<YM9?~q-|RB((H-UYYs33zpXT<+tNnU4ylH1Lk4wlC)(-BB=*0Zi7G7yH
zk9Iy;79X9~wU0M`Qv310S)zKPk}FrLMbZ(DwMvaQ{=8N_#l3N-<VG8@r>zlea)%Ft
z3bigV-Bt5*>+0$ZZDxJGBbQrilyYLh{8;qJxDHx_X8NsjvkKZQccX;*hfhzvBllEn
zTobc12()r@t-zVviZ^B*xV+pSRBUX@xTvHVxoL?_&5r=D>3VBBt3XTY+W-G~ZXdKU
z$@S&M#qIODvq9^9*QyFkJju<@FE?df?Cw(!54Ruo;eV?!&%R#o@v+{^WxSv^Qkc++
zZwC4IY;wOcBtE*iIo&GUgRl12mx)s}gF!Q-pgI0atHbs8std)hILGi(v4(|(<;B+w
z_KRyGjXmet?3`m+?6xX={krA<emw5i%6;%JV|zn&f?W9exUH{u=sq*7l=<Xzu!;4s
z2lugxDdI_!YVO>>E&3F+kU3j)%GufG-Lq%U-jZ?ANx@ArDCkab?`}y@8E#`^v*lFp
z((@b5=SnWw=rvU<GgZ1K?(zG>ZM>Jy_uk;x^5@|5FY97=e<|MP)+=R{d&|UguGLmP
z|4K!(vc_bTS@DKsP@7!G|6VWp&~VHohDpCY4$VH^z{tGl&k?OCWgaOLkE4tsb`nM@
z9ln)}$#Hus0<{(1+}RlnTJvynrtxyMpV`;etPJk*iIcD_S`xFbCNk~pEYY-gpg}Md
zsi;WU6pqIu$G(jF`}S^I;}EmIF7_#NPj7MH!iTf-_Zjx)`o<mjTK(<K#ox_}la^H+
zl00d$W!-@p6_+GGF}nzO@PL*Zafxz(rj^gOtP*is+WO)10_b`G>+U@b=LO>9<4?}B
z-F?=z{?kcyE&pEV{KloAnMSE8mzH>%t*@2#bC8}3n%!6#AbhuU@gm=wn^Hqz(;F|J
zORswIpx9s;^L^7FXI!P_LZ)Y2T{ZOqXm%q)cGf2+pZ5&!{ZUHuK6Qu6gCBh=-7!lQ
zp^ob;NltMVm4D1<TIKDKvE33s@6X=|F0qeO%;wfCt$O?8^7^{X|FbNAKi7PDFMUPu
ze)~DDaRyP-OWxm$1uYR-d8FXc5l&Dg*yWK?++<PyZjRc8z?-a~c@8Z@lz9%(?L3uS
z*B2!*YF$QOe;roE$;mm<-~MliHp7g5?vpQHuit-dl|FpoHJ5?F0tFu1x<3(FS63~)
z)LLkp!q6czVdDikb?6jFVR`xW)JW^TQzkRGnXYPFkUC+bP?xCVk?<gPYgXvggBS7+
za;)Kg?c6=5N76WJ>Ktsd8)5Gq7g#Z=Fr6_EUl+slaE;$wt16x~udl9FSNES6@{{q>
ztqTjCx9)8fjF_$1{_q#5{nE<n%~;8&TcfZ@(cs<s{r^@~7ybU0`x7)>@$JpcNt(fG
ztKYNBp%oMz)=X2xANAR~V=R*qQed2}@*tx!@&3EHmo`?)t_)e)W|Di^b#=%C)AH{j
zm#jh(I`j>9_^0oz`8Y=>YVX~Z)1Tyga{Be<WvGP1C)ue!hr*K_F5QBy$j)v=tjKoD
zmfq6n_*&u)yKWBl351fDPtMJ?j(W)$n)vL@Oy$Gv{MV;hMs7;EC}x5-cd%7Fgwvr~
zQsv)7ANK{HPT$;|9xC<Y`TY7Ve_4gT8mFJT@_2<9^UOtwhuflLQ6~>x89aQ-s`4X#
zZ<Xo<J_W@Eyv)}cwkjMDb7H&}v8SR?)XX4$&HYJx8n#&;?Gg><WM120V{03F%)xpd
z_K5@C+}qoxK0e;>{qN6D)?CdCRT-b2oV?Y=etlsUUthET4~MM^C$t1a1R`!vRCa%I
zJAePwoSU0oTAiD3zh0?T@tDO|ekFC(&QQK0<0<a^hs%mjqBr{mM46U)O}(TtX{p1f
z+^46e2JVzS-^<P-qpAPr?~jQ;D#RxiiE5pmp~?N2aqfxf4wZ^ox25e4%FH~{AZEBi
z#-RS+9|Pu)RUw|yoF@7A?z}qSCiM5~>+8!tgGb^s9Ge^VT-cO)+8~s%XEL|A-kOvD
z-Fl^7dT01Qreql2AxWOma$(-xU0Pxa3Jndc*ZLTQx9sioiED_NXp$MUv+C<AFQy&(
zT2T_!H&KV-A2EW4;Ul9NB%!Od8x23yws>*3-4NK9%MvBDu!u#a^ZUJO{j7YqdA=wG
zj*5UbXw4v38Xv|wj&<G){+k}1jPY(%5uIn3wkH-GejD<3etCJ#>zmwerI*GL`p@@0
zZ8rrCVp$3c{8~~rYfI4U&Brcn{@-yS=vUq@M)f|~iG_84pWcaI{<Q9ct>?FM)}Qu;
zv%a)W>3LGO-C>6Brc(VmJ+Jtsujx#kT>nSSvC-W5^c{BZPa>MBSB~rqT6^tz)&ArC
zPD_414}J3LY4@iW7oB6B*Y4_la(dt4BWHD2&f8pd(?;^b=H{d3C#T2rPCjSLHluHC
zgiW;Axp_L**T)I<$5bv&{hfDdLFR3P>$i;8zu2SPdy4zJ-`eT#pZRv3TbuMG%G}(1
ztwX{IHjfF;8@TlK7wmO>eQoW1kGKQD|K?hiPU;rde<h_fSv(+-aelMK`8`q$lOC_Q
zcVUUAu<rro$ox3ngAC1D{29#+rZYi<{I^(;2l?AS<O=7=9<O~nRs4Wq{Jxq~;#Y+O
zI+@nWUs%PI=pDzvzlQY_la~8}smGgGxqZBE?<ibc)OaAlGwwiLVhx9I>gj1$&7@Zx
zNn(L5MfY9r7;`Xg@u8-RlbF~KpXRPu>(VLo>FM<NSt&gdmCc`)q2+~qpN3C|q!&MK
zMH2^cKa>d-EZNhr<lj%@<*7&c_eZquOt|JEX}V;(+Al@EIrh>D&cEvv?Mz>t>L~m_
z?fU&KbxXgzx#OdDHcs)SaoVKY+w)G`dHGoN$2-}ZbqWVmEN>L+-^p*tuePkp*#AY!
zBFLub*~BUD-U-QQxu%?*rreXUvG_FG$w_ye?{Q95)tmFzyY1U=C%gPBUR7UY*L|)M
z`4sT(-cH@g$9SenU)MWrKKcLjGrp7cYBQRC#WQ9+TM}qgd8gp#qUQ>lKku;@J$Vo}
z)2?ty$!pUHtFrg$kCNZ-x%cJn%bZ;0o>G^v%7an2Ikw0+JY~*K)a>k2slL80_UWai
z-c^|;Pgu8<Mr_TR>RQP-iRG4ygTMx{jF$~zd>PG*o=Jz>c(ueN5?}vV;yF2lWy2M-
zLwi0kX*oPOH#a)kZowz!C!Mp+^Ru)$K^qBzoF*!{hSWG5WeJ(q(Y2>xo1lceuGyYF
zd#3bmJtev0f4h90Muuc!NV~y|h)LoKQymyKhW0-8tuL#PMP2CP@P#8Fu0zf~4lQqj
z2eR{J8J*)aW>`-S(G53<dYym&%jEz~g{eL>Hkw@e&2oRYvi!7D%D&O%6YZMaXP=AS
zpVj&0bFTXD{`kpTUK*{~b78f2G3SndXG+d3<xW4kJSH)6L(%Gr`X3u_RO{bim~>$6
z?CUA_KAjDWdORh7G4b-R&`qW9Pa0g0%$9Ck#rL!;Rs4Qky!Y&Lw!YWT&Np;C)-R*+
z+dqbTt=UAs`1;G0H+OTSPZkF)T0HrMPuA+h0>@^R>Thonr!|>zdn7#8{4b&z^kU=Z
z=jXGn*+TjpneIhJOcHP7m)CRelM$@1uitwm>NbbU>xnt}cXn)?$30QOy-#LS{r@`6
zxIH@-h&sKzv^4d}iHU;C8aHl~>tMCG9%fVfE93$n^U3m%HV*lTvhz)|r-2%dVe8{$
zp9!h_P$p)3z)@zj?E%x6LM09?N;^9Xv|){7dCPS14{;3=jn^c;ZP>O|9Agx-f@zAl
z%=szhXl(`1%I(Gq-vblGH=OX-7MptK=0D$6`#rbh#so(@^vvI<a^~s3?ERC!uAYA4
zk7zWDuH3$y`yOTg%K|s0oK3XSfALNCquxB{^P2G+yId<7)u*4C9`=i0TK((nEgOHw
z?Kmgr`5-l8<{!(;$4(u4AAVx1_VgpVnMS$cAOGAmwygLSHp4V>vUpieoPKOnz25$L
zyEI>3N;iouxBszjisqciWoKelL$j}4zW#RR_1SM)3wt-8<euos{Ve;-$Bz?zW*VJr
z;S_edU2QWVY;9C#Qx#}}Ay9(i35NEt&lwjNwW?jYh&sQpYOP^F?!u_8SylNVQY&J2
zZ~O7<m)C6635FDbp4sO4ak*;~eB&B&82DEFYRDGTkJI`5>?~*-+KLkm*Z4978J`IV
zT{!gk`TY88-*`yc)qp&~z?8hOmvxEYnT_e^=T-3=B%pL{7&tA|8BcMa+o?ZC6gA(1
zhXgEi8J*)Ac0AbsI%Eq=TV4FNsovt}PkrLueRxgz!#AEr`deNd(BB_F4SBlGpz`0J
znLV?&McDmaQ@G@lljZq03*V~!%6`gL{l2yF%|w=8CE2Rgb$7Jl>r8#P#I*%y>D21o
z*PWvYTHWN#*qHb%+cz#^XG-6;*&I8*nH-Ype(Iz6x4nP*F<t9dhSkqR=bHC#KEdr_
zrtB%d?^4s!t*q?q+1-t2XPdY0^S`sibF!LI+8K$zyVn{_dNEZyd|ER*zt^!I$*oTt
zE3+B1KQX=I&t&@K=;6^3#?)XkQO#HC@&)_9UnZ-4n|Q!|K@{^6h7hI-F|Az=Igqt?
zH#emUhty@<+Ol$6o`Wm<3Em&pEWN+JzUFr6R`Hy4!zRy%L5O9QR059&hk$@W){RNx
z6aKuvy?y<**&Y)V8o5L#aOK_KryIU5W@4A9HdmDI60fOVd-m>Sohp82wbTM8wkN_I
zY?j5(MC|SDf4<p#z9eef6V^GK)6dJLg0@fI_3q&pR1RF^qGjqc*UI$JHz%Hx)Ai?{
znP(e)tXF!usO2OzUoFtm$(xL?(@Bom7uR+)#q287k77D}OO!j+W4_*|_dh~|qgizB
z#Khg$Rk-#?S(euQd}|fYJCj@<Ofn1(EA*dwORw_az3N1P=t&1whp#_kT<#@llC;Pq
z>*7I^^-Di5Klx<iVdWFt0tV;i^G-OLUG5g)oHAAXg5RURO_Hgf?o9pUdA5G?zHQG$
z@0#~*KEZu4wtesQXs<=LtKZ%(lRLt=Wp%@W1EA6dWl+Oic)^|r8H@JFV@ab&ikY5$
zVwxr;+xYNd^Y2fAH<^Mq`#DP*RdsdF*}3P#gMYS~&u2QfwaK}^Za<N9`PbA-kXl3M
zjOMec;&1kf=OuUxReb%lROIwjC$|1MIn(zTWS$AQxg~LG&i!($LqZCg747Rby5E0z
zX<4!V<&Q^;m!B{{yf0{{vhS@gzjm#ybp8I;y;5-(%j5H3)&1vP(PEF>oOX4Z=!z==
z%X}o)W{~Wghfi5`%&nLXr~SWr$#}~qr?b2MPp#sO&R}hGEmk}IFTU=|Ho*?)RL<%8
z+1sb{YlTcJd1>TP@Zs)-q7NsR)Ew2S>a+dSwD<d=%5NS_D>w>&T#sM!euCko{Rx4U
zl3FHfVyxV^{aD`_Q2FrsUVHD)=U00y*Z=L^>SZVN=|iK6&x`=OKPzUPtG_lSpQXup
z`MbYMuKa%br2jtOsV$s!myVV@WZdp%Tvk5S_H);{Xal>G@ZBt3$sZmZ1g-D&J-2u(
zld=xumi-cjNiEypa|qEF9pA&&0+2MH09pe%yFs;*QM<42K*59Q^HYu;nOOAd$ookO
zj!Y*f8gKs-|1|5-?f2QjXXLNxPFMZB?9@(U#-?e!GdHJAhfdpkk*R*lIw$*eoW*fD
z&j&X*FaPxR#lcN)+qV{3e^{pCH_7E_*P{QLs=?3K_I+@hVP3mOC)U83DL;0)$-+r)
zzx984oc?y#_xai=tMF&%=V)ACAIFtCLH$dFd8WiJx6d2W<1SXRA5*Vnd_Q@?f(0Ih
zpc&{c5zR%--Ph3<1v7A3bTB++Wp8|vi$0^$z~b>BR}r*e;H$fPLPhis%imUyC+~Ui
zW{ssi#<)r2f58QN4wN%v$rTeErf@_|7B5id;t4$TvP3;Nu3(q$!xnVw9;{VxsC>Bg
zMw%YBE!Q&c2d3?5n5bc3(N~^xzZ3g3lmnB3LuKQ8W(?Oc3Mn*d2ySqXJHX37Z->mU
z=UpY)F^XQ_pP$6JRO-Vvp#^(BY<p*O9cydR<A7Xc<5cl`0_%ih+$s-pnl%Qa7Zr`$
zConv1-Cl5cX9^Yvb?`Ape_}e?`r<<G?`%!|BY)+N9O03(tx|FA7Mr@vcXrqO`SCtH
z2dmK|%wY?t5i4dF=Y!h8gfwF1LDkAp*6a257L)f}*qrYF@$1*AZ*FeB&HC-%-`|&Z
z7N_6bQ@MG^&Yhm!V!A0;Rs>G6C`{VDuK&FH-QDH>Ha0d(0vEd(mA{K=*Zq2Gs&>%I
zAl02^Z)Yv>ob2}BF6V}UX2gaChmUP2Tbz8n&-4Agy{Y&2)lM?W3<7Qa_nB`O8??+v
zQu}eS0Gfx73oh96z+&1SVJyjGk0^`MWN{6>BmHm7)hZPon^?HER)2ptYr!^EL(qn>
zNoKiGlJ<3bKofRR+w*i&PfeNVIa%#!|JlxGTJP@d)m|C2bdrkaB$v;A|9Ahvcl?68
zgF}Nu!T}j3X6B{2x3>lDF3Sb&iuK_?`x|ZA!D0p|PcT1yi=IduSUeQ=32#u*4~Xfo
z-~Fx!JkrG4SsoJPaGKd$=y0d7`VyyBF3?nu=R~E}yc5XFoIiee=<HiH->x?5DC!Xm
z0UHxOK04aeV*9MQkWa=U!RwO$9E-qXz0&H6ii)3JE}wsDOXlT6J-gG-%Yl|VKmC5c
ze!9B<ycZG`yx%Loy_xyt{r&iBYa*5V<?XL=F-_3xsdQ#@Q0)TEy*zEUsr;0&m9f0M
zeD3_Mk7IY2UEQg0py1)5*2DnNUXbIvYJP5VkXRYDb=8BdJd#E;&K=&h!H$Co<@|*`
zd-kw|;yZw$i?#ICm5a#<vFqb(Eh|4QImHj#xK_=ryuoZk<C2Eg?)`GQrdd}u?3la5
zTw-m^PNRQ+0*X{Y{bO*_1u;HM6Iih4!?YZe>7G~;cb}3#T-<^k4>UHNE0j;4BF<xU
zO{GoJI1RK+D(B`VRh|<}tXwCiXa<`o=IiF&-K842IZf2&WdAhg9sd1twkf~Ay$xCy
zW4ZUs<9>Uw{QUfv*VfKn;yv9Dw0n1|+=XdL1eXY(IMB$vwC?XO(4Jl2KlNWOx>x<_
z*55Y)yiypnpg-d`x5}&Zb8}W6H#9#X!Ygey<@x#fr&k6q54mP==vv_%_MZ$)4i%M*
zNe5SluV=Z$sL}%3BqFszjOB)t#sR5ras73N*q(KNjh-ZaZjPlgc*O7V)6>%*y?nXS
z$Zfh_?2`)%oi8nPX1}?sboC~a9nNtcbFH?%xwkiZwYrRbUCgKF=k+tZ19z2V&a|oA
z6tX@pc768!eS78D<&_WctY3KR*aFAqC3$yuo%_gqG!m_icEh*fQ`6lQ6-rq0=mZ9i
z8K=2L#2?+SJ`@vYv88k&kF?pDmtrrUJzK{42ed!!SzG0zq62?^em;C=d(cv^ORGY)
zGw<!$d9_r+v*dI2qs)4h=hxRpFTd^-mvM7b>Wozk$9$27^uE8lE6mT&5892a_UYoK
zM}NQHzkZ7Q;p1by(oL@~Rvlciq~R6g1mOTdreY`2mZjd)y|!juRf@@fy`vDclxGtY
z3oFYL7M1@8S<nt(sGbZR(hGwwt!2K1I;IC-S}OovT6_Hn(^YNI^vK<84u!s)-iIaj
z408lfLgYv=Xsr6>v7$&&l7**T2ty#4g{Si2<u2Ew_185I))%LHiw7V6xNoD+flzII
z1;@VSUk;z<&d6_A{_(yG^1zp#i<<s|Lrjytf(E`0RKMT5{HpXUlgve&6)LaKu2z+Z
z?gkBgF=!qJ9mVig6ZI$t#hTkJ(Z>tpp(|YHb1AKu*We|R@wXvNlq32RQ$>RA1n~e%
zrnNR=IuQv`w~qBnPvvG|^Zvy2jr+0l42|e*Yqs6=m|?K+WmQO|!_)SUkB`reW7a;y
zEvkRuR?VJ>e}az+(EOV30UGXVdYp<T4&r{;CS>r0b<O-oPJgP!Ef()-*v8}GxA-nY
z6ccDqFH=Q!uJVN<hN=8(T9>L=O?nf%yKJiWbiJ2LFHRJ{a4qrbs!*|~tR6xc!i<gw
zq82P=j<Q_9%cj!FD{ZzSBB5pN<H^vicc3kKD;Pjq@>2Uw@lR0CySHcMS`~q9Pgp%T
zUAsgAKQf9M21F`pePX)hmQb_h#)nUzg3Pu(5pH%22$*n+J0r94njFSNCg`M%(r;bH
zm~-XI8!|7eSr$F%;E^;^Id<$p?_++X0i%C9J=%dx=QQ*qCX4mtI?rz9xBn9`|C2j6
z;}OW(PN7>K2co&XKOOq;@bK5o7w_MXC;rF=4oPe)JNwGG&`)ew6nJt$)z?>5b9E~j
z*BWlf3z^cep|MPgk&$uPHo*riW<nXq6FYbB+`~|)XkZYa?SDgff|ya-nHASlPE9#E
z&%1&TC81Afm@Keh&jS_dgPCYW#RP{K!G;|Ho6~#`3LkrTdcyImb1c>s3mZIX-866K
zk~Qgm&*$l+i>ERn7Fp_sMQzw(ATKZP8{t30z!7zcr6ptp<W;)jq_-LI4KDRlwL-sC
zWq&$!XJ>IJgY#{!4vvh<4^K`C-`tqo9=E&td!DGohpJ{a-jEFrtxQ`Q3(Cu<i|Iz4
zXk=#JBHFZk`SNYu9@BJYis(j##O<$JdsS>z=;~$P-Bg=zZOzu6ZI*lMhE1MM-Su^`
zR%T0>LN*`RQTh3qX!Iwh6y^eltL!nGD?TR8G|!(GX5N54_%TgL)r-TWE9q!g=2Px1
zRTfoWR`j*Mm)mk-gQ|Xlyw^0HmDkO(ulY<;_t#55H%HKU$&Z)I=YtN9(&}p9)+%<8
zxw$#L|E%qEPEbC9lo(+09B40R@muzEj729Ky&4`lt$EaE-W(X$VIG}XsCZ6A-(_k^
zLBWP?5;vf2xyfq2DQ9LF{wj}7RJo`fzD@_UM`8K*ix^8Cml}8oXI@%j$lL2;Ed)Q&
zAxed`6CD&B7p!7D*3iPh$hfFYxANi9ZgG;<Ii7bgZJT3R+%<px{F-k!)2(!)KQWza
z>|j7y=BSdv*;FPmLH&T#q$4{DA4ilPye2*GILZ==1Dv4A@I$^2r+74=PKPU)aRkJ4
z@Sgfu%yWwSV{v?M=*~@h1m@1&IK`#^+}}r^w+gUdSjWV~jJ8yxfkg%s5sN)O*`Y;{
z$^$1B4ZR%~(kvf_XoA*OS`>Iq5s$N;koITC)svIex1MsmwkC3`DYKU86wP2aZ5hm!
zhS=8?>A5Y)ySwYw>R;32>pH*AT+D7!m9%)yc4OA6qWM;(Udy*69AwJ8xoN4f@A<^y
zg*zS?Ov!)StD%47{o4NiPfSr<Q*<JYF7-;=RBSNGxZp7PbH>^O9Nr9X_1$_T7QWRv
zz_I2+(Brw~_Y}3zTI50n5{##~`J7Kmqnw@K@J7(#{PPc<GeA2*lMdbk6`GX~@9Zr8
z7WZhwXM?m(A=M`r7rP6-`}yNzGH7uI==2;=eR*=e{r(;q%b@Cr<0Urr|6>0Ad@k>M
z>dNYH{kT)yBH~HEV!xE#nGZVs;`t8^{XJD*y_%Yul<r!TmzR4^RC4`uFJfIEY8*DO
z%$dXRlvVw{f?q$&Ju#;Lf8W=q9_<nhjCwasH~NeG{8{Gtau0Xq@B8VtDs1g4Pr>x=
z!|nX1@9nKN)qK2SN72(RpV?-<8}h>Y-|zn)7q_Qkp{Ft%xPWWOW#SgsyYl|R+UV`K
z>@4p{3NXj*tI=E;w6yEf){A=&%-VBdb-4b|uh-*2yRs+g#abOKPoHN~`NaPJPydqk
zt-pU+mA>NmcVs)rXK(7bKe$MPCimWN_o$g7{^)yOVJUj*5wHh!+T?$q2%X@-!sOBS
z{msqb)3J87ze0qcf{v@|6jtXtWt(<#lIuL%YPDV|(@CIX6x1B&^e>kaR`FQC+2=V~
z?d0zF`?5b<Rr`ZZWx2Dz{(gzov!7SP<4^wk`+I50%S&SU&BX<0FD!IcmT}+2_<B{u
z#zlLBJEng2n{TJPHT(KCqnq>Q&9i!zzyGh=-Cd=Z)%^pVr2WrE2^p>N74W~Gw0C#e
z+pdYF4>+%YRvnn--FY$Lx4eB_%&je%i(eo8opo}O>LjC7uf5;bMsI)exZnPodXAwQ
zBm*6=<j{%T6*9{#ch%&^hzmQ5(|1;UT(lslar^e|J~NG6xx{o<950k(aq{5ku>b!j
z_|$a$^`UYC0!(7MQ6h<nKjJ{e^}O4AtIJo$@85UysDAvunD@QEQ_su@+|S&*@!9$L
z`hS0Yz5I$3bVT6W+uL8i=gwgKc+p+{=A7Mz3rs5$&&{#?wOioH!SDB~{nO6P(PZTk
zDd_9nWW?RY?YG}m&(!f0x8By+z<D}PTQ!s)v!X|j0}~%;1rwt{CAUz48`BT=FI%&(
zXEn(@HI%oj(a5~K?5d0Xq$5Ar>r^;ERY|Ru{htrct4|*=b&Gs{cDDKH8yl0KKA&G-
zcH`3F)7%=(y>d6E>%~r58N577=D6rn)+x{3g7y_XJ+;g<QGP;#V`tDBP^bt=NUT`Y
zu~+c&C#HF)S`Q?t%zZOAJmvXRtxzvDpBW2^Jn!$TRZTq9a_T6DO>g%pNdfDi<$khv
zA6D2x5-~H!g5{=9j6Kp<d}+wCe|>%Z^(;#PWknGd78Z_umvc{Y&*<BFiW}6rnr)tc
z>eN(i)xt+d1k>vO{wiH7!C1(6zwt*c+y40uiw?ZE_z@>-QLy02sj1!y#-OgWtK$i_
zZO`&}#AfbkxWC@u*s9RgD&_C)Xtgzgdbm$suiszB@N&k^qce@upX`3WZ}PETY3sEa
z7dIxmpPXY^e1_pctgPRG3F4d5y_7ADK7TiDXYP9}9midxa6SM2^ep)&(_Y2xd|Y8B
zGY>t>gGQVjDj(+Fd?^v3KJShdw7tdl?p(Cnbcb_uEWdglc53Bn-8psVo(AxCCD5db
zczjJ_sYh|8VvUltXXDP|=dZp>8l?!>^k)1yyt%)>-%IF4Z10h#`Sa(`Yj0tlx2Ij8
zamkVQ`~R==&r=GJlKTInzdk`fXi10N)tMTBO15TIUos9(o}ZVOCnO}asEPSn%Wu$5
zG+_w~asT(qolQ?HjvczZaD_>uie+Cj@4voNd<hMfPGSyDdvk7X0<AGz8`79!d(K_y
zS-X7Q1<MDu`EhHR{0p5JHj4iK_V%*flZ<k~NYF`!I}>ELF?mXbWFD|mSdq}TVo!t6
zgV<dqfqfl(vQ{ttJz9BTb@=*O-x!W7ELiZ0@tc6C-T{XCfJM^PuRseR_+$bOGOU>(
z;#BkR=kul`K`F_Rv#satbN$-6|KBff|HJ>Bo*k$^%bb0Bn(pj%t%BQGepJeD|6^&z
zX~E6tky`rtnyAVQMTQ5}NB2DP-&ypOC3k@Wr%QO;f%2N&WpAH6IXOAXmGQf2o%n_$
z%!?dbxkR_T5;?*Yl7FCF@0QPl-Lm%!Cs+hdJaz3(?{9ZC{RidRTQ;u<SvhH5SM{Us
z^JT5eT%vSOMSi<*^Le9|*osdsjR$X*zq<cZ@>5gx8M9xTd3Kh2ht=H<yj8c?&dYrE
zt7y+%eg$*B$Syr7TVV8*mEGZqE_$Wj!16}Tq4Ho}h|R@04BqFg{{Mb>T)zGai}fuh
zh03{hwN-Ps?A%lE`&+K=>Hhi#_od9)iF*2=<GJSN9huzzqy5*-&FQN%8kS2H>UVHd
zoV8J~d5~FMUteGAC0H+SQ?cROq`D6e8dJ{CyBl(Iq5pilpgk3ZzBLPCOqQ^yY&Xxj
zvB3ZN`<t8HC#m_ay2L0X86kWtNnzjLZ_&O_oHY)-o*;g~iTRTAk7?`?^=qTHhIlm`
zXq?99aY|uj-%sU4qsmWDI3hkAQJVDq>#M8Xm!ugN@&7ZFQ*!-r;PTQ5*5~HguC_fr
zv0<Z_h5Y{m{aY8ZTYQr~#6HO*ff2O2F?gBBx`e+Em;xE=11y<iK3;jzD{cPeWyt4O
z;u?Q@muD+3QczmGN8o0}1Nq3D+uMA<bN*)k$+C!Thl4_6M<R<0-<ySsy)K+){$&!3
zb@!}!RP$Y!^!!2R0Z!77w|jYi|9)3ShDFj^><ew3OP;bai>;D;uv`BA$se*z_bWL<
zo=KW!O{oiCc#0{7-J|q}o$++>3%i)^xBob|MNNOk`MVOgC+%r?zv{`!$*<olK5Kj*
z@h7h3e&Zb7n1zp+R6;nW3b<$*SlqVO`1Etmu8MFy_O>18S{7SgJ}~?GlKAOvM)h~~
z19t`Y@_#z9j5$&DuEt%3KL2x5Z^m{#`}zI9w-IJC@K9LB84%ZzdFbPZe?BQY&n;VY
ziQ$xPzofC-<m;x{*RG@(%(FN%%XIa(8aGh}l^?mRtCVa0d^{d?l;Q81kNjUMRta`C
z-Q8V2`w7RCzGIi$1$aO3U#xf~=>JaHZ?4tSWO2Qih^MR}?goc!3j4CSD^AI;*Nxuh
z^Sm>q>i4&|U#)CDb{gbMH=0R$WJyd_n^4fOC(##tl9Sr6`?cR=FWUsnWZ;}s{r%m_
zh`==whHt0mo_n!;e%&Q6HUaw!n{U5(pWB^yds}WPf5H6|O#d_bTkN!)7hEY#VT@#X
z&FbG$d7EQHgF=EzMZhU(C$@QRxfd2RddXO@ak8G2SK(Ahp7EYhA|bz5Jxh7AxRNw?
z?Ez)(GrZO9S^S&R&R%j67IO5TZ^x^w@1S=uc;C4h9GkXY|M=9x{{7?Aez*4X+1$;m
zS$Csabf0@uQ`3^l&(8$)9HSZk$S60y$!UCLB5;7`=UKte&fZO+oe9>gkharp#|PDi
zIcoYH9gaNTw)7S21h#;U84nM&{<_=wO~^vLah3Jc)6@Ac*S+}j^K+Es!3^)zUP%_)
z8uv%vxog}XSHD@ke&40{`XP1)%Eh7*TBnPDkZr%;{NZ=AY30G1cgJjWPICWP=k&Yh
zH0T787|ZG%m!4Z35&ts}RAk%_&42&r!ad%gf*)Cl+d3AklC)cnaUL7E3$=|iAa29i
zj`SIV1xxE0o*w(#&oGJEV~ew#!TLQHc9-Y>Z7+}3RW49p{E2DO?-gMUE&OrL-OWa+
zr!q?0KQu03nsm=YYJm`gmgs_44i^`>Ze3$E?}zyzk2#COzy1Gv|NqQWdW)yB2(d&k
zA3IPwK|<i!Cngpqn}z);R)^#&8AUk)9GTv#>afmx(lVn_<^R^~>tAA2ZVFa>68gk2
zDdheB|NDC6?e9H{=JPLY+P?G@_cQV2T8{$`NgX-{>1)J=m_dd(F;4Q^w{PDr`3VLS
zW;ESkN<0!1=P-%WQ|pZ}lTLsvBd6Er3gOCw_qGXE?00f-Eai85BmCg`-sBmN(>Wdq
zOcL{`QVQ&wX7b}tQ^XWiZ?W9WW-ZHSZ!?snWuGf6_PBVg3R%fwfBhL#SV?;BKg)!g
zbB{L5I7!;o>$d((FZ}WN+5Dpy=gwAM@4i%a%lgRed2=hOs@is%&bxH{x%H|)E~aPf
zyBAljwSE40v&8-954AaO7O!1e9I%z){^K8hhktY3@BWeJ?qJZerDGMlgm9vFoWoQV
zmH8iSo4@nAu+_9H>73-AAQ14A!=CwCl+%N%6KX4JTDV+)>}(22{8!DA;uO-#p|3CT
zdSCtjeWBoVVJgAAUi#9Kk5>DP1NWYocYpr9l6;xMx!QGo!3hON&V80MbZz+L<TLSU
zElQ^l(i4184?6bxZh|>u_#gIw-Qwc>{zvTpN&Rh_xWrAH&E{m9p8lV|-|uV5fmXE$
zXIwk9C-=yemBFn3)1AB=m{>b4dm69tb8v94xWZt`<jMI*ym7hmL<R?=Bd1S$r?RMg
z6mUDlBgEik_?Xj6`NI;mUs=v`Bn%Q7&Y8#VDoK38+QH~C>48m8ZvUQ!BRno#Hb*-R
z&phu76N}h9(dS^{l;v*L>?+X)c1%}Q5Bz+1xIJ+dTSQW4io_~gowz*~XA)IHHq^Nk
z6l_?KZXx7R5oNN;A(YAHWRDh`$DWz$XEw-t6f87tzG%jDa=BLMsudDVEU)&}{x<p8
z{5rsw`Slhx{RBBVIk_lTW%oXrs`@Pz8_cF{{VsfKN<!U=jK;WU93M>iRa_2M{rK?k
zTHeuQLx+a>-}{=m4zf?p`cT}p>C=xj2QJ@#Hvg!H%;MZL8xjwP#B36KHvg!=stZhP
zORvd_K77jhXN}Vmr$cP>|4ut3`joYX{b8|SXQr~k$<mjXT=#F+i`kJdY5Sr>X3L(o
zo>=w3CAII_^F>kzTR4TkY?ipc_(u%8xbla;4W}emT$&8(Nqu6P^nFE7%kKxZeczR}
z{({b<1RbEbGH`KQSU}T{rfLU+1La#Q8_ZqUIr@IwU6gZkQ`Ak)sg-;7?%m2IsKOfJ
zcHp=0{r(@a$5$wX?5!&O>(|uMSb0NRKVtT7L;D*0ME{L$i(`dX=`GN8`~L3k*Y65j
zJexQ=-fKTD?=-*5?;iL3)cnUH5;8yi9_*FzZ1~iqocSpZvyY&XFq7da>ptT*N)Jx`
zXUMwF^!TQyN1vm}f#6RbPnLU3bQ1pSQ8rus%!V8l_C7gVt<CA@w|O13No=cM;(qCV
zhHu02_K8=Vm~1>JsaT0kdh(;aPDOaaiUT$iX1G6+o64o2<dEW!#B!Bqg26!>1v7<-
zp!Ub*nh6~ahnPCMC5_WgJUKZTbcm-ar-!75Z|`!m!kX9D)@sQ<kXR+8kl3Ma5*T~n
z(&SYc-3?1PXC%s1tP<v|oO0OBNOt|h&P)}B=jZ0Gew-x3A@ZQ>lmM@^*_G=#tj}7u
ziA_*FaGrxh`~f=~M~AdqDQEWgBf$q}Xsd|CbT3hV*ZjYiMdnuSgO@p<mpgwn(K*6x
zz^bjXvS)cWsE48MwQ#xr{5attk1bKsr*GYv$m1l$eP^S)_jEnc^78V_suT1S@)yYL
zz4-6Yt-pM-Rw{3AZN0oiQYc;{GVgrp1kVWvoDT84k5!(q!KuGM<?+33pz^F-=4#jl
zwqqHY$#-o3q<>uC*ld!S_u4b>^Z7>~I&-!p9eggr^tSrhnVHFxZq(G&e0f>L5iX$m
z=g*%nHZ^RIi>2&~f6Q^4EmpHm@xu~pudk0ci}=r4?6Xa=<e{;s{q`R|Je-_?2N|;u
z{rxzzd4Ay>Zcm=6Es3jBU~2)ACdeF*SYgwAKD#FEp~Z~{?^nEGV4I<AxBY`{!~Cba
z%iphh()M1^d6UysNtPCy&sm}#N{@?AhB@4G@>Z_dH-lXwA@9e#L*FL{Rvx?dS7D;V
zn!{EKD;{hXxvzWdxw3_l!kff;Udi(}m}Qz9nwHpn6X>inI3QmWm+a45$<xFbp}4aD
zK)LNcV<k{{zFphYyfyXoG~fN<hr;6yl*>Qs*n9N5)G9&8E)%9nQY~y8<re%WYeRM$
z@ORYy$UF4hKui4(XzNPIqu;fEe|`P+o2f;<=KTV#HpSl$%LQt}7I(4TlNR7q+-;hB
zD`fv<MTdm>y&UnC2d~{Jy!-X_^`&`tcQtW|t|(|SRQ#a$p<4J_`+V**{JP;E_Rm#(
zbojXiD_eSndLiFK(0PT`nCBHXuq4f6c*<%mp}f<y;zL61D}ma|kNlG>lFo-6nZ6{V
z<_CK~boJ54Dgx0g6Mi^s;`LvmwDUxV2LorMhsuQ9U*F&73k$MvsO;HQ`daPmZ1d|Z
zT0+YwBu@CVS<J)aQItxO;zET9JC07?_u_lr`SiVKId@&){IjS0Pnx1&_x#E&tv~AD
z%;o$O7X0t{{^aZHTvx0SRrL;>G%5B=@*$DK89l1rF0)mQzs?rO4E8jsPg%Y(yG2Lw
zjDTR;DZLcWsE|_&_MbQW{`}=n+tYi`f8P6jUh(_qd*b#kxxe>*@wwmC`<_?UJ+C?U
zJ>j#|Bl#&lADPU`CQg%oq?2N$5n^<d+v9kDZ*fOX!Co%2U`3M#0rqVQE+@p6Defz<
zl9fKT!;P)}c)3i<YR6-eDHjf^*>RcmE2<n$D>y&TwpW93CYQp2Pc8l@rZ8V*H0!^p
z9@E{}^xmsOpM&eedjb6?!jl&M-_gghh=s#qgJdsna>v9A|F++&I^A(?6ZbRDoO_Rt
z)J-tTxnZ#R;@tOK@>iaR?|CrynEQQ&8BO~Ye>7Au>KtH|eitVubH?-m(?X_y3CZ#?
z%YA33ZQ`y-vd!7D_`wl-vvZ0rjwh#AD#ph?n7{tPXO6n2{|a^o_i*Y7OsGh*+S9#L
z#O{lW@;uv)KMF@2;$yY-w(pgAt#bCUh=IswvwAsG#xC(iYUh4oHr6kBG(2imiaY+&
z=FZ*j;ARWYwxg+YE**<~bMNHp8nsCqG^Z_Ly<oytF~RQe^&2iHL;wBzzJIpJ1syAv
zf=y!Tg&TLC2%6ilJ<_u7&yPiqnD_JspS;m<cu!ALuQ`YF2E*s-t>){r4yLV{-pKUN
z(W`*N=TeBp{r2a%bDr&gKCjxRt1>6<aW$)1^Tx(qU#~^a*3mq{)WG{MiS?%7goSMC
zcgycprYpxB;4GfAum0Q3^wmcMPfTdGIB>(wjAf3)@vZtA9imt6t_sywj&mquS)Y=Y
z;JA%-&Zp!16~Efw>O5PL(sr==#sl}0d(4<3vlMzh82SF#d5As9KWE?Ll-=P4x8#(V
zmON_jHOsv<L&th%PeH7pk)*?qMjyQg50@)cGt@Bb-svUqk?Dxi3;l{kDRI(_VsULs
ze|RFh6izrClZm<Aoa1osD*KKg#+zvh$4-1<yv20Cim9>YQEN?UqTJc=3uZs~isd#L
zI9fF3IPZwk2;Rd`$hoesK|*cw4jqPqoo`!@RR}#V<dXPvCaXqGi%CS^#dX$K^vN6s
z&LuM$j&i&1slQWrd}i>3hznm&om8KnbLqKKZPms{pO!@ki92-v?6y~LT*2|6?%kQQ
z3s<}<T{v$+PC+b-->l@z{L2sj|GK_@rpafEoxLGXj&cRv+n#apP>x;M%S%Udb~!~f
z@jluja_;-ZieG=f-#3?jE5M(U76-b{;XzerQn=fKsd3L(bKWUOGy45`e81o;v&*y-
z?<T}5>^ic{{D|-gmIfcz6IKl-|9326Q2)30QSO}o&+Y#kUjP5#xyA{PPaluVbN_0v
z`tbhVSF@RqSUETfoaAS})TleceZrxEM@XRSnbr(pg)<JzI{oecUa{%+EfeXOsvviu
zly%1>j{Cd2L}T_c+>@Fqej)9PxDuO){UcTmt_fe6J`4Y06l6Q1Ca}%HAm{~`{04_I
zmKg4-kzRuOKlYk2Jr=#`JmIb~G@O4PE1v`k=dQC^e=0**G~znCOkOLZtQ!Q4zk3)n
zc8PaY$a5cw5IMg0blgEEQ$M*XbqVg78e24<J&${~gH8QimAn1KNjGmdbgb6>`O0-x
z>XPYcii|=Y=8QRPk3}rZk_x{v2hDk`S2^d=H)SJc+ng??+lN*zpQmNP+IKc^ZPMqM
ze!E=;_aC>|+x5rl+$i~ctIszp=BPpXxjA2taIX$uZ+56zeSS^Qwp&@No9>?3WA^A)
z_WG%<+~QJ!Onx69yV$=vaFt&}Kt~~%`H0|;JxA&T6}~VAbCeXAavk9i__Lrw`ndq(
z122v_zrJ%B&3rJ6fkRzy@0Xy<_kMkSy?%Nn<DrK2`~TgtY2Wp?Lwv$d#*f`Pf^pkK
z*1z3ximCRPnmt!t-P5VzQVRt)87-(2Ntw=&9-|!WBhmK0wBvJh{$5k{*9tSmCro7s
zzoXE7FmLzUZSyJ^|25oTn3=dITe;xwv4!n&SuKhu3L1FgPaI;n$h;|Y!Ti=E+!+O%
z)6SkL4@hD7d?c>*m6pi@g$u5~CtQ3q$y?8`zbQYh(SmhNJHPz9B#vc^&U%XJRq!oo
zP!x5(`O7b8Pr}~r8xElOL0Q4o$Re<yVqt^u^|jIIV)sBJzQs=GwJrX(yZ+<1`*Gm9
zz=`deVQ=)x;*SOY5%7yh+T6y}Cc@7&Kh}MX;=IU7o(#*+Pt243ej`~~u$XDnGtdsF
z?G=pD4I3JN%BkPnIB`{|#r5g_Li-NZyr0#;DE(4^>%kP8eg0S0L|)GMlDyg4_%joS
zVy=CQ`3|$C0VhTDA8fHZwyNBeah+<z0!HZvC)}ELZSef}^ZESQXLtle7sz@~*E5y=
z{zIl!fYFI9XO8l0Mzenx`8cGH^~q}Qe!s6ew0J@*m-OpqHeREfo@zcb6lUja>J;>J
zn9`UnTj0u8_wPn>zvM;6IyOTUvu}dYC-fNN)5K%C8+Zg0+R7YkI(aMSOgNrq^^f<n
z1<TYOT5Mkz$#G~L5S^*05O-+5sCI_1(HaMxiL$S69C4SPkjRw#twpFc=g}$2Euu;y
zA70<uy80vYzy105_dVOidxlvcw(6$ogi^*spyp(U)SlJZU7g|zJdFmc9h=!M?X50v
zbXXM-+j@)dBFnlv4)ZVGzn#B7HtYJj*sokJ;vM!e*-hGd5pPr)ezJV*`8eT;6QlE_
zy|3NwYs3{C_RmoT50HabjYG@2hTAL}aT*WobJ7w&+DGqcU@e<-?yGj(iS3$ck27P$
z{T>`jwMhJSN_+i`)+jECJM&r=W!N6&4h-m9&-eZoN6wupR_SdPAKyN|#qnPD&hI|n
z*!OvrYvpcQTwk28cjmi=@BcH#=V$n^uH%x}a!l~;3!#Pw%;AbQ|Nnfxv?0+s_A%#1
zwu$U6Dgw7bYX=nfCYi^mJ1{oCmMhu)S44luTl;O8<KPE)nMCwOJ_hg0RxY?Dp#JjD
zv<+rQ|6F_j+;V!*xul0e%hzfqe0Usb=ls!W({TpB#K$d`L3<Y~h+6xpBo|-uc6{H?
z;3wlh*Xrt=Cw^6hx%<|ySmDvzz_?G+G%Mts;*an9|Hn$1W=;9>P%`rWhr2xYl_$71
zEwy4yVLZ6Us_sw4WXq;jmK;R~#%A4l9`V*1aUS!}-%Q5LBQH1u_5`&2G(0Y&T>W<I
zbkEnXr{3FJJ^RYlpJw7kGq=<RpIO?g)349UbJ1U7-8GMoO{?d9zMi+l`naf|hd5}z
z?`)A*`uqQE@~o9lIehk8<t_Q%NB%pl?l1Q|5OAlv#;KcOQ}V-A?9*PcoM>BoD2++P
z;KrM|Pqy_wYJKv{EdIPgk;}AY3ODZ<<=!nkE*rExFZST~8!@b}eRfPLSw6R{h)Zvs
znDyT;mzVm@wOY7kcg(wmCR!?scl_dRU#z$9$D;{Z9OrX()+N<Uc{aOf=k%~_oBW+J
z@*f3~*Ft-L6J)@#p!zQT7-#*QvNsnNHiIS=e|>+ipTF<tvYh>YzwL8xIy*Z_FE6F?
zfo8%7TbAIz3P-t5mfP1g9{(!%`T6<jwcl<YPYK|tvfq97^OkAsvGd<+CR~u>+yb8J
ze<!@4;b5)&u4~L);xV5ie!g{W+s-aub0H=)((C78`F{!P;+sxbg=gN{vT|ep>x(g(
z%I<w8v)`U@xwR&8bHJ=vz3&Tpf4$rN{>i`J@25}pv(kLAI@SI^s8F~cU+D4b(`kME
z+i_FaPJI=Ayx1gv>S^1IwLSd{jx4I)7o*6{>az6bs+f06uS%Vd&J-1x`a1boSmxuc
zFp=K13$|#+eQ@MC)Vk2Qol8u+>~^j>=uD-OmzRznpS|BM>GiT1r==t1V!0%kc#nqI
z`FwQJx_izqUC`rHjX$HaRr@!w=p04)x*rQc^T2Z%Ze$B7G|pksNO*9dV-mwr?tdBU
zqqd%s`BCv=VLJ<VF=%p6P}$96d*0nA6W!$uXI`47AFucI^>uUU-#;YH^8ZdzbZ!G3
zO_TEW*49m5uSK7BWS3RB;d4K2cJ7}&azAFq%x<}{<^7eFlLh_m7aZng%~i`SF1xp<
za?|~~-;xgxt=s)BYVG^t=jTlS%{0xPCR6!jV$t8P*V)th#24M0Q~Q4J_cIYOJBw01
z-|Bw36dqrjx+&Y{@0ZJ)-fp{n>PV;X(+!9DqTh?k^u4;VadD-Zu^6A-kA!3G&X49L
zef;>o{(tm?%j|L$2Y&3na_EP~)R<5Aw99o=-WqesEj!>>K4-Vi2JLp1<keQT$HFX?
zSN+<Z*}Hauh-MszmyY9k^+e^<#qQ4zrT+f*_Uy?H-R*ab9PcHCpHg)A=w$ijN1hOe
zO6k7inx<#I?>N<|dXq&X&SP8sO=}^Ib_Q0SrxzaYcH{`y^PpdB6Hh{M^`|E%-4-+i
zhn(oxc3iG{#+!`o_o`Ogd^{rTcHqN{#r?CiCGXY!&W+!{e}2&^O*Lk<b<sZ_b?cuw
zC=?_9{SdeQkL;KO%Zqg5<`<u{bo2SSeg9uyyWek&Z>CK4{S@VU>(~WQ4b1oHL4kkS
z&gb*0K^ydo4zh~R=)Kv-D=n51d_weTo)k;T<`&JkhK%&hXU!&OuiNP+SO2FFbaJ{*
z#0H01CYhV!j5lgB96aBxzc1qJ`|Wqjq#qwv{OGjrN5c)SWGTCU&t~U8IjKH>NyWqZ
z#e3%b;E;NL@3Y8_zg~Ko^8-Z$So^z*Sjuj0HQaVdJbL~9f4g|(Y;M%>-!HlB>-+83
z>vg;RvaYTYtugrb$8FNS|Cz_aJPm|D2_5C;EKIqOr;@3B`n5GHkE6R|)x6~uinjaC
zy?a^te6D)=-O_MBh6tBmUoQI#^Q*u7Y|F~iDSv2LvxxqZ!{^_qa!&DTU}_6G`EjoT
zi$>fB_tnME&lUaq`P}>bJloZK89p*?3QGEXP8&4gTKD(Y$-?8Z;aiwG#Ali3=N;kJ
z0IgnF>eegecH+VUN9ND@0-`<g_Hkl*F&XQU54`+8O*cC1=CNbHKu5`c*8A7jdP%nY
z&c#16>hI6n{m!ZU@v!~uA?<ag8CO;WUfn8K!M^-?VUyI~!|$c`|9zXkI_ELh?#<_{
zPIH(QEIs6J|5v5<*O!&M%$UmbcfC-$ylq?VZ7#E@f?M}?n=v`@{jonLbwoDf`tSGq
z<5Tws+*erg;`a9SmGW<0%(y;$+tl{RD<o&m{*T9`H+?)NE$zrDHaq+Jy4We!at*a9
z7a3k#mA+c@o$pt(ufdP46J{vKyB^(9|KT8eoA#~Ucgt?i%we&u`eN|RKu)2<(X8jp
zrr+;&&;FPESmN==yXE&qm+WuvU^_Ic?Cq_Y#|@X|?R+{d%lGi+AGLz4hng=gc0axS
zex0_veC-y&%oO7jSIs+=489fYIwu@0U-6*v*_XZMZF|38TN9aF!}iesSw(8i4te?W
zw%_O6seZq==%niO6Z?L@(=NYXTdwet>9Mm)e9ezpdp5}}I`A%B!Bbd2z(K@D$%NIV
zXYH4xV*C7^4>YU^{89Vo%VmG_``sUH*G`$F^06r`XX+s%&G!L&0@~DL?}~Uoa+~(t
zjZ5N`#0Ac}sgGJ&thaky-|(Wnb$JZyW2Kks-!2>74?ZyAkHqo{#h-R*{GJP9m_!Vw
zcu#mQuI%v9$<S&4pFeks&zsKPYSFp>qMP*E??HAS56w)Ur>Q=#BIzR6r%rLZe?O8p
zf2_V=`(1M3fi0PrecIS^tn7b$KAF4Z>HUOe-kw?xHU%$-gPZ5qeCj+i$MW)@`t@;p
z&#-;Hu`&7VRPpI?RV!aI8`Um+u#;0*ZN_VT1(k}IOQ%0sHapMA{_uMl(CU)=|NnhY
zTP*9umXg^Z!Sr=OT+RmnnMOzdh$}I7@Xo9M_fzX5)2pUj4!*2wYcj=}w%^LqPTn7J
z-_PP9%gX5O>tYIzif;P(Z1(INCX2iMJRBN3(jI?0tv~&7zkS@k|Np8dzg0R>y5fbt
z5p#u((cG`s_y4=P<k0c=aT&%fj1_V=f2KIyIVgI4`y&(i3dPH8$4)2r+orAC&>+F^
zXnJy=rQ0;U*en-T>AW2aUz-2=amM)kiL2r9x;yy9_Wysliob`SL+QhRjaDQ51@ed6
z`OTTwe>^(Gt#9&3ASF;Etl+unA4Yj+pT@F;7zYO?MenkokLCYo{ObDnNVG#-LcUsB
zX5BIOW%CX7Sw-~??CKO}XxnuiO;|TSIAOY7qb#e-PGwi;gz1?xH%{Gm<CI*afbIip
zzB={~!5Zf-i$4FE`0kdmi2js^hT`T&C+(T|bf;1EL!sJdqC%-WUs(2deRN`)b3%_r
zZNjmi^TIt?<kZgXaroF|ye`SvQz48=#NbGm{l}@ndmfxWdgG7%;~wKDYq#GsQt#ck
zvhQpPcmQeE$6C>u91~75NWVYYr5*=5sO6@LylK{yN^$9%8oS?Y>b4B+=gpl``uf^T
z-<%G<J(Iu9EPb#=!tBGvG!DHDH~V>*Z@h3nX~)=hws?-->$|(R*Zlo@J<VBa<{gEe
z15R%@BpyEVY)iP2|5m3X4c`=mTf!9<HS9QadQZdI$R1<=n29&9CDmGgyOHdh#<FDD
zc|AGyl)V;@I+VK-&;2YmXn(;d$*~Dk$)^0eu)n@udCq~YLMIL}+!xV4x}!NeHFfF6
zr=7e8>n3<IF28B0_U%@-{$y6>n<^95Nl0saP!v15F_7ok=Crd$zCRzf%b(dQU;ONh
z;bYdN6Dk;)c)qYN5)oPQ*FCJa{_pGfOUry`H{EUKU{hi(aBu5ay>8d3oCA-oIQGns
zRoHg$$H!wF_mV-meP$h>R$M~OA1{4tyRNgkKkNJ&V}G%fJw2juZ)w8|@us6JpzM82
z%&6CKN%gCh%TLAs`y{Tc@ikZ?`d`v%{?ki9?d2fb*~{Ytjy#@z>fPPl>bstc7^vLZ
z+i30n(J3LNMv;-_k`*XVHyoV3m|H|&<?{MBqMR*`2duyD&bX+w`C;o>7crp=3JxEg
z47yH~H3&xt7$z`_OP?0dpHp@#^Vuz?IdR8D8GgLGwyQKd{@BLA-pg+UxF6Im={TlY
z#UWqdBp>ea;N0CapC0$y&sxLk@x8w{J4fOC48y}6d<9<en)x5!3s^WzX*lb&KBZf{
z>{h0Fv8v18UtiBETm0<j&Ek-nu#|E6PviA#PK)S2h`e!JvugbhQT-n;rGGVs?A`H-
z!HH8xA$=1AbBEWh?fLmeqAan(PP-o-?$+P8W7hfy_64lTA}MPbqJP{I=6F(EVEArF
z9And@+4=i+o?<!1(;_5bpfH=I#i0Jz<@r7KAL4t2|1?^tM(<%z-qFW=h~fRJ&YDN8
zJ%$E<J{;yh8}YSE{ffoQC6l@OZW|^aoAI&t4ZpzdggXyTabB70y{EzMQRR<Q+3JrM
z@2EKV?Z*ij70098J^k@7Q;)Z==kJx_4+Q1GSW80>#*6E}ba8e_M8E9SP2oOVZePRh
z+@@V~UO!AC`d{*DiPI|o=R9gvsWyLFySPE|DYL@j-y-@2Iujf=aei2myXkNDy}&&a
zYUDS!t1R$jV6x*7*ND5Y#4B1ve~KBK-tIR>#iwM$`BKv2A~qy6zS;l(Ut06c(<K3G
z+RkJv=k5I(w)5Mq?6YbHb@va?ePQvnUt49{-Ll(rmTeI1%i$N0NnrkcH9X!_T}v^}
zVHv}X_7w-UXFg($acuD6p2@vQ!0mvVOVAJfV;pA7_D<4_b1-ALalH0G+(+%W50}kv
z97(e_vTWeCa9A?2vh?t#)YE6avJ0#Gnauw9!E<fY)~-Zzd-wkr?rX2#v+3676IqN0
zS&j(CIUeKQ!unS)q^q!9gC%K8%_G*7v<3&peFwk0rsy7+(Y%5+-1Ui&z5+MXu|?On
zeZOBH|LsOH|Kyd5o2O{UeOT9f;*3M14xhy5o$GoXuJLfF?m5G~<I#kVfiZg$s%ujE
zRxWtNdhGc6FPk18zJ4V1fg;x%mrF_;wAI-(6@E>f7tbh|tX;dY_5H;YGOeq(ez>dp
z**bb(=8TP^Hrr19y;J<Lwo5$bi`4Bmvl&=<_64f`a_kZpdK*{P$HK$NB{9iX0M<6W
zpyu$g$t>^|Gh>&ymo)o{kb*~@>a&7B&3wcf;hYtj<8!~_aqqsK^e*uaOzUbucc;v$
z`E>GGZ3@EyHLG<3Cqnrwp9m<29k8C~zj0!(Yk1A{mKhA)>rZTGn4}rk@Bp+=VA<KY
z%8y6IQy&~?{B+4%f2KCigh%p=cw%@PChatADLJJbwnm^sZ_lIfJr9=W-~8*E#<byV
z>3ajS>cXvTMve{B=Qp)xbTG=*ez};{|JbY5tyc=PJ^##kG5xq4vGvC5ADjqxH+(0c
zm~dErrs|$-WrZaT3J?DMes7-Hbx=~Xdw%`D%FF60&l+YhDzVu=VvS*KT<vy!U2Jse
z(a!5}J(^eA4SSdvIsUNSU)<+(bhkfftI&#Fr@lOB<~MtjXuLaR`IEGoAN#|WM!Y#L
zDL=E69b82%4cAHCJHJxry0OTOx0;~Qnxu70xZa%VbmZ!&TPhynaO8$pcus2F|IeFz
zMQ2Tyj9z*?Y~}oNy?yQ<o4VtYXEO^fXiyYb=K|`DDcwEgH_^eANyI><JRo4tgqZuA
z(p3c57`wy|E%2HxqW?ph*+abh%U_F|{b!4Fx~{Aa_XiERWj$ied8W*KsQA3?^VhFB
zay~NMYc60s)ZuG(^T?bpR{#Hee)?+lda)d#$4_j`mhBb(cH=_*<6d*nO}Cq_hDFcp
z%}=Rss9<Cb*L|?Wx`pdTO3R9*cXxKGP5m$EE~6-*kP^4Oq2%k2zNWL8DYY55x2=7%
z^ZC4IPb7_`zP!DCJtmitO~CZc*6VT4syZL{S)aRdb8B|^WLd`R0t+0zG!(X-{rDzm
zP5y&!-aFHDqi1QG=<RsGv{|sfK7M=N+>_fH>`XE*9r?i;?!zNta3JRK!{raE&DQn)
zcrw|4iDNU{>%B(V*K{mjtyuiz<8gU&o^w{ObvB>uKf_n&rT9)DYD?y2w_T;L(_A!9
zOk@0gVgcjFQ{V5`>w`}1>gwVuzI$kH`8~}Y=NK2Y&$F%mC8WQgS3uvPrs&Pa<EIX_
za-R)V*wMtyB$je*$IE52d3Gy0w@K`?FnqCm!QBk`OS$$Rj|ea0m*CzoHSz*Khus8E
z7CV>38Wm-`2i_^VjxtU<tvxal@$*isykU`4)6x0)%@2)ZXWyUK-0(ZK+4LmS?lT)5
z7%#3jIVE~SwA=7l*sdh*$Bc_uJ0d^M6}-QiOSJ2A-BRIc7V9H=c6})B5|8;HbUVU~
zkxSx~+lwuXUE*`f<fZ+Y8aV<E2yjd{<`3TUpl7vLmWJ81f?WdN9mKUgUiP%s+ppy4
zzWM0<WbX#>2+O&7fqMex*qJ@wP}u*PX~W6h^Z7a7`sHkIaY$@3)<5t_b$U#aS@*_;
z;cHU5#Xr<6_nUi0cK5xhtO9C1(&p!u+;{)0KBu7RXT3;{)%Vxe&u`(M{krt^HB;%M
z7OwqGyhb^G$%p0V`|dc$6e+b~C2zEVXG(lw<K^!&iu){|NleaUm>+22%NBj&LBr&(
z8xii64_n30s0p$N?x<s${i*zJ>2yJ_1tLQF51zOFYU2?&Vf5i@c)aApBaG}a3G4a~
zs9BmFoM_1)sq~>-M<Y48{>qBLeeYDi{V94f(fyR(?l(zCWN)&TE?j?NSHX?McFDE}
zua`f0{4Q1fz*fHWd6mmP3a?|?`KU{~hvA6!?zh`!uath<-2YIygQ4tRW%~U`tTB%n
z4B3w<na!AA^=hS7{Nnw|$9e?sJm-y%E5BQsX7gL~1H0M$#PyMz(~hY>m>ioR|A;$Z
zr^-GZ6yXB51q@QedO|r;x<rq)&-ig#RQ~9Vr@t&&%U%lKNR$b1m|`7uO7KQ%bV|-r
z{cZE=er10CE3qh=BYESp=J(eH#D8mwJa5%L_xUmN?fDBU4wmt6tj%K4NC<F#IY+Te
zd`_{Xv=39N;E6f!Z*R}n@3;BXVY%|)BR$X@-B;P5_~c+_R`v9aiQ;JoSMtvZIlC|0
zDtxZR-7a6woC%^@H(Z)N$!jht7R_;(TYS#4Nm$WhZTIEb5hAV!lZ=7_A3ss+p3R~W
zS8zFh+xA(HSZ~`N`PS;o5N^ID`}(<*?gon{*Y*R}@AoMGn9F)o#;WASg5)V-O6muG
zaIo)8s|iS$9tUoBKi(YEI5&Oj#;NCS94bwGe7xVhDYe5&v8^#-XT&wu{5t`2mTRBm
zejaQQw^~I1$m#i8-F+IE+BzDegJh3#PqSz`tI){78E`;A@eRkSMHPw`DNEGds@|Mw
zaxJ{`J$B85dl`R}I!kWtkhaPC$n;Lc{r1GXs;{rEURvbJEoqq4@-y|u`ih0g$NSv&
zFeJLHYr1mNBeP?2jK}J~2iaxWt-D}r0pvrLzWt`W>_F^o56^!GnE5$gC2j0xILcl2
zQ(1HE!U{#rc`5d%6dQC{PSkujU;poNjos!c?hihnw>Q79T=o5(?hg4mM{}8a_+$SY
z+LctQ-IZc>c~}t<>>%RDooSlG)U&pL!+2X)@zRQg7Rq)L4VXj>RL(54+vWVRiQl89
zg+<7tfvN3@#neZwmufpjZhL&J`FK>E-K?p4fAyCaf;%f1HMefmG^+fR0$MhIvag|f
z+xHvE{P!QRcE}aXub699TJ%kN<(~Y#U&D&^tIIT1;v#mJt=;)w>gCFbK{6{3YQ}Nc
zY;me@eAIeDaQP;tF7ZR9)_%ELb8nmFt2(#ynTFeKIO5N+{8fRyzDtA7Q^5nFA{IMA
zy-P8ZBR@3yi>Krp+kMIS-OImMD)VG1lZe2}stHU=vGeqzlXG5H^>2LIuYQ#KpT)<O
zErJi`zThm*nD{PW&jh{t&D&Kbcs4M#rS!0OiF<udj(EhHQh4C+ts5`gyOQtxzq70K
z^{TccXL+u_|Nnj8pH?g{{m=T{j^wN7CweA6I?}l*?W|OBDhmtOy{&?Ct;?r<K5xJO
z)vK;E_o`=#M{Uhg-N7vVU7JtdZqKW(_N4H*2m4Cz^v;VdFt>Uq!@fQ`Z|Blm=l523
z7S=1jIv{)H_q*NecY4o$)OzW7dPIKE9s#kNCAXJWD8~GMZ1?JDxA^n5OUmBf3fi1@
zwm{nPwMv2}<FWRoeTkccoEw;w%42*PC(c{HNI<}F+m}D0+f<KDlY6L<<h_3D$H+w$
zigw@DSb~PEkGyH%SiQVL(dovTE*2e?3Azb0>}q$tx4xnC#!qXu%SWe|dHl|MK76~K
zKbyBY#<$UWy&}ht+xP#a9pU;Q%C&Az!v+S{nIaoB7!NXYwebBoDn66HKwhbZ_lLRv
zo`QEfpL0!l@%>J5|AV?qXC8Hmr=6dddxTAY&xa<@t$Pv<GTqGE{dSd{?le=T_f9Pv
zzTc}3Z+`v!7RMeIX%YP?c4mibCO>L5czMYTF=?`-n}OBk<tOuMX<5c&VI^xKg*kV)
zH9TUyZgcG0Dxm{yVY|!Trd(X)8Wb6+dAN-?c&mSMnZSaIgM9WIL{$XT8P9z$yrjQB
zuS?u3U81ex;hW9pr-7~z&fD>@ZB?5h_d!qfxhev3DdHTQpsVr%rY}~=X4+Rgx8M-x
zv;UiqGF80mF+Mi|w7wz5;y|%<-j0Qp*M#-gL~mcWYF0D9-Hj`?uU0M(`x`Cuh_&W^
z$7+WuSNB$b-?3`@y0|X!HN~9LE?D-Ct2i+21C5b#3(I>MG3w>1-Q2zZ3uqSM_Y7MV
zMtc^E`@a{aJO_35-%U3-!oA}f<0Ga!<!?n(!a?U3ojma2Fu(nY(C}DQ<Fqpq{O$jS
zyt=Y7d6UtD`-Qzn)Mn=>fd=~LmfyR%XP*A$gR}GZY3_()Qfh0LuZt+Vk=Q=x5$hMh
zZ3-nHyks7=UfLUSZ}$Hm$L*IaTI8gW@MCJS)U)a%Vo97gy}LwHOdBJ1r=Fhn+E(g_
z+eRy|zn1Hg9K<FUdF}f*HQ9Jgd7&%sGb1sKc^F0^g~mH98gUO!c-S_Y=;STCds0My
zNiEBU|0*B28P9!x*T(|NX|WQITK|5#?f>h`%gNz;K0LSoAGu+nUD=z6n}x?^pI!}*
zpBlHfDzsDF>~2YL<@34apasIql8>&--0^19Y0wQspDsA_KNZoRQ}^p-+GpXfRZn}(
z?@hS>@7wmK-it9yFKiVAt#o~}@wi;*^@t~n^Dl1|6fs!yeyPE;-=G-;7SkQ4SeWL0
zK5rj?YkR&vXnbQ$+}<c~d&~CcsmkYb(~l+J-Bo&aey6bdDK_bxfTDu<x}Q%sJ)c)C
z^^l9}h|7+=r=9BaR-_4EUt{y*LG!a+)6PuFUHs(Olpl}w|NE-H^UEdgUW=7(y;8?c
zoz~y46CPi?HDTV4kH@4_H=i}r&a#dF-?cB|LF4Vb-M)TyKc7tU^_gYjDOdX?&`~Sq
zPwn!#Wk$shCc4XMf=-`z=C|FFa=T_C#uk+ZmPtMhp#JFe+Rv^EJx6`xML#b*!4a@W
zKr$=Lg(*z<K~4VFYA&-rP_Z4}SMl)v|9{(MN-j9M8BB;gXZc)aGpBj#sVS@KkEQ9c
zaQ*%Db+R*`<&i(KOza{#H=I^9ln30}lBvwh#<ODAvxPG%9!?F9n<(sW6L_!od+hy3
zt*qQ)GkBkYil&xuk3ApGn%|#s`Azoqb!&I6Q<^R~`v`Z2JS)%sD$nYD$FDjIu-kmQ
zk^Gvsb?^6kw>h!{6qFpEO<cSEp4Iai&N@oYoX7r84Uf|V&Ai<I`>tG-y?oh+gri-e
zZWe*N%W@|-vPeIjdDQLWp;@NcXV~mqJVBE&XOGTlejRTxP1+>m!E9fNN3DIb)~7%_
z<L>94s1b<NQ>dwUwQ{-7e1!`Y4;tCeD4(9DYs|#PA^qy=>hMXm`>^FsPqhbgDj2&v
z>wlh(Kl8FRiS?y&;i0F`X6NgD)2N@9#B{5Bwx(NV?sd($4cAOrrH}o*=ez&rovd@;
z&8n9d+}4QWc()rgL?*m{`P{NuJA)pA1_-4;{_yz{{UKIrcGvg2<@2{>UG)NO*2uiQ
zZSBpT*B2HxTOQ>tJp6Y1eLeF#1<gC9n9hHHe?NZ0PliWrYq#C<dUkfU`tSGq>!(&c
zycV55wUL>9*5v2E-)!#R^Y`2BqC=eOA*ogkx+&#1@^-)VSyi3$BWW_f`a;De2c8-H
zdOkH~ruYWKuEOHey4z=%B^Q^k-}`M<)$$1yjQoy!3NE^epWJv{PMcd?&*beFzlLV*
zSM3Uq`>fv?*dNZUk>+CM*<ayUz3>0K-S1gu-z>jZx%|zp*Xu&pofFY_n80l4wA^oQ
z5nrp%M<yfQ2Uegtu^API>do)h6n~bhD?b|H{EhL*vGn=1YR~6Yulw@i;^9C4Z>G=B
zHT(9lJjJ{*gUPVNhxJE!iq*lBbw{+#E*Qp5*NIHJ)jH#BI&(3Lk$HlS@;5DQ?a$lZ
z{(8NB{jD4BWuhrd8v;0PYD{os{Mc@{HSexfe#D*9>#?7%hR4q=-^29K>HIv~rya_D
z8d82gf3;U~C~1AjxwdBJ%=A9HUm3>Y6-^zW69s<M{(8B*SA(l|UFhm*K69<ErW`&n
zhbi#r!*=<+Ta8=U&&&snI-R*{4mtwuW3XN0QQPl#l8sKq)O<Yp%(kFm{@$jYJW6b9
zLN`Ds^^qs|893MYFUa|LXz%xX*<um_8%|8rH(f3EBT0R;(d(02cg$QZdt9ct2Q)hR
z>Cfl$&vVqoObQP1EZ<kKK4ZFG>=`9JF0oDT_W!T5e7R)umR%m!g2ET#?)2>Vx#k=@
zW0!c1!>5lN&#TXUw`=RX+F!75`z>2mY4?v#1@~i%&zicqOo&W7Hz#wG5{qW?v7U*7
z%5F2xR^=G+Nt>P7(|6^IfWm_=)()n1yWd&e%-w!>)js*;&jOjRHafP8{M3!!Hbp$X
zX5*@?CC&1dMN1z1EB_-CDfGeS)j>6_fB#OZ&zE3y`u^_j*=5=+JatF8SAb6Qb^8KZ
zyFPncRqpZgU7g|^cJ`dj;1J(%vQzlVz0Rtfk|bw~%@4|%>|efZ-k|?Al1t*tQSJ|{
z>#Pn+9QuALaIu@`WHsL-!uo5Xww{U!ZhG(a)9-S6m-w%5Z?jwY*ySn~2!3;5Y3?mM
zB7Mxp?Bm`h!~ehU|Bstj`Akw->JVq$mEPAO3TGNC7$X%8?kOfT#M(4Vyt%b?wM_Y)
z!e`%Oa*iqYeY$zzPQ#AFYWu=JZGGFo%s1ix@BRN*@A}1h9yHJy^Pgd3gS;U>i{uHh
zM(wCQP5T$ucHFcoeI;@3=OeB7{|c8J>=hiE7+7v<Oz33&Gx06M^=gMVjk^r(6V~P2
z+Okqmr(w6c-N|!%8h*ataG1~dv*5aI4eJ$xPJ}+-=8!qCr2PH8W8v%few&rE=i{+w
zg=eY-+<j-8t-WIKyc#;K58f~WWlxA;a@!Md?vYxZ=J7{Nej$psIaeOB>WCyJigg?A
z$QF5NzVSepE9d@4tU5jpd%(Sg$@0BYrbgT%7M33mvdhnC)tcgz)O9_+{_dPAa2M6-
zQlPtRs#uw^c#DwrCYe_f=9^`T!a9AIRy+(ZnDhSd%=aI6HcUPU8XA|*kGOG6(>CYC
z#v9HJpZD7C<G3Z%CEg_T_;aTEgfd3w8J}&}bv8&myO}=URMJx+2ef43?8&-6w($p_
zS=Pn3YOvoI{`cp({cQJ%b6NK9UZgOafra_TjrJYs|Ni`R<7l|Az47PV%BK6f&6sX7
zDkx-KUpIGS<7&PS?2A})E;*M>u3YP+CoSOcL`eThmbBT&k9(hYX|FSol>M;V!bM19
zhZ*ys&b#IJ@1`U>h_%(QCNXlf7;QFQApfBI{ktD?_Z2=qrdI8<uIR%-_UCWpSp-h}
zX=1u~>~8t}+*{0noD~Ps1r|AEH1+7ut9ZC-^*ST_W)4=>=sgX;6v8rYY*_g7=e+87
znSUm&c9_z@EO4Txv6N+vtit2g@Clr3;SYW0+u6Pi7X6KSa62T@u5zrIUb%3Nb>YWu
z>!3(C-M9m3f@0|fyBIUGCdwwZ%a$3aTm0z~-_X%wT~WA8IsD+<g&z6cU$))^%{^#p
zA7ovbQs}QGma##isZ~O(nxkdsj!b|3%Xfvk#8>^E|9S7bn*PbvC(bncxOi;t+j8he
z$AL~qv1*QjecO+VJ4QQwbP7nSnaARCNhjgLy!VPeU)IGqUgP~!*X~=U|Cwo1SHk3)
zLoJ+@?enHrGA1$A_#b#?xz9S`v3&h;we8^>Uh*k2)UmsMe08wR%Iw(oyJgWIk8q#R
zX=rA-C(5$p9K(OMgG`$^9yB;Et5BTYTl1(jCinCk1+#|}O<50hoyp<;aaX3D`NLn0
z_=5)-HXKmf7e2v}>Cu0)+*=ZXjC>3aX7$88ZQ|BDadUs|_q*3QG!*uHFw%F(Xm~#H
zDy#kBt0^ZAHRy=WeAF6ScJt_(%moLIf6(UBU*NEXv5}omMdb9JhSCoEKM(oct~9+?
zQV4O}cFgC$k~iyq^`d21&Sr0X#iAsrui&cdw>)97e5S(0T7}OotYQHhF82Rc{#d?b
z!I8T&;|`|TU-EzS&PT__VL`)LMG>8835o}I?^4>_J+FdMU1P!}v6l+%{PJ<7N1Zo{
zyx8$sh}-)nYo^@9EHUl?i^R#XpO*Hz+81!C#8-LpU8vy5(9b(|nfqE)2g6bBt1nwr
z;~v!f=g!u-^|(q=Cu%clHah*^#;;cSYR$f;%a=TkpPR(PlqqVEasIo7Yd;S&Xx8K8
zyasLd4JY4rav4NzFzh><8>2g6bxNFcV{b7B*Pi?QAM?)6GCeEG2^ygI`hbg>gQ?&u
zgOSXGDRy<sZC|h1d}g!2@yAjeH=LF^{E6#fQe<`!`SDjWl6%5g#{Ki<DxXYLyX>eZ
zE%5UBsXYz%V>jPso5<?ICh&jpTmcD%+gBd<+v^1{^D&HEuE4kB%O&rnwZFerGQZq>
z-p=@@qJqGUgl66|#XFWgeblXQ_P5Q0g{iORru2p7F@Fz>3hwwMs8hK1>DKFU+S%9F
znKp6!c>`KBqf=PwtN4-W)v;1J0mmL$>uX=Q?OgW$xXYv@sL$=Z&M&V1@7HB|1&4mI
z^SM;8W{c0r-n+VNlHu(Q;*hL`LN0JtDEY{AN!e*agD~$7Y2hXY9TtZ*4XqjZjjV^5
zL{fqdEYdPN5b&YfPAs+H70dT9ryC9RpO0{Rto-@&`Fv?dhi`Xh&8uMKb{3F+@Qdw^
zWv45*PD;hnh-)2A<&VTp?RjwX_*JP0mqSb<e)DCD&lp<WTP!LHYVB$DE)oo7644Ji
zF4<Pm`1$YpIU5u9SA0y`+;KjMpYh!HZ$FeWyfr|JXa0Y_A#mi+l=Tmen3=gnPI6Z0
zWnw$`J+CJ|MXsTPF`G40^YO9X$*$dEhOe8B^8J6cdi|MO!rYt_9A2_WxG&xOc(%#|
z!33E?9Y~vE$xMeAk64#f9(nec*ZfYvQOT7u{#Gwlil?WSHBMkCZ++Cl!L>;ZboSL9
z_s&VWVh4&Xetx<ZoqrNE5IXO3koB`&W=!Rwi}E-)_XusPVB|hvv9MW(??J<5<G5QF
zE!gD!W<8h}IxU`~pwRfIa^s`cS9W~Pdk*~kCw|U@zvsMEOeV`hndjBj&m@ri*mHjJ
zfnO{(jLhroJ)Ap>_qmHTO^>U}v^`_}eoyg1ZIMe4CfC#+NwcdncTa0xBAznqNU5ZF
zY)RnN?}sh+tE<g5|BZT{|16dQ53B1}Kg}w=AjUc2fIf?pxV}QI>Je5C-IwqVZ-Yr!
zcx>s^GcydG?f!lVUKzZ+@8qe5`s4cFcd(cI`}4DjRenm%Oul<HpM58n2`jPPk>7ck
z&)P%pBa_*i1a2qx^)0$_4)GV*m)yy@vty&Dp=7w{<CCkC);x~6qjSydUrakF_gc0o
z#yzOf|Mc;={A?ZPh>q{?e6C3zJ-D`D-}-YspKCu9rks`*(ZBJCbKBumrp#Y<M}FRW
zIx#E$_C~gg+w<d#MOoJ^%(%8@W^Hdu_bIgo;dP>-qR(ap#Z)#q%bz(AU=}9jA<1|w
z@RZECcWq7$g(6&GQmT!cI3IZJwEzF}{Ig&C)qH17$?a$JXkg(I=7`<Hpc*vauJ%)x
zxWI=S2?uQ_S-;z{c+am_t1qn%U$6C%>D`4ISf5z^DAqplGcL|6uSM@X{dxQTzc9OB
zFBZ?)!WApsXzl#{MsokEY#pwLZe4L5;`{#n%3c}2zb+?vvUJqz#m^t5<v1H|>bkro
z;=Op5#G}?N=f8U}-r1TRUVPm6$E^U1`^EdVC(2}PX?(=W+qGq488|53f6tVETlM$&
z*6AlCEK6Pl6iYO!-elR4I3?%*rt*sd2{NvSXKq!Q&G1;`=D*bUcT@|GiiV#E_P15_
zxBt6k_uPcjo(BY(vL3N6IcfJ|L32-n!sa=k6<-|_D&L#?J$G7gVST*4<&z1{XYMN&
zI5ajeII-?&zO>9&TGAlF;i@VB(IeaeC+Al@>iqO>_xoRB`k;kf7naq0|1Tz_U-S8_
zxm)l4vbVQZz1I5lQ*IG>YHfOao#gJO`O;DQt2(=9_niq#H8J+>{#s`^RXOfKO?>UX
z<6k{MtxwCfpshpu^E#ebp8G!My|QG6Vz|>srxOjCcA_kozSMTye;;hP#QCjC-Tw9r
zMt0=_QIF+*bDh@qAA75KfLFP?=HRp)-VKFM*1f+`RLx<~_vRyOv=h@zmI4c@*9Xk+
zmIxo5z`)t!aiBxI;QPyd`+pjcP9vi_m)V_y!w%m|*2nC;lp?(2{l4EzLRW`<db|C;
z*X?b&XYbyZwJMo#ak0BJN!>=!(!tdEwcjRgzh4*aXYsIQl@I%d*30{Lgv%_CD^zve
zTlMwHw%d81b>kv7r}ZWT{+Sk?XP6teF{u?a1r~aPyHoswz!B~p+dth%?w{JqEk3JE
zX{m}x#D?2jGJ`K~+yCdO{-&IpMwRb&K6ffvS%3M?g<q|r;^u$*CBx_YTu!PrlrP-J
zpT2MZ>vg-&gbM2K`|+qs??%Sr-maZ0ljaxidtM`d(t&%UP?xw<i}&S~iW8Q-cm7j1
ze^#Qbm;1+Rn{}NB9J$vxZ&=dLVBT}-dGWW1`3#Re=4<LM>t}eZQEQjpe^;U5zVL?j
z&Nn$aDh^B!1q>dwX0O|Mtb@bo^qHB)XZ2q@o|<8poN}Z?u<7hZ(9lmCuXI{m{i9Cx
z9tOs69S4zuyQSAp=Iwr)R`>00`TdzZJp6V)7TmnCK6?AQEjc%f_VO-}&fgR0)+eJW
zU-x6-Ps>dz4=S%PFJy)Ejutw6e|NVxfQgAMrSV49>$PW#<4%_5vecPXeo9f+`|<Dh
zd*A52+a9MMJ;Kdz|7XKVKE{Vko7@yid0x#mNNfUa%HG2J7IYG1=4{{ZYDLc7k6INB
z-@V$L8MQ|Lnew^s56^smKDT_{PG-y93fvRQ-v%1qZ~0pcN|=Y`k`r$&uTb>awPmgt
zC`-D0bc%A@T4&$RFP~S}fBd_Z%&Y`Yea1YArPsf|*(t|(Y@(cZl$So^vB0X@^Yd<d
zGyLwmvACsTVfp)e-^`}yDl@iot|`xLIs7-_@@a($-*|QP@}?P9$W)s8c7N^L(Q>w@
zli~UA{a&f5OMgz*4C*;4yT*)xu}hrkz@x<~6WSeS?c@LW+xD=61|x@Gp)ZS(#-mvr
zDgG&njCm4;JQEy#vPif;HD1Chlps^cGohiD<=@Zq^-_$?o3<%(GjnrJXaG$Ql~h_~
z>z<X4in&u#cH>uG#VoPcwayQlSE?TPIqwmxIJ^B(ZoR7B+-<!O+xF%zKL73;s4veU
zu8<>RQ?cQ0Z|Z9$^#i<%PfXl=h(#ztM)ktVH$hKWB-|$|r?;vEOkr3jxbMfK?$mE@
zZeCgwxp~p~&!Am0|5>=Y#0%~^-rkn`Im$UoB<AM<P+RTpg-4IljE?0mj9ZeqabHM&
z(LVjDb7sFU+?W20^}3thQSNn>J#V*8ggT~I`NBT4wXwUyetmnZ4eI~xR9h6h-0z#|
zB;8F-4CXy+3YeuIS}-1)_?R!{^IC-i7Ml+7=CE+Caer`1d;OH-a@9WbYQIHJQe+eg
zPzeaw^Wky7{i#PsyFZ78?=H(-8M%2`QgMb>9#iHWkgM)2*8B78@|K8rE#GtBmrg4F
zZhP+g;X9>qT+x0Xow(+n(_1a<arwJq-TrWSyH4XDSAzX#=5V*m6m|H_u`n$Bo810|
zv*0jFM*7NW(D!BLB_+-&-Ve?gpPyoyeeHzq_B%oAH23*4seG_!+GEz_yikfU-#Pp4
zuF{nY4j(=HWVfYn_t%r()v8x`HhkWz=6j24GQ&~s#7j%xZV`Z3?|*uQ-rD(x+xe$+
z3ag!1;yL-rkH`J`XF2YBse)4GrMGXk7%?83XcREZTb1!xpw``Ue$jppJ{;zs-Y09#
zRVSXR$S9;SpW!I?Q)8bcta^EBm)5R)8#3!yan{^3ebapud_NvMyJk|m=NtW?Jp#MA
zZ#1w7JgAw^puUMaRj}gw-E!Y;t!K^e--!{vxFz%QrZ*p-mIys)3$*%sF7CBZg3QZL
zOSYB>CCDtj{Qk|>y(|KgLGw9^&TS_&m(NMccfM&PRM5h3l)KMD>s4A&p>fuuHTphG
znNsHyi<Z1i5%LJG3E1=Coy4+=gR%EFKK&-}peDax|Hh;joOfzIpLN?25E%&?$?e<6
zxW+ILR5fapZ!$I%OprOsGViKd7sF$Xz;`L>LJkj}PLDTZYEz$A;lyY0pn>H}N325Q
z572<FeD&(=XOEU$eV6!{Nyy`J1z$&7|Kx@xnsyp-1?2~V_dI}9GT@G3j%Nu=!FL@O
zZcx%Yx$VNr;N>s0(tSJC4)88^**$%3cL&2`4W$cf)Go3}xObN6TR&H5%)GqpWCJ5}
z%C|Q+H~sx~+xiBx*-Vx<3JxEeWa~Dp6OFmE<(z6xfF#Q$lX<5P90?EhQt<ug__1ks
z^PESl<+aDB-G&SrBzP}U{BR}MU-IFhh3#@$!fHMp%Yv>=(qg(};5Bvk&6^WA4Emgy
zyf?2@I$!}3h-Bx8sr`EO(}%<S(u|<Y?~uu&5vS1`{pkLqM`@2@=d9vemT9y6)W$b!
zxEf84vLEHva#j;l7STV_G<T|655x1{EtXU6YI-F6`0&uE@R7^p+=o9N_p9p)ar82n
z_c%FwAJzofG;#CIs~134J9{5i2@q=BeBLfPY+Z~dsL$*9{<Er(hc#oD_$520PhaMD
z&z6z!RGP5t;~bU!8}%;=fa6x+><q5OVvyA;naT%#+U3gmr`O-Fd@egVcOhso^^OZ$
zGB1}jC9GFgJ;1xzqj2-47NG<g)hip{ge?J;Igip(RRX3Q04+PIe!q8nOxev;*ZEJG
zRUDXJ3ooc>R8~@F)XOVMQ0a7-b*y;OpJV%6oWUXNWRk4a6QvQy(X5rbte4^W?=6)!
zwSV{id^WqcVBw}sL2UeTbL<%26s}>}ktm{F7dB6Tsb_JE#iY9(QyCs>g#B4Fhvk~`
zhLn>+FYoN!%p+yu;b;BU<dPzz5Qjs<qgI9um%eC-n_qtN_Q`is-^Z3e@1^i><pigy
z3v#v>Z!WG-w7RxNb0>?%ef8_xGvD8wWt!cSkqBBsbNkS~-|uFZsl2K80a-0v5jI<c
zsb{gv&dGB-I~g8psC`&7jipFnhH-jd$;(Tw%lzl-vCG#ONKRzn{GsCTvB^Imv%05y
zcIM}bO}}R^`#2}Ze^YrPG_a3xbRAPW%6+jQP4}eQfuD9a|M_OWpI7tAQ#r=s&4$A%
zhgvu@j~=Ltc4?4y6SHjgEu6;i_(bIOjSs~H6J#Qv@R+H7WqG&vd)&+G>%q4|l-(&j
ze&w?QgU|=(hDWUSQ-Xf*h?@t$_`*_d>HFC7U|z~~C#4C?ek`a^T;eFw7}+JR)Nn>i
z$iLxp?W5Q^yZ9az%gz-0@chT)e*f72HxsKl3l5)%yT2jzoj`)j&d1u@uEa6*C|B1W
zp0>3Az>ABEpMn-<F}3lU-B74yxRETx0or%maNyD>3vu(yk6xC1G4_3IDJz$%Zwd`*
z8Ow{e7F8%l-CLtO6BN>y*Jr-DYW;ps@+RFYD*~O>d}pcn8o9dMgRKRaW#XyK_U&d7
z(;b6NcN}x(3A0GJ`|arL-7<&a@rfe#jg>2v8ty0V`}s_I=jU_Q!B_Lkrm=W|c5rB3
z3Hrb%ZXW#LiAbrT?_*2Xywvci9N_2<kTNlxv9Lms?Zq0SLXacQEnWHM!ddhCI<>#Q
zO?`N{{qzFI=Ae@ebIaNp%zN&96phZ(XFN7>@4m#-cN7m;6de!G$^66_5)yLa-R}2#
z%5FU#b?G;qg*2KOj&i3S@cy(q=8n&%^2^t^oAf^aQaRmLZ@R;*N6n8~i`>0y-Usgy
zkgvWrM}}$6`^k?_n|uSE<Ys(REUx}<X|eASP&#wtd9%5hWk=$Z1($E6yyh_I15K|!
zQD8havDq(qvan~u%S%fy?JQ2;`JjpWNssY4j}-Zvn&8E;O>9S5ZasLE#x!@<BfX`W
zHp_3EeN)xRa!Ev9M1P8fhfYQBBUbJ?2h=S58$R#-tml)<j+)R`K0VO4+q*&9?cJ|F
z-R<oRk59<OZ%}<FlpwS7we>ceNS0^k=4P{in~E}3FBYDBB~$Ip<i(;97r->r#5m@T
z&n)-Lcjue*J};@BwO79t;_D!{4%znsdlK5^Z*XnrEZA3myFN45)^7L2%*0DeJVCv@
zElCOcg&i8C-8c)Bb_-ZD9t(V<m%uFK&`>x9RHbz)d^o_&e<Ct{uIlFW^V7t1qf{38
zzhU9b5L!^-I618;r?Al2@mxx{itpo@@6;L>K>W)hEBnpH|6`Nwz69?(st11Fi@m>e
z<5O-i9fO-<Syxx39^v+dq%F%tP$gy1zR7x{K!VIsNLl+>Batt)e2%I?-W`jbzu)cd
zZAg6FYhJcZKF5Ny#j)X0tHBDj18U;t!Vg@^7IrzzI%a&=kI4~|#yPC4-c-(e#0u>b
zecro!pLg`>{9P~Alw&09YIg8QnP}wRO|KXB19`dJV7E9Y<FP=M8IhicI1T!Q-X)Zs
zmt^|;>#Oh)WfvY$^M1e3f{Mn)io}<iclQ3P`+m3FZOeyWuh-AcR<bI4^CC|DMv~A6
z#|CLPJB5#eLK;pDg+~;fn4StJ$V@#yLCnLU`M^bYdEK?q+o!Ewx6A9WOzs2+C60hS
z6FAIYl{a<I{^gTtXa{Nqd<;xGyAIk4c%>n_5Y`Ov_*4@g7^t{9Z0)M1zZc!*Isbt+
z>OJcZ&$_lo^YU{4?Z-89JVhI48mEKioYmXq>vr_W+s{k!Q1}RnfC}-=&4Qo~G{ekL
zm3a)0H9qR4vCArKsQFp+^3u|7P&c{gT4cKAg(S0yEQiz`K05vAnY%{uWcTcwd=Jy6
zO?Ea3SbW)J9&_Wv!zv$)LG|bJYV-C#()oK7=USIf^SAry^6Kj9=V|IUvV=A?I81t8
z9iwlMb7RAzDIYBjN?u%8bpB&w24_fE*s0R%vFg|3s@HybbyfS;sYq$gBOVRXZia`_
zuXZXPu<+{9zBy5X)1WV@<@_qo2lXr)zOgbM-jaEFN%Z!-Cr(T&K~8(s?vTge8F%N*
zJ45r$ljK=4rOt!fi@u<i+t0Y_FD?1~uRec}+}!p>z~fWR{-UQ|nRj+<Tobp~YQ6L8
zD=U@f*M6IMWmTxQt>5-N%R!0uy2}A|0X@(n%THpPD^(WwH%PmIqNJ8Z!u{oE%jz}$
z4TVe2PZ9G}&}eLztMc%(|64NCwtCx}J)h4x#y|h8GC_k$L|^69+^V^rpB|-|sph4<
z?3IqzU7Bfg`xW!H({W6hUHs?1f9pG3qkDKS=q$ZS6P4X}U9Z?SgC$ALpx}Xnq;*-&
zy)YgL1BYWhlETH>Usvf*t8I)F{}PcMvFqis**yghH=WiK?g<qW@-POqa&P{Avs;Yu
z*hDeUTb{;@#{y^VJ-_d^6GQlmyLG?cx*gc?a@p)#mvpu@vnYXr?8%9{D<;g2%=^)~
z_KUlM@8g^Df_AP<`=JT#+6jBm0$9+o|JCny9$(wGd8<kSCu53a#;q-x_pa6adbzyQ
z>Sf;>Zi}7!la6*})uvrz^=5l|{;We8PlwpEv$NAT>6W~?adF<UjjAdF%8YpuM>*z)
zs<$yb)-YU`;+~{(z@kggZnawDf58{`_wRr3{p<e!f8VD#F|AZ}_~?`s8^7c6oimRP
zcnCF`^gdVl*<0J$1}WV#j!kjX1r2uYe!nkzUj4sH(3U+DpG$0<OC}xATs}wX@^b&_
zs@~H=zV3HyW(x`oR19A3=PNV0=BD_J(t~{A=jT{n<`6Gv*Y*?HH~}=D+92&FBd8K!
z$9OF8Cr@T0i-fxjvx<OyBWTmkEc1N5#csV_`wep#IgfxEH4+PU2dJ8F2CX`MG2LO-
zvCZ*nHGM2LpvdeJza#OT-{wQZoXTf2)m-CFPt(1dVG`}hG)?Hl^jgKtNO7A#J2&YY
zyl#?h-n?m35NM`!a_++L^>Jk;U27E>xj|LG)A9|T=L8dEET0>h-Ed;+QRbd|NNs5s
zL*4ebcXn?6^769#Im_pBLcY$OtI8;_prY}yf;wYfS|Qf9-KsfJpjz(p>6^9R?}GAw
zh2^pzdYlsu{O3qXi}xtH#(MtYO;E5X-V2yvU%zio`MpZeZ6V4rH_~S3KFSryR%c}A
zC^&qAQGH|QHQ@xA$oEQS7Z{m(l-cJTQB#|BAUc0<>a`Q^_I&oU{B%ND_-=SvAIm1r
zfISBcCr)NC-)vzp$wk5Uv888Sa{MAiNE>LDVQ7}_>1n!?^<sC;nDXevo&!!yDhr$y
ztbaQNZPu&UQs9)jdhNDJp{v6@&(1P^3QB)bTeDssSTfatC2!A1H{<1?r7}hZ4-PoI
z|C9)7TIWeD1+4&*Vv%r{63JA4%d)6zarU~MZlGPYhue6)t&6_RXSt@_kgZVt{ax;+
z$=mYo&MNUxFa>Qd?OokmI%Rg`!HSQWyE&I++T4E2x$T@ABr<bk8uxE|xngnOq}cMi
zq3d?N(%LP3>e|GHW|j?&HmRpXEWcg}e){Y6`tl9z2WP!WjZe7N`gNyn<R+K8KOfz1
z7M<2@X5{_x<<-^Iy%~x3_Ef6fb~@fC%N+IKv5Eteg22@_!B;myyLWF^MtCS>vMlOS
zF0g*P<#NjH-0e@FWnW?w{4M<J`NbWDk5djbFg9f$%MsD9`Fkt=>FeuSmO?^)3levG
zW!^aF_wfv9&+fC?`DRRQQl?ohk2ve>dsvLMH60orvGVoLVVSgNW@unwqF++w)5ZOE
zLVs@7{eF8^e*J?bVpDQ;-U)krswlf}{l@VQ%On~3Iqx6K#r}K!{`&g)kpiGv`0xHB
z>YfK2nY6{vPc=P#zkkou`Sm}0-`xA@Gt>Mx+s$2te^0#b-*qT-^>vTyU5=mLe7P93
z`B++Dxc=;`cRjOAv!pBc9@T1@@mT)<kM^Ik>N<>v&&)LLEqK_W+$S(+L9!yFkVe15
z#@_4ga#bf{&TcArcxaN=r#I#A@7+DcyLjsSKhD>5<^>1c|1rs$#VmWv_A6P}Y`3tr
z%erS+v~Zi>Etx!Z_WGX17uu$Uw#D`;`|n_wA)+5)x+Lhj#O$No1#Ct)6ZyHXuW5_j
z_ve%M!C6}WZm4NIN{_m6Z~I|8yUQAJ8xD(K+p2VOcI3_P51uujpB#0K_w)f?r&&)n
zCLf<=|N7cm?mu?%3XLWL4{G!e{j|F`vCp#V)|Sjqe?Ff-eM~xECO<jCnzO~{!Rznu
zrXGF&&-O`FhFu<0Z`7A5ZD;Gn%Z*oEeV?juD{Xde%DX!|Uoo-i<S1@t-=zEH&CScZ
z{%v+td7#x;BfVZg543uv<n_zt^QSTM+ZY6hCvMNZy$y6@e4YfW(((4KoGu#^MZ#nn
zTh(I@+|RvJ^K@n5Tfyw*w&IU9f_Efj|5*?l$77mv(OqiWo8;#V39G%-K76$fe)76}
z`JXwZ2k)KXj(gr`{m!EJN7<PfhN|DU-{=<511)2|^}hX&kM^^JDSu=>dVF*;s#$rj
zTO~j3q1>Hgz0%y5W<Jv{eRV}Jr{;5A%-4mYod<m`GF|F>=s#J_S83(!sS_HqSwLg<
z{l#bQ)&Kun^zCN)>?`HEQCm7TYp$8iqNMnsQ#|X+-LR}{xniDk*Qi)At)5n|w0gR}
z$nB%t3YYfPR&V9u`TYF6`AxA8)*>z30`8y{FE4~n+^cXs^Y>$a{hC!Qk!z0i%fH`!
z$)~#F<T2jxEvKUwth<<?zcR31|Nh^{&3OV5f6uPoRCRLo#9WEY<ANI1hgy5hZh6%G
zc*w4u+*$a<&1T9iuW(Q>75sSEe)@60eO~IHlsd7%Jpr#Yw*I!AeuVqR`B$LU4rr73
z=V@gJpQ~&tJUjEV_cd+l{qmoTeQ&=myLtML&*F-MdiRf9lUg$O;K}1Pth4tgYVBI|
zu43aGZktx=t?nhS7H2rNE3Z|V&G7v9*T1KK+U@(;7rY<T4q}(9aNx6kv*Cx-p;?{^
zPE7ME7GB);+w<`J{~J`g4_xPtpK#Rv|Hjxo426t-QS5>S4htGytFiG)ZJBo&RNg7a
z?D+HPw69jMn2<u_2aXhOKBMfcYink{U#)EPw&HVRZ};UF^8UNq%;)87kU8EqF-)d$
zzIx1!oXuyKgs+b)dcAgg)ZUASd&8yO_SQ+q^epyy$n<Yt&CeoX>pwb|<mI<S%yE!0
z4A~R<b3W*>j9eC;;N^Z(7x!7Mk_mpjceZBChM&I`_e-zZdB@9n=0}f@O;h!{_66Sf
zk_&1DblLy?a@l2WjQcm%IY;6*Cb@34Gk)##<?;GEQkqd3(-rRf3p1YkzQ1br=h_<O
z`j?lMKAWyPN9fJv_Du1|pINf|W-%P)4ixU+W*2^h8?+QLa&y{A?e%*eMIUHr-RLe~
zdnM)6&GP%TsaIEpx^4MzEjoW@4)^ajoBg+Jh?M4BGKIm+-Pl+!CCMSC{Ozr+3NznK
ze$Fma(9k1g+9mC#DeGTYZoQ6oanWOrJ4UVC0^c8gzIg3yc;1&IwwDgCy}#Asl$c&j
z!9mt%TK>_G+3zLuv|6`4-X<5$Gxc)*pSUv6F0S+Q%yMr{Nj|k_wq{JjfxFM%xAz?1
zbT@Y^W0&}vGXI)h=UtLD592nac!nxVnPe!4ii*0OoVsO_{%<D#hr1qUc8P&|vf`jH
z_|g2iw&t<WeO=Ju5<5Sg(te!HlC9P#0UCW{{=%`k#C1=@(ZraW%{y=OH#~egJ$_m<
zzg>g^L;k%zJAG!Eoa7Miv-#w)^VzIyC6zO)JQVJ-$W^^ie0g!PdmP8}`So=NXPgn!
zOZc1q>B-4E243GcbZz}!m?JS&+MQvVZp?x7C1+=^zw@HAbVhsJPVd5&_q~6Ae>eA0
zNNOlJ7(IJoh2ocmhqyiobSSr(%qaxTQ%une?rB&!XZ88bt=!w{?VqI2I`(4Yb+)72
z-+rlGoA%VYclMSw+;UH1Zi-iMnO{?UvAd{CtY-0}W|aw(8J<@gelKcvwv7K^xyMVn
zq53Ci9&qRDb-TTc4{Y~1kjNyWZ}G-P-nZOyk3r52gW^NS`WqO-|LpmAOxkVFhNsh_
zXT2%4*m`Soy1#tL4-U==4Q!1`((6_v?|!>&a=+a#jr7u=kM2I>4KHcoz9O~wc${=#
zKFFgAGre~-)Ni+(CgJ|=ScBW?n9jvDhgfevZlCWewfIV&bl~v`YQ5b0djjrNK9{Zh
zaFG4F@$aY8<Gmgq>+KGk>b;}k{`Vg<!$f4|IXW4Raw|6aHr+gPd57n@T@QY0S%YHq
zmTi}2)P~#kIdh{~EbjjgZR3-DHSMy{g<FQn$4>11eoy<n{r^2VTQ0hBz7X8)z;qB4
zwLR0b{dcRyG2BmLYMW`CE;W(qozge2ySqw#zqL;GvpQ)izHzV01D(d)?RUfMem<GJ
z=q>1Yw$%TBzsJAd=~S5a`H_j$LEr0(^vZuVt}yR8)v4TYPCe$p`J6u0V-qhY25tGM
zcO+2pVbj}>htDrs<b2^L`=UO@+=RVVUnk{mySYs3_cc(Fw{8k(H^Y+D)6*(fpH`3A
zV5|0X<}%x+H=Eo)HpTwiu*&r2^1{ipN)I;oU3QMn-8yyW=B;UGXMH*<9{)jPU8wS>
z>Bj=LBrFWRq4J!w05p^KRbd}!)-eD6KDDK?m-;qOaFF5**fSw#{cer826wL9oOc_K
z%Nf=GtNHow_xtO`a&_fV8xk5#GB2sz^h<s3aX^Xbyv^qx%gA<nZgIUcH;tA&ma{I)
zxq7~fb4t#=0$24N4!IW@cou4cQm~Nwiz>y3Om%t1I);5t5_`mEZn5p_;uO#6m#-6x
zG`ZoN<t1ad<mS|^+1I&@p8kEvUq9ij`Tdv)Z@-xD|Jl1!>WzQ>ui$g5xIj8Q>y~Bq
z$^Fg=+><che@&L)JN=`zmdoc=t%|At`!#H<ZqKm_P-{4`c9-`wor&`Ge=aU*>s=kN
zML@jr+H5hVIqxq&dU@z?Ptv~nw_C5D(GT{xTJ>UKyO0g%l4%S_xlfkuUHyo)LiIYE
z`lcsyO0PveTQ&d9rju&3XZ(~YU$kig1E-5WgQS>T#REp=lpjAH_pg@PaG1~f1iO4q
z!oU493J!idnz^N)`^v@swHnzy)rU{`%QU(hK5Tls@rXrNQHXo|^s|Lm*2mj7uHZ|(
zv!k%+AglNjmXysu+UL7o?0>l;yT`iC<QI3~&${1l)4vr>(~VY>&e_oT<;6wkf)0({
zBEotfjC~I)Zwb_5>=O4<75`WbYt-!sn|OZzAGfmiHOtP-u5He_yQA*OSNGV5tFC4*
z$v&!3S@tVqWn}W^6|1M8ef3UdtJK!sJ@=ACA9X*r|M!u<^2tQ^n!6K2&cscaAG}4N
zMQpw=6C>yhyosM{^Ufun|9-#bb1&#59i5Olg~uc>TFl-$p<yYDM%;!ghF)ijBKI(`
z+?1aCe((2rYvT6a(y9CV;V}QDEt$cYS65Bll7ByL!bHaU`>E4or@Xkx!#TlWCCj|(
zcRN@0@PH;sch2L^Y|_@!dNMUU&d`v1Vc@!E&N~KLQ?2e_R!F$q7P!u$>Bp`90!E#j
zKGiO96SviS&2svb`=h`arumy;+Z);cQo5Tp+q_<$_qYF>;_@_q@7HNRYtLy%=kMLB
z6SXD7c5+<{_qO9Ik2g*Ue00uQMBn0(c7EaIiO)98R29m&vS_(U&cEG4_xawNz8BZO
zGqXi_bFZ{H-}+7eBbQ|;mK<4gAc$$sd)?BWqRp4A?t_}OIYqq^hE6jz&Q5Ho2JJ38
zpY9m-W>*Dc?O726lk96gp!r|WULDX#j$^=wNFjyB860~)9O4FT>54zZdRu(?MLWHK
z<0cc7R$aTst9(SzZ^JK9y&H1gJ&QZoN_?)~kZ`YC*LC7ZDmY;n^1k6%z16VoPnrD9
zZB}J(GUVd-RD7&jpS$g5+NXcN-*bN}+jIZ(20Qcgb90&wh1D(lx%B+=L!e#%5+TkT
zUh3vuTd{lXl{L3@TBI_J{NN3^X!Ff%{Bk;r-TTctzTK<NFAh@HVPtmYF6?u;mdng|
z?z>rq;vTalsr%-e<<8m}zCQi@yikKeQ%)oOJC)DpK4e^$DpUYk*T!eLx@DP~<DLh)
zIX^8o#xg1Gb7E2vFk-Y1cTF*Cly+0JZh6Knw4w5_Mn?hT+%1;VG~ztU6mE&RJGOFf
zJI;UWxI_}qO|J)Eug6aZ9kB!2K)5n?_qNFT&tA=JyeXfaoJ{N0^SsY5*}tvNZEjiM
zo&|>uOSEI|@W>Xf5#6zA2WP2V5KpUO!h#INYl<gM=_(!gc~2?5<-(LLkm+#Hr7ms!
z@_OR2B@+b?+^zrrSFQA8n{=K8Q{*fa#_t>j`^v!)c&a8IvPVe!#?}SgF^(1=K@nHL
zF-2&*S^kcq!y0o6lO~7WHjA}2b8O|VIR7l-kz2p-;<){~W=8`xp|#Yn<ZEW#W=8{7
z=77#hnQ4&Nbf@4j@20%FR>fcCxz^?F{qyN`ZTgc>ub!tzt(^Cu`l--@iiI&vf_u*&
zJ=@`MJfv5<d-jO~A3#U6O>uH$;pw;e)UmVt^D*iCHEuH&wa#FZUA=W0!}IFw*u|F&
z!k_(iY-T&TCUP^=`R{TncWUkG|JAGvUf$=q*{b|q40s@G%Vj?;namcJNe&I4YlZgA
z*w4T2%=fw@+%Hl!r5B$3W>D?U^i4>^G%h!84qI;Cu3Og6Orp{rw}CvgVFRchmRfu@
zPI}vsz?Fwu7ku1Y^wewfxeIHfx1X4ENTQ3UR7dotkh9d>_JW7MUay}HI$7cH3e&7B
z6J*Qp1jbc9ow_L8HRam*^CrCc7u+;K^LDLKJnst%joDrZhP}M>2((sM_ugEOIu@QE
z1v!_O_164;yWJ@Np3T8FF)mkbK|X`9ry`8!zWeReE=Z1@_5QroYn{a>__QLT_toq)
z$+-~#>LeUyuRk99(%<&$l$?!6#TsXRWEJwzVm$ZV^XnV^zeSn*^ruxG{2a1UdeO;m
zCe`jtWr7;&ez|dT%s#)$uK)Yff2j$y*Ck%DZCMV}wp@v+S}_bA3L8y|pZQe2Tsl4F
z#f62b#$S>f3ZJx3+I{|pfcsMp@ju7-UAU8v_f0K)eC#A>Z7|<0*H8)Pz=?g!<)o7|
zdgk4){eHLToaOTqmzH`nbBg~tmLie+EqU_C7a%hN_B`l#zhlSCj>TR%%d)#?M+kh>
zPO$iL!TIS?@%Sn4_y3Qp`ua*0v?b_gQ>Q&M8;?Q#ER)P2CChzoOeaB;i<Nd~-%QN@
z{1>!PDFS>}mKW%T+mn-2Lu1<;5-0nbO?-KIx%cgDxs%_feCuPW5qePbUU<sl{-dV%
zolCAQbY}l7yE|-cROL(7n-M2Xg3cXVv3Om|X3qnXOizz3zh8Oqwn^2`r_;}t?_R+r
zzGAVGtiP>UuEbR3m=C)zGGAmei%UN<Ly=vkz##?Hd9OHD9<ueAtou_g@vfu(%Q6yg
zZc1g#p7OEzmxOa*@3V?moz`uKUX)JSFK;AwSi<nzjU^Qa<>EzhIK|Cn3qv~3_GA}c
z&Mz+3P5L2d@a6S&|93l|%S}!bOTFxC4r&twZFwMWGv8a4@!a>5WxKmS*9I^iUOGK4
zizO@j+M3AoGo^F4Ow8H$^Vz1Pqg^T1GxsVp&IfIhV|n@_x$x|F&^oQ1-`Eea#{8V-
zf8;^=WFzr^MdAl!N;PNuGKC3W=s2D9xoLZ*N!AsOgZFnPrhJ`Hu&!`hj>J^$7=|BC
z8>eVSeV8MXs#Cc5_|6L)R~}eA_Hf=YLBDR#dHE&#&&T<97}Z^{I~K4&@#USvg~tLU
zHca~Wcfv=BV=FcuS3Ao6?Z=`7Z)ilP#dOcEn?3uz_<AR%Hg~y7m%9JI@1I^3x_Z^B
zmEr5>mAt(bDp&KtaewG%OCb+guxEF!{#+}-co;Nc20Fv9@JW8%XX(rf3mS8FJZvj^
zHZy&e?-tPc)3;B)k>&iMa^UAZbq#m<?(BWvk8sPG)%<^Ve}BEHpz}uGQ%N^W#SV2{
zJve_d1LqIr1)}{wpS8xQ$6hqbllb|WfBq-;18uy@ybqbGW@}H|<jVcSmh<~WcUkW{
zFFu;f#nx)Av$!Ormva34fkx)D2RmXGv7UGTqf=D6<<`x2JCZbbq%wchojAY6z^%{L
zOfzo7adFn@Lq~nxWkQpTA4lE!a{G=`_w1c4Jof*7EZ&lLH>%)$Wtro*)}6)Ay;g^<
z{S$Wnxrah1XiD++UA^<)>sWX|+iG^oG0kT_vFXC5RBuV6l#ZQd9jz=L3ALaZKwG6p
zv)*q$$}RU4bR@r6!(Jbrr(NO+;n|KlH<cUrDmNBzW*kfWwkP1l678y^yo(EJXPdn)
z%$LaI6o0V&OvI}zD<}8J*+$K)c*ObmlF!Aa=a0+Y-a7f@<YeW-pPN|!tDk=U-}hDC
zZD&5~U*{)YIq9`XS-@S!caBBigi3MN@P(irXKLw<Zuy$!wO_A>cc<musd(J0Y^(6`
zGXLtQNB)MN-oRM9B=NLe>es3Ix7`)`B)FQLqV~xL?GZTk0!Oll&M7pGKJejY`h3oJ
za=+j0e!nVo<IS|$Cm*)UpL@ey_we)1D}oPd=I2b`ZFgT>*ududo#Hgb-R}KzMGsrW
zy^i<EPJSEkt&ioD!hxUrcq;hzbp71->6B*BX2<N6f%Vr<MA?+S3i<hBasQ`l;fvjP
zcfMHEeI_(d*{x^7sZ(B(W;qcj-(HT9(B3InGm$08%fb5Jp8LNQZH_K%o~Z18>ebcN
zpD*35`~CLmlwiNko`0{-r*r-*IILmxWMY`e^R|iR-!{gX*8VE7{PCdq^sC+J>nlG$
z`*g4R{nS+LHPiKNQuQS*AGK{bb2-n4<xz)n{+{yJ*L>5?%m^$y-`pjBYTX7F=I3&{
ze{{4OXLGMVBItZ8=F*qH7i!F7OuVy99;DCuX0hp@+)-|`ii7UbJ9fNeo^-f>$G(}Y
zUuH73<!-y_=4bQKrTBW@zMykTD-W+ryX?6@gYn#VS3%3a4nog<Co#31xBnlr?Os*(
z^1nxpO?D7rvAFN<Xt~eI=HsCy*7y3>3$kY2OX}85d3kARYPa~F`^>kt<!X0}=>}~~
zS2ZvLZGwJ~`{BX&{1o#$MW=O7XDv-XKU@Bkk=UivcMP~V*RZBNzGLv|Sl#=3d!J5=
z&eNRjwruawZt?Kk7tc=ZYB-g3eVuG%*(Ld~TDAYNe`m^EoGNXbYJJOzx$sEfM&^7+
z!_-@!i*t|E{eCO{l5uDLv))OnpVv(j%bi)NxFSEc!mdx^(z8j&-nWZ9$?R9MeiI0q
z5$@X7)IA&GL9@+!T2H^byZiJU%i@&xd%v4?eFfcbHYJ~X8f-1VTWPa-EQ>&kB(fe|
zyf%Hx&wVo*6r)zH>1DYCDlmNa|I96oGSaWGnUI@)WksNf{tsD&nm!g0j*9NfpaGrL
z>-X)-={~b3z|2WXquF7Ov|D1{zDEzvotbIuYgPL`q-tA-;wkNj8A-c)9A6z+U3et$
z#z*Ei_e|ZAkN2%Db1j_mv3Z+8n+!*b(JTpfo~ak_%vrze<!?n}{fvIO-5?K5xBs-Q
zt$TK##i}D|YN^KDchCFyx-dF-GB>SXI*Z}?@08v4o}dE`Ps*)+6Z?b3;(q&ii*r(s
zX1y=8|F9-<^QzLlesiswE@y+P$(t7!MP&3%wtubGXd=L2-M9DbBE#fkPxchgk#@_J
zNWJ*g@Eq^r4ap}L&(KZ!@Xz*C#G_-`CCOHOvmSLUUh#<CrnpmLQIGtVMnjR~C;HZ%
zvq<vTU7mP+i7%-6d28`;y=oEt8&CW9tbO=snR1`j#+ik>dB1Y=F7VrON&K1gv{)rz
zF37?CT}#e>7co$&-m|Ivq0oaGdA<8P)*d&#pWZG0>e||D7Vdc&2OkT4`CV%`@7A}j
ztH(iwvPX*2k{b26ory;RS9mI%<5hlpenZl?O|CqF$ArwQOx)MjZhO?RIO(yihN}Iy
zJ?B+ZOl}sRw|zP@eI93&@5%GVZC-BAk8~FvnP4ViZz!_lT+O$fA9W`xcpiCvpSQH)
zpy2$%!pnm0Q*WHvA!h#9Z2J_jlP^6jR@q<&s%qo}J?FgFOz`+NBlZ0uPz%Fmn^{C3
zxFY%K!YwyDXy1MDN2_wrv&nDbRY|aBe7i$CO}~1g)hXWaA5Gj>w)LO*bW8ksTj0ix
z@>|mD-Z~y>F!_;vZB1v+=c$DvS7ztg%Dc<_lzm_4$+ofm{L-77)4jLn-TgC5|F`9_
zfSVj^%>>+eGMPDroa<icrRkr0-`?YHFIVzivP)d%qb#D8uzArUC##Yd0dG~p%FMfJ
zJ)XWUTh_3!_V>3>CzSg=9v|!VUUKBRhk`7)E;;Wx_q{PI&z$#@ZQE{I+cM30|25)P
zhUUu8wRYE*{@a(Z`27tvp@P<ivO7PwKGzM}|L4<bquO6zP81Y2S{Ys07y>HV?r(fA
z?h{b$Jza0A?zS6;*8kizFIi@4>-k^PA9pNX@OZC;OK$biSKPmfj|BuIfjr5RDSW9<
zasRhRpuoQ(XIpj?H0oUcB$pTAi_6wCTdVWg`Q_$>A9?WISu6azW`agxz=y3@gg{07
zb@j@9%NrDLCQsjcS^dDzxcMu6#U9Uk|JO+4`S03wR$Jcwe9JyxxzR-ULZ5YR^{ssm
znYNk*?tXK0XW|muZ(HAHR&^CF@w{voU3g^Tw~FiAmcLa!HUX?a(D@e2mSm6H^U~@i
z`)8TF-R%0Ysn+h~O(nfNt4Aw@W=mdwl=f}zj<2t-PX71z_v-td-k@CAyng8<P@>*#
z3qEG_q}={DbM+X{ecxr1yIJgd&HL%i-;}0ov)%vqL9xn&G^SgH%X9s2o5w7;rj|8*
zuZ4NfmgD=j+4}k$_L*efO4shQxFq-f*0(Ktk4RhsD@gK~-B8ApdHeDk{l|fmm(_05
zh}&>p9n?t|KAU!I>yDYaaUXt#EccU@v?xfJ+Tr-vxr>SIte|tWr`mzDYgDSgKi^#?
zzE7dM{@>*luKt-f{*+HFH9Pfl9#h+iJEyv2)DQf;SI91wSr_wpZ~Q8$`|pikU)uJ3
zyL?R_%OxKN>vvn;X8vyDzH-au?I!W(Z4<xUxV~-qOU+{w)qH>F92IoG^*HwSylvAZ
zoWTm*c`}7B^eN_6&-$BclXYp!d9kD1-+rp3cSBovYGUTv>*pw$N^hAa^|f60qSuG(
z%lzhQ$=7}fyeFQ0WrZTB54t9HcbHp`gyPhxQ;l+NY<M90_kC{;BlElCpz6hY+i`JE
zg-%fVy|{Y+H%;Bowc8pRKi6(LZdx5K#dz-f(!%1Wx?SQiA4P6^GQAVJ5a)Ak+j8G&
z)bhcy?K4eZ_HFmxBJeIdI%i|6PVBBNIUkv#I2oO9Z_7=+xX4xO+o89XYbQH=0o9}D
zZB9vDHo5P7;KI6C>vP`&`3$Z-6=~dZ_WSAZHB$;FzngN(`<KPj<w4TkADvb{`60(S
zp&_2>R`<zU-~N0%{JuD5!8h+yXP-a1V{l3C_pNUxwx=vEEy<j}*5Z*z^`7k8m%j-m
zd(2)D`|VMOVlLAy!zH=?oA>v)`_B4yb5X@XyZKLY0&j_#%NBM=8DB0Ey3SnouvPrj
zionGhaRJhfCR0>EO~of?U)6fvuF3zbZ+TKK^UYjtmP=;$o%d{rea#j5?WK<Ro!~NQ
z%{UEdMcxSvoFa+~{@Qxqp0|y8r^8y`D|*$1c@mlZwYk-^<|WBwUfOa#Or~&&?Y*sU
zGpjodmw*)<nPA5FR^rmO<u~JxEe0hT@VI2rHjUiw*?l`)*Me#Yw@UUZHJ#b^U#`sY
za#jgYVm$X<wW;`t>ACNH7F}mm8aX(Y<nCKuq1faox`6BL`^UGwF_pCTPjblO%s4)G
zn{Dp#g2pItFu=p<D7oR3d-Key=<VxxBn%GxSsA)IEcE{t`z2f6uC#1w^Eki+8s{o-
z>hOI~Bd-)QOO5e3#9;^5F|PVs8*_VJ?3No+LK`MGl-<d^eR<h2)~wt`WjDKv4|`O<
z$-aI0i^yXSPzHX~q5Ssax?KO;-aU$71<Gw(I5Un<*k*h7pOKtt=B@PZN36eVCT(`j
zjJ{KHL^tbfPqnf3{EUOI7kq1<vdz}i{el2zghRvUy{vv58#(8^cW&{%tnxqtl&(bd
zZ<so4-QS;mdvmu~v@=tg5Tp>ivV(dNmYWtD65`R$C%Y;&>cqPlgTzfg-!_0<0xoAC
zfQD!FDpr0mE83?&SN6V2;}SuS+co|ln_`tCe*fOF&31RjMpKmpb;h?lE^k}z+e>lz
zaB16)mmSepW}Q5%V>-peh3TB|gPQkyxVg(3KG$ygd-~o)2LYzc+3z*uIE<_g)rVCJ
zdfkru!4kpDxx*h)$_hWDw3=G9MK{mtk=WL>B4ck}Ro;mWpII#KTfaZlBnzrF|8FW+
z5omF^^{l!}ys4+}!~CmpEtj^5+c92qV0s6wDqE<Rt?!%(a`tpqd0@kM?)$mj8xq(p
z?yKM4|3;RRMRCHmpYtBIDlAt1lfG4a;g+|p{tc!R8h*2EdF*$4o>`(^K(_GK({Xd8
z-EJkv-=4Q@xr95YE*5o{$?PxBt-ckP#FGh9AY-`1*TK4N%iGLv(?6~NRp=u6H=a+P
zqwDqf(X$<%<z7!!Yh^wLRGxj37FPM^hZ&~|XwQvG<>sn*gj*VZ?%T8}NbT;&vI*CJ
ztZ`=fHE+x6kA;Vy?ccoa>aFg8Ti@0&A7h)?&<b^&kxsz>ug@*N-g4ifdwHHN%Q;)G
z6bU=?+q~UdJi)G2<H`Gy0WJuo*ArPcUfMBpE3~wAw+V?e-Z?W=&VHXSlc&;wpLU-2
z*4z_6_x<EI{c3k6M~;Gh{7$F$rd!_M{oHul43-$>1$$?#$@QPDF1})G=dDzIP_uaB
z`dt6Z!9B{LYM@KGZ_DxB+iY|74coSW6!b}4Qd=PFeCwOZ@6#1mmSoP??Gk_U+4Gzj
zwDs$wmp6^0Z~a6ECeDI={tJSQcOCg@2ikP#p}@g-?z>~g&hI+UtH0MA6Pw(S&9X&&
z^47PRpPx{ga$yaulSj`A9sFo2<e|WL?mK5mmfLhhOda@X$D#Xu-qV`*^UmK$5-R9z
z_?EP3n{Dnq3R_Z^(MO;oF*|oeDp~AvW8zdf@YAl*@9Ybq=f6{aPv5KDsK8=zpMBxe
zdz<IHzx!D-+J|YKAf#b&b~42U2drr%Ztl%;{JMuiBdBe2VWnj3qni1k?Vl<FEey|p
zD{Q$_J?-<}YP;la&jY5ACc>7=B1-E$L1?4<$)mK+2S21ZRVFYz|IK1$y4ZWp`^j(P
zzxA;+2|TEgZ<$toz6dl8kYmWX!_A@EF!J_1Gk=OR>LX|;G3JhrpiI1SBMYc`@31_r
zq!UqQ&UxQ_q3C_&x$p0O_UNiHUgyZrSIfSA`PoT|E7zDiB}W2P%_euxR&9Emtun!Z
zY0i7$7gu`p3itVgZ)D(bYWQ3$5cSUX^v`>?^EVz<c_793_Gn6O^(`BU%gGLCf4-=2
zvr9ouFX(i@&$Uc*XI)gKM`lkf1TD=}yZdpQvTmAjv(Ss`OY4pu=bimu@5Snc_Ur#D
zF3r_1VOZ0^q6EqcPB#ogkN&g+Z%5HlcKFzo$`_c&ca-~-LuMa~#{wzFxA#|XeVe(v
zXZYs@kHa<g1t%;dtKZ62Zsf7}t|Do?s9qrFoXzQ<_awtNE>wA-15F|c6ekf4-tO5m
zW#jiHEzP;U%V}Dz)oDTgsn<aT^zr`u$qth^3ikO=GGG0@6_HsoXS}!nU9-{T?$3@r
z5q7Z#&a-mvYH*mPgG$Yw)i(l!3Z_9z%?)@<O~*HDV|E6mou8+BcUNim8Off7CjyQK
z1<DvMxqDH;@X7ML-0HelUw-pEzNoKhK7Vn=LBah?6AO(mi-MY;23tWb=9{kFYZV(m
zaTM&+@1OrRzu5S68UMyw6#?1CEoZ(jpA)KiS-v`MTFvG?TzSpEN|!E*7N1#Fn_6-}
zd0pq{+9L-dWjU8jX!!R2>aA~EVh<tbb@q+sNb^n;Em{giIG^3n>QwG)>M6HR_;aH<
zBk|3RjYfHQEMNmbACse=N*<fQ<(AzG8a_4nb0lDb)z-JHD|g@6+;cps<<39LquhNz
z^mV&u&$HHu+mM}*Rqv4rZ9zX3d{7fFXMg+tv$Sb%<&u7TC|EIN&V2tl6}+~%XVH#l
zzq`7)US3$(3|hpVb#>L$a)<1C`Rm)nV^ub(2^CC-jGyF6fu=UW<0lM7sN*L+^3?*m
z36D#U^+*;SU}SgM_Vbj*r`N9L^ShP%{`7oTFyX#=T0~bTdfS;9y+(Cs%Ku#d_v`a~
zugn{Z`b~0fs(W1jv4)ui)CJTDJeHDn`!V{+if@#dxh(@YY99Z(u(_Kl+L`Ghs95KH
zz9XwM+xT>Tz2*Jisz;xDEU011ob}##v;Gpr*G-W(VtzE~{QK#@Sj={5;^+HQ?GtLE
zEIu4yPQA7!(rt%9#fJxbl-SOFw`=@lD70aQ!<E7}x?cTKrd}V)^CTi|EM#(`=198*
z9t&EQaj-ae%ElwTa_r5@4wD~uEY^5*{P!Qw?L%uKHYV9B1s?1F+2{Y!sX_4Ny~Usr
zx}_RPMw`zT*4d}nWFZZLs`+-$ZgBY66w7S<ir;p3)%j}^9JYYE*{7{v-FkHE%%|J_
z=f2l@ezX+QnC~#_+3z=RxINGBN)R(k7Spd#-V(RB>eBxD|5+eMKRs@sJHg=&%c8Ew
zpjn>sJc*;WOp`+EK`uTLv@PQyXkpEo=<Vk$3{OQoa`WHxNNu-y;gP@@AB_thI27Bu
z=v{2O{$1no@tWhyD-<s}ihzdac#YNCd8Nay#z^mzH<H`x-XHr-BW}ZK`O4_Y%Z~aw
zET}lRu2J%8`@Gn_Y1tkMa!hmHKYl0|y0$Pk?dMzh=hgd{{E*?C;;)eV`R~qVw^LJQ
z%S{Nb{vWn(|FcP-7^CtO*ZsVAde4O3<)G1A)`a&~oaeqz<5w{2XQ=^=<Z{m~as9j_
z@yTJ&nj_|o7Un&NdY1alwK@sfPS>eE&p^k>m0NBObRMU6v)1#2soekkr=9;l`^w#I
z?J{Lw=ASk^TCS@i;4afDYX8IU_p8<G!%lCR&|CYs*L>Qp(${X!dgs?g=&HZlAJxYD
zV5{In$NmkAKm)+liAPgXQ<pmINx1yoxl4QtvcI<-_3aXWBQ+zj{>9C!(c9Ws9;qJq
zX&3h|@w(jgv}yP23io~Y?m9ow;U{R=q57uyr3s~si<v*yKAjr8B(3>c(BgmVp7Kk~
z7lRKIEk_?Fx~DY7|8u4M<$r%Pw%gXsXSw9yVExXf+PRHqqObYg5YSqa)#2;Ubr=19
zyM21FpQWnNmDTq1Rev1jU3_AKdsN^YX}83qL7$(UeY*Giz3wTC@1N3x%*e3q@BeJ+
z&T&N0J@IIN{6{C5b(Y^V9;OG%JWyU?+$VAAU08%+=Hu_qpYIl2)LD74VSeVV$0yi8
z1K<x><#Ro5KT<o&ZO7)?(%<rR;qt8!Qi@z*u1pJsAJoWOJ&Mp?P@x$1XU!azHA)k<
z{k*63L(t@yu)fc($8R~ZxZ{q3?%ML6uD7&8(ZXt<Bhxhjj@REy^6NG%TP(@@ux(;*
z+{Mgbh{Hj%+8-YsHA+6l!>k#8jrC9U+gn?ghOQ2?Qs|EOnRqq*)BUUSPYTBFyeQ21
zp+mb<x$jVy{2IyMJI#{z|NS<ZUB1S^=+gZ&(yHFiVs&+I8GUw2xuCp81LVKB2*b?J
z-<`QWZE>F{3rfj{`CX$Yf@8FcuhT=G<F#}DqgIc*Cr-&I9{70=G<fdQCGG`n#a=SI
zuYRGGb@!1o+?k&(etR=r6b5-h+W%Ukp0U1*{jo)Bz;g{rC!;^lvMgTKBW<p?9y(KE
zeJW74HWo5d(iJ!B*T&@Ir#?MBeVti4_0NK&V*y_tTb+`6+@bjPU#sY3vpV~fXRL28
zCQrBR5`Xi_{ob`DiG{@vCKMWdyJh{!NTT4Kr%{?qy}OOrnwfXba2@ORpX@M+vtXb2
z!y5Vf9Q?1R%XD3R^jR}|+jIx1XVuj&+wX7MvG2a}(RbjvlMQ}zt)6|k)xgNSBy4Te
zp`Uht3=c?oDC96D9^dF&E>(P3<IP2p{eMCEtxvT-Z(GN~-`_RN<9B|P`X9l+nYHjp
zV8}=2yqzvQfyemmyAR3iExXaD+_t4!uFU7wm%kI{XClv<cpiSVteij3j>B`Gy!pDL
zzWWc`zu~vt{}wcI%*Hh5y{pCj<K~wv?;k(@@Bhu;LKdr!{r}HzdTfH3@kB4BPN!`X
zj%kG4a&hgRRF)g%x^$WAmK954CxvtcdCZ=ax#WkE_lbL5vohn4%~)3V-LlR&ZD;vA
z+wXhM+n(R~z4pKU`uqQH+dQweJOBH0W&OFG^Pd;bZ!hn&VN+7P?>;a5|Ll#y@4r{h
z7Vi?(PBYO-G1X0pJW(Tm^5V&z?cB@@FKt{Ozo+P+MvRhYf2GCF1Q9LWpIJ#9OQ-F)
zu-JXi?bKFbE3U0|MP`O8WPMkKu2z#a%h}L<sb<HOfD<dqp1ApCaM)f9$P8-#?)*wn
z*0$>5#!5&1dY6*VO|xotuj|~DRJuvV;>Ufl0P$%$YP_$Hp1vd5srPYOrSV*?JhrUN
z6>?RLw+<JkD9n-Um~vF-+3#mU(Hm!$Ej&}Rey(>$fP}Gt=1;qx*oX&L=2Y!JpB4Av
z>Xr$qCZB7UxT!st_2X%YI$qg*WnoM~XkBun)tp7<|6}IF7;tT!wkd6WSyI652mk+m
zzpofwv$Su8vI(=_OZT(Rw$ttY{YYLJzFw{>YTxyYeKPMV<y5`iOr5K`E$I1#yVK3N
zc$xj|x&w@PwyMpov43LBD{5NxXhWr=|NbYNwSu~4?<<Svcpm>yD*jM;?j(`(^@ZVW
zWrnL054Q#Ft@>KC|HvunhJ|%6cDhV6nap$J5X0xcTAIOD=fv_#8B+IMKghkMk>|xh
zhb2#{ZEx`H6+QEP;qy7=D$Em)H3Z+kyt(TNXrtenh>c1qTQ)n$8m*GuIdL+#n_s48
z_Y3ECKF>}eRi{JNr6NMJWOsK8TNOpwFI1Z?#bx?<;okYeQcmYDX!=@xtn;v4wAfwW
z#!UakQ~vqaT!IRXpRX@ePQ1?gv}AeL?8yCRf}Y>wlQ1}tF5Dc<Z#(_O<g`hQ8q8<D
zcLvy%GAHl5epY!)JCDV22bHJQ&m+p-o%4J8+adkT_umdPA6XbJ&}W{wELm*D*Iw6_
zR6jil*Gbb>D7$QV)%~!gPs!8Xjd#Aa{tIn}hE%@{j)XG34v$MJUpxBb_I|jrXTfy)
znkZq<qi6T*)^GW}j{8`5zq7Ue6I<c!6(1j6TJA4Duk-b!Jr2f)Co?|#{o!tD<IlQ?
zimqv!m6#ok1U!G*d343p&J2G4y>XJ5r|Vh=%ZC<556sw<e2?n{?ACQUd-L^^ra+a<
zPAenv>3Py`!OqEvE10cx=JxBBwlmR@A4DfE@wB^q;o@R(x!K}hKC#ah|2Mx;?(gvv
zYb;MMslEL>_1Kc-v5%HMI9sx6$IR`OirH+g-C|Sg{{BieH*~q=tY4x3$;+rAQQ?x<
z<{9q|3m@~h{=6se{Ks07!<KpCwD->EH*791dG*)sLgeSab^*&Q`gmTPc3ARq25VMr
zvS8xO$=q%em#Dtt5M28!$ztJRk4ycZY)qHr|54T{c5>#}U7X}HNo}Qj$(I+c$Dgt8
z{qykhED!Cxw72^NICtww=-bVkD=svDw(9fvirzWlo4igeDtdQk=ceT2eDl9szu)sY
z<-vi*N%Q9EEq3ppcI1eQq}iEY`so+>FHR6VCOxrHz~DfQJkuoEJ*=PiHb41%Eycl@
zO-c8Dw%h)<&o)#ZEbFRdHWK*AvtWPt;*S^jk{YGXu86Df)00@3EZ^JyMWnPPCD>j^
zy`-hD+(hn{_Z5LzfqOs9xgao$aZB5UiW5JB`aR6P9!~n)RA=`wOR#&kUSY_Dv^3o`
z-`Eea6F9!bg)MU7v@CfcFkAf1(wQ$(4kR@EwA;hn_|tCB-_tiIG8*%^MD4RL`m)1k
z&aMEV`R{Vt)UNlXes0Q+bw7NvAzQ*heDcML45i2gZpN#`=S}8zdvU}4vErW<E*D^H
z0c>4m6>nbQKg}t-@Ud)f`!^xemb4Ik8+DVGG={Z`CUQmlt|(9P{k!4Do(1#$_e6<g
z9`&8RgEdX9zLR_LB!`p(UwI7f@4nZ{>IZTd!cF04xu34j)M)=+lR}h}$aKcC;G@3t
z8u^M98&5FwFg~l6m>R`oct884n3OA<OrpZ2PqwqgWjKxJo4blHyiifK(KY)-!#th^
zrR~R@Hy>j%1xH4Vfzxq2DgKw5uQ*OFtBde^!6ExT!)D9$6^bT{s~%b|R5W4E;9dA}
z!sn%O6LVg*AAQF9x8~8+MIPFDyN>7@7?(z#(F$8L19bPxkM$lCm2Q4^wqJ6g!oXdi
zgD2wv!{@zhej6^bJgb&IscIrwAPGwEH}@<EZ&-By_(j^dXl~q@Pgl20Fm?Rm%+<?Y
z%+h$GfsM!De)a<vY2So>+b702O=g^KD3JMc-dXM{R*he^GQG>iqqns2yg11aRi0sE
zlC2Z4Sn?|O`ID^5C2tmgoG@=u--Mi3?N3|!E`01e=3Lz=<pfGj!ctDg4c7#u_$}jK
z34($yC+@;|^*G(GEsvIY-(fPoyz=OpHePA3udl9te!FEtdD4q^5h?y>9841#c_k9|
zT|dBSHtRr5zVgl%HD<kJNMwEC3i$HdLdsu%TL!P>g~^Ot-d(83xE6t$e#uTO-I0xc
z41Xs$J!ZAy?^9;ZOKA9ck2j9%p4+qE4|YDDnxb$=qGL<V`Dd(ql_dV!^&Pw1tsCXc
z_9ca3t+k2VEK{)+7kgf{K7Z0AX!Y%4g@=EjJ1FxOIk~^6aDTjTuM6)&P^fY8E|hf0
z=ICvoqyM!7l>5!}UpyCHFXkFmcv&^CapuXRIucV^l$h70H~hT!RZpjY>CE?sZ{yGP
z@yvjx*fTEef0Hk|YM9LX%kzOR<-lJ^iZwn%ofNxs1}na-oBp|J=8FyWI=eVpk8PgM
zc(0LX$9zaUw4s$FWX6M<_~wKVp$?uM^BA91U*Emq0H?wI_U-kS)<y|!jUK1J=foKZ
z%YN$zXW4JY2dpPBem8EoCM(5%)`0s;hTGRe#YG1>WPfMaELpxnS!MRGM9YQBC3_Zs
zoHE_W*m!BcLMMUtKxYA|t*nJk&KX7*q?{frKKHg&x_O1a`z-gbpGQ`^PK>xS$D(wh
zirw9ts&bps)WkQh`FMQwNe2tV12yt~_s-ahKl}aRn|``G+ZlrepK6nm7Wu?}m^<^d
z#Wh!+lKj}C9}07hrrnC{)pJ_-af@;f+eAiQNr%^bz3t0<L|3#|7rmPD{lbYOms=a<
zdR^L9K7ZDG*eOd0lxDhmo;*qE?C;-xhFiQ!CwPTvgE04cQ0mcaKYH}2r<WJkk@717
zEpEAvpgMvFOC1rYqL(&x|FVTL_dZYbwn;hbqn8%>xIcVyV?k2GPrEsLX4dN=l2gOa
zdpyzaq_f@kg|{wFJZ!*i^+HKR>U^!-@}nsVU%;s<xJTi$826Qh{Tl=BU4&-%De_54
zNh-R!XE%ATP(Ce^#rpt~^Mm=UU$5Ex<jhQCf&1toe4ihs29^>tkA1X4;p~>hJ0zEA
z#_5C0#1lJ(x_K<lGJM{9S#HDke`mgb`{Z3>^gxYm#{0WZbU$yqHSzt#)^Je%KX3DR
zPZN)e9COCqg&%h$h*TP8rXGXUs#k=>tJb)z{CC|78t>N^En1|atjzq#A&bLl@iqm&
z3=UtmDCZ0tyUQ2k_~UKN^miQDu9LUxNTB1aO!q=#kKjFVZFL?CKTarC6_YHO#rUjx
zxoyJxzcb&zeLDR`$^l<copv(%S?*Tl`<p8d?vbxxmE=fgyKsN?#flS;wj6rY6lmeT
z`S^bOvy-mOPp|Cjd9YFNp3U3|6BIl>J*PD{SZ(rIc#XmD1;^hv4v!_z_g@L=?Cov+
z(I?e(VTEpou$0r}Mo_W&6Rp9yVaH1$ch<~hvPaJ<_<pRC{H54>%=z5Zh?E1FY%|`^
z{noJed-}fZ)8iLSW;8cEQ1f0bf9Ce9eKq}e>SRHMmGOCt^$N@rkAutbr-CW>k{Ye{
zB;SF>>GR8%FRNNv-6|3YaG$1mMd|=2q{c6kK&$aT{hd(d;@%%ukrQ{}w7lKg#KOy}
zeO#A(th;7A&U*CK2vn=I&(Yp^qTw=+!F}tujMeYY*W~N(cTs1~OKSLe&-b2DzV_$6
zmFFs0B^8*TNjTK6cY8c@cJKd-Isw0*pE#>L2Ug>Tqtv)hm!3J}qZzV7;7B6=EC6dJ
zZQ3EJQn;swr$v$Z%=g3>S7d^d_VMTcFDgqpz{7mzd)ken`w`EozyItJo6NY~ut864
z@y81_n;d$<?Hlo)3mf~71<&*H^PBeLN5yHs#eI<cXytU=TFT#2M^Dc$C@4r_-{Lj}
zlPvB>Eo~Q47MQjib3Qk{%4O3^d9m5zUp{w+cSqbQ>0I1oGWqCPh0e#RDGEH23H$h+
zmp_{!^tra;_w>!m%xMw{`^*nd`+W?Qyl<~x+#Qf%^SyG8*yP3w;9||+u<1;+PC&ce
zF{es*b*Q`QIyyTiZrNgTT5ln$yWXtXd~T9)`Z<RhcV5SgD`H1Dc^94pS2s2GOXM!X
zn&|ER*-)2V?t7FrVOhmq9#Flf_}oj!=z$6IneTqp2k!kgzJL3){>76Gvn3Mtl~3M&
z=606*K6kOWi|-$2*nIB!%w;4{2`(faHw8X9x@4-P_0EKrzFHHxAn%wL8-<qsihm6)
z7~;CSx+eAX@N_V*ROINr)nu_y(L@r|YWm^hTy0bH@y4D9Liab3m%h(GWBpwBKor!~
z1t%rN?#o==+-?tL&dUA&XW|`HKTma|xmwjfX|YQu7bVO0E<fSn;W1&!5|z_?P*Qrj
zjeStCs+pPCBWtu`;>mACl&;p|uGyWPokpbx%k?(SNSl?qp!&5|TYs{)nQ`^Xw~sIK
zYnse^%kqIOMWKbq;C}mroc6q<HSYyJ?$MRJ(8Fk^pYcp!gJqeCzQXo+_x=5gKOT6e
zAS21)&30jz^JC3R6Dzw}Uv1!d{KnG~5}NarG;M8T7cE|_%g$JuGSg`-<D3hER`)Je
zWV8o5gR46y=L|M*HHM>*O(`_?cvK-N$>Gd4<Ne_aD=n8Dsgb|;@9CQp4U%j#-k;s@
zbl=9ZC)Fz4pwge;GCXNwV?ipUO;e7yO{1xwnwI9Z(5Y2{kGO1bx$lwM_Nly=B=_|2
zSe#+_y!SBQhM(Wgd^Z#JsW5t=1R7cSE^QL1<`engvUY9tmTBT{-wP*RXEMLqS0n%9
z?%#eFP&@r!`T@a|25`!AuI^yX0=L7Vf%Pe*sA$uX<Hw`Rq)-CuRAOOa;iO5Em_Evy
zvMdaY7Em{7QDer|gW)`Sc8)Np&ol+O8ny14ofEg=nQ)vh+Z<3SruoddIR4r13m?wx
zp1^2sAi(*v?)`ktpl_>w*X&9@-@kf^&r1vQ9jCYSmOZI{^6fP!WY3#C+|$70q5!V6
zrg%>PMf3;0B3PE5*ALCojt;Vs%L1|)AictQMG~9b{CG2U8BZUxT&Q^3?KvaX?5wnK
z$IRkSIdL20W}HxB1l0|j&xr}1Xt3ikxc~Ywx3$j0q-nBigeLRsnB3@b^84FeYNxuc
zo-BIsU}BDYY{aG+CTqm?i_=whU9Mz|$c1O~Jq#Mp1i&5G()MGIn*xutuh3+I70@eH
z^}fvD^1jYn25I7Is=K+mdb+u>9hr|-Lf0%?Xe+m`A}6k(Ghcb>j+syS%=BM~&Hy#|
zAx-%W#~42EHT_qz|5o2oohNfH{*>&6)gdlk`@V1e?PT$O*Mg+eeW2F&^3c=2Co}Gq
zaM+)|@Z*G|nab<yEbn}dUvwnyW@M6f>9y06TaFnqLz@H3PxwNz`)iwE_i2__B%u`>
zBD-7Jb)y#H`{aZAU%a@;-G7$5>gVC*rW1qioKb23b%xYH;R<Q>uQ5DOlizE9cm1|x
zLvG9Tk~+7?u+nqll=sE&AfpSemttqD9Q_=BXX;tiZAr!+I||cHIeJ+XnG+8){Iccl
zUEbmLOjm5hVYQOIen+5Hu}I>}moJ56Wpgb#P?}fH);(w)EA$qEOHg6(!~@S*UpIE@
z2rZBg%CLEzBiP9E;xNPKy>|OH92U|`yLnK{@MMFvWXF=4`Q_hR3+|UXx-OCZDJS{z
z#rHxJxuSJPl$al$0=E%N-sA1(1;?E_b7qQDE0?2;8(LL^-m?iR3>E}C>tt@~+F}nD
zqX#UYDrSS+uIgJGXB@4OxBCClFNNWx!;+`J(T0sChGcc7y6<!M6NzmBHPpRyj0Dy}
zvi|3DDE%G(N-ayv$VZPK>st3(!CF|LuKLprJ9b!jdU`fuw6HKcrfRdr*RX@y`vhFM
z=B~I>;&oP0^|B|`@7A=1C~Q2@@LLi*oYIvDYFgd;YZ!fj2bxp-KZ*$pD`#eAA~*iP
zPBTb3+ah%-ifLMJv6J((-gC_04l_o)Miovz%YBVmI8wy{G<b0BrV^9ng?7eg)wMN=
z?W!?nHZ_MPO=2uJ63F~{Z*Cmdy)5fbwwpe5)yca*W<77SUWIw$VQ`e{Nx1syD5brE
zby0N-7qUY}%^*Fb?XZ@z1zHt|+)|zxb|>X?Q(R*wsEPa~sr%Xl#%vyg`_Yg6zps89
zamMMK<lOleE1->ehb2#|m;dDZ`uFXL?>TWCTg5BwjTV?Qzxb|WBDcw6?S>r*OUiAc
zww{Bf{q3BboRg+ZNtv4V>V#2q6|)7T4d3(JJ!{pGW5=B498VWS?f;+*kpI}CCe$_i
zFPrZa&S0@0PZs3&U;A|Eg`M8V8J5OxHS%U{Uhv=|sMG=1a*WF*6ZVNG?km^h0u?yV
zWsLV2OCB&5;QT3fepa=>+lu|q&t<*)Xs@fvyfA^`@4VJy!7`BEP>0s@cMqX~cuE_Z
zU2i&GnV8D8m8}3$8ZMM|L+kLPx4=L}b}8RFeW61?YhuLAW0hQHd9pcy#)LRN@7?L(
zEY^B4-s?ievl$OFKw&m<!uzk^8unhh`LV8liv&E3TeO)$y`T$wHls9MovXU6oHnhL
zpC$}xu~upt8wYcVYOxebnBuPhHIJSxixo~i`YOe;;Dd)5Kl%*ATaCP;=tyvz%YmJ3
z#`~G?&mL3!yf^Zg#XRI8__96smD3wRU0`rGiX)s2)WBI-ncj#z2D;p4>YO<`A3uJa
zHrEZc+KY&ZnX_cc63m7ydg<a-c=`G}?uUQ0W1bdRcg>DDQ`EU5Q%&;3VdxxzUe4pD
zpLRL1r#mMyUgmM}+Gp<TGb1L!ecy9G>qH@$Ic$;)kk<bd6HwzTE8sx}*JD`ZrWzU=
zPMS6??PfJf<Z8AnW<R+y;hNBfoH@c$n{rCz7{Hxz^u#!+Yqn*1d`C;H_>{|$cS<@1
zGSwtG7(sIaiU~J&MjPC>K5qNohpi-8VbZ6)d3V|m$w6{1DA=4HD&K47*)f+9G{_ZW
zP*mO0daVxHT5!(l<hEVd`#RwMSx7%^d5wUmsH&u-q(FH9>fpx5nQh-HemvS(c~Es<
z?~+Gp_wI@xs=dxC+EgZDK5=0$C=-Jt2-MGo&JN7D{OQr(lMP`!E<yXQcl{8MOg{eG
zcDA_F6PtT&JUdz#zkJUE<=)&q?)AtOZ&~N`jI;$j7SN{HBLhW6M^KY%>E-7ZuTB`X
zMq%V;0-coi(@)1w^Eh=r-}BDWa*s97_ZR&C^1|LN?QEpl+uQf_jn!;r-(61q>~y1g
zhOi2Aqp?6{cjTGxjmO<I+!pPhvf!iBLB{uvY)(f(^-93URi|s;WW+h-?e9BxKEo#V
zkB9Y%hI2fi!QS9Iil9LbEBD2vw_!#0#@X}c>0P{daa!jx<Q^9PTZ1eP@ECpu$61Cb
z=L{S4-hpuD(X-I%;b{qSuCC?qDElCG<~!e{^U;$T&w_>$*GvB0HappUpSu)ztOy(r
z9N04o+GN4JPeEyE%S5z7I35|GmDNvbR=R_Ry*5@l+Rv}p+Hur(x`mbpsQ4?2zNs+x
z;OP?$he18_Hw?4$&)4J|A8b)))&sR^UC(hH3bFoFE0FeM4-4(9+a$!mK~5Y8&OX76
z_pwjbDEMquzOTGJ-W}BbcMLe^eZt|0A!yLg-;!nK_J{?1?*+=Cqkd0+&X^(L>FvE)
zhJ~Cg+XfA;$U8ifEaKeQ4ozTu_S>K&t54GqJ_^bBtlBtdXZ{-5pK_5E;Bm-zpDlFN
zm=~sldrjg!PV&Ne`xe9IQ??#yl`~&`WdB>}+`-YPkdP@UDJehH4H^V@WoF#|7N2_H
zg!t3P=l4z8eBRDmD>g2U&E}q)Tvko~x14ixER`3l@7F38+pApt?}onk(Wz6S^Vev7
zR_mWIqj+&|;d;Hg@G4$O{a|<gDcjCUvm9u7ujrxU-NsV5QCN!W&>1Fu$83(?<LOej
z9zX0p{)~0{k7-apzSYQM%j~*-`U1ZuwCU$(e4yt27WOxL?LY6``$uRl&yG&UXVvDF
zr}wNads1ztKjS;|dIjc(XBd7}n@aIV&IyZ%G592ZHpbx7`H9;FL9<7ivxS9(R5Ud;
zcW^%2(Pj0?U$OMb{Ka#WK~n?9^W;~q6q1(C&P%d$6JN4WRr}g$ZsX^tx7+_wdhRw~
z`Q!F`3eHvK6K1d5Emib>k3#+O|H{+vUyE23SK4ZGb@5!0y$@<`{yWX5SzM^Ovh?`b
zn}_4){B7fr`8vr*=HB&;D2tLOEB?G+{k}JQlbtPF|J0T1_i666-fDC#@~6T^rme~!
zTO*6EiauSMI(b)P+@n&N+2WrrC$*~u-p~H&^I87g!%sfer!Tjb9{XQnd5DuO;!a7^
z*9&(+eb!4=#EfdKmi;NW^RE?X!X$lOVVonIQa`xtKBatO&rw$8|AyySmH*3BN;me^
zn#|i|X$$Jr|Nq;%Wh#H^lliu<PT0F!x-WPv+nb(e_RszIr3)RL`lnZj#~X_Me|@)f
z_nZ$hpFf>@rIH!)+;8Xpyr%jG3T`!DPKpPujugN7_o$a<^sXuXExTuxP3xLIb@EMp
zo5#gx9xguq<Zr3G_u=YCOCv5SIYxZGuj;YJfp?|M{Sdj#sQVdW8$Ts}cB=dK=7P$r
zzZ1@z{J$utcizNI|Ht>O$;uITN_u`xxHIGE*$EfU%$~p)Zg8OHJ(oFauFB`y3s*im
z83~ku1~}$=7yF**tLabS!BS?hD*x{(I(Wj~{hr%`Wcgn4J8SmDyUPAdpKSH%eBe1j
z@Yr^=u`zgD{+Zgd<SpjBD`R=`vTyjs&9yl5X(D%8Pt31>?U&YEo?P^-|2+4eH@9x_
zdtYWN_fFb>F6}|A>9n)l|95hOI`T#ve)xPopSHv1@{K(Y?qBcgO(?u<_{zB`*}7}?
zinrw=jG%^}zKY)?-xCfU5()eG1uyd5ZTopo@lj>8(E`vQp_CPC#w6LFav5^>-%m^d
z4Mrb<By?YH@tzAkNgoa+LS`18u7r-$zcB$-Y}*)iM1m)IkcR2+nOxa;-{a?_+43o`
z*}1v*xZk?}(kSJONoDd+Da)!iEq5w%QzcD56z=@IO}aSt`_t{WQ(x?moV4Yqx8-Y{
ziM!^_l~L<`kuJl&&~E>m8@l1wDh|Bf`>V9p<rx3l+&^z8%AG&av^~61w*1ZW-k>Lc
zKBv5U^!>?3yThB0^VBWcdwf}5+<H&jiimmhjl!>~<xad`_U@}m%N*VCupJ?BGe7ji
z)cdLiNBn!X;z#D~>=iYi51-$p|8&6$-pA|r?pnn2`gY{2`?c=dYFW=3R{qRc8M$|s
zVcGlm-%nSjFF(O9z5PU^v-^@8tgcT!eM)_DcINrhsZaGz9(#O_`)6!^yP9Ismxd2B
z+meL3laCwsp5=~^cf1&Jr{&U0*%`7&ea-YUo~KE<urYz=BNS%ESa<xqw{_j(hbau_
z7(Uz130lkW_QdxUZ%su5L1QNV+Ub`hj238uo4A{b&TdFNtiV%ru!Gxeg2N}KCQwE)
zvQ$%ZyJU0wLiFDN_bU^xN}Q^;1r3j#ic9IeGrLXeT80w8`8wtEc28?B#@}2ZcK`L(
zSD8A;?7x@r?|kyeV&?vmr58_Z$op90x#RDBwzjkJHOgCOl})YMnm65X@2%4(x9ne<
z|A^(My0Y`Ob+H<Ofvu{_kEgu3^LzQ4dmAFF_~f+h>o@7#)>Z8ioyzSkV*GD=)EE1*
zM=e+K|F$$<U!C0jrZ9Ype)fl=H$OaYPOm!f<NLF@Y+lXPSyj1lH$FeF+qt-~+Vc0C
z%}f2Chq*mIx-xj?rnkrAmtJN5x+LY}BF1D^qvQL($xpa+_0=hZ^Lc_>v+le(XqQ;<
z^;%ac8-M7!T{hQcUT=GoD0BYe+St!;ET`$#PMjlM+xKyQ{?7GlFK$@SH+9F3E3HL8
zP8j}twR`@Pi|tZgXLkOVJ$d+9>mSKOe7=kJUH>#w%o3F87tFusU?XPUn3BbD^wF|5
zzlEMD2g)}c%VS_rVDNNt3{hm8@m^NR&SiTlDDUjyl`H_YWc3Oru?oLE@%_XhZSa(!
zgRQ<Qvmm6u`IIB&-lHZ#@t!wA=Aij458XibD}qtryt4AAo2zT3*dJqs;P1;8Dv3T$
zee_}9rIlO1-xavh8@}$o3hyl&#rbRJsBaJ1t#*5wTmLt~tpWe{hkov<Umdr9t&6Ch
zj=b*NR1>Wg=lkx~pAg+{K5=`zWV+6U^xA1_BJ|H%^M9$%ak(1B@430{`wv?)C)wIU
z|C-YEXSs8$_@+Mb-+oFw`&qiVyNFAMV?^T_!_}3F&);#*oVk4Ip|!nBd^fZGlyd#^
z_fquFj9bP2KW(oypXL6!H>+JO@O^vDGS_pUls&<HhOL55-mSx{^;Upd)5XM2V+Fd(
znMz|!WKD6OP}$Ax7Gto<`zfd@U0JEFqT&%0G%1y9h4QNtMFLM59YB*0GiT45rL}S6
z#tAE{FJJf>e#NA(RAWWu!_M?$r*}O$|73Uny)&uDFMYS4k~VkVlq>JAoql<F`O+&}
zzn^Maxwz*pPf^{KM}L~XW}kkse0Tc)VvX72dV7{_`||cv$BJZCrk_8u;yf%1G!|G^
zWyb}4&;64TuUU2Qr&0Z>6E%NqE^XPjadXr5{#y$lg`O9b+-tRE!Z%%M@1v(rRmvWJ
z*7|45jfI|9ek;z;ocP%%`LmP4%<Bscb@HrSYC7_=-3x^?tv~~4#7tV<iVHr=hM2aB
zU<(N}eQn=5=X3q)|NDjiPy0Mi-R8E#_ZJtPg@lEB{|mSq-&mLoO>7&q{`2#KCV*2j
ztEzUnxc}e2wQ@sDfcure4I3Z7wgSxsU-<lW*+Q=EW@dfz9$#b%AH2T2>UP4-`Bfg*
z_FC#*pP(CGqrKg{%4eT{)oF{UMW<r<Pg>5KtF-B!=_a<-$;wt+_Qc1@*{l5i6=15r
zVp*3{>gv<k`h4Ga7duWX+&4>n-~X4}8=k#bUAfTpx?_>n=P5dsl{tEHf8Xv|@N7Zf
z+={|)(w}}_w?A>$G=Jhl=3QRBv)7+)1!YLV9F+9+V!5P1|50CsnNm(0cdT3(oFODx
z(82huy1j${V(!fM&MqeT&TKIU7(Vaio|AIW#ro4;*5XgGU7*e*q$|<JxHV$|*WD~d
z$J#6Z&;Fbb4!EbMr}ytrQ_+(!<<OY2GeJbacPHDz`H2}epN&7&vX;8YDn9m11g-X2
zo^u8|!nf?5ud}YayvqLSzOoyelGdHC{&A}^@#ZStPYLaelQ!kfEzFI%TAlK4iObHf
z$5#E^&=nit;uZhpKA+HwXRT7bw@$~J=AGKQ+j*McsdaX9qfah~Yt)_g>yVdig~bBP
zS-EimzViI$`@-A4y?t{1{QbW_X4}}OuG6VX?=2K@UtInE%)Yj>+&^D#cAi`}SL6YM
z-DRccGmkxMWpI6X#6Zk^Zh~#e_brQebl$2vuB*Rj;b*b;E^Kd78-Cu4tDEbVzOVci
z^B#Mo;j*$P)l24rDi`n|P-B9GL*0D7Xa5>wny<-9=})knA$gcpSx;hSr?_$QMbIQ*
zu_t5_(D?Evt7%q?`gGU><rqMzXv$9Lq{7Ty=DcfvW^BHaeKlCjuR1KsY~|~(cB}3F
zxV*Bz<ZTyi>7Tc<%=eqb)g2p(&(HsKbk@&f`|lRTNAG;q_33Ay>j$>3ytsgGQ}sl(
z*ZWA!dSCXoO8wL^-FT_8X&PZy^ry2XvKqN>KFeKhcfoVrzD-SMWUNoD&y8e$SNEbY
zkXLb4qvC5&>iKeW;mL-%Ptp~j*^kM~)a=2A9huB4r5tYs-f<C&1~v5Oxvg8GnR390
z`OJ6FJa5C#xa{v2EQ|z#*k-(U_9{P-pXR>LJ$L=e6AqxsDW`V^_a^W>No4q0drPnA
z;x6aMsZXVjOcVo6vBem4rRW|#a>OGlY8FxvWp90<;#ABN<GZuluGsFfv5b1AQ{_JI
z#f_kMt78vuj-Kps>6!kLN2yPHlvS_1^97ai@pZdn-FV-qrFu`FWPU$ZeZ78dyj_IJ
z*&81nYA4y>)%tFCC-#-f9ksQyuT3@*pFU}FX8q}XQ<^U=i~Jk&X7OxsH=l|~wKGu)
zpdJvnx5(t!>i?6@_1k3JDF!uKwz0ic(OR`3oxSZ^QSQ^OWBdQ_D(=4%@KtN)$6Kd%
z=4f+hPXMJs39Gy|pQ_4*K2jI&XZ*YI@FwTuU)froS5Jjkl-;w??YA@Zk-8`S{-b+j
zr{(vUpU>LoFTEXn-g|wYZc4>}y_?%MS1Q)GZE&8v;{8@w{qSPBIcfP9G-wOTy*dHs
zy-zZ<FfO^Lz*foV_?oYGxsThY6QE=n=>1<VIr*uxY@mDCqvN-?zDQ@6^1mqq8T^^B
z^7o|)O!buqjb{G4B?Rg!ooA}t5)=HgNb<(tz~qZDwg3G7ySMesRO6n$cABz#|7qXr
zvAW9hYhr}*_8U&mouB*H&&_X^ZuGt%VSTdpnxMYstgEX}HmzJ-)az`nzoNSIg}Kue
zJ;7JS{xzkMX6ZHGY_Cq_U#zxQ>3!{nz;Ayx#xGjDc*&)wPkWQqLN>de>$lgbFE+Wo
zXMvPW6|bcF`!$}E4!iezwiczPT-$NbE%?O6Wc4M_Cv5z5Q9b^|zbnmd$tP2vdU=0#
z`+nkr-Q1qGo;Ccl#Xp@s!sol_9{*3D?m6jFv&9wq4XPa??rdofj}3UMk*D;W*u@%)
zyKJ(|K=T~)DvwpNN**w3xFUUR)Bg*gfk{p8i8kPd<-|2hTUuB=y}UO0FqXQ=M)EDP
zVE_j*qS_T-qIiOr<B<k)PTUFq{j#%M_R7QBP!_YKxc6Ch&z7=gPnacp)VCq>rU0nl
z0WNF61J32Qn7`ed4=S8G{n+jtVfegv_MX&xVb-5)v#NcOTLlS{4x*tO!=Ac1zvk<m
zJ~jJ|joBf;x=z_BHrN<drIM}f-Ot+3Gfw+GJhYmqvFA8H+f9{@`uCq~YCG!NJD1s@
z_oy#JXNv-J;vt65wKFU(9XFZr{_ySnb7UnAK+{H&+wPcO19k2g5uH08=A1YQF`nFQ
zafg|<uKi-g_wGrP;4jIxW6oCX<c&EWd9=)1Ms&$cP$TN77^tr;uJU$I4^PHPhR=Ja
z<uytkt>H&qlXd{)CLT}&0o<BUkYJl|e|huHdWGwt0X^;%zO-W-9CF)qzxS5H#)Zzp
z`fL%PJ{x>6a?0UHj~=P0s<L9v`{Nu)Q|i_QMXJ8Xtx8GB3zHb1RqNhmGVb~*=cd|t
zqT#h<!oKpo+}v{-WPir(bzZy;GS7d=+RDP(+@Rr)UGDW7P)WM7QW-StSmruOZU=0(
z(nbV2Q#98VbvRt&(@Y{}fuV~!h+S^d8eSsE)%)D8|MH`PWQLXIyLW)fn&Yg>yKUs>
z!Q9jiT2OT;*gkSIbSa}|9&DPZ25smOd76mK1y#!8!HD%G4XF$(&DW&<U$_voBv)*?
zsF--qn=TdGg1L}2LjIK+kTGT*>u!`ezsEl(f}6;+TZndh{bJAx-Di@|MN=3~Gt3rm
zbL4OZ&E+cUT@(XN<$f{d>0Lf&8gvx-uRC&d%H2xz%X~3gzHZv?+4g$;*VgMAALlz|
zzP4<y@YAj4;VJ)rvv)5)Y5Vc&#mm>()?Zv)yQE;D)25tr6)SxGGB~Ul`6WOj&!+KL
zelHBJa{1j;aZfVgbCb7HGjw1N|KR2C`kS{lzUE&Uu(i;vwERxl-kLq%M2$nTEI}E4
zjs~a~2g_79_B3S1akN#(Uw;v@psw`e?n~JxDrQ<$E!dKKPhtMa>7n^5*5Cik{QF*V
zx82l3t=x()IjnU+a}?(dOyn-zydpTs_wNSidX-b&GiS~WI<fge?~ethAsgL<f0iDf
zSF_ACHz0c29vidY_oqX;ZBw#BwN75+7kehX-~Q_Y8QVIie?QfoSKawKLFCGwf<H$*
zUm8}d`SSjj+p4gAOpe{DJ#Y8FnXvG2+KE~HX4QQ^EL1^*9KXskb^GnET+sf;{Pwr>
z`K#O0dN<a*pTmF@d{1gFR&7a=zR$aM!}GsUX8I2-x3W$=>KmPLmF-I3BehL8nm}_S
zTMAWp6`2{>X1r%q$>KR!vwmZ7hLj|SA+z7p-<A1$^o5t}O_*PQQ}_9NdFAl9K)<Te
zTt$A{iu?}AAK%NC`=v_p^1L#x`}BI#+u!jw_XsfgF90PQ#RU!96_{C#K!t($Rq*`X
z=WV+k_C7!VH|X86UvX#aUfxt+dOVpw^}ny~FQ3}4zAx{toa!TU&-}jWszSxbS2R8U
zm8{<K-q?vNEA9uVW508H+|;AS^PGdzB=w&jP+WO+O}EJ&^|*QRcP<;nIWoY?mkMu4
zTlTcwf<B#K34?~H7Fo-}Z8iVC^3RJkUi4(y>vhwf&wHo1{a%D=0?%gVxsbI+U56oa
z=!V|QuYb(oUHPo_Sn0bdd2eSu-xWXc^SR00-mx?O<}df3KW%$>-h`}BZO@~pPyL*8
z`u@xR9zLy7tY-Ruw*GIJvMwxq()9DwW?fzFSh10*=-C;`l_kfUi{4%pU7EaFO{h3;
z%bB<xF=Bery07og(c7ARZxa8$((j5wqM;Gb{Jgdly{@@#wNUW|i%kM(@z{jVuCEQ(
z=hm42>7V#=y{=)&&%gfrPg*{AIl0z6WNM-F{0XnuuWTvF)YCE3zu~4Uc4OruwK6f6
z&qo==H%myTH1MQ=*7M%mcmS~)YR-x8-P6`gHJdwsBBTG7scl~$J-zU7@u!#1Z`<(l
zO!t~Cc27L#%$bv~ZY*!xt0JHOuj?$gU588V`jrpB>#?s!Jo=h)K#Msi?!cQp4QHYk
zY6a-eGQ1U$a^`2JcBE66sP3e{{_Xv8lfPfD&Cz-F{LlA2h1;tRs~5$;j$<{`*QrWh
zYjY{NW6rI=Gp}xFjobgSKK}G#f1b(r%ic{@-@P^Ua}#IT+X}T~kTy4`_8$JFpjZ?*
z(08|9Vt?KHTiaHqCt5l7$yn!^82$P5JMZV)%jHX4HZEd(I79Zykwe;`fw3;<C&sdC
z6|e5O@NS`-(UNlZ&F{Wh-RnKeou!oZyd!9%>gMN5q@>oZx*787Ug_)=Y=`#DpEpnK
z(4kiD>Rg2_PD_NPHYKg!o_$fu>2YeUxUAWkU%S<!`YV5)$%=chd*O-4{<iAZLw3dF
z?b){Jjkl!&sByUa{|)v1xs~C18bxweJ-jw%`Zt`k<*sx-QmYel`Es;DwmTBKXel{m
zVk5St$_F}Y?r*5f-}z$^cj~t(>1Sq0ZQ7U<r!gZd<)J936~G;N;iFs10d{7Oy*FKr
zK-&~d^Hus6Y6U3QcfPvzjCE`Dkxy6UPa4-+ood=1F8O>}@$=RLQ`c;swqo&tzZs<|
z_m19J<7!YU(qJWYpsydc>U!SdMT>0Q1ydX}+_O)_e{RZZSy_<Xr~EDNqs+$deeadO
z{V~;_vUlUYH-EG1?ew@rt*pa^@3KqVPrW{UkA8g3=GcFCrfaqL$xKyxK3~09jc4k!
z-ghVW{agF}+Q}Q4pP$^8ubU`zRDZ%X{(I_+=fBf?USYBQ*UGzlR)=VmckX$#GyUFq
zk@N4|TW#GNSFkBQInK$e_%=KOob=zfXV^ab>Kb<M+3v)D8h5vT*VnA~cCP&YReb5c
zyX6ZG-#C!`xk-1+BgeTKd5_W^UE+CeY@E@WB8_*IXMxiF`<Hjjj*0q~G}BnOo>4=+
zJUD&tOUpxv``-9lX6V@5E%+Py>CcCGMaOfmOCL7N`4hA2YwbOYcaCpwU0C?`@26kV
z+E16qmw6p`pM8RR@o$OiwLia>ReqXz^3&OE^Lu0EyryZWeZL#+?8g6EZ~BzJ)6ezl
z&vKudr>MOAwDo)SlY!^;Z{+P*x2dOo+x9CvzV6D=DLwak!$sq#XSdr=xLf^Q@^;@e
z-QtNh({DISUEgn<qL}2q&s~oRyj4cASR~(tt;V3?%IsK`#ii}Xf<L=`TH)MS^$t2P
z9&7cZ^P5ptg86(sy%m)Yr_1hsdP<7FV(+(iv#zGzoqc)Jf3xI!L6Kh{s@?qdc>TQi
z-Lg}J)i0~OJ0$dJf9U5WH8(FEvnX}j5#<n+uDAO6{nV#krzhW)pUS8E-dwh6e%;Qq
zKT7BRQoVcj>#Yry2UVKOGBhDg6Q8I_^%kWfR<ci1<8y!4`~AHA<Z5T<rDZd1t>;;8
z{Ls}`ZZhwaa~61cOVqXg#;;Ec&ewMeRi~zRuDx>8XxaW}tdBeP+WxOUa<AmPVD)WV
z_cxIlaRFxqUft-pEp4l2S?^mnZH{VqOqf}<o$T*kk*&=ASuv{%UaAIdIq|dT!39Ch
z@{_JNtyO&HYz_FO=4VjW8^FtJ|L4!{lKQG1n~43}&PbWx5Hde?OgnFXe4L!UOO$QE
zd7)`DyXRh*Ao-g~vKz7(z2jPPTpe>}T*s91!+Y+e$l7mCJn6G@^)cVZmSryubK(qq
zXBvxJ1l}o0TQ;#W+`4PFhZ<-pEM!R;ws}SMPk-NBpXU9&E;;2DH*;?r^VStl-hTeN
z-M(@bv)_qU)oxGFlu7Zq+nXw0M*VzyyS%r4_Qz8}m1$Q>ZtnP%8o9G<@)vm<&p4Ue
z@#}WJD$2aGsDIC&O9GjHFVrsTTbXgUutTS^wr5J0w&wooZS(5hweBo>@}ltOua8Wf
z-MSNBe`7V(m$9gD$@9!mHZ**Gs^$4FiR*p!A4?<u{d50x_L*zY$4~X=>tqd{xGpYy
zxb&lc)xWiCmMl*{(Qp5L%Ij5OQ?j+<<u(ayRK8!FzIDRResd+}3$Eq6U$}tQvxA!U
z)A(3lB_H=cweJdZoA>N;DGlCtD<w-lH)WaK*!kW6@sG~B!|JELJz08lVbQ$jk>w{{
z|C|0?d35cn@K{CLTlPAsdVQ0N7caJr{`xO<PH5e?o9WWmO)J;Tui5dk-stJg#v<pd
zt5c5dN|7{5+qLK4B@S<H`<ys~^$FHnrhwX<a{EE^A?IFr{CvBY-|SSgEZd3ov+qyz
zJ{^;Db!yyt{*;GbKRi5qOpoRNpU?dt>$!jZXE(|_JIi!a-QOxtA0Lq;W*^F4uzQ+C
zIcx2If9LaZ5v$z+ddB=U`{T~p>#Kb)Uz%>~{-*YsWzu=4&rM8cqDpjLTz|5v(oy%$
zy0{;czDHPBMCk3^+4wO=%6duow;7fBd-Y|1^-i$gAEmrewVT~_Z`O?!*H<l1nRjjq
z|NU7HKU-%9JgC#-a&a!ZBi`AGNOJZpm-YyBzHiIA&U$snm*ep(=hc{4|Be-rJkHg9
zmOH~O_fYYo9hsLyI9|6hikVA_VXxLteV?4JGwI;r<tLwto;nd+d;6p_{~hhD*%zAZ
zvKp6qysVgMUcAHR&X&H5dknJfXm3jY?R9he{{=BI8~bW@Jv3ce7c9DSbDa9)|EpvL
zLp~o;o@?{GLjKo#nf$9FfiK(GmxO+<ny1#XZzp%rVH?(+XXiEDx%DS{;VM~~xS88y
z_TBo@HbuL7Vqt9Bv&@~po~2z{kmoz|$DjQXuQGV9YCOy@=QQ5Om9|Fqr=5g#O>8r0
z1PC^M`=pQY;2rx3*`Of`RwH)fWT(&tVbQVAbKdPfx%u?@W#!MG7=PW?_O?fsv*~W7
zB6q<>>v`#^{O30peXl=%YL9tvceHG@>BQw#TRwZ;JoR<<635x<pK|Z6?yJACD|dI!
z--LydtBQL|lRr0ox^MY>&g8%IqrRN~x&QOO|K)FQsoMYlQ|zL%FUbAeix>U1nnl5P
z-Ish;=0Ek}Uu@I0#rwILBP&9Gb#!+dn=I@LKJiQc`G2#||L<=~?N(7&&t5zK%o(5a
z-{;;r%lL1{m#^hp+Cu8`EBtHrJ?3AxYf;TU*Wb%cvf=`cyV~6OntAi<&)-4YN>=9V
z+9aiXZI7YrE0sHGv(mOYd#=uN?osPKs~u-rR>kpDU4Xas(!7Lst#$LAAF~=S4|r+#
zzIM|r@gI3V^o{NR@AF=_`mWQJk0z@){Y@QiUhP@_?)Wp-B~dv?idOB&d_09?ZZo5G
z*X$EX+@OhwWP^#=8yhEU)|VO1u&eI$nYlGWZ=Xikv~%*>;UPcrXJ0tKy-xpp-)!aC
z+iG;x{g!yX>`qR7{?0!2(&xWRs-L$Pnd-~f75R8gS*<$z`hKnLcZ=4pSgg4!uC~=j
z>hk&Q&rOe3d_N$ba`xBRPlum-7X^l0IL95j%05LV+AzE5ovz33Z*p%fr#%w?nR0hO
zw|Bm7w7TwX{z+oKuTMReot-LQnt05-1GHGbB{ovx#rKaoHcNVsc8gDUZs$`}>~v*g
zN@Mt0YxQ9EESZeyeS!PunOe&3KYWqftulW`=z=(d(kXW;a-Y7jU;Vg!Q(xMN%3i;-
z+;`b~C-P6Sn>ycY@7(ODRfda7zbh8~nV#}kVrhb1UT$1~wdjiYY3YCCzyAOJZT_#{
zcXk%19&BQb(%-l0=))gBek8=qcrEqnMEU2YSSzouf1GR|9y}McD`d?_?Qe%#4ZC05
zYf_xh8vf<;S>E$XSJzCOG$|?4o{M3vV*PQqCnizLpS5Nd-xpdsGs9ol=bryA_50`M
z8wEw#SIpNucYcng^4wqjlb)`&pVWIg&a6+L>nkY!Z>eqmUfis9_T0(q6<g$f=0$8s
zztSI7_osCE#UlpQ4~u^Oc`cuEx@+gLi$-NNH})j3uQp4tioCNW(W>Rl;Re~GzE4hP
zsMvmyn1gla)B@*y{+_lf-?zU|Ulp)q7XOv!?eg{0r5{IoKmNt}>4tJ|&tu85H-Ca}
zmC0!(yM3D^*YK=WJZ_f$;^XHhGF#i9dAg}-mbh1J-Fg|T8k76$m-)@LQWZTled7H6
zQPX<Ao?L$a#Ao4Wr&jTsotzpTqvij-+UM4m4bO8D=eJHlT`QiUv_1a%-@kFWnK28U
zSk3eul-d;zf`*S|&-`WH=~gbg+EU?j*b1&IthtNlUe>oV)wj9wR5S9|zjmR}9R=$y
zSk^w<lJ5N@c+>OKQ|CQa`}aFFN8#gC(_?GTa=*H(cA;N-3v{fh(eET^fnNFNCRwW>
z_oY{}t{u&Y2)KP;-15K8X*(Sb1!(;Jay%!wR?+w@ck{g2TJp7@T5CSV{kz++>Cq$k
z^MMK%pXN{B+P&=5-tv2|Ok;xhWF`e&zo+e)e}0m6{_cyfCF+m6=|pafiz=1ZnZLd+
z_R9K8pX)+4`)yq0>T~q>iO$WbcfM50?T$0+voCri+|f2?!|5}&cNc(W6QlYoEBT(>
z=<uj94=E0N9|@WU@j5zf%F@lpXK`L^IKHV;F=5pl*%i)(mm}E@{eD-bleSQfDUy}_
z>uc^S`UV&HFMjCbJEwOEwB{qf-}pXkGbv;2r@!te<2}~0#Xfl<Fa5Mh>G{bI&(ltx
z3BPaF*K)$jJ^HNnw@tfM3j?OtoaN5Ue0iz(K!oI#{d;5TB4;*~#YtF{Y|@GUIPuSq
z)OYb6d{TCylkEOEnbl6%uMqzATD!`^XTFy<pFaFEqpwSAPWJPTHH@!3x+T}^Of;Mv
zH#sgg`o!nM)w2pGE(PUhXg4PLbJNlfkB>62O{ij?@mQ2;t+mNKBdL>%^H^U&r_m-|
z^e_MZ^3hh$=p}il<vyK1r#o-$&0=%0l-MQP?&W>_p>Xn!@Slx>pyf~g`|qf&-*0kg
zwQl$n{nwxW{d+X|EcZW&Q~%57Zgr9UnHT5q+C%rvmCTE=-<Hamh;@34XlL2Y@QD*V
zaY)-pfA`X~HGRiUe9(ENY?r}1Gc!(N!~FgK85kgI0jzW9ovEo^`DfS9xlGH2f)}>!
zF-lyqrE0;v>xHWhKHPKq{RbOkH?PxbrF;86Uf)x2;c`gauV1^2zV2*1w$Uxj{*`3d
zW>5CY-%|@Oy|`z+_7H1rt%4Mnl#Pq{qWO;CHI-5Qm9Z(?Diwq7@ouiDD!P&qx$|H0
z&20~TqZiFS%f0PF7yH$vJ38mztnUDAWy$6aPx*hC{k_lR>a5W1pQqhQxE*-M#g7kf
zcjtoY{q=F*JFn#bYLU6M_u!AxGaD`%r%qd5`SASw>rWP44*%>FckZvO^vCn|l8gEp
zRuq5FHTv4xxKmHw_@ilM>OJdEm#^LN<;}X#ps0VUdbQap@sppQ{`s8bv$N{SjGIP<
zubeD*Xn*(PU*_P$w@vxJa`#!#+=JX~aUV9B_QR46uXywB{AXSS>Z_zFRbE-^5c_{(
z+%dBcS&b*IyXziXAuIJQFIIJWjL7rS8}0J{{Xx@xKa*c)X}+~Qb@H~mZr;kH3qck8
zukYWl*Xr<>Nhrjxi&Y3+cPMZ3*=MYuxwbibLs!$^n_&|k;J)zkMQ-C~r#I`Lo4Ed(
z?v}4UALi)sr92d!IsNM1iy!-r1)D8fw#+MM&G$c9VIklszp>+~_hXJp6aDS<w%@N=
zn{)f$>XMAg`hBvtSMuc5FaMkN@b7MqUC(!4znMON>Uu?oze!QDnV<eVm^bg(Ebb?(
z-`7p@TPr`Ic=3N*wnOoso1~1-UG_0dIaBiT(lf`M*86rHnWm%1&L?YV0IKb~Zl%qg
zC$cqQP2cPjzgGsV>q-B<ceC$9R%;6ZUe<2eRj;9Y+%8s~d9A$pEce7uc{7e)j`g*c
z-a7MpeEr2~AJQOQ-it@i-mU(2@_}IR)5E><PdlD>UK%sA`^mN0t4}>CWL~o4dE?U~
z>Gh`^LCdh7^X#hUp0&$FU&gvF$gOv-uJ`?)0cG#+rhmA+AkSCw^-VMLZ-*WUta_u7
z=fv~7UH~*V0o$2maNqiQWAJjL)Ms;+e!6t#=%?F#d)@Y}5B_Z?Y5t1y@t*P{?LXxX
zDjA+|s4!?a_q}n$rA>boR#rxv?%Wua`uIm{{{G*t^CV60{*c>{^RR;X(d=CN(`&cN
zPj#1D_ukTM(Z-7x3JwI{$hxs-dyn6niTTf4_k2Hld(VP*H#bGbRxSwC?ffRPDQ?cc
zww%Ae+<&HhR?>`C`!06PQ@&oa{ObFhCwFJBiq*Yc_v5O?E~ng$)ejyB?p&Crt!%#f
zuDDiQ+_?ym-yfgPy|gT~)>8jj%;6~qm6v(m^!7Sg8oGYUB-O4{^X$K!o_v0fhO54Y
zlIQ9)Rwv<<9@(FElgh%9KQpQDa3(b}etFc#8e9|C^)IgXSa6&2=V^JYuLOnTrg3f-
zJ8|VA^V35@M^mof+Yf3oKKpdNb8=?U#^=*l`buA!w(YFc3HjeaPj0Q&KK1o_$SJwy
z+otMQ2iNXAzWKxD#ebcPrak}v_QvPsTk<bjRQK$E#%j93akhHT=Jx9HEKT#c=$jg9
z@Bbywe;yY;%g+4IkIu=T!cQ?i{>Q)Ny?*(fqP<`0_w;^T^Yv;=qUo`T+-_-KyFn|(
z9+`or%j86Jr=~6Jt1;JCC@uN*CDZx$tMIqB)=%!4`s8k`&z#w<`}>l#gMyXOYX17R
zMUQ2BpP#SWT<@NJ!sm0-rqUZfW*Q~m3kp2nm#q~#L3F+Usp-@A|NdxRxyFA{&F8=R
zLeC?2zqq=vmFLAZv+E)CcQ?Ar)xWa5`RVEQd0S@$2d&Lgtt@}XlFVK4m2*j@V{i11
zoUMCI(l^%pznnj5X63D7m%us8XD(d%<?W?gB3q|Eo_(r+|GO_$XW!|EdELBpP_{PZ
z6vz1o4kjsL##bJLM&LB<0^Wm%f~MYl)>=?&9@6XgDnh(e<ZP>I_sP2R9~Xa~zHUnD
zYO@pU-OD`JTIX$3J#%wU0{7aAfRw`Ex#i`T=k`k+-IwTJY9y8ta`AmLzx~vm#zM{a
zy!cFC@BjbLJ<03pg=LkFwKsFF)@|7GK_pgRw|ZmEbInD3l9sV~d-iQw6ZcIh<(5vK
zQ-%tMBnLNWCwlSY*WF=W{|`>KNngBua&+FBBpYdV-uX)5v6YfJ2fKgXv;6<*T#AD^
z+k~HX6Lzj-TU)4q&bTl8$II!l^Wq==QGR-Qs+kn`FZ+-0n_RA?H!nX}xnz0e_wKd!
z9=GnlbYpJLGN~xbC|?=>obP-fk9X>o9pOETRX^2zGSlDk&ca3NuDJf}Z8dK-_xx8j
z&0nvk-}6p6JU;Z`>YL7PexKj`+2G?h?@#oqxZ>75dlTlw1pT@nzxv<L@Kqa)kANCw
z|E4$xE&9Rg)))8X)r&W3n_bRt6bCiKcbEN7J+m<+#&hTMb+10nS^kmfYKIud{jw*&
z9o|X5kO0l29e>v9V0*H#%&;Nu&c?%jplus3EMJE$2(vGFc_F`L&94u_$*WfVOt?9x
zUYfDE?#f01e|z(z8OuOpHAa<J8fu<TSby(Br_I+lBIggC<y)+_eA}5ggVGQ)ABD5r
zS<)X2gX4_FCY8PU=bUuTHvCj|R>b4>uhVvC&$@jzM0CmRFK6~IZaEbB{h7&@Y+jou
zO%o&kg7!PNtIV;|+EK~4bTMQd;hy~C^+!`vpHBCmrhIo+uV_c#nOBGBF9ff|{cUV)
z+<Pqd$niX0#T*s44?HZ37CyM>T&xP3Zc^bcdwntIv(t`!(pqxAc&Z)E>i?~MV_y)U
z_u<cuC)2V*dt?t@5zH#9y|E`jd~-rfO5x|vYww@d<;+d}&OdM6R-650OtWQ0=H#8_
zzNcpRzW4jni+YEj{D?ofZ2Q`;ZRzfX!at3=KvOPFTqbcYY$l);JeJ2-#JkH_)tq^u
zboSSo_&1t&N~N?k_pfh$v+Z!r`#JTM=|&5rnNQTLzkO%Zo5(FGYi%xNcFeJQ@>?c9
zHg|j0blIQxR{2`42k*1Kv8UmS*~TW&QWmQjzuAj;qslY(I6O;8>$%ICWxTCGXC5Ow
z(3aougU*v22?igBpdcVS^J@Ek&c%;qdzZiI@9v&FWr|3BFz1W+R{|VNc9sPwNL{Ke
z0uRmp3GDYULmb+)X~)lx&(^E#%X7c^^DkGjI%B;2Rn3y}!|RS+yZOq3{p;$bVP{{T
zJfRrds~dmy`NY2SCw^z!nUxi0RMqDuE5_@-nZ8rSFK)Kwof~r<YUkCYZCVpCSI4^S
zZ{nZ#r>5#nzIQju^Y%2}Pj~GmJY)Tuy=vp+XD7GMd)t3Se_fP)$^0I<Yq94n)wQJa
zKd0Y6aUnhZMEd-C_4?|%r%S~aU-`EA{a(w9o34i{Zp)p%u;|hHensoh8-aIR_Vp|R
zZ67_&r^+CCp@Z?+?>pxTtnTOEd14v-Vc)q;uYZ4E8gsK-$DQl*-c&A52ew0Pj4AuB
zPZcrK&zZDCd$xDZ(KG?^h1;%&90N~x<-|3#@Hpy#Ixo2gdzkcHAuAf%c%|7EHn3)a
zjs#E;?>X~I9JFfh=@#e)jyLwNz`KT67AbH3^}#$5yyWWd3Cm#Te@ch$*7LhK?>a94
zTKNq+lH!W3)Y_ZJpRs2DX?>HGQfREJ8@q1z-qx6qRZI5#y!H9!?G074dv1k=>0Vor
zo3j1?7Ux~xrIp{_HklT9zQnjKK4xQINyhCX#cJ<ri|V`Uir(#fe&Y3Jw-Ylu*Pr~q
z?@wIazpR;t>puDVE<JTMT;loVv^iF)tL=Xrv3Os0<iR?l74^Skwtu_6$Dr_))63sq
zSR_BCuxH+TBKPy*@%y~H<#J-8(|qM}5|&n+i`}`#OSwPyyZ7xd-kxP$wZHjKz1#bH
ziEsBW$KSql?|!+u-QMf=xx-5m_s%j(b6LM&V^Lhj@uV;nQFBE<1rEszEsW28|Cf1~
zvhVz+MfVqUx_!R;O@EqHe8t+j8SmE`op^oH;fF!PneV$c9eT!^^O<SW2k>&h8+#V4
zUZs3oZ^^wkNxYy=#Vqql?qVz6g67ge735<+P{=QtwnEwV(Oo@lri&9JYkzDO1?^Ey
ztq1K^spz}rShGTrrTbSB%Ob_vwg0ahfi}F=EPE;E`0K{;XRNg~feTb$JX&^(@!>_i
z&QCYRZ}&WFuCtY&$n{kJ<LP4s=Os6FPg^%t-Y!0=C-f}$s)-99FZO-%(eC`obFuZO
zPA#4M^zf4ZC-3&kO;4`Ro1!$&H~=)x#(&*hif@zMs)G+_23~&JKR@jGt*+e*p0!?K
zZhv|yTgs#V9RKMX6aSm?t+*>^RU)rg|8LK+1E;K{4&Q$#RHr^C`M~PW_otrQJ5RJU
zuHf$O{ORT5{wKCxZkAlLC;4r}nN3Ol_p(t3ssFEMpL6DWq~V6V!|6rwm*;ItyD74A
zZUMK7$&B}kCPFPdEv(EhYUIV1v|LnqbK?7nBxBHakK@l;4TPG#mp5IR9V-G_fC8F)
zIKisCTgj6@=1J4Un>(D3+eu-rR%m>2VvXG&UkOk+FWxr=ykz0uGNB9iS1xSGD0;iV
z!K7tIOs9~lOYMEf`g3zE4?klyS$U)wbc%$9+uohhw?prQ__FEmF^Rucx8rEB%#p{R
zLhhH{Y)eg<W7L;ck$f`iXYFzMW9zsK=gCT3vUN9Dv3dVh_4Ln9?;G_cb{nsW`!*>>
z*0(U@JDX<Q8>aPVx!e0?CJQ~yI=Lv^{q$kq*D3e6FL=hfwf4v1xAV-lz1NFg7V^!s
z{;jpb()DY!v#0q_wAz~gxg>brr08sWEp|SciR#tcr%s#qSkG&kMpoY!o5fcRrLyYo
z|NXG~V7FCU(%s#cH}zlGz?A1+c)82Aa=q(*i?oM|xhi6k2B#aU7GC~%xaR-*@HuC`
zzxp@7ZlCYF%qzaXzUAm1W-o1Q<@&t0RI6KDU$31{b`zfqi_rrE=CY^N?aPne{%_A*
z_T;z6t;pW9+*2l9=2;+K{$@sh?ETBa!osYjSD20R3lE;?)40SgyfYysY=7Q`psK1}
z%l}E_-b{q-5aV!hPy6~X|4`nA{{5CSep;KRfEEt%x;S@4u6)*dzy5!0NG<o@!e-Fg
z?<>kyRbLJze{PEV_aU?Q?$NV9yThg271vZ%Z`!!J;g`*=qo4QN9=BextS#$$=%o68
zCnvnzJYAxfZ>9A$>Etyg`YT@RYt%09@q3deqdxJg=8DS1Tc`6S-CbPV=TGj}z8Yd!
z|IGt5g>meD-+T+r$;<U8%`Cn*tyYtL>D1+oiiH8`JGZ<!I&E(qs5$>9>ikDZ=iN^?
z*+wLYnK!5QU7y(aK*FIulKULj`*6ei|4(;M{`v29e*L5<-d$eZX{9N*T9!|EC;F^9
zyQIkQv{e0`D1Ej!=e}2+HT$$?qw;;_$SZqS9IfdGSCbCU-*ZQPz8HJ_;D@*irjVlm
z_`v}PI&9Hn{SNnNVMyDdH}8T!WHaK4`youfs&{0*`10~{(W58#iyS9@W|~!h&(Xbq
z?uR$KWR=tB*Omobe_a-+AmwyJ=H*##4n9%09WMosdhd9!q4J>WJRaA=&+C58efms#
z-NcXQzfC)H=CV-msx}`vYlmHB8MDq_ni84s_2|XSxY^=j)qRtH6vwan^6r|}g1O>;
zb9^Q*nyw<j%dR{7>e+qmS(7^Y_Qqb@XB_%&ZE3b1zi#BdxTsPIo%!l}-+evNc1irW
z*TzMzMX`(J4#}(4>N&gl375tko5uhARO<9!_DYB2GeY*SUK_H1=PDn`|4a5Stoxq_
zZlb(u6A#?4Qp_NE;vhqid`8mD_y1F`tgP98|MHu%+TLl$K0n_&^KkFlyovJ9s<U(8
z!R$2g{nuHADUqPvo<=Kv|F->Xd+GKOt~SQG`}I@Kx|hqcAFg)1zGJUL?UNTDYrblk
zxP#USJaW}{INZhp3HQ7ULD0iZzO~*ioid$4Z_l$d6-dKmL2+{(WF6a4a2!}<daV6#
zb4~r?jPH9+Dud(TtkvJ$dY_JMxhNzWYN5e17c|+zQGIhyL&C+sXSr+4bu9R9>}j~z
z`Br10M&7-!-E*((_-ZxtLrlD7saEj0-raXM8`v8>c-A^cg{3Ix2&iE7vvoiDPCl$F
z%j(3>z+U0?KC)SFrcL-<{NY02<BE=z`aDzm`ppcF?#}Ach<m43Sy$oz^6J*smD!*9
z!rbFx!j?|tk-HGbW^?hH(6n7Q`W~r$O1;9Xzi8TAhlY+MhL!v#g=fD1H+mJaFaJw`
zyr*qJgxR{fo742;&V0Wna`>oD_MeYgdnGtr_g&9EHZiqV_NUy|y~{qeynFxs%=d{)
z#bwi1fBxR8v#;cOVc0rHS;vRZSQpP+zhC$9vh$PQN>BHCcxXoa|7TZyZ{2@c6nd8Z
zX=k7A()jD{B~wBh%@je6k?XusU7elaQ<myVH}`$=o5#KW=V3)ecuy$iQ|Gn4mcBzL
z{_DgwmC0Qp`xkm`TYYucg)`TupYkpiJDtD#o0M;1sZieJ!t*wYOZ89fZToytJ>H|)
z%-;jF#`TV8=f6X)zxT5*Qaqxfw6~J+>)vZ;tDfv$Sg*RV@}RwYRF8w0`SkT4Y^Gex
zpT<_2?KSh#QkAC%6ld<wSh{CpN^efw|5Y1*H~rd@WglTyw<+{k|0~_lb^GpQWXv%A
zp8Hs)DF3+I6O~iZpPO!FI=($skUO>O)7fqFQ*UfGUK+jpnNi*q;hz@kt}f`F^Cc(J
zq^3aJ)BpU39NUs<CcEDICEwX0{=Bj*aE4y2Ty?;^eXDmJiHnH2V#xP=UUlD<m9?`C
z-Ex20X1_B6^~5y8pD9_^{_0s1^LrzYoT0B>jG=SZ-OAzvb$2&>EWWwrY4*;&zqZb+
zb~*jt`unLPn%t@H=l^~>`)v1;keHJV8*6w|3NIVAvM4YwlW?djG%S70z-D~kdiwkA
zwf|SgRNp?l>A}>%pufxh@7tldePwLzqa<&Z2M3$l4em!Tp3oh6?Z@M*RfY{`zE_>?
zxu(Ges+hlv-{n4g=KGb2mw6Pvrd^LIxxNw9=GiW`;<;X(zttCM&CBzuy>@M>Gzhnl
zu__9w;*rwUzNYH!c1#nrhvC?x3umwYkDh+|7mu`ku$xbXWxU4UpI4=J?zqx=^S@o*
zEt|@sD=9OL3s=~DD@fk$bY2Wn&v&cn?hJLm*L3dsq1PK9tEXOBa&uG3)Ami(@9jWU
zY-T~ZujFqZ{Z8GPziWPa#8jBB=C5xmZ?0RkXpxPj*<o<r-I4L)?pEoijo<#NzI+=Q
zw7F_)OmThfNw1goNt0~uZk~H5Xl>V@AFur+zuXbo`Ks%5&&Nu8@31w~pUq`Ibtv<^
z*J-u?Z`a8RES$ybWY^6#D{6_k{(?^vqQ6F5*qs=5XNBqQsI$8~4p%>V`tzV|ZQJE7
zJM%riJ0`2V{qFzd&Wqwtz1-8w4{ttKVK?h3_pHzVc@(;5$Jcyq-eXX?Z==Hg^P6qU
zJ|?+7Irdun^aOQlDQo?d+JFCk%RgShy0vt>6`#_og2csv50*)<o3`}xajC<4>muCU
zY%lBH*W<W1OZ>{|MON&dy8l+|?LBU7Hu>M)TNQUF{oXBKH~F}n|CIcFzmIN?2)T1Z
zQ}H<;JNNx4UH!8WI`{McpS5_j=!|ONrKPpOFK;j2nm9cxE})lde*WKo_m8!`$k@58
zi1p>ouZfjU9{yVP=+)vU`YBg-gg;rGUuW_r{4Cd2+q3+K*~_PLJzXnrzp!WH;vJb;
zlj_)ZKXetbj8AH{XI?Q~#d=24zH+_$`ca$0qPEFDxw=;N);;~r%WaZxK0WjOn#Pl)
zX{P@ww#M-&eBK*pyx6pC?|tR_@g?>9)Aud!o0A4wI{R$V?!K#gCfe-U=&<+r=f%^r
zg=U=$w!L+7LEPKo)+Vik_hQ!fe$1cj_GR)S@j3eXdpAYgt9j4-)9~lpnEiRO2A^DS
z{(9SQl>FxMP6Mqe+K(-d+kUrv3>wA{7vHOWe@(nfcud%}bsw4^D~b1<IW|{%%M|E=
zf|ccq`}X+mTF@H)_3_TIyKLUv=apV9P1T$BzV1(GThB~A^SJ29F#Q@$`IsfTduCm2
z-@l-^xh^OeblBPVKE{8~p1ywnaKXYa_5UVzZ8x8|jQ5?{Jo`%fR~FNp=fy79*9p}5
z?GGA7GP7;>I<>9z=d6Y+3;O1%?cM4b{p9-D_cu0mXKwgsR4w}{GUUSEn|lhTDNI{*
zcg69hd%T;I(k}nsH_d&%gxdbfYk~9MT)US2x#?D6_J!i$)KA*oHuiVy-fpgROl&*6
zTXDAdnY7IUedX1Kn?L#b_AYBw^e^L3%zn31RDAQD$J$X+ay$$6uThLl+~*EDU+?o?
z)A<FkHq4!Q@4qGm+h@OlY#oi>B|dBB`>)+EJvMuQS~2@B#2$~_sWz#<H(<X@XzTOy
zVdqX?PuT!nc(=*6a^>^uYo79MU*+_#w0!%7s;yZP)&?oHyZB^uS9$!s)qVJ{SK!+R
z3k+6;u2jkQk$+phM~`^|Xjt(zo9@!(%e}WeZ;uSK&x?6+(mK7Kd;L9&S>LbyKIU}c
zWBjD2e?BLD;_Y108ov402k~NP3$xlHBje$wtC!Z5FZ#2wbnA6Dmi(HWJD--S*xZOL
ztNpic%I+tNYMig|r|9q9*{Cza%4?SCp4&W2qk3II89D-#q4!<i71+<ZS9;Zq%=_sr
zI`Mxe+FV|~Sf`?T>K);D+xX9ip2yd}w_LY$d&t_FR+C-kald$G2PAxUve+ZNsnT(E
z$jsXk+r`aq?g=O;*tlcm#vd6`5k?LFHnpjA{*(h9)_dmrwVFe)O17YS-}P?azu-1v
z`pW8sGk?mx%uQlF+;Hx`_@-xzclR|v+`AzAPS}F5{cE&A>(G8rEi9_P+fox8eEWx;
zb!DH-y?=r0_OD!9Z-4vO+&}qO_q?gkzgRk<(57X3{E4c^IiRg%0n?YKT>pRXe7T+F
zEqx8h0G8XNX?K<>=YJGEbLjP=@@rnV<D8!!(U-6O8(N_PPRsVayB+Ok&YU@qZIctY
z=XBW7`PIy#KTkX(4fBH>io=?J@y^%Kw(~HPdKbb|`P-y&&3qBd|28x2Q&SG?*v0Dt
z3ead!fc|-BX8Wt!JY-MJucu}^mtA@aYP|Ny?%HFjFJoVLY4hp}`Ns;Ay{7ldy$w$I
z+;ph)Hz>^ptlG$U{4Ho(vxtlcX#0Zx;=BsMt&U|aJjrYmemPt|Qq!LnqHpu8I{V)P
zSRt~*_WtXm%j&M(nD~C;<|}{y&chX)^9=J>Zk+o*{{EVY#Wv5^KL5LCPr^)_e^-i&
zo*Z8iv!A8D?o`Z7gW|?F|GzcA@y;@TuT_4xZZgl?+nNed{&P=F>0ZA+`A^XL<kf17
zv5&tffQPqsIeMQwc~VGTKL4g~-sOqgIcG;!gu3(Af4$p3?^tW%yyC;zr)DVbttpbw
z<X)fl^`ZWYI}7GRR{wr9pW5(Gj!$aWren;Y%~uWcHD6D%|NKVeO7JzEIZ9iz?>(Bl
z{Nv^L={LEjOY`#O{Z*Ln%365f8LLmr4&VNN_jo^VdIZ{LyTf6&_?>@wC!VqPq~$m!
z7G4&M+RC_f@s7?K$<o8!E6mO<QCye6u#*2v%gpznBbATW<e!y~IkV}Q{Q7I%jL)j~
z{%PEHtgogYv`IAd`}gW+)g>-M=XIE^>f=w$>V9W+?v&}osvMW){}w;FKDYYG;q7S^
zy!%0;pIxT2Ji33sKJg=Q@6w8k+%A8W@=wgj+*I^;S<G2(&}jQR`){6iT2+@69X<Wz
z;qUiT-DjIm*?ivac6x?+&h6+^)AUr0kMS5u|Lf~<*OQoebv=9zeOm3~ZLM<o!h9tU
zzg|81-s?Hz`L3u9E32=+{q!zdc#oM->Rsg^vADCZ4#!{E>1cn#vc0*jD{R;0&6EA>
zGw(0jX#ZVmKhyr_5A!Z<_s*8Qx8J+*@%@N>$1+Zx-o1Fj{n;5N3_o?WGNLBl+tctX
z?lm~2o{=^_@r<?g?Xz-cGyRMy8lPt!dbI4=ImQ{s9;r3BI89&_=26(cW5$kL2j`jZ
znHQbh+C1a^+MRu{*3yBR_0#PyfYac6eQ<$!QP9(7GpM!n?OtQw_1NQCavpMb!xrR$
zwgAVi={Pgn=FoEQ>uR}%VpVmwcZPF`>#4Y&vp&E1X<3hmc9hGu+zR90yJUC&pK#c{
z|MdIa-%icyH#<GY^}W|>_E#tGcy`)t+-+kS^=+xlgs1EG31oO!TJh~nNHKe-<mnAc
zhzod19)7*?aq_0W(`Dza-C93&<Gkc3J)J<GYkMty7oE2}p1sEX)S=apwLiRh@-FyK
zN&h~(r-uhT2K#Wq!belzPvY#X{cEOQ^Y^cR(CW<a%!^C<e5&7R?*DTp_RqX8l4g#4
zx%VHGuUW@D;rybeXA&>Za<8y?VrI+sDQ|{x-i5Q=d3EL~pPSZ2UQQNA-N2PsA?SK;
zdc?!?46jsA@-3V3{%u02yw9`h?0xVoZE*kfQ~oWcpwk|IcZ0hiFFI7_MY2t(GOm8_
zaQyYUWK({X{ygn~)w(ab;|l5;&vJJyHcmh1ajMaI-hb^Nt!*t=vaTK7oP5ZYb)Mup
zvB>CBX&q3le?2btV)ltGujgvG+wk(Ae%8ZpvP4F<J;uODx;*bfkdsrBimGblw~4n)
zp5z{C)Z6oH&$j~$m5YAn_NHDrkaubG-@|d0JyRgnPf6Ydf5<}9U$ea&>iOAuW21j3
zR&J<!%Tyk8V}4b@y8X+S-l=}R_S3EI!@bXU?mrJYf+Sk|+R5$mdf&`LZtqu~@5<^s
z=`44;&*i0Au(be^@9o3v^DZYZc;6PeXTfaoJAXh~dv(^vk5yvkxhmnz8K5OsnLFX_
ztrz;)9>-?92OpPTlYds7^sIgUVDi)1@^urJY)@yEsmo$-(|%v!aBtSvJsUSXd0qVH
zi094+r>vj$zFgd!tiGofR4|5W#p>zX{!TgYy}U@F=-`Ppb$1sm{qm)xv#)R48>Q{K
zUn*u7C~&X8w{CUZ{<R_d7q0U8xUkbB65K#{e9rdw$L!{r``eq_tiDNS%4BazJn1t(
zIR8tAyr*qNgxS8on_OH)`8pPXj$hH%j?vQZmlwKFA<M2f-<9>O{-xRC0r#`-E_2`f
zzU{>`R`dO!D)@NM&;Mqis<)V7*~T553KIoV4zMu4(9c%Odt0vYd2f7IjBUfud$WG;
zn339RAGcPV`ONpKpUk<Sj&A1Scdcp9s+Vl<6y<@Gdj0RL_}acVtt*UftonCp>Y{DW
zeQ$2_JJ)S_U0-&U;=_H{_VyI6die0o=JVewdv9_5e`P&elH0%h>JH8O7OkPXqHJdx
zKFiGf`=GY&{o2C};EDoT_imItaVY!VYd(2fHPCjS=IX7}8Smbz;{6E?oSz4If1QNv
z6aNWKRcmARdrED4t9yI$bg!kS_0O8W&%IqQdR1jh!Yd8`!~Roa>h;SU1v__daM_m~
z@;UaXlyAz_rjtS6*WG4*6m;D2M0%j(=k71hSf{*)gxQH_tlL3h#<)~Ux*+1tmd21?
zg|`}cO7U)YKPau5Xr0-`E#dHr**5lBHRvo@!~4;n*&%1Pe@$xm8K+;rU@d5LD;Hl?
zYgh4O#gv^3=WYD#cs$H^kDssZw>Nh_FITDG6}s$Pt+!T8Rj1Be+ptqHF<RAsKui4f
z_utjKx;pgZpHKf}0(-d^tDm3vIXUUc>8;#0+(kcU%f!Y0SqEBS{pRj2FE0`8uCi9$
ziW98Ln}3xyPn$G(vZ|fkJ)`fSa*y+Obj95bFN<$}*}wkjx7q8b7TfL){j)E7J!rx9
zw|`sjTVyQ_$vIiGVd9n#Sz+@3n$Ge5>O`7=dbnWW<>mg;?oLzmqocyk%$|~eulru4
zwywPVld1iDy6>mo)VG=ZK0nL)kL>RMQ`XAsaJ=Z~Y&cPtAn++NWWvv!xC0i~bE|ls
z+zl|5{4=%afWnK$Z-1ZmMX#IwuYbFtRHFV)4ZYbrVypj8sy8xnvHfhtUlF$N_X}Uk
z=W{e3zt~u8`@Xx<KCj+9<uj9;@wNpUc4Ts|z10BPaK5kS)>oyf>#^mXzxwANkZ{P|
zE_vhbp=yyJ`HW>Vz%{%0%Aa<IhJgZ>J>8L2M~&BRJO)1p7F@j-reFVU`+4uXiJrfi
zQo$pV#o)F)+ef|?8$j(%vvPS8w`pr{Dcm;Ko4QDR&V2npSJsDs+CEz0v3mUG>y&k8
zUpc$o_WQ0U=X=sx*Khl)qVBis<k6*iQ+}1-S5#&z`TFbi^~J~iJg!FZpJe`ib&|OI
zH-3{RvhtuJc6GdYhUT%$YbJqC0QAqh5_r$^cXY+y7nAi<t}F=$EpVB#__)8vN^Wnj
zx3kPofA|;M%cht=-y;fMY$!aJ;gbroyZh<r_M+o|WmE1L`+MBiTl;tC&I9{byI%DB
z>wfat_h+YcuddtJ^7PA5P|`2%{PK+T%J(SqR~PT^UU+NnLf`WXe;#`N4z^7pcCYil
zUCI9Y3QwL+_dfgTYSG_naHIa<%Qq1R{zl%pa>J70veMQaC!e(*XmZ!RrW?O6rtbgW
z?+5fAXzT|y34ZLId6MzWcQwx9n;$&Revdg*6uIEioe7|uY=l4;Aj$re`}uEC^}?BF
zv-(=~^8fq?wF$&m?s^?@R{G>(Y3?iTeR9)R3(u0=t)?^E*iL1?Tkdu1z-zgGz~^OT
zA8v{Lm?0_-uiCFn+<xQHq1EL_S;6yo%X7_NsB39?8QJU)aH~1w>fF`+Q2)#%LE-e%
zul0K;gz;bD?wWV>{ko~w?zW%Uuy5|unRc?xhc3Ka<Y3aW!5mbSR-Wjsda^t50oSRO
z?q>Qd=b}%zZ`vVQbjrEP8C215?f_RbcQ=&1xuLi<>uS<xrVEBErmHOQycuxjd)bCn
z{A!=~n#RLBk2BtX{ltDt%KDS-r@yX9tx1o)GxwG+cwBmY<1F?`?&e}EMA@?^Z}V8Z
zt#(Q6>0?HJe=cV(%@24CIs!;vKSEDCZobO*BjNm9hgWukI){(X@kV(;jvhY!u5fkV
z9^X0K>wiXag6A6aL5=Dw;Di6af*aL-QbEFUR(WmDceLB5+<PL(c-H>?0{1E#Wl`-Z
zlaJKRZQd2Py_@Nxz@aoV{W+@X=jLqmSsMNIMET(ZG3TGP9;hg)5$T@YxBEaC^Bavk
zCEl{b-5N#vb|r4CWPC69WxDB-)-&J1lhXUPKNE&r3Gw<U!{@zm{~V%0BhtC+S3X+>
z9+b9H>P(*o8I*qd|Aw`^!v0mC-pTK}yN*%C@Mf!~hYO?T5B7lOt25R)3TiOs9`y><
zX$t!1EOh9<{mBOoft)^T7k{0)eNXPpi%p-6l2>f&n`%;4<9_j4{a^NLeHv?Xn0&cg
za&Nqwz58wJ-IVh8mfvg6?>)cs`(|UwocixJ-z)9T|Fo+<Z+ZUS{8HKJTbG@WmkxaP
z%76c-@OQ6f*Ps8p|M&YM?l=PxE7`s4?teV9qx!Gr{-<_DcU9jlnVSDaD*2_-)5gFR
zb;TDh{rIs%TB>Wl^-j4jXH3H_E9QD0b9Z0(YsD&I%NNVF+9sUey=<Y<x4G8y?>^S<
zu9<nH=j&eg$=82ocs%sKKU+NH^{qKyPi#)yIw>P=MOw-uE;DoAC5khJbMn-jZ*v|t
z(SLDyMcf)q+a>q3GjDBn-P?bfvpur#k3?=a!`FLZc318#S@GRVer>)<@cXGZkA=xH
zO}oyWYIChLru}zKa?!m7eYP^6QfGcvob>5{M#%o!OF{3fX8Cd(ezr?nvtDoZo^xQQ
zezIr(>*M3Iqp`7ZRlpv*_lw&0FugzNY9|%6;6?T4rl!P1<#JoIkJc+Xt5<y5xm$LY
zc+f=A+o{FDJXdDy6q!ByRQp94IhWq0A+Pw(atF-`J~ri7K(+hcaN(_~{Z;bQ`S~_K
z`eArWjPcdpwKXgDdIr9qY8O?%Z}~m#&An@_SajBlpQ>2%dI#V4pU0l;b6orEqG|Oh
z=EVVjpDkFb8@+9ZsCJmka#>kdWs}?kVCQmKu>bAp>DjSy<3^Bk-JRoRY}$Lm-MQ;Q
zMqEI^nf;ZH&I@;kWyGCO*`AY^HfhDIyk$4;gxK{w`=AgdTq|}sBksa-&z1aJ%db?M
z21b8d@xAcp0|V_o`Ng?{3+~-M)qZQX$k({9RcDpLUZ4MdrTS~nkvI*;)2~~N#9mwJ
zW%I^M3tIjDRk;@&qLvw%2b);)j`zu4oZQ@eJ)>nA?~fN6A@ZS&&lkw2p2=8|<@V(7
z&i*zL!Ebvj9k;G??eDsoah>ffcUiIVVPi9MW6uy@^P6|paIRAaxl282XF(wTpgXUB
z&3x9%@2?~~_XaR|?QOkx`+L=EE4@bnMJdg<%F~`y&i!;iqvYOKPwy8R{<S}<-Y#Ao
zP#bdBn*A>iAK$LI*5zTXkG@(;eL6JdEhvUru77{V8Y=6A&0WDcdDlu(uO(XAbZ9-^
zn%4b{wbm_!-?Qp`+1^jD9#-GI;rxBa#HFY2eppxkr=*+VtDW9_nY5!Hleg$HU8#=#
z8BjfW(LL??@oVhw2fx2+EL6RaWl9zI-tF(dUMr0`vhc|Xwyp2aocL_@v@!6-p3{!r
zix)4>`~2+e#gne?7dd>TKU@r4VYiCuoTGj8_Po-=hYyD?tf=j4+hdSgJ+Z${<lx0U
z8>Y{mGpEO`Q*N=~EHj7CO)tOLyqB3hd#1{&WwSNS%(HhHz1|=r=Q8zU)MnSuO?Jw!
zj?TK|{A%x{Wwy~*e(#u5Fhlfs|HW+_0q=94I=}Vps#;&QH%)N$*XPx*_Exzi=}&2R
z`qfFY8&p2Dt?%WSTl;rUTxEjDs{1lqOziC5wY9Y^QfFtkMRjYaVM|S4n^VTq^0obK
zB7t9ti}k$`!Brl6!!K<~@2--cE{rY1+|O8sCBtlRT&tFKdLB3})?N~_+GP6v>ODvb
zw0pK-erG4Axq(4|XxH`U87H`dw~7DE@DPl-KU-Xchg<qZpzEb&va`f<o~81yzj5b`
zN}%aopN%_L)<~Rv=(t7gmrmQoJq!FN2gzr>U-3O_(Y7~%?;n3Um>4Ew?{-_sVej^-
z{9Da6U+ul*4$da2+ct)_gF~#pRQCEqyZaJmE3Ufa-VBzLXnkeQuA6&v)6umN8<ngw
zUuZ}z%w)E+;=1Y{dcg8UdP>TX-rn9&)MV-Yxv5F%EVoow+1d+x63oqwZIX+PC%arN
zF+Tci*{4L4cJ_<2UTl_d``l#b>s7mA@8Wx_J8x}r-`jth9ixC;bv;{bBe=v_ov!lD
zi8CTjf6B&)>xGfoKW=qgxOx5j>#6K+3xAz;^yU`RDX=bk(=ks*W{(?6fuoV^<{WCQ
z^!|LU$&!u@@6U3tD%GtDIpen5EF*5i?Aem@HtwA1xhQMb$!BT41-6nPcU?Ty+I`FV
z)!tbvbgQrY-cf^@-fHSL?z`x-H~hTEn^vKKSGr&B?Yka*y-=`ylCt!bea6b?Ur%9o
zTljgMf0UDZ<*UQjv*l%5UoC!h&+<ijLBWN$cXn>p5?O!#;so(!Ir^V2PEgvh&s=}S
zD*<fonweC*d71WRzS9pKLnPOmMw{rrFkV#UajAOS>#5=V_g^2A+jYEGC-z-i`QOLO
zqgg<O;uDNQ@#mkUzdz?yt)DmX2Dj>hE733Z)~?^a?y(Y|s=L{W+H|*h*ERWjoSw(>
zMM=rZmd-ZM@AK2M>T=7t)zIJP^fgelfbZAMU8Sp~<>c0J9erJF@}yPsc6W`*5{|xS
zt$Xi0d6Ht{^+lrh!WPC^;y%ltS3XB1%E-%8Bio;TP*~M-eU|Pl@h_5r`q!q&1-+kY
z^7iJUd&i%)9A0Bl*R##Fp(<ZnJ}W=yO7+#(rri;15k(KEnu%ERddC{mnp~F`Z(cwD
z3aey3ek?RMF%hw~w0O~p;?|X2icgA7M4YtuXM<vW6>+gnu$1|7@t2$N*SMLvIad`E
zD)$B)OO8EWy5qcg8*dh<J_1GgueXz@U6{DGa{V_*W8#w`N)3%v<^8(1XF)>54TpZM
zNoH>^>`5@zudX=yEKRL*)yuT5v%XIcXYlbaGQF5?*z=6Fb{5$cGjcg&nUQ&Vnr`0F
zF42pg&S*uova)hsPR<(CWN9%=Ttp-@?n1zp?AB+jyLZlfD2XVgr}8hhwCT9!GXJQ-
zEb%XyL9d+O%6^UWy)w=ARc(x&#hI?h$1_0baoeYb-_%_9hVPBr+;R2SXWOrFw#pmD
zy$*b;TG(@RSKsNV!`Izf&h4v<TW@2)bv2(aDr!SQ<L!;f?q8lfcwmZB;<!s1ORbtE
zSXuvWv7&2BZGK$9+U$#qlgw^yb^P3P_s*FQJ!jAQ2!&quH8am{dVLb)uFf#Mm@D@J
z--AlD#rKXsZN^A%Pd|5m?M;K#96|XDzkl0%Z&zQp#T1#F3%<>jtc+W3W56}H98^l5
z3YOm&_Z!?!_<qjOzPY(M@A<j8X!RSY>IiMWfW=*BMP|=7jh$H<!N<SoRK?nxiz*%G
z3tn}ebZ>L)`aM-Y;>^EYu+2+(S!BNFwY>dZC5OHJr`0hE#Z%A4!R0ojd9(DM)BCs8
zdrM`-3pFe^Ili?v{<O!hGC{=ZebI$WFJ7#;y{EFcr08OxiPL4ani7#!{i_;^FPy)&
zHu~;|4+Wtbaq|}|>igV`d$L&3wZKIG%~LgX^~HgUj)U4m7xpAr*`BvP4o{S4XRSM9
zrPIzHn7Zd$&c!_o&UaL8T=r!`)%qow=f%F->8WEhB38$(k35ovD0;xTCMouK>e`3d
z%1-I=_Upr;wM=h+|L%ng7rr=o(9s{ot^T1rit`sQ6o~p6`+BjWYY36CPN<Yw+7E7x
zoytjG%?EBH%DuK)cer++VNL+3J_1Gg)%^KeTKaO%R&O;2*LhR>EnlQ3B^~1B;R!*l
z^FU28*29O`szN4i$!vedI=k}bVQpv|F*qkrOWv)xLSSvDU3Vg=U$Ep#JO0|`%SCWD
z!CTIpb@YzjmQ$!5wx+{R3azM?l$0z?PEJNkmKXOdSm5!wscliLwu%0YvuAnE-ypl`
z@apR<*EQGXzlSwvL7f+!Yo#&Qr1qI9m3;g9xeC_HYGUQS(<f^UYH+PbZE&5MaWUX(
z&xzl~^1PZ#Z>m2xWyN;OiEh5Qj(3*$n`dcl>CisFrk#<(G5+8t&6c8vi@x<$tyjxB
zE%((<PaY$^ff}u#E)}6h>+8wWE(Ff|_2KI+aK~g<Io~fy8JQC6@^@=c>$i2Fs>7-U
zo4c0XxU=TvsWpd-Dg;80WuFy0%l)foh1{es^WIiHy?Xfju8r;Q3YER5?|vBj|Hn%)
zMo^(>iBTxp?T-L;s36T7jjv)=`S)M1eZ8Z@`Q$|2tot)3J}*7Ry!b-p^yX=9?(TP=
zot?dSa(CY%!C7Vxz`X<3In8z3^6tKBYj0oe^zoMrZ)M`CZ%XpKnoWx<6ZOq4EhT$}
zY(edzhG(poUsm3;L?lX#4#Jgg)1~cKs#Sffx2^bIX!#JM5kY*<s;1@+FE6jFKObKe
zs#{kjar~6wZM|^neUv<}=Au``#X6x<M!R+kxHXn09bL)+Y9oG(xLzpx?sIQqa}=mP
z0;k2_OH@lzvhS_l`w`qdsX60lFDxvamyoakwax=I#WW<u%q}eMTH<SMqJQJeX}LIP
z8*ye*aqv%rS%;f5e7{8UEUt8%ueQ_<f9<lgADm6_mot1(w>G7AM{i7WExB|7t*Ab6
zqC;9*dNpdY?0d$_>N-o@MC5JM!pehrd1)2L;H5OFO^49+*L9M%{;TnaHfJ~NiPK2l
zxbE?$Yag}>F5J9+{&j8s9;dIfo2QBCL_8>ab3@S9(xRdlrNI?=tfy^Nn#;ZUzcV}p
z6T!nHQD0Wf=q<}d?E_3cdv?}?Dx;ofthI}#a*N~d$^ZP5RJ(X4;YKT{l>T(3ef@QC
zDSdTs@rCmb9xS-Mvp5~Cegjn<R~50j%lPQCG~e(v*=dKHR~W@*Z_9|gknZwz!jkVf
z*R|Kn?YrJ<ThcEbx$6$^`(MZXw{rx%fBfkrMt|zl&!gaS8{E8cSh@W|_3ya+*yFA;
zUVS+Mzt8p9tOs?e&h3`jVq#_W$}In$%-om~5m2ic+&j>EAoFE&>FY3a8=Dx^exJ-)
z?&_T<PoB(}^1=|*9x~CN;dlP?IYgqw>>v;tdLq7O_4x7Qyo?Nus-l7m!YFPH7Ii-H
zJVT=;tnT`+3=hFj;$r<Op;G4MVsLBB==P0O&fqrU@m+nd3+CtZNM8YUWkLOvuea58
z7b-`8j(gh+?w&kN=KD2s#*6}HW@fZH57ZPpxM4%1T&PO!t%a2b)8f8;=z_EnL2WU}
z_*eF#^GOnCxqq2xeqD&acB$P0&L()vnc@qVrs+oC=@QjmG`S6}sCIL6yL0141X{8*
z(bv$pv}eJ>jO$#e!%Ji|9f%$X*^+ROX?ybVz85DC9?V8*aP=FdnS_`sfBJXF-MNe7
z_q{y}qOLD;Kbo^8yBW0)kdt?f<@#h$lSX)L%ntlLc~GNO`Ydcr4tJyV*Amr|Da-9@
z_I?AG((mRr*Rip)-#s_i8m)eNUH!Rf72iT^?!q>Lw5nZYZ~E@I%C8^x=Dk^1edolb
zr+F3E?dx{AHdM(^_s1N!Ij;{cw+W5bJzcQ$;>E!2m7kxzc(Q0QsMQP^!(n{BVE)?Z
z?Yka6e26jBdE>ONpP$=emwr%tXhG${lB#*-eTYPf(Lwl{<oi<bYn<ohdAhG^WBe%S
zSxL#umpeN<gGM~(p}KXIgp-}Sv*MOdyRW%BcdY<*PKk(hLZu9JfIIuhg5|Ka0GNZ_
zHx`5mZ%y?F5760Va?0ME^*t*e+*Q$%FTQa8$dMLl35gY`^&hBN#?{u!`(=ev=4HOK
z+-9FQwTDC7i)4%$zg!F+JH%V&%x<2xE$8N=ur(2ab4}2SZDS*&N5_sGTZP^Pb99{e
zjFs0FG^UL_)I>%zg7`rZR&KE!vesoT{(O9Kpy5?SLvf1X;)SauCw_l!Cl%y!<NGt#
ztGmQ(wbB;HN~87%Qi?aL?U)B{-ef#I<chynZ?``JG{8xy0ef9tcj022uMb~u1((>R
z?`6IiTUfj(dv_;N6l07tq?7}jyL>k8#2ihsRe5Q9`|GLI?e9yI`R_h<o2FNOc=x}L
zmvxyyBX>m@BgHj!8^L8bfss2scHQ2-zFlW$o4c!vqfKGt+}(ARm!CfzwGZh2`A}S5
zQIV09YE_S0#w~`k+{-S1-cyW7l$f0b@oX>tmEXN)eai`a|G4N7MuUR*UKV&ROR8(0
zjLa7ol+n+SqXM5SrMMPOy}uO{>sN`3b%G_%uMBX@?2OsAR|25+;^U3$9$VdcE`6A7
zD`?OZJV5u&)BDAVYkR`0AA`FpKW8-8si~>mIdH%Mt^NZw%M5gK7PyOg&MwP{+c0yw
z?VcpE$Bez?!DEN`%Ndz1TT)MpZBIJd^<v3Fv|@YWL_u>ivoO?TDRY*atII?`L*v#~
zCzPQkGMW*@4~pnUY&h`t#zy6;qJj@nD2=bhJ(9ekXFK-Tf4?|E=@4l2_}bPNfrip=
zZ!JLS52($aZF=x)3aEMGqAjk6e?kD%fCUY35^BJLO6jL7`Qz8uf(MkUpD&ny@Sx-N
z;^*hk>N-$0vdS5oyVAPOVvZ)gQuKU(b6t3xZOwY=>KAh6n@V;{-}~A>KTmMMz2i?O
zV;#9e%=zFRxwFj3EGfB?dvA}W)lT#|jMvxJ=2cYeK<xus%o6`rG<ovmiy9Y`L2aXq
zID@(Kp3g;0WMFm{2u%bL-^)67{P^7q7XrRKd9Xko)Y=F4YC!WX;7RF=0UT@ptowR#
zg3>DDVtqBCQs$ETYf#G!?=;YM&_u?zXM1l+gS#rHj>~*8HZ*+5#>R$L=Yg7KO^J!j
zwyQjDZE^nGbav01hoa<<8UM-vj~(JIXOL!_)p^m1YTUEUODY|mFRl|s9cm(@=|KFT
z$mn$Q=yWq;YJ7CMS#or`d33sYbh;V$qLx87-Q2kE>hJkBzh?YC^MB|0wfld?M0a{T
z0WH5Nfz0dDe8TG2ww*IQqf!vd!nC~G9Gy+{Urb(veNOGm+I!+>x$`al>3lzN|NqLh
zE-Ig(Q_&nZL1VRc7mplSV-BAG<AAOaduaQ%s&*lGT@KP3vEmj|*NAQ2mz$S1No?lq
zoV-&3WtRlba{t<*g}nuSVSAPTXQw}Zf}x%1<LAY7jtB<4(mgkMr+f7E!iPIS%Su+J
zP2$}Ro(%$Zrj?~X+fEe+w+*MRCuLd5*W6;`$y-j`@hP04TAVk1A@Vva;zr3D_g$@?
zCI0C7d{BSkv+Y;Ax_t?XeGNhRh4aqX-rCj2eSWIU%>{m@lXGr_%1N}M40!9Hjhv7)
z;0<&769ezIO_SR|%K<~Nx4Yp^eD!r!t2%h5_v2?)?n3aI#S^f_BzI!ooX!AG4#Ad#
zN_EZSBclrqPnQ;Fg8mAfNGuMX#<3XWK6N*I?#nrA4{mx#e_q;u4>WJ}bHlpiuY0IA
zYXu80pTZeS!E3`prtvg`o#l#s73GWQy~`^PUi-5dG(@u9H2&9%-lt!kX7azb3Og@N
z<yB#?Z$C>@%bd9LWa2cQRCQj^inY}^Ci-eue>c%Ta(=(v-pJl6dHeVc0`B0M0iAYc
z<<z^64rt{0Ma!>6TRl=Dg|_Gcb6mU1&IPf0()qKK+RnfoGangvfAwC_q2JCP=qk77
z)`CjM`I4Yfu-N;2o3H#?b9?c<<Eb6cuIPgNuk)U@{`k2ZI;zw^Uq7NldBK(FIqJpz
z+txikR18{Q6?|4jx(u{X4YD50>{+#zK4g3|o|LI7Sb%|C`0S3JGZ~9Jp#f<RTJrL|
z`c>_pnuAU<j7#r5vYA=ErBqgYp0?#C$GKT*H#e*XFL^=gI7d>_aen>y*|YS2=WFx+
zUVWZ6X`zKVXd?Xj*NdRFY-_L&B0B`WzrH^Hy<OpV{v69Tb0a44ecwfcE#>Uqlysg2
zCj|YOMiQM0pcN%;Qx7*z+>|FOeMP$L>XrMyom`S6vt}J|U2avL{IUA8skz%vN#w<!
zJ4Bi-AuGntAIyd>RmIXC|60?5GVfn&bEfL>$Ml`<|Ni+KpA48YTa#~d$bOct6TiMG
zNKN<sn=woLiw`mLTc9xuykpH6^IP-31aELaUB<}O_9D>r;yPJuJ=kw=9k--OP3B!(
z>DV8JJ*y)YOyeB<W_QCq;Wq!v;l#|VIVb*uR&Oj?Qt9Y8F--~ANcXMn>=$Qc`k3`R
zW3`PUd%|31*Q(B2o3Jm;slDWXkO?s$<^)=|c5yM*r7kD0yIt{VI|!P#n!E^m+9P6x
zzJ);$&Pj{kOXfGRBCS&c&F?L8#|#|g6{mRD9`b&*>(lsZ*C)UDF?b2=#li%U`RO`0
z6c>QYy!l&>99%uua{eJ<$l%O*yO+xo8WHpHilDK}7lD|=9mp$da1^~)z{|m%_x3A$
z?d^Yj{6z(LpF)X@#L?%H++`dZpp^l))pZL$WTl^5{^1CCHO;5Z{=YOM79i#tJU~l=
ztFB;OMfmep$E=eE7N8XYK?GNz;G7%ApHxxu&IHiXi7zW~&9?sjrVwS^dlIzV$bB{T
zMkQj|InISz%*r?ygl;4uaHjGvuB{eWJM&lyXph0-P(n*AaSoR?PQ*DZe?$GRh|UGX
zN-R)b7PQSm40Nx#aVKVf-QM3v?%JO?l6;3pwcufOyy4Wx4-fnC%UZquT9eztvY1mD
zw6bjhJU?x5-MfCiIN#=1o0FH=GNpb#bZFw@JB{vZHa%Z(V=<@?Q4K3ek50>-wYXAI
zPyfnqi@KgZbx?uscDymLX5Pkx_6Be}y!Mh1`}(qHHC+CXYSW%z4*NJg61<rJd5RkD
zHk92s4w3J<*YnxEnwxk3X#1_AfH%Bnxsw)5e6|L(j7(Yh%!dqciZ8fUDl@m`E>A(i
z5}eESzj@lTawAQhXT}8txb!0}gnw+H4O$34`S2R}A{ZRig~sdJi#tA?xL>z#GA~c|
z`ki-k|LooUoMr#BMc;Sb&Wp>{HdM-A@x4%C<@drr60-i_)=(ew;vY822l*JmE!y8p
zRQclGp7|*<4>BQLN3`pZmgwMg-QS7%yAIj@{K0R1^T3D8^^(Q<c8{J|9{m4Ae%}ke
zUq=O_I|VD9_x3Y;l2B;){<Zn}Wb(W0_}{avUoM%v>dutfzP1z%U#U+QCmbpSmFTD|
zk=u!l;salvwI15M&dgv=>AkA$FTJDhY&*W)etLsyxA?A5^|b}%wOM=DF5%d_@ZRx*
zOYR;2m|S85?h)=X<L`OI*&hAifX19iKV|Up?%ZJS_<x_K-@U&7UupSaUUQR4dL>sl
zo?Xj(1fJw#z1&vUwXC&Q+I-jT{QY-ji_aLoTOI#5YwGMx=Pyp+S?cL*ClzGj2PzNG
zB5#p^r3}Jhe`9au!Vl}Zd3lQW)-F7qAFEMxGi-1C)~u=4?{+-CGs`sl&c5I8te-E*
zPhM>4(s<>!Kvc}^Ch+c#{AepZ{@#5bd-Her&Nf?mz<#waUyqdY-BY~~pE-NGUUn+{
z@aw34-Nn5>&*ta7`5-F0)o8Eu?v>y<+ov^?f6ctNCBQ~o%-;7^`YXx*x24PeM!#?4
zx4+K2|IZyQo0@r&jPksiPOp+aJ4v5!N1gXc3<EE1uP9qS8MYQ6diLyn@63KGym}ox
ze;sHwT`rD^$F%G?4VyPf%!j{h*Z=ZxEqAc=Z2N!O=G$K1Kk@K=(c$*F_ij9i-dVJ?
z`avW6GAZ$EYopE6g_}Wh`nAcyZPI5QK^dfEXQu4**_Y)1zHpEIxZl#i$<B)jJW*-3
za_LK7Zq@y2TaP&Q7hSux`6)OqU2nG3ow{$o{chRp-{0&1f0xuVT{(Ycdd)2HRm)ti
zfmgaEOrIrws|L9jVSLz_Z?h3-Z`kcycfOQv?_>{*kN;I6dzSmx8XPk*2H~Hb9;RNG
zk|?YH?R5SAE`zNbZx(%avb-&>8}%e?ecWDmh*$Qmt-9)-584e;W3u$|&3~7+erRM~
zY^S9hdj{f-g-h?w7F+iF#*%3kFFeiLbtArk*U&ujS~-7f_I1Bn+ao!?+)LxZaX0^2
z>nhEOUqMR&SZ=gFW97yXUEnBMRwJ<Y<1y*lyD#3Bn&`g>UXQ&fJy3I&yLEYNcEXMq
zpQ7(B|Ns4eY5M;EZ{G!%$K+~Da_-4oeQ$bx+zs0$f4|*6FDiZD>2*)=;#c(<Q)cie
zfy#ZhNm0i?|6Q8>keS`hF}SN+8`8j-9ps%~^H}=sal3DZ-=Ek2dmhY^_W7;p`pVwl
zPt@&io{;&uz5Ykf?SJ3@pVp1}#rJ*N<#pLl=G|Fh$pjf6`M$Ky&*r1c_oe!^D_?y&
zb>6%BsPWtF`@XKVy|-n<?ncnuWx>NkUb|Ou|9gFN`Q7Ter<vuCrS_RQ9lbJ1R-RY$
z&)J=o2QPhAJj;!|2M{@)&7V5;J!^fXb74=wS&c7TUz2pd{QDg1kFhbVan-ZdL$~!z
z4W{JBzc?H%-gQUxYu#Vn`DMR#EKj}N`$M$Xci--JyH1Oq?<@ZMX7BfV?@np2FM0g<
z@w;cU^UGdeUtiw!{G;}|9fw5MPYS=e_@230>W+&tpivF4>8DGpK8hO3|2*Nos5tW0
z?q{>I?_9VrVfWW-(RVNV+uJU`>&$0)WNzuT$cx{<ntu4Q-{wJAy^O?9q0_HH8~Ik$
zo!(jhX5;Z)`SrhVUwq(I-7Z@;qx#3g_Qh|P8WbFjTwl8V-k;x^KFOP1A)y*-A^-o!
z@w=+iV~k2~zTJNRo!;&@n^q})u9Lg9N1$}`tY&?yvmbY_P_ur0xW;5j$HLBMt#&r@
zezwwfzZz~=bMC(^^7+y%-dW;nQd9WqPn|v6s_ed#xj$lR=k>35|D0Uhztdc%ep>aD
z_y4!-o7m%4G-GPurl^9c&sc3sa1;_Xv&6ajPwy&xGJD+yQHFbGycgue{Wx;h-oSI!
z4TI`Oo$4xQ+4^n2&4}KbHTCy1^Zl9|!x<Len?1*DZ_%kwRSSJwDi3VVxoNaL^Rn9Z
z|NnlwKV7jU{93z4awl7H!W51*jGMu^tnR6H{LEjr-_97H-vK)K=WgZmxq&WTx_kfs
zeP5oOocyjk{?DT+dw2e+ddMCBqR-~ji51nq`0Bo`oWE<X|Nkp<ZB9A%>u;X3?lUNF
z);!w(xX*gozGZ8Ebmk_^_{Uyi7cafB=4Vm){o3z8cWCZ>_VIn)mE7O&-0wU*{^=Pj
zXF#%BjmeUNouI(9mA^D$`HZRGaxUyi&{yAF6_S@HCNEcW#rp2PZvL}JK3C;k+7r+p
zy((}|rJ`u0{caQeAB9{ywT>p~KKb`K)_ZR_c&|e1jy<sLZ9h!(OJ1Jk-u7bo_htI|
zaWn4iSa3^0dz15Ce|z_xhyQ?6+4-x#9-rl2vB;O{6!T)MU!i6OZ}zo+d#Ybw`O2Yu
zom!jP{-)OOob8sUFW<lI?q2%k;p3gF8WXqKzW8MNRoXnS<o5Rb`49aj|I*CsX{$+d
z-go^+hKFG6sb{Trw(_5zu_CQ9jNG|1(nQbZ)AsrAQ<x9m%FZj7u7Cf|(s&c34fOB5
z-nn&u3uav1+LQHoVWs2g%^Rj=ZCv(YO4WOruW^QxU)|hrZ_Tm9u)TYXPN_Andd4ax
zp7ZSN_0`+vz3+a{UiYAp{aZot{=eUfkH)WG8@c(|+uiT?`31heDxG|J?y`Ho&zY?A
zc0KT^DzWN}m+ZSZah=-bg@VTHZY$Gvg9l-E{R%ZTIP=xI?7Ldqq?*a?>tCEWR^$J)
zwZHGxtHa0BU6~KpoL{lo)X-$5e4Y5uGfjKee*`Vmm~xi8wch^s&GUCwE}v)hHEqug
zw&K(0+yD3lpFwO$^u2%Q%`3OGpSC-Smo7PdzyJ2NXUpDy3D3#%+duu*ynLCRaqgd+
z?20wTvp3!gdOvsZz2oN={d#=$Yh-T!;WfW@rY^B%`fRFS^0o4QoY<wxE7v~%eRr0d
zTU>8WR)ofEu~Qw%uQz`>P_j)Y;Qi&ZzB<1JH|&YKVaqgC?)fV2;=f0=+1=`jJ6Hb!
z6}?GcR%axv_$9l$TG)AV)T0H*^X;BhP3`YX`ttDb(u&g_AMZr|x$={%Q|qrt+3EY<
zt$&mIERWTGT^;|m(6@+JYEptp_7QhyuIIt#`XY9#q$G6g<xy6W-h4NEcI@2?Hm~=5
z&AU8(+m76R`~O@kklJOnYB#ycH?KYG8}DB$CsUg%dVS^F);re6voqr^r0af7(mj^^
z%J!>W+P@=xq1V&5+VX8qGCot`&GIZO?!~L(eX8Gle_Xoux%TEBgYe5vlGQ%7VX{-s
zO=o{qE4+D1^%>A8Vxrl8(Oqj+aql)aG^u<Lb>s|N>2}lAHU?c+^K+P;r%pTNBj(F<
zH2cH+%4ai=@x@;hwCcLr&VO(Q8(aKekvft6)AxPbx_;+mKdY0+bx)dRcr;yHBlz_F
zqMuDUiz*lH*uSW8q1WVGJNZTKn}a4<xP5M7Nv=}foSRoxm|VPWyMD>f^##kt9@?Wc
zX6Ds7{XH)mwl?Z%pY^*Pw*Q2(%h^}edA3@u*(P4~|IWJQm5%c_s)=Qn?p=1T{-W%c
zZspRCGv(d)_InGqLyj15h<~+L_tn|T%U7$`TPS=z$@KMJyU_I|DhZW)4Z`ni{PiVv
z`SjUW?*BMuerK8Q?4V_dZMkMEq@hhguD{1j41Q#-WchnU*nh_(h1H$%C0AIUetY+D
zv2v?jjnbOi2Lx8h%g0Z-zCaPQS)|5f$%kDlpS2#keuIrI-gnzalwq@HN^hYX&Xw<%
z9PRmEFD@;yY=7-S{`^=Cp<CCUrTv^9dG!0eb<x}N&Z)88j}O{c6m<LZ-u!jfK^x3s
zg1fg`&HMgRzi{REv!<&{m)<L$5xRVp!7;7IeS0#GYyH1cxAkkyd+G4G&p+O14vfFw
z^?Jp3#VtF`SV1lLpO1PkX3pI;q3Lgo+06xclgd7S50aBu`6YUL<H1eSE(Crq-hI;g
z*9(uO{PTCbGBJp_cK(XozwPF4er><UD_^%xy6)#rJBb}?%1m}rK`ob4K07_kzjz?v
zkKpTOe!Cabbfe9#*6jNK?{{@;Qsm?p7qwe&#<_fUQd{}@a`~fo|Gu@Z+h3aa>frLF
z=K62`&E3&0rdzZ+eEqa~^>^=#HvjQHpX3P|Tb`;Wp1ts1@cZ4rud%-R+q<yn#^Wl!
z&5Hz+4hS^9{JO83_hehZ_D5dP=fCee*k;#%T&CmKFO$DkuWDtpdIE(u?1@{^wRv5f
z^J}N6@~_U{ge|3AawUH*8{6q9CwJ(g9nG%~AJ=CvH>dvfdHeFho(Ge^<$z119m{5m
zbIpHkW)N{r1!ec~+432oF*mmIp6}M%f3@~k{r~v#Z@=%qvnxG*b!N)SeY10O^WNRt
z6X3Ste%<e#TeGj*T|ctmpX$<W;qz<clr!TlJYV_pXp{#Fr~~pd)_ZUNX-QbxjC{{;
z_iFCDiTnTV(f+sl{UhgG%TDvHoLfTQ*UIu$%B`;!c=h^p&h^0e$uA7UKrQ!!>ievA
z@vh{yvs<wqJ~uKkYX3rBo@rZKU>o#;ULRI(o503)`j=*stFxWt`R`JoEk@akD;Iv)
z?Z@1l>WfnJL|#n{D=eHYC2<PcrQo;QeQ(c(f7AST*G`jp`YrBH*RiyxvE_H4b~S(8
zS@-Q;b$<ENso}dmek?5ieAayT*Vor~OXv6eKOK2`{}-ud`2yux;!FvZakq{ooxN=8
zzW2N8)aRvFe(%^*2wj3Y<?Fr=UB_6XcLr8mp8BlSihTiB-IZ!q*PJ7u6_g)(wL|t_
zyZ$mK;CGrGc=x#G7wJm}5+V_YL5TeN@bNq-<<>3x_M!4~lmD*Gdyjz*&$yKpr%}V7
zo3LSxC(7QI&z5Iq{bK!PA8%jqWcIofDn@s7K8Km;*F1VBKZ7Ock5etUO~ifKJRsz(
zfZ9UNxr-_tIX>6>Y~331xwEC}y|d>1Y*5X7<#&=}$*Yyicjec8o;~Tlyz4c~GpEYm
zJ^ne5<y6)Ff+rf~4+K{`Trp&d&4}Z${SmSZv?H_=azKdFBhHH(pPqfWvR0U{$0=z3
z-L0pX7l-`%3UaM#$&|S!O)}pu25Qy*uy}R(KtiPbAH7c}i|&D21&OoIa<~3h<mH)W
zdh)#qQp;fG`NXj4_x4Nx%>;jYs$aNVK1DZr+nL;3TTZ^+|Noy+U#zLi=O(+&xq8=D
zEn0XlT=3NI;#ajX|6mK}PgU6?Ru-PvTdDZufU)bd72h>iBpJ7Wy2>6hN4M^)+P25?
zY-3=?wa>fbDifvz?Y9FZw<C`Jb+<S^-f(vZ<*4jXP>%Yr`-J-8%J|2iJ;}M|`XYuu
zz}ad4Wf7#!jG^t3CVDB8PFUW_$u2H_dTQ#sMs~RyhgXC8LTh7pUxT+nK6-Z@YyDL`
z<yq^mH_xPQeoM;T*RkUJubSvh9((&wvq5ta!&z?7zUpObtKLf=uQ~sHAN$;W-&YmO
ze%;4sdQ1Z}N_?gBvitR}(t6qZHODtNzV+4ur>d1-qpzZ*sw=-fd@S7u$u`c;c9Q2H
z*=F;FJpo4^f}&(HN;lr@exg_}_e_;SIrH1M?%dg1nfUNfYcMZ&>Sd>wMQ`*qrNkdS
z(>Tjr_$N&|H*up`c*vFN+I_l_OYR*{h3~w6#tJ%O<Z{^F@4d<Eo>$Lj_PbwwB*uMj
z|H9)D;BtG{$IzG7Wq0GR>)$WT3HUwf6!@qS;a_vtL&|OF#!cTBhmYUiDJ7xvdU*#o
z@6QzT``MtL#yPLgPJ-T`m_g0)H)9}CaDWTsbe-Aa&$6O4?)Lpub$#sgM`mfI;=G6N
z#MbCsOY82bT7Q1KYWJ1jJ91!c;|qHdK*tl@TJ?Qj;hAqW@ueGHW=9vwevNY!lr{vl
zjFTSC@_%ml`k%!7n&X?`!R`C9d>y15hModpx|CmjetLGohBfn*)J&dCzF7k<hONBM
za=Y4mHpMK4%VxMfc2Ya}xnT3!vu8tBhwXgaXPwt?uKVU)(cSnU6TJ$R`;UcYiQ6c!
z#wBHL+_wAmmEQ+a(#k5Qzpnjg{q!Kae97r)y2Z22a*KYy-@o6+S|;t}#H0<bOeXqU
zIQBP2Zx4N6>wNp~&wD|SodlV`-ZP&ZGrbFx2Xf97zufz7m;E*Q`yUZ;F!|TqwWx9M
z>hSURccmq^<==mIZGF7`*Z0Sy^S>Bgd|{fgBJfV{{WI>)T+7#(>Wdhjy?WrldlT1V
z8w1-J&T^No*lLjW{jiRdc$A0Jq3CDZcD`(GI>svRRd@Zuo(IP@pJ-)wZ+*Eh_`S2y
z%==qo*M9dk(G$7(%s_j;W&iPF;RTfoZ*Nv!URD3^=kwi*`szQ=zVCCZL2Odtt6C1-
zb-til!%^^k4lmFAKTp&x4T26Fb<+j)SeAX=UCn&>(o*lUS)I+-qb?iIcC@p~ijuec
zu*}?GPQ|0ncjy1TIiC^tf$_L$5vba~b0z7sli>9nUY=?5`G3w`hP0(|b{#lsJh=;u
zm!I{Wzb;%#oN3bgLd~<>aub;JH|~hgc3yCgUCns&>D>|UXJ^G|1f5L`OB0qWf3E8A
z*=f7Fhu+t^ySqw3<$%G}9S(cnZ!;_EJol-}@o2$!wl?$qKhM5fEdN)7-A(Jj4Nj#6
zHU?SF`!7pN^x1xk_<p_q?{&~{mH&k;XWk{r%WhrBFF)b3v_#kI%Fj*wrt1QQKU|#f
zXkOTC@va+X`3W2T)SN&mLL+zXto#u8zNG)p+vl+#@7IdU&+7cXBhpo2`dQ!k?Cw(H
zt2W90vpmcF@XRW=h}bovb;0jfZ<_x7dF<-%zGiwNGnZE?zW>?(-I(*jo(pd!CwlL-
z|8Ri$?&5yCtY^L4PuDECR~{{I>5^W#cfk|$y^Raku-m<^Tgtz0+l>X^K=tA&=Ed8-
zSnq9Ic%sP_u`lG-rFMUw%hD1$uhO&5zk>`e3iDl0@k#h$`ugsN>`S$|oll>&D&>OI
z*vo&i)=i#Rxx?>s6T1rc^eMAvx9XedMV#ok)*fSKzFJNuGv>m%?n_c<xvSPq6VHZ}
zLvMoi=I<(8ctW{7a$1`4z9T{!um9fTp1!MAOim`d@8dBJo2`EZzkm5AzkA~0`%l~W
zt?$k#KR5M$Y?Q?|q@jV?A17DktBLL{J@To_aqg6tygaRMSC#Jn^>5#|Q@pSCPTzCw
z?cN_-_Z{A$C(Pt;|4;Y(mu>lPt#rEV4YGpwyD}g4RG<4~UhTKY$x%-3GdUJ5n{8*6
z6)bO;IF*;@TD|zsBZo|X3I+Vpo26g%`Yd<r`^(Z2YwSSYjqm+gVfVNER@~&>bv0~-
zzMokT<0e=4FyArMY}AuU^UaT`xcfTp`Mdr9>w={hcnZ(BVR4qb>Hs1Ty!Pr#i_cp3
zT_!DZ*4ZCI-U(Hkqt@siyRDkAxAI`pW2cF}ulC-xyeocQXT5mPlY}Z}W_CACj^xYJ
z8y+24k^6UAe!`Adt5(lBAHM$Arofov@x9s_x3(M3@4I!aoqw<OyB&vf@9(=ir>>^v
zxfI6_ffEZW7j`69F*hH5?p(M0=b3GP)@#-+zqlvCqJK}=wSpp+bx-SNnEn-yyv!cS
z^yiuHg*^-WCq6wY^)+sOzPH%Q?}ayEhkR8Oy;*<P_;!89Y0W(og)0soa=yDy`S_`~
z$E0-b?FlfEj;?;}Bq{#Y&g^hcziL9|UIFRbF^^BPwFUcG9(~eyadQ8i_wyvH4MKn1
zFm-yss=U7Vw_J_a6mzjJ(Iy5qU#|qe+j7~jyUW#9LUv{6tu5yB`*dC$Rx{nx<WS5~
zlo_YdF{OS(*2kX{?q{Aod(-TF$REGOl?%`Egxr~ZSm*Fb!5z~+gX+d6&Va<GXRNl#
zr@KvG?X|l<O(*F6<4us$mz)`x1T;8vZf`s5>*F({HdyNGyq&kqKwXt7IbCdRjg5@k
zQ%+8rvi+bL%Os%}tLr8^9j!>`SkQlN$s`9OwF$wWof>C8Kis1p?H;qd@?e3I<r$+n
zGL6|g<<c(hQ1IB-&IzpuDmS|A<@ZTTn;!iBvCy$Z(7{h2t538te6^eIQ+nP1^y}7l
zLFz^v85|4ZdEM8POlJ7?A}y{{OP^_{ss0mJ@%-H$4bNB)M?N(;4UV9S8Lp3;6h6*V
z%ZU5HB3dvL6g_qd6D8xk_V#;Af!id!j2sRM;!Ib5#~59WwbxxQzRP>=355lU4Rxk6
z8!!1Xy;wZEQgziHjthGxgzx*Xtrk=R&2P4}eE_urRM9mlyku0%h~sE@TDcNr#XaNB
znQ?A=`@Lnzw}N?=IL~v1rP-FUX>-f(Rpwn?75WZTEv~P=`})q`@Avb+?Jf#_w#M_e
ziT;bs=(shYZYN6RFVMiiQl#jx_q=NG-LIEFRW00orIUwAis?o8VL|P?Dh+nKW`-|1
z)y;60yYeY_{%v)K&rZKB7gQcB;0xL{?R4U`r_<x#$yGdHloAi}Ym3aeyk`M>Ugbv6
zIa3&EgO~_1(SI^ke^2gwhO^vnpMxUF(&J^6UV9|FT*ZRwFBjb_A3L2o>G0V}xkOk0
zT1H$(3fGo0;a9aXRp33YQcMC34tgvrzVA#~wYx4VPQPTj)kH@JXNJF77D-EIvs`dD
z|Lhe~DY&3gaed9h+}|u2aT)gPQ_pf&>&LwM7Fn?&(Z6slU*qy^_K)V>`<HB9^kes(
z4~fgK8%``av#a#=o#S@jHm<Dx5Gv>ycs^^6x&Dip(Q#|0p5?w$U3*VA0@Ms_1h1WH
zV_;F3z|HuoR&VC3b@QiP=f1ntFGb*jfWv;NzKEA<j9)IE-6Iql$C43uLOZ_VwjJXv
z@muE)&XJqa9@!Rq#FUY7^;vFdvz&t0*VoU_in*~RbF%e=24<FvNr$F0oaNrP<j?xe
zdm<L!`~BSTx%SHMUpF03471JaX#&|Q&G@P|PxAG={UBQx`??5R5OUZbH80||7~_|V
zYiopj=YecB&ab#D2eLIezVf;H@qf2$H~(#)dcDx`9!uPY4*}cXpV;`UNn@6H*D_Wq
z@mrp}XSu81!AjVuCHJnM=4y}py2FqQ6yABD@J?M*{SOr0{bquW4&DrZvnrF;MzdUS
z-~P$dq*7=>rQ-QL4@;{-;oWar-qw)GbbDKFG3bPf|9`)mzfBLH3z{H{6w9t!7V(CS
z@%7hvhu7qtF<#Wbz@iY~+E5km+HpQuIAQOESq9$}C-gD=@;xqg`lqmi{LkkTI6i?A
z<v&Z6rZiB6t&{(bjgiCQ04T}E&1lj~+9=l2-L06=#?T&_7SyN=O7N3}7Ti-m+Fi)c
zv_{-Up^%fMN62Bnn{acq8pi^D;miY_^FVE|=fVvinmI4*5$Ne*1nIgYyr9zY{EVZs
z=4py4USMJ5+dON*8CwMgMvg814ORE+_<p@(eBHY5Jj0{&GZ}uJwXFUzZx+KZ4_}Gj
z0xQ%UK0BEzuWL9^!epXvAP>?cq2lnl$xc(}+83XV9F1xm1v9R)q`qTh<ZxI}%XH=U
zx8e)s{1N(JYS{$p&#_#{KX>!+_bV(H+_MhdXm>DWoF%?WvXGS}BQAl@fq_ZDz^~yM
zYi(1+nwK+^1etsV9vH0VJavZ|6hFUNR(yZ^e8GFU4RJ4i^C<kA!@1!8oXzd;S8^`s
zztXaizcGu+L_fs%5f{gWJqKh!A)YXY;VkzrmW>gYjgKm^%u+az7$!VrCp(J*L*qBj
zfcLl0JKncV*n8o-q{AOeVTb*e>HPPDg&pKqawf|&U1b5C7<xohU_oW0B?BlTEEs2r
zf8k8pxGcTPmBYlb;qV$YjbeTd2L`6M!VB(gpWnQ%`aspf_r?uBiq#tGiqFaITdvk%
z7bJYlj%5`mXv(QWTfyNoQ!xXRKtn?zlZpNd;Uh`D=S8{&GMX6LBYgv&$$?_$mb$~<
ze0%oV?+ss_?x!<+eD1^W_t_lV8h>Ag+9hf|wHzU!IGrUJ?$Gdz^%(<;0t4e?mW;Ry
z>KznCD8pIqGmIe59ODF4?E>AVw!u113pkl%(o7v^R)gXuV?M*zeSc-X+-1JTT|1xg
z$b4hQFSCokeXuoU{4x=gpaXmxp0#GV#V|6?5}&~g@=OmXsm)ga=Z6*tVHS%sSxrXY
zL2+}zj`3CPZ_5|E+1H5wvSaSBPiJ~z{`}3u>MW)g%BG2LoEOYuILm#tX9p7~p|OJ#
znmQ<<IgTbY`X)5_HEY(v)&h(sy3x{Yv~(LDrJKlW);fg?YK<pPo;1s!|8;NV`}DbX
zbNqc6YE{^JDmf|y7E~T&7MkvmAl10H%vR!a%9$ykf;*uHRH?RXz*(s>%7Lmx!Gyh1
z$K~n@|47`;WqPsr_D|JaHlSXG`@9dA_!wu2zj?ys4=U7c+#8;;{!K~1S*<eLG%&E-
zQa(^++GqRc(GSC2w?WOZ&)y}K0t+e?`)wXBm1Q!~zj2av9;l95P^tJ(CSl_=0lj64
z6DBaUM{+()0=1?a6q&A6JD)rt%Hgn}kZDc$;|YiL`{Wnz_GPFovEJ`8ot<%(_@@)X
zakms5J~z#cdB6#(S7(U}onsWs1{=Z2SukTN$W#VK4hbcPz2;MRVj3A(q|^^onethV
zI&3t=8b;F?ak<jrMB=qyUtiyC<CPYwYR&{TIt4sD6D}@teb=cz&!dt%2Q&;~;Mwps
zD<<lJ`0@UWchwr~cFm1nbh;VTe}BTM{}xm@`RRRNVG?LKP|Wi0=luUS*T(F;^!J6k
zeN$HlL$|4*#~FtOiA;)Txy|nsG)v3Klw6OmuRR=BWDT|tRFW|;a$HeAP_@-=cajR>
z3|!F%D)&wvfHm12JU$=VdOhy-x0~tm<!4Q=n>>^PH*7(D1#lC;h2iy8i=GeNkB`5|
z6Lyfldrtr2Q^$s9tu~)prk8PC*pr|xUcto3;qbr`)E7`^hPSvC&vJ*y*KUp8QLu3L
zt5vIK74(9e-%uwzI5;(Y&608Zar9$y$#%{K{dv!)zxbr!@YzYO@{qSROGey=$=o)L
z3@i#CWI#QZ$&IjnLF0sHt)NwDy*)iUN?%_y#p&SiH9LR2)N6cnp`@s2({4r~NfslJ
z`D~ttT!UFM;tZzp%>kQ#AJp$S&thi$#b<X;;oo0h^A5N1#_}1iz9FU?^<-YfqfW2+
z*Vo0q=CgXCus!YUtW()LK_LU~vw&hDjAKJw?`f7lkA8|~>(%Y@K9FvE_wI{M9#Ds>
za^YW@2ad3z1#U);FD;<(ciB91VfwAqZsbUve)vsH&g$^>W%`vTz3-$>kG;0@1_uWd
zsQ&?~VH_6hW{FsT?5SAYhi>Hq86b-@IWO#)Firp3Zuf>~t?!;r=}=&3<P!i*^t(Vj
z^YK>p`qJNTHur-T=<Sk8d!DrW$t3SP58LJ6>FxXR=-g2^2e|PJOm|feRGI2+|Ii#S
z!{`P|yB^*R&sw+CY`ka7WTIc9zubj|k)xym<bIV+5ch}2mQK|c6AO>4Oa(h%Jg#D4
z^-=A(hk836G*NE+>uYO^*<}hEcK`WwdN;`V*8l%}zI)MK{_Q#I_j@QeKl|F6LU#F@
z4bgk6O2O`bKCgOR^tPOtzb~}gU7<YqFL~>iw#!wm0EId@_<uiOw|{Z(L!0@I;&YbE
zi#G}ifGTKETnaQelyid8k?x-d;_eL>LGf}4G*Y7*zoxvO;Vk#v)0%>g3{0{Lpx$F=
zPTGr^Wj7zWipM_bv-#vv{;*ZN%<{~+qu(0&YYu=8liGaFYBlBN|9n3GeV^U0jPiSx
z&r8AP|NFlG{@j8?obS#UpMMjR!@>gYZG&8^a3L1dA}Qjw|8Z0>!2~osv4tfg&f~QB
z>$}PhpPjxJdASHQIIweoI_65VC99UjZmW2=^ZBmS)6;hH*S>I$<=b4maqs)u_r>b-
zYc^HCufBhq{3tk*WZXReCZ~^|UsIYn)AKpSebM`Bc3S^_vpI3HVB`HiXYZGQ7N6dg
zOrJAx;`JR|NZu%ClG%6sEW?k3`h5(G6&V;gmVoBaqV`3+mt&kIzH550QWFD<l|#d`
zRz4NO$pSZ?%(M+mpIbT&v?%=d+WWuO`WSW2W^KIxqxXKn`>N}^m;L+5j4+;|F@|G<
zUiWDc!tw0HK52$0N6x1+^Hvz2x4AsG;!)?iA5u(ppA-vzy<EQg(Od5KHP5X-7fShq
zTnTD7HZZVM`8SkC-Vte#|MQ5$0Mur5h+;C)U-Gp3>pQ^(l?$saRh2j#7BI79#JzA3
zGUjabop)3C>%8xKj?b-nwKACf;h!hY53bj}y}s<;w2yt|dz9sue-uF~gub&xOh4+&
zNGNa?WW-6x%9h^$d9K__px3U&wOj0E@3$*Tvu!r3|GWFX?)#Pa_u}!+2mI|=?*03=
zeRuIW%j0v)Ze{+o=v@v@Opy9#ulj*qI(b|N`0EN;B*26Ix{R~LFMT>%^_}Cwo(p^D
z2nn(%Twr8!{d{QSak<-#9$yr}b1VM;p7{Sboh2A$R++{gS@ZXRWcr+kUH?B#=5GW|
zYkxj(e}8V#DNS;0V`%gf)`(;O56Wnw^@(TwZ5Yie)6##u-v944C{vUiy)N)!GJoS_
zf4iHpRWFymTQb@2)bUK4R(O3O@WPhyT54f11KyIHk)s4Obad;>rjy3j{`=}2tk2Kd
zRQ9M-eb?6P>$|q^eVglhchYZYq=PF6g;@-*H(9jcFTWiYTxPKdxwdS&@4TCppT08R
zs=PL3D`<K8yH~5%m*rQUj-Itk^*g9ugv5YAgM$gkwHy!G_ggUafQQnjF`VVj{F$^D
zG=Tgf&aV?xNjWU%oKtoy^PRK&uL;&)uLN7mrUxI~c+%K9b6?$pm69v=o<B8J`);rK
zy%+cXJTuScP3A=;Dh4J_&JB9q&G<_W1{N#dhG(p~RX3l^oSXh}D>JBeP@hxKBxZ4K
zk`O4hncpp${CjHr&#6nkAGAOetPCtuK#BHZ3n)vJ6n_DoM}MqWdilTJ{{C`fk3~%m
zZY=XE9&v8ZxVWgt=2$4l7Epcq?M87rxL{u4xS-<kq5S{f@^?Sl`tQ#X;e^TQWo<{V
z^TkxZ-TLmw<Np5kp4MX=dtUnH7j3^+wK{rVO=a@;CvHf|SfE4oz%HFW1yGh>5WRT0
zxo*veX896O^`l>X^YkuJm5bnrbXX1=GJp9+NCs4Tg7T~5>|nFXwC9mEZx6KbO2>Sh
z{s)vld-H1+?|!@O_8sYaAKGf>918|J6x_0EyeFIxD`w9602Ez!C44VCnSb&S0lVda
z%vtU;Okkxax*R?pYlUTl$e+1aBh4z)&Y$TL)xM*<{m!GV{ZF2&%QLO7|NHvxar=LT
z<#!5?KYeU6&k51+`7)2;^`;$~44~5fMD>>&H*OTi^B2C``P^@B=EJ4>wVC%EAKHQ=
z;R`4o@Ab1k2x?QD0M%u;=73U$|G%bqP@?{}>-yevfB(JzzpwgjcKq!tx;y#7`oKZL
zz_JLGHk>)Y_7t1=a#ud%T@Nnr5JRgV@9_v~+}ly`uvL6l{NJnbrcXlmt=n+Yxcc1>
z?teDVzhuXKmMVWfw|rM}zpdGMh7X{E5t<<vOb4ZorLv&hIN^H6EU{0g#P5MU>R`b*
zOZ?DGLnd%ijZz6P(T%D3c=X+q$^Lm4yWicJ<vZ_Y@yYlP&n=Jl+x^P;?q2`J{oU2|
zb*1wdADAM%;Ghrkfwwg%_7(asn&>{6sJ^EKWP4);lZpNj<0DL9#U`L>P~9AGeE)g+
zPyWwA`5no9mTufK*`AzxK22R;GXM9T=XbQ@K6c?r;5X#{-bn7>*(+`C_t*OUp3mTI
zT8iy5Nw+iiG=nT<<ZuBEIWWmNLqf5V>8P~0-rg@k<(E9wP3u4N-J9q;@8;yFKTrSN
zEx-RZ?(3@XQiIobd0(zr+*dUJ|DWe~C%VhsysOa30}d8&(X*f!9EKvGFiZeNlG*2`
za8Rr$Oqj=Tmiwh-F*`Wj2?#BybmS<Wt_ky`?e{y6yABtCs<b15?mP5r9&*2Xs$XAe
z=l56>94O#K;P4(4g0q>xMO*aZ%%}}h`S*a!sK#fY9Ai`bjssl73bJ&W>#d2~dka)o
z3WiBU2_;NU|F-jk_x>;5@AmzESA4Yo=gIa1iS4q__I+8Zzw^=lKVS<W;R2dcUUT}9
z5i2OIZ7|%G6SV`B$$mbcfBw4j4G-=(uv!Oh(7YL=)pke}{sPUgoX^`fG3V2nbDMvh
z{`>p={`<0ZKOROsi17A$kg8uj^Y^LneUIXPU7D`8m;V?z(4pCAfeNTtPy;251$Qrk
zm+FHHrr3gmtiitAppL+;(yra0eAB?d5~bqs*{S=(PDs*f5!Sf3V?){7sPEgh@71j_
zQ2wTHK<)gS$&W5L^Ou6Q4aOEqob$J1>EfNI8@+AEQt#={aA)9*bQ53hw*}AFf#WP;
zKEqk=Z^bR`V4guQ!&&ZIFF}Ue@LN6+*k1WL?cXg&>${Vpt$#ddzI%QDztZoI#P?hL
z+`EGj5oZi6A)qud5nSq;SkGG4npRnC4o(H&GT`S^o_<hRe3=C*ib`K!+bQg4vGBS~
z$idAgjjcWT4u%@9iL?eyH2=P~ec#i%kK*+kZ%RW=02g(jgq#p7#+ZLS0Ms0DFkbxs
zYyAJOJJ+}G_;85(XH`eqhGKDug%cRga!ac5P6t~!)8XQl0?_hWP_<WkOrd+O@4TCV
zMI3swlX|7B9yBoD`M&SFEofVgc~yKKX!a5sT?~y9OlwXjy|}nXz@5Kt<DXT>{PUxB
zyjaw|Y?j!MRfn~*YWTpZuog7EIDfPM8&LQef#wy>GIJ)KJvZ68;H^#esaq#bbo}1E
z|F^Zdjm;fArJaZItYxhxF&iOm)4QN))$heVEg(a!TtRix)$sVY_dZSAUZS;bTT|lX
z^s-M!AAYz0bJ%`|s`s=lQ>ETTHy?O(wEHf9{SW8wi^TV?IF``{PQuXSzCe~~&FM!w
z4ue`(TNY<VUHEiF3LJr7K!x<*)0Tpuly?GDeBb&4DzVQ$`taM`{@29lEg2KRwQj_Y
zf`!#jCc59L`~7zM7dwe|sL7xJYnTLTj?4n**|RU|e@?G2ls3<s^Q-*hQSr}T1*FzQ
zKyt90(1OZ^?Vjo>ps2D0rRsvWTd(hW+;4C9+~CZQb86?`tkmG!U;pd!{9WSvKD4G4
zwJKj_>8cTxcKiKqcmCI-F`!9Wkd0u=l|iXal?hZ537A(^T|NZbG<J1M!H0wF$0bui
z-4f80?s1lkxEF<=IAxgx8XWpS0|05gpcc`)&FAg%a&peR-TVC>I0G*@aeZ6v?K@4Z
z+>`FHKL&+8xWHpz5(p4Vh!t}e23Zu4Z{o`hoq&f-kw0tIa)PFYwZau&F1p`sWS6se
z>i+Rq>+ALV@2!p5S@i1vynm6e`_f)8%(nSIcgv&IY;0_7^Jb^(R~+QN^X={JyB97@
zsQz?P{qBz+JEAwGc(zHt+jiRZUfsLrb?0PDE;t4^`klXB|L1u9j{kqo|5wSsJCDhQ
z9i09^uC#J&D2<Gf0=L={7iUIsJU)6AB(A{F7{Fwr-y-z53KZS~8B-iC&Iyq5nOA(y
z5;X4duJ(QP{M{dqN#A|gE^qhszV-V(m;XLdx37HnVN>99qdhloZOy(b|No=>ZvUD`
z!tcIZ_Ah^`c7E^od$09&JYXt+HZy(K?Y!N(hfh3;+4s25`p&DXtM7sqrL5ccE35nf
zBfHPu%7@=>=a-w`ui3o&<+9m#Lc?Q4%Z1%ebBg@bj5}HRa_RJ4pu)hJ&+^FMAII&>
z<Ut$%-0eOIet*bcKV#3ymB|8#dPiXzD2+9N(pY2F;_kmI!PVaGH=9l``zG+Cs-sFr
zk`)ppGeAlD40xVfz`*|jv;2>S-*3|GU(c(2CJ8FNZtVT{b$vOwdei@NNPkE9{@-`s
z@&Et8fA)C7(S!5<eOVsN1KJ}yO)vJ<zTbD>?+V}l>uNCjzW?9y|6jZ9HsR#SlbUKr
z<6r#;t(Lq}-@RT=<KL?NKh{)mA3p#8&-rLi<vJhb4FwMmt*jCKy6}hQy#;|!)}4qp
zTz*peGAqJE2c$raZOKMZQ}vDIFYfpshpybaWc&Tj=Hu<V&2>e1zZ~7iWe<r+Mvf(l
z4xgQ*)<r=27K)8U$%_njp11q$6Iu6a<??0!PDhI$a*Qvz==#oh{?9X4!WF^0JYO!K
zKhNrc?!CN^EB$M=?j*Ro-6=Y)yY5GL&0U9|Ze5EUm;IPE>GitZ>y!h_^n0Z9b|hxq
z6U#rPQSavF7Grq6`}_}Z#)X7yLxU@*5ZT0JFS|c!{?Z%CFJJF-xHzZah@iXA-^Szo
zbsw6aAGowd!2CG4|Hi;1umUuywCjlkB(3%eS-f7e8MHI#&bHj!%iiryIVrR~>#A1y
z_1JRLSMK6@W_u-5s%&cWSu|%^is{GMTs;%`Pb5FN0@M?l@a^2O4{tV~-zC2Hh3mVM
z>ho*#jw$u0u6>d7fCZ`Co?r?J)R)bmK((>|)gtUy0J_fT^fcYszw~{%wKm;=dguyh
zoC3OZhoP~J^UOBGi{B4_n<3(p&$dwTN#&&J@pV71tXAsY|49E|)ql|N&h@zJT%N3B
zW)2TP{U^{kNpYq2r;4MZ;U)#?dB`PG<1dzo=~vUkd%!iKMfpk9={wHXzAJvW@wi+u
zc+0W=|4;h6d!@~HJzA|8wm$Ccb>|~YX*S>z*<k@2<1Fzkhhz>gcZ>6a8r>&Hj<~$v
z|46}%dAE7<1&&YmUAouzXv`8jwcX&u_1_zf*UtQS-sW?Uw4B_$s;ik3_a{B>ln3W|
zXzdvR>RjtNe`t>9Y19WbylmfBo-fY-sJ+kZ@txxHrPpJMd#ztAXioAm7K5hHMh+$u
zeU}5t8emtLcqjZi#?t=w<D2fZ3I6rJF28%T`Fz>@Usskt;w?Mk^fCO;(fFu4Rj=2+
z+jLs*wMWQZ{^Y}Lyziz(=gmBImsLFG!rz8Yq|CfP7*upG6|DQP+Ihi`td7NfR;P0B
z@B6#+p{w(sCr_5_{{3$E-A?s+5yy3sVTG^&Xt<L>P#ByeF32<{B`^BXSIu|(@yzLG
z6-=7Wq(tt?O$2R#*nR(Ro=u95iQ9sT>|;Wg52V1>0%$Iu^XT6v{r{UbRu?HF2hS03
z`7Qu*&4Z)*bsMYyd^~=)Pu4n(=YID3y>|{AXxRPZQTKAG$3LN|$6-MwlZn2``8n30
z-op!(#-zsMpq@<QKA|7W>wl?#zchW{mh}&X&B1{Os)#+D8cNfI)=0E6u=EIP{9BRq
z@zK#^dym*coZ%n^8n}7b6$;|+RW7&|nZ9#zzum31aeH@_Zxl9QQMeG#ls>1ht@^2U
z{L6K_-|hP6q)-G>3=WM69SpC}xFi^IGjhyODfs<%`)<%^(YtlK-x<A>_j80)n6p45
z;;_|3asofP_y6GDo_1F1yLtU*bK8z-0-zR?1!#xxPEfs7`@Z@<Gic=zH1j(!F|9Ec
zb~viSqL47{fZ=f&<FBQn$K~tyM9LRJy9X0M&4-nswMYUD4*eV(PP_`-#3{-oP_Vx0
z>gqeb=69dm``B0R09qRbuD>}P6a*Qs&FnmoB*r9Q;M$O;8~pNsB-mFO6B*8O>mGW3
z4dlOFZVlIOm+CG)!pz8V#`VEr`F|UJ|NFlGz1{Dd=b1R6=@qn0RFU!8Oz_;NfPr(v
z`jdu}xn#f*);JB+btq!m0qUhT>IqhW;&+m&H>e!C^XO>zZV{=Cph&9dJK)T3`y}q)
zr|G*v)on?;e4RxKECDt!um}ky%$*6DQx#@eQLJ{U0g@aOKtug*LXFa3=Q=g`eO#9^
z$wGmlaVN)|!ef%}rmnA9y8GR(*A2*Hs0@tPX7V~b6BB4~$Y!ZH?34Xsry)4KfY+xT
zT=GB&G&C@W^Mh<HXrY%PL!%bc_q*lucmMnK`tJ9A-}kQa{wag-y@N|b=`s$LGzA96
z$t-=A&m^`NK6Wer^YQrXFZ|1)e((h~z&vl=;RdyZ{5dwfc;&f>Q<%wC<-@A*y{GP$
zT=sn@`@X`Re=($q2J(%<1v$oRGv97}eomml!HUTweQ9!cDmac#Oa{$uLA&TP)E3m;
zz5D*(yZ5^;``h1@t$5H_eWdnkX!y?iKj+>zJj?uCfuS)^;Kzq{`#1ak|9!vveBHOr
zcR4yVpe_?=aByR}^7>4V)A3LahXsdOenidTQ=fhTEWJPsR3auOLx#}LxIg%KT)y0U
znoi;EZMntW;`-}&U*Alh54vA;-Y@Rw?D91iWDAc7p1)c3>*eyh%kBSWmcL%Reb@i<
z_vRkRHGTe<`G1>IWcQ|?y_-Z8dD@PDG0-@_;9!c{tThu;b2v>sZ?c^gn6!4r1)a32
zv<ic5i%j}APL~W0(mFLQRU-RW`8k`f;!9ued!KE2z4YGo*H^pWo%{KB-~I3JOZHoR
z|GM*B{<%4pU*|0r^N)$T@pxKvUT3%7E)BciZ#G-J-E#TS8RPS7j$V_#a#`5lMo@iT
zMbeo$md2m}Ic|DAM%Z_@S!|L|;!HL{@uyGnqm~E7Jr7>)*SjtE_OU6N!Haxno4LL`
zs=&e_py1HJz{u3fE%MrK^9M<8>rFxb?R3}ddL#eTPUm-aNVaI&OJ<|Uzx%?nv)9Qp
zv2X~i&|`eq`YKBQs*r-if-IJ<i8A)DRxExrGkspCczliF-z}dPeK@pyew~!{`#r@q
zUoN_TJYWAWxZ>gebzP~gw*Nl%*B_Zz{Z3L?&8H*n?5v}5)o%p1-><8Fv+wu2&|=%E
zyDt3v{QP*@>|C+%*wWB9`~UwdJR<0RL~r*Sq33hU<E*xB@L3tYV8h4#nRC5r=a#>|
zrh98^w)o#)U$xgnxC=sj!@?mFyFlXm%%eK1CjGb5O_QH0b-Fep^~vX7jqN&h4#$L3
zwLX_0SOfC?gj~jltvT!aUvUU11Z-tGdS`R~->=vEHzps~`}m}lMXhpk(fhsM#o~VK
zU!4+}*#G40weR=q?I+0xsH}g&$#e2DYa73O+}7*i8@cO09AtmoV|=dT`TTl2Su4&e
znc6QGUz)1v1n0c{)&Jf1b;zmLHD_iRUff^*e}+kB(BJNIHAYZ?DmXMaG_!V9&cFE}
zNsKRGW&M1y#ou&#9@?$hvwFgJew&n!%to?SB^`>+Z7$3G=ANoHoYBhw(wP$BP@#CZ
zd$9=<3rEOqhmCoMTEt(Ksn%S5eL}h4Xa2SetHalqEU^FckUz8F;lAJRR^L8gTJz<s
z`F$CFn-2~>a<*1)Kg}(_*LmdQ<9_?NJ6kvSJk@W2q?Na~xA!|Xvn`FjQ2`Irkgx?2
z-)A0uu?Unx+}=h?9IlP13_r*&_wVi8sq+m}b$deZt-hE83RQ=Mn@oqe*YSb8r!muE
zqg?&;snVw>If_<3SYK88?v7>MpU3jc_fHD{^m1D9IZO3<Rj*bmosZdj`0t<R_Wdh^
zmmljjzc<5dVvW+$!pFy63TubO*M9wyeTaK%{Qdl><?{bNw5#qs$IdS|Cog)l#*Ls#
zR#9j|X8EKWu;%xfB#p2~_m>}CVXd9KgMalIuP1+*`6GWy24CBDaOIh+8DSuYDSRkp
ze8?Kk3JS_8J_+~sR9;-<+FkMc?e@i$pPzl5r><>2?Q7nGGwO9$wK;X)Y`q>=c!*Pd
z$y62pxE<eaWnUI(zP_Jz(gmkaE8DH#?=k*c`{_yRG~MWB(QYPLS2X_4`r!0*{j~h3
z<?rt97XNGI&!n4sdt0w&@G_U#X1QAY3z)!eaA;s?)VNlgW~g!c@B7P1SLQ4=ywe_<
zX8P%0W4q3u9!>B2$F+(@XH5l1xK6Y~#lfqNpbV=js^K=thTD9as;kzg2k~ED-QQnd
z^W$OrOS`U<uP1ryb-uj3{5Y@qoq#7ZSC{W-m#fk^XZQO}#jlskFRQ8f&5^LJ`r>ha
zOWYTsxD|hX)m^rl`pD}2wYAY(vajp?`uaNj=d)07xd4jH4H4kH^g!qPe*f;k;%UbU
z<iloOJ@K1eZqo<jWjeNw%Z$PoMsk88;J_x<7V#KakgkBB#-uk7r?*O<o}?sN`C)z4
z-?#bo-L>EEn%`ARdO9_{FSh)yY2L1v%f1A^iu*4%!@j;QXVXcwkE`SVYL$A<3)^_s
z?Di2*(SFqB5ckymQTsCIcGb@9mo!$J<Zxt(=VYz>JGfw_7t1sOjbpWGh90MXg2Hh2
zR&W@)Lc?&Sb35Nlb|0(KS0OXIA-Uc`;V{!7Zpk>ArGg3$3&L3LOqp7)I=xKUuIR~$
zFP+>c`P=>Mem?o}(!W0H-lA0r+f?op-YGo3^!A)Lo6p-B=G@qjacRlPN2glFH*M^=
zzs2w9o^!Xi=gT*<@pd)y+gV8Wu5JRymw<vp0%zlzJL%J2-(S9U*PnNXPwn4S`Tv0P
z(!<=5obgltpE6bkr9J@-ABT#C2I}DQ%Ym82hHpJ%g`cX!f;UXf?EHNvC#xTSadGi!
zyX~CdPzIUJC0sB+a>nGv95tZ_*yXgUeN$3z&pxF!V=hRN1C~%6@>t7mB)0E((8T>{
zK{MYkx8~C8vF7(GpU=&>vf|>S$Jb(t&sMx%yM0mS<z+AH<EvgS^~kfESNUva#lu$d
zN84`ab)VMTt+Rbwd~OXidwdaodv><@bEgJ|#xq<#bFEBoZOfGoUgpzjmU}B@n_nxe
zwD_d=z|Q!6c*8%=kogVoqpxmH(^3Pa^-tU!`cv-T>0#kWaao}Eu$5iD#$en1y5H+V
z|D90oKN45{c50ndd0<82p%%`I>*M!({9AM3y88SYA?@{hiWatqKP{Z}G<0W9m)ZpD
z#=N_`Tz8kfz4ZQZ94N(s6YNc~g84JwehrjmWb)>!Nb1ps#Dq?~Lq+0Gw>|}j1#YZe
zf^iYGU#}*=yR)-<{+<afGG+HFpI1EYHNT{81saqx%)7G#T*ZF99`E1Gcest$d%j)4
z)zI*xb1aK5Jvh8<cAnJrm}1{vum8JstAAbn@+qs&!Iz^)(pc@+_xJLX)qGcV)|r5_
zDX6|IW&A#K>%K$3lmnufkjn9_)rNOLd4{1;iHk#DMDTI|3rEOw2hBs=C$|a4*L`x@
z<m>eM+S<qd^}mAOY`dLzaeMy#AII(g3D*C4EPwgKp#zP~k3oZUQdvJfZ4<ew8d<S5
z?-JMcA{9oar{Wp+_to-9nP~9Y{dn-nKhF(b)>LusIKM`G_GAtLjm-@kLT4WIbq5tE
zq1p<20=9ZDFJNMk(h8XV;gI$FJ)2#2Jh&E}-wQgA?Bi8+{TkCf1*dhlA9-<c@#FLL
z|B9pDtzN%RN;-c};hx{`c2E2hxoNA*C(rwP_Snej>uay|XS%9xQ1Rix7f*|m-*fcg
zE_fwaFh9~Zdub3;rPzkEzVpK4--BW=K%DVm>#hvTX$+0CgjRT0DBk2t`u%qM|ET|`
zx%E~2!#uV%N96DQI?ckI)A-Lb^ZlKg!OM<py&e}Gyzc&$GkYpOFLLb`n`kpp>891;
zZU#oCNP!tvrCD2YZ=3y{2O25RnX-fp?gl2-JLzH^PYsw@wA2DR-v`Urd~nR!{dU{M
zz181AW3$s<?2X-B_VEz6{*riU!z34#gI(+Q+5LL4_{ZP(_44-rKK5%rOG^S7cVc<N
z2PeDhvDaBRbVM}VD<1xOy}p0>{5q?=9S_^C{P}#|zF&P_g;Lr5+V3T|JEq4~X}0mn
z&RYF-g30--McLCFLODuaU(=l=fA7od>+7{%g{pwd0|keM1CyBE8OI8;aHK>gWcqjf
ze5zkBxqN=zFQHV>PS%a*?S6|~In~H6C-MDG@p+-+awQiWyXSwH#iC|*D`T<6qYmXq
z%Vy_AJ=)=K|JP*Sk4N1We?A;uoPB+rH?O{m{brwSPd%LkAlYWY9Hv9uPp_DXGcwH-
z(nzg%xMuUYu1;a~V{0NeFG@b%_woM!zxOwSj)AIP=|96jaZ>HOPf~Fkj>j+iC#BKm
z@Q!uT+G=RJKed;Y0Te2rCe2o^9p~3vn;LB1Si_;B*q{h$z3jVIAhhpR*6Ov3^6&2}
zJ>+qS`{eq#y;ZuO_dGedJbTSXH<P?O6)TU+)O<KN@lVvP$>J^IueQkWfy)k*e6sQo
z_xnAc{oZUkt+(-R+3lmT<#$EP@7I=pd3jm=*_oM>*(4`z`K&fOXVUEtPDN8|F8fB8
z#=5Rjby#qV_15<M`D~{r$s@OI);Ih<^D5SQs_=@`#;2{Ty#(Vdem<Ejo*#UBv3tLS
zWzmzM&gr6Y9$CA-UW?ve=C^6;5v|p0gyz?L@|;tCukz#W`+xQ9{(Lz6WBvbM>%Tmo
z;~Ah-Y5VKN;=-e%;Y<7%*FNqwUlh35Ez|b6Ty>7F{?>b-s%wD<(;;rxCKDb|oQvpf
z*mC@-Xx%ntP634gnZ|?7?8l#+oSgjS#l@qN7c@Xw1YE$0f(m}aDq&%T54=pLZ(my`
z^03wQsY-OP&F?pxOZoIwp4VTVEbeFdbP6c_^T^xT+^u`GWU`-^{fenM^JnjwRQ>iz
z=a!T2ugBN__1L!3W80-zk-UO~kdi20NFc7DRzP7wcH<NI8+)t2$1QXGr16p0?1sX1
zx1||&H_O+9bJ@Oim7kw2opERe3x~iDx5g*z$ZeYpSRKF-va{j$8LfDSr@|{78=dy)
zo2u+7IB)x1XW_J*_8aSBcOQ+{cCC20^?F?Q+U@tO($3G5UA=x^mDTm)^S0vE?{=o|
z`Ty_t%lDH%9O{uYzO?*&71-d$U0fXcS3=Kx1O-WeNaIu0^W8?BjvN9C8+brf!czMy
zFR!ncSB9oThDIsDg87lk+$(vROqBvo2q#Jj#aVnfz`Qtoecb6QTCNoj?^VC=)r;Nb
zGFi=c+6?o`O-`Q_mzrLW39eY^wk|$bK*3=_5Yr*<sgun08JSp$^fv6V{a<u$aV-;z
zmxe*wnHe7Q)=aL^ItnUuKu&U4P{wj6{o1*xS1k^@93?L<D7NuP3eB}DjY_j#%A+4s
zdNuUp8RPR;vTt`}US4+e+wFXL`I-+0g|^-=zhC>(Oi+LSpHD{BpPsZv?Wp<+sxnV;
za_EPgyLX0#L*T?-2SxM3o$gEy4GtGU=@{1L*zqD+dIGrW2RU~W(>r7B*ZE~kEdO)^
zGG?rr^04*c;r8Rr{B{!i|Gv$izDuWFzOF)QO~kFs(vx)cqqgNpf>QFD9gn)Y&(F6m
z&&%2Iuq{&~Y4_W0(XVzK;{GQFN*)f*EG^<vi=M}TLN;T9gJS>r><cV@Y7Pwt!dWKC
zFY=jZW9il}ceV}g4mQ?1>DL}C%Ib6w<<iNQp3TZFcI3~`&yQy$_jT%Szhm_4%gf1a
zEK;UfApzS@P7^$R+brqLjg1poL?*433yaEJ3a+I;pEZxS`M2}=Jn8dxzjJtGtxTSs
znJFx5UDmVAcedWY&nkNg&RITpxxOwo`PrG78(**6eGHT}ZL7X?fC{mx;c=2D+nFc5
zRhqOufA`yMFLxf|X4PHT49b8Bl1zuV^>{)4Suw+5(xbeKi(IQFU*1#sx$A0T5);cO
zMT62;S2AvI%k`OQq<U*h=H&imrJkVr5)>CR8-Aaeqr0PwGbLcbg!j(-|2)-y{N=KL
z{Gwm%auo{SZl=#~veHu7Gl4~=&-U95lRfiU#Pjz3eD>nf($kMV#@BwmYVl-(^P`{7
z=lAPwyP@=M|NnnKu5I79^~d@DKaSfU1FbP*6OY=SC(Cd9C1B0|f4^Q{mH@3_EV=U}
zy-GS~gJVp^!&Xp*^(E$EYtys~j-bT1frs%S>vT3yff5puQ0e)lODw&Ji6zTxLG<>#
zi|b-{zqr0W-uLTLNsymGo#jSV);sCDlsE)dENM_b5E69%4#=2*w@in)ukLGlrS8z+
zFqK<m7kk3g?JH}TShRFNDgMR%{q;VxOjaJ6I%6I@vac|`GxpZasbON-=efYSozF1k
z#Do`DRtjrCtXj~=bcnl@rPu=GHj(%PzOf&Ddp$ta+)2AR(3Z0VFGv!c*7#WNq(4iT
zxYa|UnTeOz|LiPNZBR=#wd~vG`G0l%Y`<RNDO;xkHab9t@nP#D3#nF+Q=}9g6jt#b
zDAr<RIxXt)?e-j-%A#HJ%f;aa+kp&Do?Ggvkj=z<cU#)OKR-V{o1L$>dF|Ekc-vW~
z+0(XeyXp*%<1RIYJpm?O%%Eb&p_cX8+1cW{QCm8;-><WtWu6~*KXHzG14H9_PPAsQ
zfD*W%1|^YBP!iGEV#b-`ap3!09^JMeP_kQ)#o8jis_dnRUjswqEuoAIqrKZqN}L-U
zHnQw0eJ%F(*4FO2zrRGYJsyLC0^}=>5Rge)ks7H&D+C$+YgSHdy#kG7hl+)Z{-~*g
z3JO;y-n+sRKrM)UT1e5v$p`m5AM2g;X9X9x`YdQdZi;F}w5|mAEF4O?IrKxm|5^!(
zR#VjnYU`Ix3pQra(z~#)_P2ydMgXW@7G3Yk2udViUrq%Tu9D@Og-*<F*bs6rEM`AQ
zDnN_zVQbJysp%lcztVZ|v8q(9n2C|;w19+7MFFg!=m5!r0z~0B(>vqhJwKw<1Kb#I
zUzs9!I|OXXWu`;irhE6yQg&!?DCIme+gv|tONL<n{=a1=`S<qh>I&CoWSS`ms^>uM
zyk$N!g+NCv`NVQ5g5Ac#A)v9p;rE$Ovu96qxW`g6|K#ni*I*}kX)5dq@QO_q1~pXX
zvZ`Et?emO<iDjSCg|*S!Gp?_T{qp*HKRhuqG#1Q%siferU<%W`4?!?(_gGrQKW%&T
zOVy#l;TY>1!5JS}gX9`}xRJU6rz)17QieNadc*HCMV_<U9qd@GN?r&!xAAoP&9!<e
z>~J0AS%(E3pw_?<6A@5siMSu|jW3kxbyDbOf{w);J;*LU=lHj;KH#(ouI?DW&jgiT
zUWy7bp<JQKLLlGFV{H-twC7h9DCfGd+T`gk=3cDBBq@#*@N++UO$E35LG}jZF@B%<
zRLoqyv4-QuG&T9xYrH|ZN@IS*2dAHF&bokXW95Q|ec*$Oe98_D2mXuCFiQ2>l6jep
z6XaTOGHq04xs$$2fkQxJ>Vez&`(@A0HrK!U;g~Ae|2u^Q;!c1XypSl@n05fveQDy>
z^Qh;QFjx?ASgIW&11ggknRbf5xVbsKN5;~~tw%!er26f>pkxAa3d<)&g*_AcORuRy
zEDlIveAuegEY*js{lB`xo(Dk?qgXhe1UpnH&VRiw8{{tqhXsvHhq!rJN~|CTfSt!O
zO;KUbgG;37Xc3QL28AD3e>|w&WC;!iMkbb5iVAxI_)V6>_#n40U|{r*(_lEM2-VXl
zB_a^F;-KqQA&4^qsu&-(UXq^Oi10^a4Ht+0lj6IjzF?1Y2nfU#@WRZ*VaLN(rU^1m
zQ1vSu86UPv#f0dB3NQvnrlsNnaT<F!N`l-2Rf5!gftdV|^*YQ23*?v%aes9v=7P8o
z>`@jj0fA9(fCFSSHoz%jG*Q8`(`eopDJ7NFPu*#-wE*D4K*3=F1LM#ud^c$9+3@{d
zwfm>jpx$dM*OymUwWGFXg}!*$2Wq!8R&jyaYVUTvURO2mtqBv0mL{lOS@G>=dh(GD
z!H<*Y|5-9=>5SR%8l#8l5O?YkGf_yG1Xwe5AL8D6d7>YOfW~wO&|qfx{$HUrzi;2y
zyFTTaqC<njJC=7lpU-=7Z*Mhd3~}e{*uyMYo(>fYr-cRU!)nT>+#LEk_fH7I`0F`U
z>;>vSom4*w>g)P3fo2jxJ+82r!lNb!K#Pd3ysTjdHSG?3Vg;>mcyV`kc~!#hvbUgR
zuCf0-`xG1$CNstED(M8R%-Ce+3auYmI7H$dDi;1&=B5HJ+8`DlFk<bq|Mz3Z$79l$
z?f3t9)D2qaveXq+fw~I4xV+pyrtas{ml?Iczx8_S?OfuaEDi4fgVeBi=_>3A$Vz++
zau6(_9%wV2oSg}p&-``uai6tb-j0WCZXoL}Ff^XG`>k_V?UDKZpT1V7HY6Ti6uv%=
zSK{JIkTl#`0eOrMTZ_79_rpvCS;fuzZqMg)7N1TiC;$8NbL0Dczn9(i*phX%3*3^u
z5}5Gn%F4`whn?#4I>dCNj;sh=ob><i_w|o8_(0tUNSuNC5niB9Ko#p5e~_UNYaQ}f
z|9zUie+gq7Xb3ZEd){0&iG6?H=0CsY{1`OGz4|~izugQr@wocGUvCs1m%aSp(6#9N
z*z1WhNQN*lGD!*u#GN>xq=DSb`NMT)uC+O@flB^?wOcNEWi~8~-k$f9^`a#s6APEf
zj+e`3Us_u}Dg64n*vs$duU@w+t1;cW2ie~&o75EcOxP+K$Pf1eBhyY1i+?{JKRza%
z?^6wnwX;i`mw`stIvoE0`<wjX!NHdcm(Q=O;*m63GU2ieq|*m0%_YSI;!b>cqyd>~
z1vicwYdBRFf;K?d=UQ<ZgND}P8Fqo1|BOuTvZW!vrnEl!dOH4}k)QS3EllT%IFMY!
z!jTf|P@(u*dx;&S6$%d8BGrQDbIX_A_Bb=&-u~O&^7~(ScZIEudV0!XGYf}6#H<5n
zW*RT9{{HUcjpY8LS*zEIEq3eeYUZ=z5JNHgN=L&7r(T^UW-zydVnnvFQ&@c&V_W9s
zWv;W$axXC)Ubp*Qlr`_G76wM9QV|a(ZqEWmP6N=WcV@vt=XSnQnWGOOkqr&o38{<^
zTXoj=-9j?<#M%RQb{1b|I1Cy~(q6kINGjpQwYAZCwkCcJ4t1=P-q)WD6Fj-vd%E7s
z?}xWukF(ydV1=Bx9C|^6cP|cQfyx`GZH>1CEZ*&S3>vTYn!WSMByZ5r@Yahn^gvVc
z4F?_^Y+hXd|KH1r>T^qiK-*_n=R6fa3PcWp6>f|VTeD(JO_8$L1ntId{e2ea?EnAS
z@*K1*xQ$<a-s*p*-VF|Rtovl{?RvB6^dkTHc0XG#m?8&ek&?om36oo|!KdaK7@4l>
z795u?Uvk@{=HpTEk9*(O>euE}ot~z<dbj3PVFiZ-O{Vqx|5cT|zh`^bY<c11V}@B*
zR)B`vBjM!=DET<_a&zck`N5QplxG`n3C^%6Op;2NVU`=UCG)ZxufdyLuh(t8yugn`
z;Kbeo!u~cB*~FLm&6Qdkz1^>wd*At||28qeO96)l2S1h;@uE!^ZXuOe3(Q!aotry*
z-^TA3ocWJ>X|J8aCb6sZb(r+omrV?fG2Ecp6VLtoYrox02Tda^IoJwHbGKYRL*oM+
z9496>d~li<7QG%x@Ji2t>EE07Lu1JF@@zK_ffMr&Je?jdSNrRWr?maE8OfknJSE@M
z#|iQ>EFl#$K5U&6&u|MVB`m071??ub`2XkgWj0XxDq&Ys@zrc`5fe+1_J!T$?{~c0
z_4?93c3vrwzrVhoUfX&M#l&>Rhpjg2_uWGhTv63%{ceXc=t7GhkGl0ARp0*|x2x9$
z6i@r~c?A>#elxw__d9Qw?-ASYcP5)v-_G5>^#1uhNQqm)L18}AA?}|s<*`U9DZrUY
zSl!R({)gEpab$XBVHk(N3e(2)`L$+$_nB6HdUB)Uaqs2#(baFahOgba61-Rj)}s_y
z(cJLCNp^#b7dYcXENxuFb!Miqdad$@h3#@(piLb4EdM^V+n>qW`AW$_;W*R(zxV&o
zwJUts%x~9W`~8lwTd&kqHVHH*-v>E4uKq5vlarZ_%a+I7DY@*M89qIx$n(qF+uM&>
zUEyP7x~fy~^=kO>%;j^ZZJWR%F=@e6_|O<6wmeu`#ADczVqIW`VIyea(XX$sr<au;
z;#5EK^?H1KvTRm6LnCPR*7ZjE{MvnO6IodJY`<K%k<iR*#9DexGX02t-N)`N%brwU
zS>V`g6goc%;%RW|QgB$X2{fes)!Z7&2M3Fn5_(4aTT*;_ny$CebVp7Bjr9k*_4m!l
z<1W7BslJqPsq6al_Wx~;^-7Dg%hwcuuIAeKbXxSZ?Hf|he7oo_ztlexG+Q@cuJCcM
z`LT`1<z{!@5`j(1LgMA7pg`P-b5ScmMIzkCuA-nteU}*ypVr?mlY4ucFEh{XH=Dcz
zpBqRpGCdWQuqw&8Q*fBqF#Fn?9d9<Bp2ndsQ+g#3G^Fn$lsChsvPkU^cm4n0_b<P9
z_P6~SvL)-P)?d3V@Av(lCVm#OI0o!jP~j%Zbcj22qfZx7=528J%L1Cd+E@7Ff-}GC
z<72&-&G{z#=Tr+S1l(nEmnn1sEywn55f>}n^6%H{<2N=YYs<y$c)#!WC3U?$f4|+%
zEXcaDBJkoe-`TI)!fla5mtzWOl|jlDHqc}mOv0gzWs>`r`!%1>W;#xftIC{He9kge
z6LkKh&-0t0h&8)^H9TInU9Ku4XTw3Z)Rjk7Sh747UOxoQD7deW+Z*)hFrT#!ubxTf
zr6tp*Ilx+N5c9E)&P0lWrdSun@2|_ORG(Ae6jT5AtE#SPWl8O)DeaT}tTH?O+w1@R
zd=8$5|M8&t@k#ahYkn+0?A?`6z@#d4qNw8Go#OMp&Os+%zuA1g548MVHON2*Vk5L{
zyfUfbgOl#sWpT)fZi7tY?fm_=cioZ>HnDEJU-x_IZI3m(-|ccOmnIacn?5*Q{K`9n
zy-}x495kC+GP?t`<|no(d)-d8s_*aSwm-c9S;_%PSu7kPjt&(IIXc>4d{BAlV9IIp
z@5kfJhKEn5$M=Djt~0i!*#8RJ<Mos6we9yi!u5Y&$3Jf3*3)o(c}yiBsPV}z(Cs~o
zy{GGaowa;Q{q|SE0iXV=aO(NYu`s+AmA$rPcE_)8Ve@~^MQyuW)mPXP@Hcw}GjcU~
zB{JdM9Ltx|z5Mon3QY3uSkx~5_~9`B`p*`ptrv#mZ%{kf%FJ(LFzJ8UyE~PYsXvOD
zW(r4?@ZPWee)ntD<ozM_+g~w%J)zvc<i3Ag_1mpC>VChy{9gU-t*xui2b_ZsxPrXf
zD8tR6|D@vMRzFxu2em4b`)$oY^B*EgHy8h#Q+!TS%S-cKf@qA!D<R1>O-#JJ1|b{1
z91ZT5FjVTZ`}N|>cXrv5fL|)pLBsOgOIISb7#W#ZR)Lc8DKVd&@N5ej?A><2RXi3{
zUwF6MK5P+w^y~F{{aYeO`SdyTL~=8ErU*7>@foN@$MeWo7)%B2`F^}=^}16XtBjCS
ziNgXZ(C~cW)hqCkR*>_RxIs%p3ZG1LKi0&`owWb&H*b5}z3+CtHmjJH)F#d%F11I7
z?ZgTPQ#OgJZ*L@(-TQo8*YEjs%Hna4vC*p4I>=EWutE&fVu{o&1r6Ck%3TGA1xJ|r
z?f+FsB~<);I(>2O?QNM2iPK}tGB2z-%B9btXObZ~Cx(^js!&43*Q?>6W}#u(n;RLo
zwq*85nQHASdmF`i$P2k7VQ5^#&7q%C+j<2)*bDNA5!aq?x3V)E9zJZB?^E-gwS=+l
zFu(nt9?_awt%I#ypJwmrVT$B8P+?rIvcsVE*OwbvtJhw7aLCX4ZHSv<Flv)V7u2Nr
z^y(E-BbkxutBA$78_AEK&Cd5bzwgT>@5ghB&#ip!!E5bXurRUi+&a6)HEa^^c0BGg
zx$)(wc>Ixnzu(Vin|&=ZeeNsfFhi7VoD$|xp}5(5u>o@Eg@h%1cyJK3jB-my+}^6A
z8xjwnikk4iDRQUpG{wd>tP*-VpG>lNzvpw%LSa7(#dZ7t{o={g1kVn^<2lRKp<-cJ
zSdctQ`e{7ycKiK#b+@Wu<-`1KapiYQy$vo;a^}*TGUo=1r1%N121QOiUU@s4tGl3`
z!LvK&e1e+{Vl0RPrJ&NMS775I;9OzE=`+JXk=H=w_nrKmPp4%zBtD;8u6OfI6SwMO
z(}Jm-Aw~y2T#L?k{r>Lm<A1;3_lt%_D7y7ZiGm9KshnEii3^bF;7qj&)JvWf=Dil#
z11lyR$X>s98k>Y%-H(SEmzH=+C4kzY-EqASoGyCuMm%Mj%3%OX_n8F`kBZ0loHe_x
z!>b2gd8-GS-GiIXA#h?>!w08p;U2Zf=AW1VTCOj={Z5g0)Wy{4v0}BqzGz03HgUTy
zHVNqEoML>S;CgKN(u1x2_WvwE8=jUOY~?k(vEZ1?R@4G2QbZu`#G_R!I$>!a<R?in
z&>Y2=_xJ7BZU|o=2U=#w7OuVHLV^?Xl^qV2Ogx|&6XWwX##8^C^sf_AcI#PD82T19
zK~7_75#O{$Dhs(ha+u1YGXI$0wuv5bzu)h#-_?7>>h+r7^{-gPUmbn6M22gM(Sd?j
zE0-U;u+Ta9D!1Ma1vVau3C@YSNDV571_xEv7ICeW`FSWQapQp&PT@-ohrhhMoP1h$
zyALxDXwmarE1{Sb!qb~MT{bfF{QvXZ{&KF;zaPi#m+d-vS!~jBJ;ah)P^G}oSOi)V
z7k#G&IjC1CHeQdb)~$W~d7`^qr<(69mrpKjhxx46EZ}1m=SnIPXk5=JqJ6-i^3#(q
z@85$KOn~-WNjdy_SH9nS4sx)vaD=!xR4i0_qXwCZf!N}Z%PCWQ#<1|T?)D|Vv1K<?
zFE00=pPv&@uyEV8c=M;?85s=^-|c?y*UbHX@Ao)R63P6KvH7f-cD-^MN+H9@ggV;-
z@zNp=9yuF}U*F%)@4LD>e7%HO&W)hsqA?m=+Z{QsRC9M`uitA1T4EXUp+mXPqnTTK
z%?74G<e(K$2nb|+2r7<HJhN4G!XD15CllS5`GRgzd71p;dSv?CqrO~vSFTmPUiOKp
zw3g}c&Gh-Q;W34-Q9nSd2E^lQ3ZKpnU_>cc1R^vP_B=2G<x9AD<039d2KAY5XS?lA
z(P@(d8$O@2*8ex9;!|4o^K)~%t(G6;coMl_0>k0u^Xq2i34gqO|DV~?UH^W)e%vDL
zcfxo!a(_g@K_MBm$TV8uDL71^_AC%%iL3p3)#Shj(0a#H+UsRNLl7}lFPCOEI2J4v
z3ya{76#lV{;qVmA;7j}a{cS$F{8E{s5-`O^60yVtlwDXjQal|h6unn36hjWUD>LAE
z^j7HkdA8#J>wCAZlx3PJ5E0ezu#sKPWB!g0$E5R*_*uUdIeASlI(KWR+N)3&sPiBu
z3;fvB@S*87YMtw)w&BIuE3U$zTPO<emR_HlW5+CfV)udR%sj=<&UAvtu~c8)+L|pD
z1FDqnb$&q``Vkd~JMrR>22#(Tk?E@5h8Mn9p6vhp?5wwZ^!z=Oj$c*pU+XUy|K7F1
z;UnulDJiSRJ;sktX|LD$=YldU$H2(sDk2c4p|orvQn97r@ZdgEJfB|4yE~SDZ~gfA
z_%g#`(Bh%v*VGx?`edz@lpPkFU^;n!^Ak<(ldnPNa6CNRE?&uY7^N6tXne%Yp)Yd(
zN(UsfK(f<`tp_eOad$hQM&BGZ2|nvL8)B+6=Q(U-iK~1%6*QVDB@$Kp_3C5LjuFr@
zOwcg`FD@<h-nh&VJ{b=&RHL)ugOg_jFG|0#hN~vH;NfW{clp|q9tlIGzn9L}|NCt5
z`_1OhoXfJAT!lcJgD*cg1X`dMySwb=WO2|E+N1uCf(aTzTM|*iV?hejAy5TlfE>Y}
zR0A>!5+{0n>ijVO@0;|O`TjN^kAQmIpIDbgGr0<R%u6V`66n5kF=+SAOJQG7Tl45*
z{a?E^4+N+b97ayh4Gx|xE#h8>vI3A(;S=u#>khWMTE*90{@TFI=W)Lz_u?YgeIH7^
z7cer#^D%yXch`E|&S$fh_Ng7Xz>u;WxgFHt5XsUae(F$;5VDC^);ZKRb9cKO>z9{z
zm#a)UGs94M+pVnCCI>ETOg?^#^|EB65~ob{n~jB^&zdh^EV}7$7lZS}2fU~ikE)<R
zoCfD=N2GBBg$ewPZEWIVnrG+PTJsw8$Xc6)MW#+QIZ*IoVf!=Y!09ZnGz`k$-2p|b
z&6f+#7ngWWmN3l<ktw^8$l9xPAV6R;crg()=O{QVU}8GNE$UznouP*GNU|IkL>z3@
zj|OcDF-$tr@x{BfX3`!pnXe8D^qBtt-v56#n|NH+%cU8Ym-$M?+(?}s`^w0L!{AEi
z>4V5=P$58#@nNfx<7|{M=B+9LT@MZ^&F9wNV*skW{(U<l?B4_0jXUkd7pDdHnD+ns
zy8g2Ilp4^G4`^7}UnBGCs?fd1gcDYP*8(Gzg9}<f>E(#2337VL3S2PdV5@7~$=RF0
zBb~RI`79J_CvS7@7TbDar8*NUr;5Ll<?}hkmy-K!zuf?hm2+FYpUo$fkYTWp5j8y`
zFLQt;q^#HlG6!2-+a4e9_qR{AOFq^EZgny^AMcaRo*PlmvQG^(CUn$aLDD260JKy#
z!;6W>b+L&6vMV`E0vsw9Ha7SnjWabk#Ic-`jEP9Gs{Z!I<bXlhn;Q|SQ$sH?9A4Ni
zH%q*z_F2pW&>om~cXuBLZHZqZbn@%`Kl^UzGqxplOCb%_f#&s<74`(kn?8rR8ssm9
zbf&2sdNnhDyja}dC#dYUB;HGIe*L$b>Cbamx6C#Nj}Gx_?fGzsd*jDr(#Jt#?w}Qr
zlFbaxCo_1Fdl-yNte|z^9H3RnAd?~K=}G8<O9xwB--*XnB=SfYDExivsXkW(w1GHo
zy32i2J<xoN$&L>X+vSge*3PqugO>kJD%U*_P?RK$?9he-<{TXQJ8Td}gLWs(WQyd}
z)6p`h%=s7SE<5$#H&AAkUwnU&E4Q}Cf;5(OyI!pVZG)KgZF0`cqT9LKr*1W8Y}-^c
z8+8DFpNhhs2SrG!sDYtzDOZZ_jtwhL9G9!ssSW-<H9W2pw91p$;LVoHeqj?Gu5yDm
zG=A)k|D*I4G{8I2CU=R@`X}%I#sB|x9kgRX%W$tHaz1rXSk82a+cLHy7rABjU^dGp
zshEh6&u?ySzRYm=#>V92si9$>%siko^juW~rZWBib$$P(TqV%R+LEvLOD_8so-sTg
zlB(Z@JPyLZ$n;fIAa2F_IiHb3C}1&DB)?wG%)RO7=NW=Fhj>qqudAF>dM(m)m)5OS
zp^f|h|9ziX@bKmG`F&w)qmF{&cYf`+l~>EYf@g>jd3Tzo!k!0@P=n|w(^Zj}h>(&`
z8Gjj>*_Q0LeYs@vqg&bQw=QB?<@DfQ^?ToD?$6K8c7wLc@ak>JySqy(D_jL7$qQ&~
zYxv-_(Y4ndl3u`3-l!$I;@E>jO0PhtDpY-WG4bEF;^*gHnhBkqZNC1})POQM7ErIq
z;^PtF%VGTXe?FM(xUi$}vCWos?#M;1fWn3l#)qupY$!Imn6itD>E2K7w-p1G!+SoR
z(%$&>TJ&<pwz*}uPQDU4F~{NlzS_r|PV0HUesy{I`A7fH$1LQ6uZe?%Kq{!}R^GM}
zIjkqRHb!yi=@iX1%?^`FkTB1SG0DAU!fWv70lWPPrQNfNGz{wh{VAE<adENx^1JHM
zb5x?|qBW%%nFJ4UuY>Qb0=fH1#DZx}-0^Y8=Gj&sJ26rDvVLsc&!>ggBGaE%Ox-$<
zwK4PZvZV)SftHHi%3eR0EqhnV%So-5u0V=yxciJi%Z@rz15nyYr}P6>A8d7<#LUju
z1L`>Z&3%7w@8en7>on~*Npk9lRQ!0@epy`)G{_5D@dcW_$=!Z;8hAPm98n+#3MhO~
z1ufcShHxPE7HI^$KG^E&U3EKmyKBFk?WOx=pcc)R>d(*47VmfzwQkCR4ngHdFBbRv
zEw_KMp!w2+Ls_fWhOI0*<BgOK7@1g%v=#PDxVbb?0@-vg^$9i;6Q;+OdEURU{_FMR
ze%onw>;9wW(5?5j{WDT9NI1{{IvD4&7id!J$5Z|KnT@NaK->rqn@ySudnTMM4TMhn
zLS;n)6Rxd^%xp-!v!n3hmdwj02R<~*|5?ysEYWpf5|jPUlm3scMd$nOw@5iL!Q$_i
z%Yvv)Fh-{P3JQB3e1fDGXg+GZ!|~<KO=Gt{nVH|Je?FalJTiUm)Y<n;qvRTIZcbnB
zICEq2@nfr2ubah|3K|fZck(Q3Efmz4RS^yq3%kVqUqTIpgi1hpV<R)W+ooK%Pp|Io
zE{`cbYg#IQM3QBil0o937Er|xYHi$IlyYT7AZR1RCgjw7US;lO*jfNkn+|Oi2-JpX
zrtNpjqQAVjsGPeBloyvjo^75V7w!9M*&3$uJB992F%cUQ96{S%GYb~Fc8jI1J(z;x
zMu!EnK!XaEU#}pKsxdNs6$3X0kN-YD$I^J)&9vDj2Ob1}`ugn5SI~Cc!a4Q-ey(&}
zAGbH@#)ib5-!9ld+yjjxfffA?ADn8h%t37>nJOA&Ut42xz##9=4p3WOD&faL{<;9+
zy_t(_m_S3epaJlgDX^IZ8{yflAfLk%1jm&bpczTs6=et^&|LjHM6~<wdDNx-=#26C
zHNO?kotS!HcK*JZdBPu`+yA!&&EuEM?#R2l3px=BjXRK83uZ7K;y!xC1a$&5WG#F-
z;p_L%2n@a5eaKt;!;{JW%MP}JwoGmO`E2&`gROVVZm-=kSqidG4xS0G>;O4ff5SJB
zCGZ@yprG-|OYW1w5|hHG>&0HmRk;>d{q_)hLCRU~KcIg0cB!8~9``Q}UhenObkjjL
z=_@t&rO-OZOT`4@G`4Q|h*FU)Sj8e&`{m-7voB{Fryn!Boill#<=)4A)?uOiUAnyo
zKugxs=az<9Rh*w^3)=XtdDg`Zx%1Az$Yco`L3y|+dnQW2?~7F6)Kg(>Q#rBW)vDFY
z7~9sx?oK+r@xaRE^Q4^H`FvR?y>$gO5R-Y=BG;h}*gHH50m@7##e`0V37vf1rM<4h
z_`J<#H>=BT3z^>U|6d21@BH^=x&6}RJu;R?RbO5NzIX{<HG-VNxIpE+z^O!(q&&gD
z@k#JEW_CWG+4i7KHub;k=1cv2Rds2JXJ)}e(1Lhg^E(Ehp|9%qd&~C;l%SPqr&JX7
z1gK?PhQ}U=;qaA9#XsI--k}M5KqYvd^2TqsvcvP8wc^(~d|J|)@_NGed)4~iZY1}Y
zoHf6lv-#<~=di6xupnGe2U_r0Tr7s{1CA#?4=%dP``WkKXJ1=$BW-r>CG*YYcM6Zs
z(R{M_E@=24wAW_KP5Hks++PZdOPOX(>3;^_BmhmiS|ArIR$NBy=6vM@ZQ1{8QYVxW
zQF=A>GK2H=b+N103ySIoKLE{aXs_F$^!Ib|d0TV6eLs?-P{Pom!9fz#OKQmBLaDM>
z=r*Qsccy4}KAF1bV(qh;>DR)VE#Eww>~H5ee+_t>(%ZTpA08I|`FQ+!fYWJIGZz>!
z9pau^Xs(SC03kaMu!_eBfZ}U6Xj4@3iwg@w?*-PKo^O=uB^C4Ib^L#+*K0QW?eaYW
zI?*d$U@ltP;^N@Y4`H}F1;w%eX{M8x#V0K{P+6}RyKBj9k2QP0-P(FF#&6e;&-4G!
zVH3Ys^ZD$H8yl0QVr~?j)-ArY*9;{OH8_-k#`-pkX(5mGF*It4fLabRl}{#uX6SB|
z-OjztaG1~fO+f41C+nYpb}N3oCSd>LApfNYhqP9&nPkr)i{@M&4i5d41VOY~?y9aq
z@v}1~2R^)5+z+W@BtTWnUEiw}U!TvfuLB+Om^nRtUS(QL;Zaf3dmB-Uk_LxutS#c3
z_S&riEv$lvZ=;sbi;Iih@08un%{&?&SD6ZG6NiS>)_M7E>)i48+wIG3>-YV7W$|=M
z@Tbdr{Ln(cjkQJGXqUk$<m!l_@s$Xu^_=wJKx5`d_4zeLJhE0>tfW^ST$O%i#zZ^C
z<Uc<?ZagNHy~O{-kAL6y$2ZT-LK%i-;Ru=E@WJWp+L>J_)+sz@Qg-j_nP*$QEL5)I
zLF3EWPqNo;4Li1a-P=!~N!XLZ;-DQ|pw;Sui`|Zv-Odfa?fV*KT{a6x$i#*ZPNKTg
zf>4ZJP{lII{Pbl1pXX<pYHzz+cH88@hQoZ;A^yy!A(@+|x^G(Q{>kf*if2LMS<~xl
z7V>RHuhl`zwtig?K$*5ym=M?)9#^T#Yhd&3M)Jiqk(*5p6r50OPuX(omd@)#-1<GB
zHuu?^#}=Dij|fLkn}@i!ZtU9y&AyOIp^=AMW&SUJyT9}Itb<ML#N@u6Qg+7h_z~Uh
zcP5#YK$|3?)@NU$%qg?rs1X%rGo4)ifAVq$mG!}Xma6Oa{d$!n7R4NT7PKtj(NSl9
zTM^K{=U+#<K|{Z6R+pLJDF@705zF|n^;T^3T$Hwx!!Z`OJ{d{d>Tf=)tv(!JzHEN=
zdQ|q>p3um<PyamCukQqPcDH0+UX*)#8)&V{jmqb9p=(*-RbK-`qYMX!{u9s^93(+T
zrkjGG{PpYEuQxY0C*RK9?t4Gx{e^|jWn60>dDZ=W9lw0r{Q7^DZ+5@mw=x&B_F{+f
zQndW_2V`#g+EU~pFGeOw!HTC-!!PCX%2mJFm~m}Q<S&*sXFkg%Nmpw>oUH!%>-Xlz
zZ~5c=>-T;=IOoTM$=@Ge{yG21^7-{L^L78^f6ChP=+woOrLFtJVpM0X-Q%`q_pPdn
zkN($fjY>Wok^9tC``Tgfe8ul?zR&GJi;dc(^HxOYs-blOSzhTW><K70P6yQ}uxg=!
zp>YuhsO6To`|Y-pHQMX<7@f0t+@pG|_TA3sN$dB1>w0;4xjU$zW|VGp;`wL$?&<gD
z$gJOEtGaCe*Q7oFKU!a0bhB#XEv;<V%WBz2UUC25?KL@V<0UR%F*dPTN4&Jn{GR)r
z`<?S|`NyB)^N$^$UnlYZ&yTx5t}lOov|WDxc7rbl=GQWImF3(@T79Er?zW3ncgwoZ
zYr8!(PCL_ax9qm=v3_~^=$ws5g`DS=T=E3Xn|#Rzog47^y#0QOV5w$=N5F|jV{yX=
zC&`VzXdTpjTJXlc{`{g-nuTAlhA;pB|6cX`qviWP&jqb)0F6=?p0j*zBJqByx!Q5=
z^?yzOZoM~awq8xvp<f%%y^=n5>ui*1#ha9dN_oASti$&4y_MYa?-+dFE4lIPFWJR+
z+jhIWp7vJC=3nLWx#FPaW6kf|_x+f8wAbxO0&Ow5tM&-g>pkwjt@Fpn{`xx)cJjbl
zs<5m$1(etSJVT#^@a6!wLcYD3S@-q;Gr!OFi5}ag?)d#~_vQ7u`+hz%%)PaxYPGFp
za!ybE%YCi>p&4S*YxcU<tggHrQMq*4SGn~QgzbO+Sv+I!hm(Bk_ZjQj{d?1VWAWF2
z8$U0*ulwZ6dfCrM#p6MXi5|b*e&6r^8qjvS<^J<cc6`{r|F5pu&6LR|J0`T)qOQ$p
z02RgpaVyT12AhI|9_EQFGY;I?n0)!bp*J@-F9scRF8x(|%?2lx{5$IF!HbwI9(7oi
z+Wx$%{%GUM?>cvwlD1}C&N`m4?^ac*)&2<f^U*t&9=URTe%y|$N7y%}&t?|4>rH%a
z#cNyh<HL)qtHZ0#DNR1sD}6kDey!O5kMjQ~w%LNlg{*pCCZMzy6dV+ynGSK^jN-qF
zlHwiuSV1G%Ki-w^m%hB*|NpE*_p0B^_S<~&aJ0I7zsm5~zsLR`Pd=A-pZ&G0<LmWX
zYZiR=s=Y9$JpG7w@%%nD?X^PH`9I?~-n5DqHF*k}Xz;iH`{jC{@3sk`<!+A279D75
z@&srUW7~E2Qj~Idf^y^Tce}Jf>x$Nw-dPd27}Q7Vk+-)4HRDpd_Wb*|#$=1Z_rEtc
zKCQAodW^M=`IN6sapI0g^2PJJzFzwgCY6-2_1LEQvkKnt{qFYs+}z}e2g9@XzTfvd
zY5(7E+U4BOP%2|aCYCN8g*^c&0qD~Kzc|3NrUnlu``bl6dkNZGI#JnO@9X*bGcE1j
z&8<n#`Mvh8Sf1cU=UOj~-<HA8?S%FBSQ+wVl)Jpy@UeWF)qlly8KLB3J&|d-XJ?!D
zAD6GU0nIRNx}NWZ79k5NKs}tzCeVFBu;jmD`hn>Dy`tb<(LWw_pSG>PwkGo9+Wgw=
z&c|{;ZohwYbm#eFezV^SXRqD$uITuQON{UTy*^u!^{aW~)hgMf`?=F<ULE1q-y`t$
z*4F5}owC+tKJ&dcembSC|2={oCGHs*nQnqQ7teOB=t7C5B9#w6pU*!Inu?fVkm&U5
z_1^FIqSL<r{r$ZkH1PcQ^UwG*{k!MwQ~dY!W&6g%%jPcn*md>iv%l$|?K{)cY-CoO
zWs2F~`1m8DuvPT(zq((S=N}6VkKO9i{bVIK=*<61>S3VG`|jaAVJNMG28Xwt9Qs%8
z&3c8JYF5QOc)5IjoJ@+xA)d|Y=i}}Kt`1w9^zP2ikJs3X#o5I3dY+w)(!HaV)UWma
z$Ni`K4XT~a{QmH@m9gz{($olD(5`3s+AkL$-7~vea@l0XhTrdYFaLkH?)Tg5Mftwy
zL4q-^B%m;%uu(I3nGT;ZXid+>zdPjJ7O%b6^LF3X^qgx&KW}TL?Afb&YWBZlPb%uZ
zC4W4>->ldE@3(y>OE$c?{%m%BobTEdkW+mSR;|FYNRW|<MN8M<_uT2pM_Nu_GTL^(
zVtvNlRnAMjwO;+v-}^7e#{Bcek~8zF=Lp}AicVO#;s6_3nwG>i@5aJW6Z+t=|Goa%
z$K$24O{2}@DqkP{(x0t);KS1ghtzbpb(R1BnkMsk?!JpTPfcTZP_m^%1GcrSj7%(9
z?hmeYh98^dd%btt>-Qq@b&L0lG4Xi6PCqqg`J=u4_j=@Z&yBS1ULlN@<`Y&j9pcvG
zK@|;PWvZ`vx9!LC<L{61%`S_I$z97Lv2SHRI4P~)lvY#!d-1A-sZ%^qnkOtASHc}C
z7VaxLhZYQ}LK6Qk?ftm@`n<09Ih7}_r>*(M;H>zy|Nn9Mq}jY>UGKNpE%14?45c%{
z$i#9Aw0b|U@H0vSi=okq<4^9xYn<Qxb}4_m_3A>#wog*twwos~ILI#3xxKLU+TVxj
z?~gCm|EI^7@qh7+w1?9qA!ltM+=?SnXL&q$)qbw`t=Yf+jGf2a?%xPq=-9C4!j-M}
z%<s(?J%3h4eEHw!s*#zF;6s*RrGtV)gM%Pzi+EQ@CUm<s%%T9F#^cNW`Jbt%&U&-u
zrX4G9^mPXZ$L47d7W}&Q^U4?Yq|0Kt$>+`HdIwBZM9p;!jb2<F`mi102%8clndIxg
zt>5u#;l7XZ+}pb&7H4)h&3z@!qfybsCU;}PuY3Pryp`Bq@Vn#kzt5K<mVR8tyXFY$
zc$-6m1Ga@444}0H1^>DC_m$gMncvF)GS_OhiHbtN^OLU%oD5&hGRdx4bF*aajr!a2
z*Amm(Q99G06JNvy;!gbHT8TPoQ>6akTzmep(q#4{jJLn9`7X@XB`BhyR<rSy=RA+X
z_r=POmh5FecI)gl-L%eQC><$K&eKrX6W~}AjMjo(%K7Kv%-PCPYek~h-QVcwaFmP3
zWJO1-y7=zC`ZJYANe7=7p5Qxfvc=>%szy*NS0L`h2`3G-Hkk_3`hC{6ZuW(_Z}!Lb
zXI#l>Wm_y_Z4q$2$;<G66KnjD+Vl6N?v}ljm0q_sGS<5YZ4^&o0%)fvk6za#NKXaY
z)==2bRBr!&^^cl^O&PoI`MEl4xHc@9@W{*Z{gj>lN4<KbjyB$wjr7p$Lr?TZdJ20c
zfVMM34T2=5C*BV}{r2zQyWP$P)Wi;y)=!;~kl8w|Y9GISrTwvgf6WWO)$P|<HTfWl
zD;gLYPk{yij@<<xxQ9qc53-r+=YI~dv3uKiW9`}csV>W}G&Z@qPS2j#8T?;lcmBrw
zoV|4)=LH1{RWzf=^=VOoxCp(8%&0awRI=24e)4r=)#cKp$)(%$ZniAt6Pr@?NB{7d
zir4uc&)4sjw7>In$)rlj87MUyhkyoX9JF!{`f%|y?Sh|w?R)><`R2aS>v7s?+ii;M
zQ?wESRuxXa`uh0%S_%HW1<TheOBESfpf1niNO5tfP*mn#h+3$f*nS}U{kaZ%o8sb{
z^4WQ*jT&Va7TkC&+V$bYeYfL^)-uA<Yqn-CTyD{a=DrgP8a_C&iMx8D6vPwk8;>u)
zE5G^POu@J2zx-~_(hqyEAmZ_r<sUsaA3N@roiDXJe`9>DUpd;M1BD5Kj1OCTbXrgs
zJ}TU2Dz_{2U9<Vvv5gOJMNbi3wD4ea+r0Z1(&B5=qxSr~*Yo4zy5(ji8W_o16ttOH
zVISlW0l3o-C^atR|K1&Ic3(d%|JI&28ee%8u6*B<WcK5H|FfgQ`uF9Rmq^8&N$f@I
ziEvDbbf{Q(=D{+wB0OF+V#9-OiJJ4B7q>pvytpE8aTmi?(~f}akG-#6X=3Gm^xdDY
zw=T9#cT4obkjoNiF(RO`vEhSLXCtpaBss&X-D&C{uCW(C>iaJ%^?S?jkDvH-U(Oeo
z^3eFQW0KhKr3dBb)cih_tL)cV8Wz1jCU_RgK6TKd(@hN@oF+Q*BG12p=8ClpzJ7h4
z{AbJW<m<Xt*S}s_B)@-8!l6(9_Pk&fHF$7j`n$fpQSt)0QMus<F7lygz)czodnOzW
z4HQPrfL;loR@e6~PxtNUoBein=f3Hp0Vk3Q6d9|Felc%+e5~4KH*eXwmibDkxq_i_
z7dMCgmAhvoQ4){Cf)z~u=WBH0=KQWHxsmdIQQz$e2lq*ZcpR(Bd$#!HgxmRh!nfpI
zU8s0i{Og_ccuDE#wcGC=VmLg{RrY!Us!0tFKS5)W>A7mCRrrRG#{GN#IGm|TuiEna
zn%qpGUquaxfr*jadMc&9^K2E(;;J|Q`1b5(MUm?=u|PvqLl=0lw1}@tSvbWLCBC+5
z8|0n3Yxq7s|LC;W>G`*tJN54huitB|d-Q(7TivAX*J6#f3p|e7qdm(sQ_THsS=zI#
zVANFt0tx}3>4`+YKD6RqRrte`*0zgPpPUZ=nS;D^laYyKlY+vY39X?4D6@1NPof^Y
zoY{Z0yLf&--|RPYCENA$B`4;HPTVtZ_Rox8_t)ggete^yoV@z$wr*MTd&b6fT<9_V
z3UtPZxxPDUMbWs3+o$gB`j21Ea9%9jT-$9|R?GG$t6*X2YQC2Sr+-z|KGS$+>F-ys
zP<AJyKVy61w{3Sd(&nRAUt2+)nbWIRo1?_a3a-YL>+R(qFTX3lJMZGoM_={y7i`#L
zzutveIDVJ)x2#%+Ety+mRhOBoqa;27g#Z@NQ40!EP4Em3W|+7<Sj+$Hi0prBlW&>d
zAGh&beo)NKt0qzv)6A_^(7>*KU(Wv4*C`R{TfcJK97df9aA<J21{%fPrt}IWU>fgm
z>O_2S<=OZA$ctMar(|4N5$O7BeYV2Fb;qZ#S_wKv=>2>dslU5;qBFKTtz2fPhwjuQ
z#)qv!%+jD^OpsEQh?2pVhjklIKl^?3mG5lvqKd%&mH7e-_dOTg{G-0kM1Nkz&wmz6
zFF#1)%SK(Vt>CcW3}|aBo4G8iO%byW%=PE#ExmR_#Vn?}dlQqXNyCK;pQUfTS^eIp
z+x*^aLHm1Oue9+!twD(c1&0MqphZGQ%u&Y$1R_*E{Mhn4`I@!yqQ7qUHKn~aHnAU-
zT5i|tKg-n4EUNnQjoR+NuZnjSqi69R(4cjS;uO>kE<eR8-rkk`*q)x(_df6PS{;F-
zd@>@{dz=L2e%^jzk<y-=)V$S9_lwAJ)aETClO(7I_+XI+>d5dX&4QnQmmjast9Ds)
zX-A|dqnD_O#?>9C5B>PDng8)Z@pm1YzyD74+{=SHMajZ3CC;H@;g@4+u<;LQ*~`lL
z=h?}`jZv3NlP;HT(|qycmrTg32FI1h{}-J%zV|D7&;Rx2i*BFW``Y=T3|japID^(!
zM)53#8U=}EhkdO7?4GZzsJX?wF?#lYEl!u6O{`C6r9Zl2fAn4S8|mov`-46A@Su)7
zvT#iCcc@rcb1e<6JUA`tv90e$_3OinZ=TB5`F>-UOu#yagNtT)+1_uTzehg#|G(L-
z(Qbbh>GAZT&CanHX({ZPaIrNIZ7M1F!Mo@6qVx451ahxy-@DA>C94u~&1-7WJ<a0z
zT~nn@yO_6I8_gH*T8G*ccjyImfNwNqfhwQ>{d=wZuWwrS`S|~|iLToYD|I(CH7$D7
zl##l?a2luH%HYROCS~?4n|V7#&o_R$+~icVsF`8Oc}rJ5%`lESv7)sxkn^2H7n{<F
zRXUsUpO>$zw)%4+;$88(*KzBg|E-wAUU{x`ul@Y*pZ{9c&kethsG1k-VtuAJSMvMc
zpX`Re8jKgac28q94?e@-Xg6E?*srf&XD8j^E<dIz|0C(kTIm_*&0<jJlvy}JKy$3E
z{3lQ+Mii=<_-(7BUAnJrET8Ypm9<aeVB@nKjkmRXjPku){<c|U-nj8B=eR8-g25#Y
zD9Rl`i={#y1mvKm&`r7<Ql2i_k$Nc9@Y#XQi|+ban{z9_J>am}Y~xYi%(v-he}x*p
z`)U1%d-1x?b+ToeCDHGY7mI<6*8;7Uc4AAEMzt})yU~65UHQ{t`n`XD_vaY-L^(F9
z>F;TlzI5YycfMcOu5YD<UvAw_h=r{MsQW+X+qWxdO&FF_pdN)=jW=3(pUbJ@FY)7^
z@bu&EZ8cg}+uzSK50PSQ+#{ZSthMz`{?Aw2AD?{5f5cV(Z`kJf9v6Fbccaa?3urVp
zd~o8Npo>}nu*_06C_I**bnW)~V;>sbpO#iD9&Fen=J9gTvv<X>&Kys6_<peP$Ajdc
zTb)Lz{%vqr3Tm~VQh9^cSIKdGQ0*Th$o}rz#1}Vr{x7SYCC#BBUKRX(cfrruAOA=T
zKU&mZyE-O%8%Ck*0;)eAX`$7uT%r|~_pV5Me{}wFN85Gxl8oDxtDnx2V$mq^&%8VT
z{l4&Zv2y$KD`Jn#`0L+W`<ur<yRsC$^y3l|h+DBB@dnCKH5>vrW*t~^{n*jd`M$jq
ztLJ|yw?Ajj5U_I7&o}j#wE2(j+s)TMvH4g?mh?A7Du=W+L_o2mu;4aYHq>PK_x$Jl
zW4p7b9o@j_o)@P#-~P|^r2N)(8~57HoBQ?li!1BAYaSgi=88S`7d<ptL7VD76y%_6
zm0{t?(JZ+B<bQ|W+w#u6QgL$wv=6o(`?u}d`PIDZ&qQpi3at6ILEn-C-7v^j;)boL
zjn1vg8;ah3+L3!{`=Y$(v5$JUFMlp&U9ep_DPVnb)}oWT%sjilO9**~>ve5Z*6-)b
zwZ86BKMSo$X4$5zu;)PuD2stI10<juwS;DDezLysc<}Y7Wij3dTaO9mNG4chA4$Eq
z{<U1FiEK1_`8P{jVb6sa%kQZ5N~00ynTQ`rHU?jh7dq@eoSbleVd^c{!fA7Nepp(O
zc<#uLD<94ue=zU2c)DOHYS1$@b_ol_t=KW~23lM0#>NAWL_a^?KX0e$uF@BxzrRXv
zd@Q}{L04w+ysq*vy$*l5nzAo1ZtUhN%jrfB#VDpj+?k%M(8?(<oehPTkF_xzu0OV_
zR6F@bgL3lnuw3sw%_Y;K_ZQ~cSij!Bv3NEg@4?pMMWLs|UW$aFXT=p#j1OB^NlxuW
z?X@<p<+l0uiP^CBU$J4$gX5q$TGf^La+RxL>9fa%OTIf6UfDN))vCR>QMWP*C`_2w
z@WH8Snig7MZsN4Dt`6#{etGZ4`uB;3JHBt&^mef(_xTzBb9`o7Te|W8Es@!C`=Rg7
zt6R~14yjKZ(01kA6ph&M=j@CRrw$v||D1DU_xBGs)*heGb!5igimE+E^;uuu-O+b@
zTU+zx)t&uH-!p^JO??Sk2%es+idt0%2sO^+eb}IKWJBKJSrS)%KX?&rzCh*myNrpI
z-sx_iwzO_rd;6&qH?y`$%*Mrbe^9o2uy6=?#5+_d)&`5BrUwUCjy)#dU(T?2JTc??
zvSgljr3EFgZ|v6jU6p?H&y0-^W=3qzbCt3CaYN$PcjnZa?XIZW85&Q47JJ^gc|#Jl
zJ?G*2;AQ0g?&WdSCb!;RQ7n6FrSYr${_2M>+201Q+`CpO+k8!*`TaW6XL>UQv#+o3
z;^()rvNL#aV$r&l;DZg3@=d^A&>4!>*4AhhjIU5d?nCd7kM7tXjeq9b8#lMS|J~eQ
zF8zLg7e%+n`L5c$wtM=T7=iA4bA`L_*J_LD&6jol#naFHtImM!tIRB~T31M>ggd<P
z7RWDmZ@xlZlgp74nsE5o*N?XrO;39E=%r!yN9~XAW-YzA)%pC33+wc(wyo{<<Nd!a
z`?6xx_8ejBty#irqu)+hJ^9Y|y2rb2Y{-l~tgR6C>|ON54_k}gUW(XMl=yBRqwTl8
zM%%U{3u4>y_MMkNa|k1owU9vEjfoTHqt$Lw;t?CmB6T9{%<sh++KZXlm@57(f4ZwY
z`EJ`*-o)0ehX2z2pR)A*JJZo-;Cwsd@~+&HjLVw4%HPP^TED&ZA%A_Fy5*N_4!e?-
zg_@`vz8DypjtU9HX-r$Q3pF(^aAS$uk}>i1l70L3xmjI8UBW7$5ReI4>0&1M8>QR9
z$RrBtjwLd0ltoL!&7hMAEkbnBvY-iTi}<B0At+l~SU3c3%xd`1RE$;=bL50OR2*bP
zvyi0=G{Bsb_!TvQI!pys7e5(t=OapCP=tqoYI#wflVWJfEm>Q{Pp!<)MRoQBUeM(h
zXCkMgg$?L(hO^6xQ8z3LC`?!mI`bhw53S7-37SB7_2esBz3rv0uqVJOTN^D%R)L0s
zPHnu2vVVX>U<K$z$W>C)&!Uxymq2^p?%nA^b)iBw(;@E2**ogcyeZ7uB0h`bSrMu?
z9TuEqI>ha(R*c#?7dSDk;e*q*XKSNTl?U*F&dym8JsB-%!AnzkPlut|ITbVt{_;W2
zY*gh_yc{YPhFrRa7N0jkf%Wc9DOwNc6X^WI?vt*l-fVDSWIDvn`d}y8L{N&OLxm!D
z@HMmu(pcZ{!Kw1^S~Rn@;v6a#K5|cKMm2oFE6{q;x)v$48vdxLK%B<9^{-G>H#oF>
zXbN_KG=Jcw*l3a+O|t0eWHiYRic;3Yc+ZEw@9XXJc0QdJv9G35rvA@I(AGEi*Voo2
zN8exXWiT6kanmdvg*_KijLhNL8_a0T;anmb2U^|(T6_3$x&2?wsI6I3x%KDN{d&3a
z|G(e)Uw=QJQ|z~GK`GigTq7NYJrf>2%tl*F{X}^}SjEGG?D9R=<LhnreVV%d$+W-U
zw(pnqo~G03%x_z=`u_iC=KE)!|AsL{aHXN)gA*@LAUA5FTQGwuvsHZCoyU7BKR;Tr
zxUVZZf3GQM*X3FJTi3pK-<*F7RegiQPf&em&uooW_KE6FD60TnCE5?VJF)OEulW&9
z^*I}Me$TDlzo;+=eb&Xp)uBRB)D5k{<?xnkiD=x7Et$cf%j-8L9&X$5^V#gh>F4KJ
zZpp3PU*=lshE|O3iFc?tI1|k+EZej};WT~!pVT{*&*y%89smEA?d#mvzmK7<ZsbUD
zcc@Ub-h53H)B*s<8JLkV%^~VxD`@FO-rld*GOn+?`>Ymp8NtS+qg`w3{@==8pL?zD
zt_zx5LcohV&z?a!p~<1a!ISk8m;RKJ%VKD?Kg%jmMYrl$D(FZuBrh!Z!gPpxsrhy#
zuv_2~CuV`p4NzMbk6Pzm0QLRVns%bM?lVBMCzDU!L92K+sZPkRc(~=VU-#;DyR^RD
z%3hzt_;MO*1uUQe+RKsP#ERMqb;#v@A{^(@#C`Jlyy|x=b9wHfHc%LuTtRz4xmGVi
zO*0G1Sjt4=1Y%aqjeL!^c5{liL&d_FPuI{oxuHrM_I_x3;53!zBKp$gR#5BhM?((k
zR5Ztw=!EEptOr|*{Ez*@u)Re*D}9<3yg8vU=>X{Zhchz_3*Ybk{_J1wtLIB5`*j`d
z7C(NZQ+RvT>nkgR7l*D6E4<*yZn|~LYtXrNLXB%%#aYC?obm-xgMWe~<HOc7JyDCH
zwl==ux^ngE(M_qR&6q(OjMaQ+O))>QxZiFTx9*=e>Gs{1{q1ev|CZAKbD`aC3b#J!
z#E|H{<|}8ii0}LJRR90o^T+$;<w1MwL3`zQmA{t*T_|v;_WRw7n^I4!y+6#$!Xc2c
z?!fQE+)dnPr<Ta0CK81Wx{ME5)8PY43c^gA)6RA&I=3B}Wtu(f>U+?2F8=fF&h9F|
zx+)Zu!(QCk`8n+U{jiF}hlg4XD?U8f0orsgJpYG_PQ(TU(8=G)$NO@x+1+tzU}${C
zl_MD^5R<WP(QnkW!O#d=No0XI^kb$#MqWkYiwg@4b8l^#b5XYZj$rz{%4gMk&e?vy
z<1z2dgcfPgW`f^8LAQS2nJfre_YAt0bNQXGyWj7tR;j-6Y4V@%2O63GpZmY*wBG5f
zprfN6FY4C2wddb&{hIn0ij`J}PfS!U_OFcCU6%V!llSDkc3x>Q&^Z)$%J0_}zS(&E
z*xT**<v{0_AGu@d3Az}u_hD<WzpZKbhk4cSJeP0Kg2ov<2pjiva_C!d!G*z|SSa}X
zh_HXplh=PAwm!+^JNa6!&+^%f8HUMjHQzSR?*(O}b5^g{oLzU`_Iu2`d*9o|rSxxH
zn=B4GYpOcxNDHU%qY2J@KX+T^>hIZ*bhPWmm6gFdvAayZKWQs`eC#Obj={z5{e8vf
zEY<D4?>wKH^lp}lgMw_ME+~dy&RB(BX6*rWb~+KoLW_gpVQ%|h7yFa0tO&F`o?rKQ
z_QyY;&p)46bm2#4UWMY$|Dba&KA*R@Kh`5D3_6MG4d}?O*UyCGBKFtS+Wh%&7+hQ*
z=@fqas9V2pUF_~-SHt6DFBbd%yMOneL)1m(O*?xybAI0H=-Ik17B%@hEN}sBYHr%b
z0}DilN>(q?IMB{kDbS@cf8PK9_x@QMH>bXe>$NqJ!sT~M!$C(47T&9Te)Q?|_<5`6
z=2s|kI@CP3zTfFNS?%c|?$XjyQTaH~HBm*jTn1CVe?Rt$MX2$~chDB5O1{PW_Q|0Q
zMk+Wg&;XtAo)|g>R*)^Y#KOg^Kd0o9C+KFmoUdC2qwOjcIrYHx!H>uN`Dz~Xj!d|-
zd+l|%nrFuIJ3;3&egD<@wpL6pMgnv^(z{F^cKMouccP-8^}g$C-)8T;z07~U9B85b
z(Qa|~$Jxr0)b;;7Gv9yGwo<Y3uglgJ@kw<o5?XJ!pQziUap6a`#-0gBU%p1&MZ_^B
z%%Ni8mV06Fsz771!@`HHpe5?!JRWfmH#|*0F+p+T;tz+k*YBCMyZquJ*XXzZKuhXD
zo3_51w1CcfniQ_3QXjDA&8E{uj;)}LsNv<&4_mLTjn0qTKI;(o$z`k(r@n9}M>4(t
z{rB@N4tKO^MFGgh*4C@=;S-JB2afeh7yH}Z$lw3B$X~_dAIJ4op{v#2zJ9fOeV_XL
z8Y9rHg2zE^gbU96y>rX&S$^WwS6N^C;?~yecUSojxAAsAJw5&ShlhvF*VlhOYyO-`
z;N*Gh(pOV%Cl}qlvNHH_ulc<btn!oos`(fH`19Oe9<*(&__g003&TkU&Y!Ycm)tWx
zZ!@{t=*aK+djifrY-RCmSm^0~^(ae_V8#FUb3Zs~t?5G4&yd7_TTmdb0I};jD{jHM
zb$ZiuqqprmFR1KxWQphGSy#$GU1@o8RWNv&PbVWY+mj|1(2<AQdnV|7IV}Ir;NSiK
zzw0;V-QD%$()4{I;jyJxpM4Eq8#R?%wny4rPkhaeKc7xNULF583v^a5XlKHmStn~f
z6BK{Ge<CW<J6}3~k0EHcuScBbM4P>eoK`kJ9yGtN%yrq?E<P!aRbp02OsvlWg~qji
z_i^frxV%b3%R37EptBYy#31Exho7u05~u8$tyk1G=Kh_>sek3)n^LrOc;JO|?>Hln
z1~3};u(C*eT2`dksK%Z1{=0X@!jp6IAfW^=%vfe=DD1i5VlW*(gmk43y#46=z3TU2
z(plHn#h#gIyu57I+J$~B5?L8DqE<>Y%KnYx)DJncA_uMFzOts_gVWi*uw=OL)<O~6
zaw6Bn?X6lpUA2D2>#M7;_j&!OoXJx5rTv;w?rYoAam8m%r*7b_&S-Pko_AM?(>(R*
z<n!+*e{c%(Yqmoh8i1^N$WKKIF)o1<&-vG}PSO8y?U?;Hu^5lWO&p-zl7%N#r%y?J
z8>IK^)#~+bLR)q|pI5zY_nHq*n#+@Pk@Ry2C`|ALjoO?8T`2}jOj%9~CNcB$*1y^N
z>(%P)Lsr_pptwHPFF!xqT${12CQW<Kg#Jm{=(U{_ON%(y#bVI4MKGyU@fBAXn(e<$
z`<r1>&1`<JBKgnj{r|Gx)iJjHPcE4^yLQeUw20(*qN%WFg8G!xFe6wv1Xjc}dhr<i
zNX~ycZ+cCc+JO&os?ROw2S1iV^@+m*0mg@|PG9aIIt~h{jZgM|2A#bOIxptwsjczr
zE-~@^yAl02-LK){|M?3)$NYUBiMo-;VZkNP;Y+nn-{A8d0bz{`o!gIX$-G=tz2kA8
zHE1WP&Z{~<`@df-UMy&SbUOZDQQ-BJS07CkN%(O5-Ytt%CZ7N1?$39co!hL3<Pp%q
zNmWo|?NiAc_{@xgXydM3yCSyb+<X?L?AFr(D)2+A@9rwyn0a{_Xb0S5`Trl~z2)L0
z?CWY`3Xh5!*8che+9fPg_v2yV_q*lpr>E(DzEv-#ziJ97tgoGWDV!h>w_@()HE1he
z19pOjA+4pY;U%p{AZXV#=<b||&1t@ySIw(<)H$(c>Zg<3+~RsYpi9BNUXPcb|Ko^y
z(!nNH!_-q#B&^GFHdmdv<U3UWWXW3L>0cR|KgUErk2HrTdoW{x5GWK2wZ6f-1QT{S
zY&^uhI(&U!x85#|x{uxQF2BFMecT=YC#mM^>iD~VI=)uF-)sJztK(?5_;Jt`yyEdS
zAFJayC)xyp&S2~XU33RJ<f;19Vaw-pChvas@woi?t9doKM}G(2``^{M<Qk~)lf5=}
zx7qh8ZBOnc9&S7O@ArH8?{~}N!DqGne!Ja$wpnhF`MNDlPx(M8YU$jLL*FMq1$p7e
z&p^}@h&Tjp>}&YYBn&T#CU7<;wTe%&pRoVywdlgjzUD_SE%km3y1(vx?YrWg;kGLC
zcNRZC*2XJcbg=dLylOpA<6{5Mv-wJurLICXpp#1<O$qip$tp4F!$I+VA6h}j#uYtm
zwJd)2WS*E|;-MDM9Zmo8{BCpWuZh^GWCf}$f<K|OiO#huPqIyW7<>*K)z3IjXEhwG
zTzB^K8g10f5a0=lYZKmTL=%6OgW)0W&(F{I-z~eX`|sEF{cii~{uWis+t<~A`r?N9
z_x5Dm-1PMR*U5XTlq!`DP2cxr>5l*ZeuIxKY!#ETf6uRC^XY_g;lH2HpF8oxT1~h2
zl$}=BH)#{Uwmx1SblM}R05U9mbmY!t`CVmirNZNDOE0e39{%G>uzzp$yPeCIWXl&E
zVElN}zs@K<_Ef+9w`oDUw`Au^CV2cS|5P3NTK@UYYwBz4(fm`#_^|cU`2&0$uu{rP
zA)x1j)2FER&FAf`)6UJ=xwHA$1&2>pTK3icK4yI0M)>}pXXcMv#p6!w6?$~H{QlX!
zQIE3Ye@Fefz5j2t-j4};q85Gp{O^O)r@yAz*N%WL^aGu9@@^#$=**3GpK9jqnb0CW
ziQx!%JCaFe(7XOMrKP2*-1<`<dvaWlDfT_*U9pg5e}-h#quuxa=EW49RNdLP8I&td
zTzGg*3)c37w7xVz2OQ6QpbIaW0-_p|TEs!S&+ceTY~#^i6SLFEs_e~->Zi`Xzr9U<
zaG<g9X6p2#%k93dT(N%rc~)_|PZRr}9O6D1zG?mL_xq}ik6k;&-D@ASqaabH<bvbQ
z@M#Apz}5m796HD@F9SMiDfz>LgFCHGrbqk7dBg=zv;nuub{^(FY3D7QAP}eV_I=c}
zu+u>ejundD>e0xjFM~GF+kkFrEZh$FibE$Wr~z5?=_L4I%AL>W?XG;@yS}&L@7L>~
zGgWrJSNBi0cseB*R9Jj$xBsI!>G!>=*K5yypHum4reVf~1u+jEG_uQ`V3h{#_sO`s
ztMuKhx2@un=F3;V**I}el_DpD%6GlEJr<z7Z+G_I=F&I0=C^KT%Lk`T{XuLJE#h8(
zPEXtTbdykmK-`HN2d|;c`D=hSG)P|1g)iX?h-&PWHh(s+V8i{o->#pc+Mo0ntc}{5
zbxCdAjz?V;?{+>19U$FR`T5yV#deuV)tj7z%x2hDm({$lzJGeJ(4+W&PveXHT|DAA
zC$dOPQrA~`*p%F7srLO=$HwI2&*sgV&?0_qU99xsHr~^=z7H>X>z}pV`0&r?^Zkqa
zth7K~*W=K3UdKdb_h;W`vnbpB|9Sqn{Qn=vC5%!$K<BE8r|oz?uR7_+hle}ELsTAi
zs9d=4<5$;{t%6e};xtkl94i)jy5Bu|R^Wsah%L!}SQlKnB8FKUCbP7N&pPrHd_O3t
zdDECBvSRh>?$p!Ma_{}>5L8aOw8YalEA`!-orRY?)sJ%P?-2m)>j7<S`t|j-`0B8=
zU9j@_$sr!lEdeo=Pp9r|aQWo9&bx_UfB&B%y#~<b-amd_-#?GrR%QN@cdX(u6S&pZ
zL~qv%|Gn$=x^7VIs^&j$&T7-tQ&aAopLD?K(|z?h1&5yPy<hRTxA5=R>+YYtIzi3#
zCO&?<9|@r?;*-?8KC-YqY*mvj6*muFaE+Pg5cgRdi9C4S1o8_b6U!&i$@sHRwIX?2
z#CgFDMz)8oNj|=3*K%GdYH+MLI8z;MPzTFQ*Nue^h9GOKpOiaxF>RGf5Qy{mI6(t_
zIYv&XL&d>^Q0qAaPONeGw5tttHo)q?+q19hf%>qKvx`jP)-W`GaI#!B^Q~#N;Q@s`
z6W$uHtwt-fxELR{25CgYhaMIvu};&C7F!*@{@$y5lkBg2eRXxU()ZZYv$fnn(L2rG
z=jpewvy5%-^0g+QyCl9p@S9<v`2GH3x8ADr-}QID*(70GRq~YY&^dT92w^m?5)_DA
zF)Q$<2E5#9%;AuMm9y)1y;>C_B^Kw=8aOeHBP6>a@o1MQ=uFdyT_rEecCTB#y4(DI
zjj>hPn;Sf`+hHXv#Arq)mV4R?dmgwT2?qo+Ww!HPS~p#P+VMBfwL@=T56y0P2pUu5
zV|F?D{@T>=xX9bgSJ0L(Dg+oYK5U&77>%^Es<DRU5})*vSpE5Old@aHKTTcr_w_2n
z0~2g&7v|sJx3T8ur?q@5il3h|EPof{AG_l3<JHb+VN8UXr;e3gl}Na8@3Oc}siO4r
zu<1yN2~^Nc0Szu**@nD)&RWF7I%h)JURkpb+qpsRI(4q}s#wB}YhW|1)5DgNW5zYG
z8MnY@M5l*sM>8V?<c>+(_~3EK$W+O>MApn>cU+vWNsP*#3F||?ty{f}!THmd*1EsH
zKtpOp&95#k^#&jMQ}$-Y-h(eOHXE#J_}~;+DT<Uq7OaFuU%vez?w?+%pLb<(8RY!@
z!s;J;;#uWYv{j-StqmWXG^eaZnqhXh2Qxq!Y{2(jS)2wYcD0H#r!3b?`M&2B+Q_R&
zv_r+h8;4%OyIBGX7gQRz9A?YBetNs)!`7Omn`&dXvPeu?uCFp5wB`9W^JUc2#03-r
zOc)=wF1o0Nbc&fnF*Nbqp9hMfqF6h|HqXgwM^8*t?rz(f^mbFkh8n*;dy^dBWq<6d
z+?e$CQ^fYd+%>m1s9iIwQJueS?QPfD*K!y8t}eT{F!%Y5rOEGK{M)`hZticl<J)4p
zwz;>W_P`h#Z;1)St$6$M6=LY#;VLwO_ALN~#7;fI8*vQ}_y7OB|1oHU?Eb%R+duOE
z|G>YpA@{}(ySV5tL3=)aTWwhU@k_+MG|!Uv7p$$et?vGoYvsPYt^W4bmjY{}w{^~q
z+S=JZ|L^%byN>f)t6$5H5tlYw+im(f473>R*s9XgNl#~`@-A%ud2wg$b%_hL9y~8L
zhV$G?&DfH+HCXF+C|bu<U_~wC!`55#H}WC;6S4(#wZ`K3{dKqRKAvM)47vrQ^6SL1
zy?ey&o^n0JeRk^UceT;n1}1FcLQ_GfP3`;t_q{mFms^LXC*6wnf4;A(T=K$)D=l^x
z7YE;eacklAve*BvU;e-SojU*Cg5B5mZr=28CGY!lz2#E#?ikeHTytalc}2r5@7a?A
z&M#zrd;2VE%5QLZ3)(dBucs8z9bE8;^_<P;GZjxJx<7im{eIo~xZUON<-j)$R6d{U
z`%3X`m2cFad~t4l6Zf;W(QFdO`edcI-z~eHHs#;n-^btF+&sJ0B=uU$j4!tq^ZJLr
z5ZGOI*Z5cI?bQ!O1>SyMH#NT^@pKbtg^eYzf7y)PPrgQMu5;~?FtOs3op5fl@~3+{
zxDmkxF8i<*vbRKU+`B9^>(iB1w}o3?o4UtUEVRse=gG2-$6!zVlk)w)V?pO-=dYOl
z?4PCDxgVv6wH2(6J&0p>-`=<P^S<)a+_Qz_ZhZPzE)}O~n0(Nfcm1pg!FGPTbq_On
z+Rd`%^UxLvvt)%kR4gn@Uu}#i1w&Oo{9CSS@_i+DukZ5M^($u_;`S9Su3da!+WM}F
z{ij^TW2bPNzj<L?{P7EDwbjP5!?PsrR7ZSSDZOKH^tRuhoep#N9(}GmSJL>I{p}A|
z+RF^H-ak%G=vQQYdm1yII5_mLoYN_VcZwVqtYY2vZA;5Do6?1Xpg1`y8kezZ#%tlm
zx2)om=D*+f`<-F-wKcg(x)J}<^tKql)_UnKEdWg@9qT=x#}U5AsNU<#yF2#cNiVK`
zSdV<M4k(>W$OR2ioR9ww;y|i-Ew2Zle&e4f>h_(z(&oo*Y)pRaZvQLL@wfNxxL`Bo
zJr_iDud6XxbLp9A+5UVox$uaf`ybg`n>VW;-7)=L@84gt*FJQ0B(7YvOg1j#PRu8f
zw#;Jn<1-d6KeFMj+p=BhN8xoJgwgm4wB~bN<xO39+1xlw?8c`|&Q_4WHQ!eG9u$wu
z=$e)-+z6T@ldF2M(Bs^{Z+4(#2S6R7_dB0#oG#z-^7H)ehs^e3rPl8!S!HZI#C_6j
z`no8Q;B-4V=PG#-_qV@SUirRG0d5I|5g-d%+-0b~8*$uIz*na3_-cjqa(cU;ZM!pB
zeBbA<#h-T7xr;B2&3Auo`mbjC!T(c!&+ktAV{|_{>(MT`=06{IUU2;Pu6%!Y{NGpM
zJJ;Xboc=#{r(Nx@BhmSLr~dwT%vbvH{&_#oM{LbY<&iE(d2?k|?!wdgU;ibwiBDp^
zo*yH9{@kzJH+Pmf*L->aUX1Aa(i!a(Wrqbtpk9BuSs7wda=|i|e@5@-XRn$sYg+u-
z_HxnmIrX>dtaoi$R=4l`jNjR-<`<by-lMe0@C4`>u(P*kS`;SnSY`w`7d*W7;@ZOS
z!>g6tL`qj5<<mFGtGhL4`J=g0xuuwR(%t*}_IBTz{0NaKz)|Ip3cA4hn$4RwNY4v2
z=5m(Hq)oi+=Gq<gDQhDSaOLM*e6zgBS|m>6-hxR7oRTLbUb)zQ{GR%iqjkIG#F(r3
zbnh*yUmdgRgVUxvXIk}-ZrQGO-1G3UW1zL7d(FAQWdS5&z=?6HqQag4$>I&%h%9NU
ze?cPdM*5SbruVbOr2m{=lK=dcSe(YcFVA&;X5aaErPMI#gZiTKyA6-@Z)|YVJk0Is
z{CWDhuH|We{O6cH+VtXjY5$}z8G;A{!Jb^O2h<_^x*c(sXyX}BcKo)YRou(?{?zR0
z$8#s|6Ll`LH35}#Cf#Ohf?j=ax^!dC_W0hHpXc>K7vfG|6V8o3P5BfwP1(O2X(4Qi
zpF_pMZSHz|0%rZZXZq8Ak@xS|r1f@(y*EF&AExPEp&0q=YGrWp%~!4;Zyw$ka;Z)i
z5$BNZ31lC}voJ)eo!|+oh~##EaQgWCxBdD5Cih}D>$LG&Z2Hl?H@~&0ReTb7#p}hD
zvD1GXX-uE8C!ZU21s5aJQelBOjk#N=f+HIo#VJuBhaT786L9CJUsZ0*o<G;FNbLOX
zc{Dad`s!)PIFD^6FQ>LA-H&ZQe!?;N)TR9x%QGS8FW*2+a<OojfCJW0AWq|6O?+NO
z>7gCs^>Xe!KSN3~Zttw%&Rt%#^Dwveb-n)k{`CsS-qdHkiGCEGHih*L+C;AcXf2<l
z%&uvOx@3y8L&ZXwS4*RVD;8E<yI=pP%OYWWzsvb^f4jGz;M1CJy=OvB{=-k^kAL3u
zKjtd`H|?g34pO5B>}L_s9+HY}T1XA54WMRPt~~q0)<<W`ANAZnvSxewkq?dX+UJ-m
z!`6cO&{tO)%Xc&0{oZw$U4HWObFt|071G`C!D*|{DWrktkev-5oTl;@u-_Js^QhmK
zeQkZ$d)vawnk5fQ1?S!__pfhM3t2Pe!=X=C^N&yFUEjas#p3&2W!7)+wD85C?|TUW
z9rC}_|HMB;)IshN<0+EP<<MVZfB)m<cl}FOPe1nWulut2J)NT3s(U8n{61=~?mGQj
zsbSUr`n)7@J*0LlIGJgIwr@^ao}3TPBVeH{4~L3{ZEZWJhP8-an)`mz)b2;qIKz+5
zU_QU9L!Bois6w$)>FAE<?>qE+cB$<uyP+CeaTVbxaQ<%G#L1yQrT5+;gsGcU74`(&
z{wx;fQN9mp6UimrJ7=<{io|(DRixgV((#M8@Y05jXWyL2Md$>Z?XVP7Fx_%_1KL&t
zk%Qh(mhk`Dv=2^IpXcr_NxSp?`RwE`jPrZNbfcDq?`ZIvDi*h5{e6-CeFfn)znGu9
z-`~~9TJkImy=VwxX%W{-75e4~4gipa3y!h2h-XzFIK=((?DvV{bz;)5UMH`=clfe)
zRKij(Zhe)vd#viSw&Yz@>a%`e%v$!m4Bbp2keP{G-@v6D*u@IWOozC)+NL~g{qn5(
z?+@>!D|YJc?c3%;%;eEu6SLb!?ax#3k5d=t>uj*zj+8vXhD=pZ*b~4Ryn!8I73hw>
z6>k-}^_Ljm-+yMdRDH$YjTSi{KE4$3S?Q(PCO+w!+W86#yFJeXH~P;%Rq5sG_2d*d
zhTtV|;~7p4{gk{XQiv5u0dk<x!X4AILLau4EU7nq>V9!$ZU2qE$L+wzE^QM})2*|-
z7rRyC-_HyBORlWM*p9Ljw8d04A8Be=60{}YZ6nyBuaC#e$lrZ`C1ZPVdkNRFkflL~
zxwEgW?@?cN_w`jl&9jm48}A{m4DbUj0(}*YSk>v!#sZ3+8;7`C&wpRLbn~Ny>G|FD
zH@;tP75Cohw6slpl3D%tPqm=5v@!c`!<5}&h&~6n1Q5_z3hI_^U5gmLP&f>ZotlTO
zho1j#zkdAqZ11$A|Ngp*#pyidnko}l@$szZ#r>}vetekczWmPCB5;(#(@TScCTokh
z*UDU^2_n$7ge%@Y<klClyI=kPPxFh5mD^w3E<Jy!duhzVNr$<Mr|*&Lo_4k?*uEn1
zRIE09!o&e$>XaadiiJ~dT|+ctCnSO^fPEjF9)8~Ya(jF?|C_>+lC1k{KO|{}uXys|
z(C*duJMI6M*2EM%*-xq)&F=sD<@@pdemnhtA181xa_tst71cg;cdAU>iuLRJ*_YoD
ziMRgN&ReG2ePQn;49|nM6D-W(MWjp?r;3H!_!~bsC4P?GlN9&okH7iR<8AZ8A{Hv$
zjXn9Wb;;A?@w=8k+$t#U(%)CxrLq8hCq+OG<HOb@?$t<Jz#YJqDt{Bm(778Qw9feQ
z>&K4=^Nx#zt?(!huc}D=l-++su=&`L2XX8oo(_>nt^w!i8=&KQ#KMrLIp;KdaLScu
zdDwdB+3!ntmOq|pKEKPEO)eDd%$E;aHI3!Fo6DsIoy%>vcrcwpuTPJHjtt$l0eOYc
z6HwCpt-zr#Vs<}0&A;d6=lG81GI_X(&+p9;aITWSAdq0OhjbI||9#z9k@ih~QTp_M
zt)g0&?$*!zy*%b=?XS8G9@-*dWe+!*OE+=L_wm0Coaw(#V~uq=YAMo~1KNC=d~O$_
zSrJgysIuq6BG=OrMvqRX-tXpJqOY(gV8+YI>+OX0*MEI@s4L~qySuyJi>AwbTiT<Y
zffRh8{22mT>HPKFxpRm*I%KMYP+Z34ebHN9-?0Amt~Mt0?cKC;9fds`k{7>?eRz_)
z^=IWLr^LE<h%yJ9=CKxV9*4MhzcEv~wk~ec>#&A}+uHQ@1l+KhKhxH5+4*`!zFV~+
ziLG5F-|KDv{*8I+FISZ~F-&94o8o+*4#mCv85N~R9=3`WnS)%4RPY6uF+ObFbTJw+
z+~cr~Md~oOtrQbaaeSr9^*s#EZ|5*RY(13r^HQ|`apTQclEv@-j)k@Sx|13<p=XDU
zpz~dH*VrN1Y6)6?bF!Y>;L5dw0&yBS^Nhvz2uzNXmzTfudB!0w(U<SM`1O72-cGbg
zx-diH&g%vxP7U~c2RL+CIIs)^O%SfAuTcCskHPt^3?In3J1dUv`SEjpUROV_?b;1a
zuDf<$I?Ua_zr=seuY=r++>hJ23I$-S&I6t3wGnCghJu5F`i89^oIceuw%xK&IK<t0
zcH_Rf;6K0DpYN`>dD4_|agl4{(XN7W)pqe$uU|htsA+!mdf(r~=GfCpCF{{nJy!@o
zAINQBXv}RB7m{LZdu0zY{QQSy_v>`+HXOfrab@jxSn>FNU7h;BKi5AN9-R1pUeWba
z=#B2Bpz~^%ZrcjV+K>`6B_bi(KQ2Q>Fk!{J!-{(Xe*Ag&_p|TC#h>3@-2QqVxNcBw
z7k{Q#X)C5TTXcEtheO2H4AxxwDke-kSF0Ub#JT3*m5-B`&)1tN`un?lWW~lQ+95?1
z4?zvB|Ld3^9j=y}OQdTR=6`TH^!e6h`@UUq&Wn%dDI2W`tz8SMFE($VcCM>^-d^2*
ziTC}*p*7HtyQjY&1$Cv?l`;pti?T#7-Y^DY8+o{&2*-VR()!9);Sl%BGw*(VoPNap
zyR|B4ztr3&&Cr!sJ{+3$Rr_&grhWf<nZI|ZScM`we$a|?dcy~&oln*x(xO5#lQrm)
zvyktN0&yPcb^B+0I{f0sK6joM8}qGLgI5KueApV<9pCqKwb-%c{I>Q>{iedFQK9n!
zr$CKCy~@{!;`GFf1K$pDH*sIBmuL~cH1i>7yOsT(e-ScfKYqa42$R!oWaRICUU6gj
z`47ap^ft()SB^Of#ChcRom{Q#_O`gFB;&T_-bJiotB!m)bZYDA<U{dw7eAcpkmi1K
z?k##wRt0UWJtXo5F}dx)#<EQey!iAWxBe3I)9!IUB6C)(@84S$e(o}hmf@Zcul}sO
zxXZQM;$6e}CGG3c4u?~~TJ+qL2bs9`C&<L}JH6A7)z<%W?6G@rCgb9w)T&nQ6(N}q
zTYvrXHGJ1<{dfw0jp46rygCbvw<7`&60%d36!rvYPTs(eNE@bl8&WGA3l@HB)7>-S
zosWFgw{0C_Ym?vGwJ(X@G4raH?w${)_Gk)zm437&F?sgQiMJ8?30z<{dV!j5TRLy>
z!%MS9DbWlb{WY<BRjw!A-`l_XqTlA5(>^%uDvsY&6nMwz;VOyZ2ay-^me0Mcd#?x7
zx{ugg7ur+(ukXj971@ij+hb>4?2X2-2DBOJ$jTdtb5t6oL_7|0=iVysFO#k2)VuPi
zM!nYh`c=E+ck2(nJ9YWe;>+*)Qz0FmY3a<-Yoa_Kwu;}MBU1YI^`jSm|LuIEX9g`e
z!5#rQe~G%no(c1odLcz~j=KV<{+67pYTxYMB>9<YJUGeylHauQ(1GvscG%b%Jqf<J
zQ}IXeIsc_C;?vgGS<jpE&mrc^#r)7C!kVWng|pGB*C<e6ZS8!8)J<vSQhC_=Wb^qG
z-r6PY+d?}UJ~$~>-QEZ7WybeDWbW4j_cEt^ICN!Z|M7-*&5u7EJpN>7@pSYe?<y#I
zbtiA~M?`NZ=oHka%y$lcYZdP@zyIF0&{bx~ZTCg#&;K2bPGO&_t+;0c@@DTGJ~1`4
zQ0W5g4zr1#im1Q^Za9HP1^SymIDLHf`_rD4hVPEfKQ73-zW>FAZN(qOZuvbeY8MYf
z+wHC2-H16j0J<mTdUz?q!7TsOKs`Zs$BKnJKF|GfYe&V;wJQya-cRn@eZg$ibn86^
zl#Dn0tND+#?OUvV<M*`z=RO?Tytz(#?yb^Jf0+umlfSoN3=vu}K5V@d84Wt1pd{R<
zl7T^i!PCVt1d>b~mVx_%e-3dko%ud?@_*%BTkflV)B3nt_>^c|#ny*YB~ORxcb%8}
zw)7H1H2MZ(joA$!oNm6*MT|j!kMZ1UoBy!&(wXw7x1JW>UXp)wf?d1Y{&&?=(=N7!
zo)V0k@%h|p!@p~nC%-vyda?8EbE>beIzb!*DV0Iva+RP?*5kZTM9|IzCBC;4x%H>y
z?JJ)4$Mjvrt6dU?KZGyt&U5e-(<`k={C6qx<CUKOotxca#QyGj7dS~y7cmwMsYIuM
z#>n^l3qy<)Opt6m_TiA;?%oqV=OeR9K*N7;-x)vqr?WM7TU@$MjiFrCw{17_uOCiI
zIKR;KzPsjOZe#I%BA4&Yl2PZCmTs<o7ysp+4qBq)2x)8h;FNmiAkq@AD|;N0D;7SJ
zzPsq)eH%+_JDWA(S4#6{&)n83?q&Y!sP?nt^|r;?C9f}Ne|sH%Cm>+uA@0l1Y((F$
zi4mA@^W)8niyO;d8mxscnS$ClCDx&0;Tz=*p!^Rl2yO~Q{JF(^Goz$i&)@R*?2kWM
z(jP6BmlQiL^R?09S#rBu|GU_%TMLgb@V{D8KlR$3W4_YTOg#T3S6^G(lgQlHGdD_9
zT_gC-heN-5vmf7#+}}I*c1Z?@-W=hzQQKCTWTEd=Wq}-3n(!1Uhn-k=VD0vMQqSiU
zpQ~o*v-|bJ;^PtF$4e&rb;a$iN)>m1zLE=l2YS%jxgy$W6^W?Z&=2Xa<o9<(Bmz(}
z2~Y*yR&1nk8_~*lSn!KQOgBozw))$hXUFXSee8eU#B(xyzFqCA^^Vr-G#b;>{ksbF
z?@IsvYOBNcU2fW|dtIV&GYtRVoMBd8)Fb!nrA5W|!@+kqCxI-01}!K!9ZESl^h2)i
zd4trdY}68#Fi!Ka`SoJ4^_{Q$bsw5Rmxq^E%;o0D@lQDT$vpXxHvjQIPm7b@-#eVV
zUCud((c5~DLG{Nk6{-KOytunFzUS8OA1|)%tk?P-f-xT#$M~@I)!ezrN7o0iGJ(AG
z_)hWpyRZDBw`2%@{ra`=(@FK?f4|?4XT5tPX)DK>91eCB#kNxEYeyE`V|Smwi;*?g
zcI}5ldzKn2SKe~EeeG@0!q!I3R&B1Va~Lx>t{fctAx9)~kXAl7Mu~#Xxc&0(?(g@v
zvTtrm&AGM3vtVHud%R-pUW^UzKfb3M&R;7g6<2{}*ZWVM*XYYJA&2HjZA0GTs?mSo
z#l^+Re|~(NEuPP#2U@!H@y+J*)6#>(&Q3XSd2^k(|K4vITW+s(esild;LH1c;fF8t
z-+G>VVa|s`w|G}SKD#}>cc*vSky~F^KRVpp*T>IeVf5ZK7BOoGDFITv9V!%~KVQ>D
z$}y<|KQ8vyiGUWk<UKw1!0EAB-ky)gc7Tqz-JtEA7WiOmtNzjM;{PJ`GtEt_O7Ge3
zDt{$xYxT7|Pqr#|y7K)GhbB$sPP(|eIO*f={G*4SZcg@?|FF>EKL4==^PD%ISeuTT
zfE^Zag0=}CC_>psHA@+EtI&(f%g;ZH_P6`_#Ny3{!;dC;>z%CiZ&+x#>Yb(wiM#0e
z?SIeT`Ls{I%x}&89eQRtQP)3kbxhs)JIthJZOm?yX=`F;?9O-S-wT@0Gt8L2@Zzqk
zeyur|_o8P3&~TKINzPiN=2(DTV}Jdh#~*Jb_qz(kfK~z)OaFXVzF+%5z`ltQmE4V@
z+0&0UJuQCxR`hdn;^AQ7N%i6Ds?D$EM%)$%i;$k)_b>0=t9<*aLgyI^bGKyPHM83C
zQsl1nx4wCE%W{8g4?jFv=9ejp{H_ADolYzqCZH`)kvVyYaU+KYhs!LW&4-{%)<Fw8
z?^oxEBxKB5y!y2Ag+;F2cMg61`t|9}2Bi55P|jv(oFy(0x8kQ@_5^sX!_ep@E|9R|
z3+K)GADWDjiUF_+EFDw_1%5`xHk%1CK^R?bu$B}zhYCgG#n%u^Zy1@T>M0xucqx49
z-M<#`P1e=O869*hau8^6+3S`Sax9#1c4$!KSD8K~#-U>2lP6+G1s^C;&lDDjJ8|cP
zDWVo`a9GOCVG#1GWz%;1hplhU#vDgBPQhWp70_}LvF&n5nSkY!fIz|t=98+b!UAy`
zl3QC*+prF4pgSI{t9p^zgaI#^n0ThzEqwFFAKSh_4UFDZ14E+?3yZ`jha%65tS#bQ
zemTev04+JM1nm!#XJkNfZ^*6&2Ir;zE53bK-xKiL_?jZB9*ymwKAd_&EpjG%qNs3S
z0{bNIE>LsKBno4-2HMUg&`NS4M#eTR$q?SW;EIK8?W@oa4@qP?#4Tzja2vU|ci<Zf
zi^QD%A`TAyDb9CiA-P#V0eYbMtnGP7_2LRfM#eTNiKMAp74`(AGH*s2Lk4LMXa&`5
z7ADcijo1c;X5#=a&}y-)XteVkQi2^S6lWJ-M_!d<s-SQnfZ2J?Br(vTg#p{(D;^=q
zc@=1{Vpn_mYmj{qAr1k7gaS4%&{QQ?YBo4;z(cq(2Xu3H^}k(+29v`AHWn6%tb`8H
zdrcplFpkk`aNq^aj~x)$3Nu{+#9(AghhCW!iWunz=Me!9mKO0js5iuSG%z^#@@!(d
zEfBZjz``47z04Jcj1OC-q){$)I<c*R!Fk*B_%-iZ#k*8j>%n~hVO#*+va5saw*|*Q
zzQ|c1dZF)w6D!X_F|_2~%gv#G<p;{iMh<4IEE1b6zbBRI?g`L|EJcb~kRKb}Kzj)h
zeQ5?pCQ~hi0|AShb)BORai=<LM;kjj0XqHh7#qqau}!K92PUko6ki?vur=xAD#XA9
zD5JA*m~e6ETcB)8UQq~2Qt}0yw}j&~7&ob+c>^@lV^qhm1$m&^jD<yFli~BEQcWVg
zAt@-3aN@**?hDI4I0*(!MJs+-?kOwmdEkH?xC+ipOgxfOWnF8z^p|w36oEtnC>?+^
z>4s3ohpg=IrH>%5NeT-joValyI3v75k<|sw7YvPWLH<@iPO~Q#G%z@`wZ}P}#&&Rp
zzzI+_udgPK+{s)3%C1@Y%Z|SCs8|@W=@n9i26kF6(;@D2C>NU;=_nkS@bIDe!qSJW
zY6&~x`{h6`25l?_o$Imr257G*+=q>~1O*aS%#9RVElgw#DhM#~@E!aH$^}Rx8(_aK
zz#P<PWC~SMI1r%PtaaF|Rs2*#o+mg+!5#qVnE=WhJ-jiyK^&Oqjd=|W&7U`#GCpK|
zj?~BlI~rqq8>ss=m6O9D<mLgd4Y3bfg%qOE^rXZ%R46`XcleEB$E*X>7~3u`c0b;3
z_eC*4Qf$YsSF4NfUcY`lJ;D*CxB*#U2rB+pt~LWZ0hD_fnOIguB%Ee&7TuosT9b<d
zTe|rm2TC`nj@hPJ0NQS0{eF+L#$%>=dnSBjUVu^+2q*+Ffezus@I}aGhi)<5qTAn}
zv}Vm)v=^yf1J%Q)K<oM5{Jep-P*r0$sK@J`z>Ms51&0OCK)vX?BT{I2qm`3GU*y?y
zl*`%$6dV$cGacf#HY;L9_CANei7gEuoR%G5Ux^x}0Yad)u?Ep-eT5Lrxd~7nbl3`N
z&7WTK2JKjxDV`1$3w=IJLu*n=f)0eauwg6Ol>97Zg*_K!OuvIngcQ?|Nb(gF0Iy|2
zE&CkUK#c>764a~e85)JSIrOJU+$ll}tXrT1b#s%xp_Oi{Kr0u1rKO=aR+fPF%s$;F
zffiUx8a_Cw9bcD;8dwwdH+*pV7q$*9B3NdrE9|*oV_uG2AT%(5SIMNLU6Dcyl~hn0
zNO~_?yTPFp6tu_ZyqSa?BrF^un;Skjnfjl<hZZWFpoznV98NSB7J|;amS=d2mf~bN
zIrQInoH&na2t%V2Yl}FSXo)?l_g8>Sk&;KdD6qkS739PN0y!x45DP~L=zIfJ|Ks=2
z>W5rVWqnMh6tOq}T(%M4Zc=b)I8esgA}%9<>PrW#Wfu!a$WDjT({vZ_+h=E%drPF(
zu}v%g<TrFLbAiTsHZ<NqUhWKPqnR{@t&Mux#4T-}*Q0Xcfiu{xNIvq2cBoLy4g88E
z1S)KLIk)89wGxlHu_4h}#gR=O&7}<vw?GH*b+1C3q|Wk5SU$Jx6f1c9lIMhjh+$7?
z^iKdyE!|r53N1}Z31?hh=Bu}3L*Ct89!)%UsHwk!p>Yf7v@Wqwv_l!L%y789Ew}h!
z>(=b+ZbB&^kXxEy3zz6B?3u7_MHQ-f3yy*2WOEkWLQ^jaIy$n^c_Vs5)|2V&t*xhR
zOB2t|GM&gGS%Vr@42(>f+#LE#bXTGD4LAf=7&d-;d;574c$c2ai3@Yif8YQA?~bR_
zqLY4pdJ4M6@Az5s`!Z{zxA%eWB7C>wabL#ORiQDUJ8r+-OgCS?ry9+sMlDbW>=WZH
z<e5Yk4grmA2hLf)pTn)UCTgqJBn9VS`^oD5ea7c3ltH&VK6T>qta$k4vVXsvZPgL;
zeII*4n;-RLK=+4%76d1qn4stzd*~}_AT>DPILAyRdVzC0-_8ezPEFNT<}@<-`uRaK
z|FPHW_shlqJQZHFz3%tz`+ch3(~kW5`g(Ek^K*qyr-nb<SO4*-`0;hS-${XXx&==!
zz*vy}6x5KnbGVJvYzC#(qhg?o1od`+4mR*;;%TjUc6PS7yKHI5ovPPsL7O*!ob;~~
zdVH+6du#UfV}kB7f}pbwr1SS|oS=%dcNJt53rEO8&=q!kXOY&Afl6x!O;*tEKyJM~
zzu)bi$RauG_s+lHZWpib-0`qY`caFpUk7MaY^!*j#QtAb*Ds3Rp11S5>zl3D<924F
zr-jBG(DIq=d%Ms|M_)0IxVIBn#HZ=U%Xv;%xN^SlY_p@8%jb$oo8@%O&fjNQ_3h0}
z?TIa*>C?rfudnU=|L>9behGHDiiA0}-)@o?J>Jvxc0M??DfP4xIC@UU|1)~G=kvKA
zU)R_Fofo~_cedE_`E^yGZOHfPe!rD4OmeaLe8za^a)TeB&;Vx~DB~ums=Kxa{q&$&
zIsxI~)4BCPr%Zs8+sW06d9Z_QqR(5u-?QV}t?bA5|GmBcXx;92UHA4@A1}QgD=z={
zh5PKNdl)GVYyXFd<=_5q@2qc}dKLHMM^I;H>X8oJj)(w}-G)k?s!2&X5f>vie7~n*
zCJ+$VX<8<Hv`Jmc)%8uFpz5|8uDvTFj^8_WWLl5-z1`PjYgjEed{3NP{4MhL=Cm2+
zr#4@&yY~G1p6~MeKfie<0y;@z)}hw(cE5FwOgN|nYXCwjZx)UxVgmY4#I~XxgQDT1
z@UO?2N8Il3m&*dqY-qhq0fh<C4tpj<U#UaQQwt0jKRP8cm!h4B9nj45s8vd)D;mx6
zttuB5I=6qh*6MHfQ{~8ng&VIf_n&W2@!`RRt=ZS3cGZ4IAFJQO$oSDoT4GW(T2;PQ
zbHj&2+{+6dKJK^YGfbIrvFo8z3@<P5+RD}Y(EFAdi<us^nps(FM>{hx$}3@_tC;7*
zL+<jmB1tC<{tD@BxuQ~om<0q^wi@9Mdjj6&%tbrTGDR?=<f3aZ__F&p38R{NPVr3w
zx6xW>SN1kkEPRJLk^yS@J!9(D-4?+mZujFsvw(BkO79&HPNJ3EEJd6h;zgdf(CQlz
ztqngObuTY?`1kvLe#4Xw?;QazHprqy)|N>P6$__6s6`&$1oc$3ne2bxJRh{<!@cVF
ztrA8Y;<rvcxr27Feug#Eqt<Q4+U00%!6?@S$;bONV=BJiEqC5u_xF;miHXUUQ+s~|
zp;e>{d>B7E%`7g}LKUCjo6xB`&Ew&rZMXBJpUq5{d+1~qDUDibGBO3T9^szHimKBg
zVHwk0t5UA_dp`ShiRbNlsfK>}EJNcPrbn%BmYAU>l2pz!=J#tJu3o>7D}VprvJS;&
z#9}x|@hNaZS0V0%ZWj7(;t3A(?P?FbxVZQzH|yCefvA<1gDzKxcvhj-JJ4hrQg*n~
z;J_pPNXovhrt0+}(EVuBVv0^m?J(Yso&l$*Da2{yE;@(SbTQ)a;T4yXk~$RRtvmHl
zD`>}E$-6t2hu>|3<y>eP#=_yFtq}LY1<r*sE_2k_$8^+h{Bp^AdBMY8^Lrjd`}jf|
z>oR`vM}gBK(`Jh3?fLiXwPwl&RBtmdGVNqN!oAb=Em{-l)`|l?hgvJ9q)v}DYvo?Q
z_gfT~B>Ig74GwvXAD!|7f1}2DqnXBqh#dm`mzH`@7t!;XWun>2gS^cS9J&hI8!8mH
zulS4V90zIP8Id~#`ZwJvI=!^u;nnbXUlM%Fk|wHmWU<cvKc6&XDxOXa4?2;6>M}+q
zmLl#B@uKcqXf3oYf{oMT>nwR>txQZzOwN4i+g<i{)}hvlS{|Z3eTXG2BC%CO&u5N>
zAt?oP!coz1pNEIM_4kS-5$#?PP-4qj(1(`TvH~3T1T;GrdV_-)ni~`x7EEK=Rr-3`
zy<H#9*Z(sn$#F}khIu_a)UCfyf)vMX_;gBpdBMY0@i-1cqEj4~a760V(4ZY3{(L^)
zE@2db>W2n~#yd=pTJJ3ShPGL_m2=ImSF1E*Ko<s*P#DZOkhOa4v_s${8%PR~uUEtU
zA0FC#-cFjN5CL6>T<~ya`aDjOLZtYtY4DB@UoQKT5+W|Y1t-DQ0&xEM^W5J5;h|uE
zTTzl6d@U+_X~Dyj>hpO>aj<~Ch*`jKv}(^(Lm_U(!G$}}J1pKz`+pqOzZ5MFI)a3h
z=1Jq$>v7ht-2L|dDoBZPhwtz12JiULU;js$q$r<Tdd>6Uq2zvBF;YVC!eaM+5j~q<
zFBU7d5ncWzGVTBKR6lsfhZD;EEu>_EKd-{~dp$gKnBQK86i04&x9jz?f`<#+<+w<R
z=7{{gUxRjh_`d(YElDBy_v>~4hlhTAeC$k0!D@CRp;<%^bf^?bA^E8F5ZfyY)M8`-
z8|x8nQwx#pXv;0KJRe-&|F5*_^&w_{8v&9+@L`+uvVz1rI|@m19O&xohll=tzb{Xc
zWf$F~mlh<xxv`NX%RuMR1nnreU;Dk4q%`$y`+nbthxUHIM_O6;@tE}Tf`{{}-;q?-
z{kZ$SZu+6t{eR!)ljOPCIh#DW#NX}v{f?v}#Mk_;Nh>$#7(P;pkOOJ6bEh3@wSK>c
zq<Yk0PrwZaS+r*Vl?4r;3CTy((Aq${oH_e`K6|;AyZla}J4ucci_V#NsP%UKev)$K
zg7EcmnlU@xZoBP7O0ERmE-a!48s8u(x}tNphH{A?>yZ>DDdB&;7QMXS;k4*HlG4<T
zwAr~qI}ED6ydWuU<Zi!f*2-;uzowX^;4izKJN;1W?7Ur?q!fw^%HQA9jM?$=m^4X+
z;<o#BzeV&w8_kbQSa{^?dFyhWj}dF@mgUX<yY=e(gS^o01ElzKxGf-{zopl5r#EUh
z>_QgPS0Vk9=zNFOlGA@2{r~5;d{f23BPXqd!QEtN7iE^a!=4K^>&nmui;i-<*>ITe
z<yvm@I|a@iiq4(d`zjsxOeo*<sCCc0GUR5O!%L<|t(Vl_)IeMV8@fp_W3BuD`~LER
zhreF0=Qm8zDC`vf<R7|c!u>0K$SO4=9rgr#DwzvEJ`K{cO%b?Jcw9Dk$A|0t|CxdU
zK{f7#edtH0x?pSM3BUy@j31p&UM@95_4))y&=|1S!$Y7EpQIB3kA(D}%wJWZxPPTA
z@}PCVriO}zPhMI{BL@hFzzKy7pU+t@FL-z>dp$2XE>E2v8?@uY^!<NS$#D4s|M_;B
zF&P;d9OPJCdObFHN5NUs>n##SQ+6ET{<&N$?nHi;J979;(NT!gsN8i9ZM&!u$D3`p
z^E6{5EQ?Y=G48!*Lj9^ot$&u4A@|Z9PBJ}eowWRQ4ss+aI4Cq9c(?ogyhE+4*YC3;
z!`BJ@w%<G+9tsVQ6(u9S6yi=aM6^eDh`%a$(TB94hN1DMfPnrPwt4-?iKc;}u}a`Z
z@p;?J(c+*3F9n?2gr=L5m_n|{RWB`g*rmOWtZ1r!zc+Y?LDG>9u-z4k|3i*)|MY!}
zyzH%U66+CeRsZWZ(P|L3#@cT;)mynir<#!yP5XYon|-MDwBBwVa-wPf-*4Uz56%Do
z=Q%kp|NH&EeJgkUugmkDcqF}I9<}}n7S(@ZzEv4H1A2)G=ufeUO-C+d7#Nu(S@<j-
zG>GWMRJ~lP*v6x(_tEL!$}aIwvu{m=l{uiILzTNj+-u&t&8UL|jXX@CacnMey`4`c
zk>m1aJ}Zq@Zt?h<LUO|VAiMmWL#?1wxyT4}hdmP*H}Y*4(7*EVf-K5WyPFji;%4yL
z$fK3rUlj^YD7G)zt`}4BuoWCs`J@$|4=y<K`#wCxDjp+1MrJIyk=VYp0Ca2`ImN!k
zpAUzFcNE;KeBMe%2_{o`L{Ky4$7A{b66Co2$wc>M1)!rT$tm_NUaeRhw4>mh<#Te%
zUYXi27d2xne!to5Oh(rD_3iEKL#><7S!t7zz!t>suhWdN_<Y8gEUUl2pMR(oG|@+f
z)nue?gM<SOU#_*<|9QwyR@$DC+~@i5(1(YI$?<HT?YA2udZ4Q<z;=^Z1cA;v;u80>
ze!GR7BIt3yy<IE!@_AKR<P<@i>T@O>YE3-cMozZkk+Cpn<z7Cg$g4xqdF}eRy;ZI6
z?(S}1+;3-f#{T~w(Yxy^7Ah@Ur6;5xaujiJA*fKtJ)zRf#_RR)&`I_AGD#-_Uaw9+
zKM&N;){J>^e}BEJU^A>v)V}%gwEljc+c}$kK?f@?+qq|Bef-IJ>yU?`9K1O?#G{;U
zmZP+b85+%W3LbT;FDrPsWU?QZVakfHrlxT-s^)X+?MMJ+)-)-@4rrUSB+NRl?&nj*
ze?Nb{UVr$qzy064PkaCVj(q$4J#vHb!X&0gt<$c2i$!hxEa2thk+ZRA<#ul8;|1GO
zyT{;bop?k-<Av?{_a)tUU^U&`t9{AGdN|kb`;{eOS@gu@qf_$#y0G6rQO+6MvZSG6
zq3YIJaZmsvyp++*<lM&N`S4Jibl!}ASAOmKbV~cMbpD=;FLKXWzu)t4MsnXwwIl&(
zJ0?@r8g%mbn=P09E+66kSls*fx7W84USuPqoE-LC5IVK58M*#s;n<ScD4}<xVd0jo
zZ#JE7^PH@<G#S)%58pH4{+3CyN4ckJ>_pm;*%&1vpnv62$Q_hk1&6>2#YXFQJCtqy
z{djCz;%>ZOG(T=dZ(yf*R65_I)@y~|*CGYsnSBiviQjnNqEEYAnc#4Kp6%fsg^%kB
z+_`l&IJ~&M{k@63xZzhNP>tsB(W&mTSDA=@$f766dlj+*9rgrt2N(JySpf=0hsmtV
z`o(W;&!6Aa`Xl|{&*$?W?t9d#R&4&ukqncLaZh#O4&Lvw=R(RmH+v0YTiLGyKwa{M
z)!*M4*IqvKMdwlLAO0?4dnO726B|g(X$=h(ivNujh;<%Eh>AkoijI}5x{q*&7VboB
zMrUATD%DqrTk+eS0X4Y@C@938_|MZJ{%N+^wq(#O+=GM7&ezw)M!)l}MM)G!+#TXZ
z&9~6cy~wC$der*ISXlpw`P_?rhA|zh7cN|wy6*zYv6TyEvK-+)%aV<D8Joj`w;Ubf
zpU!*jnQ*`4muxHd+59)sNDbx;OU92*rz3x(-7N30z>W0?_fK}^xD)xm{(`i<&Mrsl
zB1OeH?78si**=t(C1@#=A>&7<e~lo&`rQrOaiL~g8PcNf1z%Z?aIZ~&KO4Eo0If=t
z5){yXBHvP>xIgnw;EoG6>vVU%UJ<zXl1w|lyj-8nrxVluWZg&1bS_v18ppJiGe?`Y
z<Ji*DP@%Yg6DYM5DDKb9etTo7_w)}3+2va*pUngv&&sLPc1^0~J-j`*LX+{MlW*_g
zv!LXU9BpeA72-~;*NZ!mtrc_P_03zmk8an0v-!N;!}$Nd!XpX}vO4cBd+Wlp)>h;`
zd=2u2S4@vu!{i&Kp(6+IV2%PUG^l5K)LOIbtf(GnO^44+qo=#=FaIz8vpX{O@|5!?
z*Y|BL(Vsf!V~_DU4*B{&AIteqDRt`z?fdy`HmE)~D0pz7hJV6bxRD%N1VPIPB&Q&6
z7Xvl#S!Ve=?3r-?+lA(D9J0H<<bAR4F@I`rV*b>4>L2$fQTM)I_P6(KdwYBPc{#~5
zme1!T_E|pr@$USW|1$sc!mgV<H9osFU-z!JYWkixn@&5;d4EMU?!^E4_BZgJ*M)5?
zN4Rsd<K7|d9RnAd8ElLno$9U}{%0N+vE=o8>A(DseSh+w_5JCi`d@Ho*mv7M9}Z8x
z-zpw=LuaDnzm>N)1~vZJEXXcX;Ls&r_i6I{CHBYV>uW%@+tTPAh&<p>$n>c7k=rW=
z^ulT@)1%g`qiMB!=l9OodhyJ<jCJ$7X6)Vl>17PlY5n~*6`#+VAFla)Rz2!OYZiQM
zT%(!3LR`jY<q`&@)C>yMgfiA6+?%z396sMIJ}XZvCSzA@^pBL9*M~sIvbXU{n?>hG
zfyNxfV@m=n{{4J@SjbyP(Clu><$_Nq)t4(IrLE4tzYjDv4VpFbvFA1_&E5O;+Ct}c
zzQiLPg1L<sZQ(s^5e<bnje-?@Xru5PA?gZoE6%N+v>H@}{QV&H(CPA>S8s!z+juxZ
z2X*iG@u=ImU(WW>?fm_+ZG5t`oZ8gqRVbaY{eGu+Qq9Ms;tQj<=OsQk(D-t>_M<LC
z=lA#a9zN13e0Wi}UR3^^bMRHE0m`72ERrp^F_I}b<;3j?*t@b|Vb$iUKS#3H?-jci
zk=*;?jPd!F)akKhQcb1TW6fPT+~sS(_+;kodbvzQZ_l4krx(`#{+4*w^g7S)x7*__
zzFcsAJ@Lv+crMR!ao7`}++2Wm<#&U_Yt9bwPq(|pR~d`yg**>EA0A(LR1`cOR(`MY
zIlsiC`*#Zt^FHjeem6rkaDCj~pg$W<X{}x|e}0F(vf=fZ;<KNM^$@<e5X1DS_1UDY
z$oDaT63vA)mLuFh-BsgO+zHtcuyd-mvxH4WLB;>S-w!XH9`}p6@5g6;&^0d=FPBbF
z^Zfej_4@X!;qkVAj~vn6en;q0v^3Jx|5{CjxD4Og`)CVqIIe(7p8pa@xTntK64xp&
zy82%nv}Ox5uzC3H_WS>sr-8<O_EtW;7M;JgL+9U~BS$)g(|3fsO7B3N4ea2?_|eJD
zCmOYD&d8J-?67A-ecq$i$k|-tX}M3j<hk~II;EYscI!1RVKpBPZ{4j|OvJyuxoMoU
z^XW82H_oYR5)Zd6-EPt&W4US4=~%=>`GQE+Biv_CWf!BR{sp?ATEgFRk3n(pL#Kb~
z>*kf&JZupLtrt10Iz2`RbOqJD+V6J_Qcg_x@aeRE`^U$}+soeG3O%A2x1s~--c4N<
zg}4mGjCh2V;6jPx$~sUrn{$M_clNRW2W(1ST)1%I!UXwyeWl6;S3|>>Ry;f^9?!$A
zyCtCNu@jHPqyOtlI>oi77RiE(7SL)}>`hokCR5OQ7kjynM2>tqEKp+n=;Z1X8mkhw
zqU-cgmQ9?X0$HZ$gktYI^Nb4%E^JIbo^wB484*G|S&ne;RC$Z;r7e-5y6$2pks~aO
zOro3};#x_oUMYQa3XRc2suvp^?s9jCzxpT0-~b6Ncx}`n{>h%1*dfLS2Un&?tz9!#
z?ef?YAUeGpp8q%m6av08J!;)m&%yvV8p?Ro`sX~T(j;o&caS%)Fb29Lq+84w7zDaN
z=K^3E^csy4VuroRXz^;qCtO((nE3nc_WUmkNK0W8SnB>fmTx~d*Sh_(pLK2<xTD*w
zM0E39r4cm91L}{Tv3|d2VeoRl#0v`?FS~$xm?ZQgKFmGf#H}l0_xsJ}FXv^gN<8Y@
zc%`Q)v3+#<$L>nZ98KdcQ3<P(jE?$c>nxwmNS5k;|G!xEzjfV?Nv~_pZ}GeH@BPNr
zQ`6HgE%DTh`|<1b`u)HE^M5{XUk|!WYsa%GPo1`ZWxMkB|JjVb=5Fy>&R6GwYBE^y
zfMo?|qm}p!qtsL7O!xoa{}^xft=#VN4&LqmtLNPMw7K|*pnD5wiC4|fr_*2R+^_$A
z=+x)C3UMd?)Egh=p6Qs2+>UNM#Cn9gmH);^P^$>3IBFCC-QBU_<1y*>`v1T0C+_?C
zj2pCw5j5!W>tx4{KRGV{mb(xWG~goLgDHFc-ZId-rHHDROP6<wE&Bv2>@<ik>?&Tb
z-F``0jEzU)!`<0p%hCn)pVS8&A##?0p|OkQ2)C$VXz9d?g<5*z$eUIcykkAWUHYGc
z0nxO81pE>1pYuU&T%xAfFe)0P@LW;N_|fUEJre_x7}&CpPXE$D&3A%RfQ_?+B8tzN
z9-g4+eCS$q{@oX~w!hzOPCRe>oyXt)Z^^Xk|9?K8KU{QLSKRK`i^W^+gQBe9l;-l5
zi;Lac&F@txui5da>*a4gs}~Clj>{CE`C=Vk@vybv>(%h~hlktEf8Oh}ez#-8X}#So
zq2aMxPgeg>XnZEn@$t|k?XP^F^{*_o+_wI-?NRPh%d&Qqifl6L5pLgQpHa@X2vNUq
z>*Jv*n!$(Mq;o}{%`LwtqEPbklB%mvyIfTU=whcGPbPV%RV`?jtI`1F+&lIE|6Y~-
zQyrea=i{-={`mjDuKV6Q%+6`j?$9ItNXX#HWl{Yno426!onJXR><QoxE^|ZF+bmg5
z3vN{;etL2;@xz0Ips6U2@B3e`+ua5l<lFgdmUfS<wHatwIq}jG&%}*K#kkYwmR>8*
zFR}Q3r}(lx=;{lZiU*Ak&lsQg$&cIdY*zN6mCNTz&8vR5^GkVf_@CYDVt0eC$uO_^
zeAfKojpTmb$H)8q>;K+J?g!mfu+-di&E9Xf9v<em_qo6M=d;=Epgjb8_dc8&9>=+Q
z?Y1aT12LlR=hMr!$7=oTem>da&ZD~T$D?k8?{|uq|KIy!QFq%!W%sty>#^I_o;_0I
zaA{nbA6N1Fs5C3jOgYYvPHG;vp_MqeY*^sOa)i6oDC0QNY~+HktgCv(L6>2GhuwF-
z-)GIrJv(<><c<3Oe-GFFek%@I9t#=-Y`a@_TQ{csZt2VA3UO60mm1{V*#Vm6ZU6ml
zw?1gm?w5CWt!=(u3D%6ek=$>4$V+>z2<Qq-`Gh0;qbr|I4Gu6^T%mZ3=YIWXCue8p
zE={*yDba1WvQ`(|%3R)Rn0%~7eO|?)iy$TU_FVXNexdb@jSfBHyVja3)O>uoL?PkC
z=P4cHpDb>n9LDoiNg-~<bWdyKCEaWF0&@3kcseb*O}6}wp(|5iZ2jM_4?msO_cuIj
z{eF)y=u)A31qF|L&D;L{{oVfkUbVhV*^NYSSM0<D#h1p07T}c;dj#rNDemi3JjQ!@
zy@b<|?OI197G0`%xaadZ@BFlm*SGWc`{svqEOg<KPW$qV^`p~j`=-1EHKyRltreXO
zisu(A#hrMZA&!*XIIeUzR4j~BdpidlACR(h%PxnlAD#ZpZ2R$<Upi-l<F4-#TyJ*2
z-<No(h4bZd70``p9~R61)mXFP5ZA+p?ecyf5Aj*Q5vcn5YU;gB0@ruH-zOawU;9-=
z|INPN?-H+uhWjo&{3ZMS-tT_-aW5_|K5p{y5a?dnb@MOI76%;{Arj&fo4sbE+l~*1
zxG&$9-zTqs<oBOXr}ZzN_o(>nwA#KcZ-HRr`{Udng%}UNvDbRkdeeLhGb55OUU@p~
z3GlyMhJ4^|ROo_R6^i>R6PM2|<Es7j#q+!D{S5^#mrif{Q7ja@tAx|v?q|x2Yipw`
ze!t!B3|eTor{KrK_T}dKpkd$IXWMS)aaX_H8r}t-P8M+nZDo0xuCZ*Lzx`j6lDD@^
z@04DTeQ5vxr@w?jf<slZbIeEMkGcHDUE=5L|Njwq+_vN2^ZI}4I{SV+dO82``G}gY
zSHoXESAKM>_`L1mN#1&zaT!;apPzo-E8$m#Vw`@$jH$QI{EypXP+ez-+)-<+5*E<E
za{I{K>4>48#xUU(W^o<);T??!Y`@<Tem1B0+=iFSW-k*+0Ik=nSM!}Ea%+3O{I73s
zrQP~uB<+4anf&1ZGyjtLtsVLHFYfFtt`c^xNp}9@*>Q5R`ttdG5fu+xFGov*^3{ho
zo6om7^I59={SBJ_*?To{Zs|2nVRb*AZk<gYH&Q11UV6Ul828^_U%C5jK6(7g?D$bE
z_VF$EM_>NK?fm{1op_Y>c06bTuU6QYe7sG<?MShF$py#zN4X38cdU0^FpVWmME}Td
zVFQy|uSc!RZvRBtivKFmVNXDRa5?gglTogqm~>+XO)lg|b!1PEE#m~um}FdE7i;mT
zLpjLd!ph*~U$(XWDCV`T{>GC&uQClZ=LWuiZk_eB8OcErAAY@FzuflGY*9a}mrD%N
z&dm7oep*!4$_@Yj{a)Un7-ye)YRZ?H+3WY3)%^SUTr;4<(YZ$)+>mN(zZw>;o3s6H
zndXcR`)I-Bwja;h1eM)dM8jhYS-C+&K@}ekvLD`lzfOAFjil}`wja}#lN1Eszi*Sy
z<9NMxd)(?X2d4|onCOuF(P>ZEfeTyG-S%8CF`tjTC&ytgSBLnk(og45V*aK;$3vGt
zGdm>=B3QfxW<>50IIo_tqVCkA)>mh1P_|xw)lrCB@%*K=HBzR~)f2eCOyGXeg&SS@
zQJ}qU-S@+{#%si_2s`t2tDeE?3dMW>kLTNp*!{Y1fA^Yn!0Y2HJAVu7UpXRihXu(P
zmRmgy6${Vyoa%*-1%WQ(DPy@;^Z6`z3yYj>)s=I;JB67xD;lh>I5=B;)p1e%ko;%D
zNF|EEigd=0PPYE{Zz6Z_K*Oji2AeA!cU;)g?m}FsfSgvNej#FyLQKXlXQjA|U32|W
zTqehQg!`*SX*zNh56U{r1ZM>9QHYtbx7~%POa`(@;78+uFCUu<62Hj{eLVC=`WDJo
z-mjoh_2rq?+F+&Nwh*{XGH?bJR)<<`_&X~U`xnO|H<$wSnI5%P&D>Iq>}F7@+sozC
zE8fEWQ%>lklbyFU@^H!mIhG^bUky^zkt#`$7M3(Efyb??;jd)x)qOnn<^Q?;0pdxU
zH5KA!_&UfVZ+CQ<%h@6RD(68Td^`=(Hstsdpm2=4kW>7Vt?Z-LnrUSyY0{RXL;O|Z
zsdMmARH&viAqlT$i|;8^ybtQ)q-UywJq?w-(%ew7P;S|q9^}YZaA-K7^SCwdHSdBc
zfew2n2wo0DmR`Zk_|Zw0=cXWf+L+0G#9Y5a{F9w3u?y514(Nb}L{(P(V*2QGHN_6<
zDsYpdahI5Y{*|vkWaojB15%`PfTk+WH-bjsiCIae5HQi9M|{m6rn_dpv;U#4?_p$e
z<?0acl3DGH9D(4-Lb4E)R2sJ_E5v13Z!SW+q6$;(0uRQIP7{+$HITy@>?5>vccBb4
z%AFqe4*hTpkbNj>MKl%SG>X>nA*LrVtp$tR>O1hCxlHWxb|s#`d<F&v22WQ%mvv4F
FO#nHD`^o?S

literal 104105
zcmeAS@N?(olHy`uVBq!ia0y~yU`l6TV0PqSV_;xdY9QIiz`(#*9OUlAu<o49O9qBh
zW+ko>CAk%8nK`Kps>aR`ma36KMt*ULs<Dfzk&$aoYH~?Yex9n4k*bkFZhlH;T4rhr
zM9#>-$XM6FRM)^L#L!gL*wF|?7+UHXn_5Oe)F-B==0Oee&9BPL$w^c-ax>L4P&G2p
zh%hu%HFi=pGVsaFE3Hs9GN`aHi#IdTR5dbiEGWoH4Npz-&MX0`H#XNZHUnw#_6YIy
zQ8jW_H8RM_%uZD`GH_2#&dvvENhwM!*UQXTHF7gD)HBjER5dbi&M3;yO;t5=Gc+{P
zGte_NGc?t+FjqA)K={ec$VAUj59G7p#I(esOeEVtf~h5m=@74adIeP)MCF$Rm=^h#
zr{)FvWkh&FyjYf6RGgWg2T=yI8>G3UvLF>Anvz<UnVbqzZtSXR;HYW@^1rIFb4q4n
zdQoDos*yonVlGr|Kw^5Tt|3URkwGRjoIUc~yvj<=D_qQzokJ=soYON?!0~}>8qCbx
z3iqPKf(+mMl++wmBZHI*h!YG=j13@$rc^>iEMNlZMKF7y)`A3rGpnEuGKA_&FU?Fz
zEr#fYDlEy*&nd|)Kov~R&&x|qhDJJ6VPa8HemSbLwEP^X@1SZ564PNeLL3Sb2u@DS
z!4wV8Oex8Ly2Q}T5~189H8VW}YPE%tIYc5i5vCaGlj4lTl>Bmtey|W`IKo1+C_leM
z6_+$jQEr8EY7Qu{Vc`fi6eR0Lx?Xr_6v1;2CB~JO7X?-LN4n=a8Tlk8d3q;Vc;>;9
zS6O0CDKv0Zjm&aNRE?d|^7BemjSSKflOYmjg{7bXa0*Q-%_}KYH8Swc&&yXef(fe{
znWclYIi{o(r4|>%Oi3zIH8LnJsf0!yOf|@G-C}UU0_6ci^MVR+0RWSSndY9Fms*rq
zl3xTfPu0i`q!%U+iB^R9Mn(Chc`4vR$w1ZEsXQaIBsI7I;)U{}!~#`gr;L)^993f%
zP=Nz737WbM%|Twy%*k=i&&e+WCkW$|#8iv4WL0CQ;*z5L>{KKfvt*0Zq%^n;ED;)k
z{Q%1FWvN9asj&P8ab!UvA{xOli$5)a(u@JP9ClC5&rK~Usst5_V22qQn?Tb6H2=c5
z<w%(Uq#xvx4CH(O)s_g&f9Y_OK`K>^2&Yk1BR6Og$M1Sj{ehMfuqHnvNZ6nxKag9H
zVzi_vGchk6lzs?CC&|$VwVke!WeJT0<j8^wA;%Rg{svE68AC%0xu`&ktJJ&{M^O2$
zYV4Aflb@W8n%z+IW@=tZQDuaxu?r}pf;o{OjvlDx-~{HofHDwV)&-Q<A-oi~%p6!A
zhBzuU1*675%WXymC5c7psn8k$YholfS0crvDVCUoi4~>hB$i~BK}u62uw_OD*rFGI
z)&eCAaHGKpQal9YXMziAP++MV85tWuod60hRU;!aC>Lf?aeir0aw=34DEbIzdjd9^
z!aNAI(GcBANWfEIrDIW1BB=O-`Jn*h<zh(86YG3qXK>jCFHG?VGE_CV2qUBtS|B3Y
zXjl`M3B<WbC7ow{W?p6qyuJj*1yZpGO3^-vNvS!gc_=X_Gab~xEP}LdoIn*#W^!VV
zBUC&$GbIIFRu-ieXI3R9f!N?21`RV~7gbXyRZ|yGZI_={;+B}3nFES9)LI=<`@^b6
z6R6@~Xf+N^Q6Q_)>NseF4{EKd5h&$={DoZiVGSK{*@8cyL1sZxJUkAK44{eLK+nV!
z622h6s2ag?7k#pvt`W4|2}_^(X=%l&B@hP<mGlV>Kcw^taX)gB9K5AF8A)eQm)ho*
zdKTb3fv6#<UvQ&0Be7UIT6BBn>6$@u0#eoh72U~2qeVBU2MI1X$jE=AMRyMALjqVU
zPw3zVQu>4>*wLb!9u002SaTQJ^nh_;jRESH+olAX_@jlkXP&MFBo82E3jg?mqWt94
z;^NG_beH@*@E|Pm*pv~0CU;J18fbtE)a)GwEpBk3F;t6fL$u!WP;78R`wU14G&nK0
zASV^tuSF`nQO8XPjcK9|f~BRU86{&K!%Q(tGBY*9I)(`v7bU7^2pTkn<};d(p}~g~
zpk=HPtOP`A#2bP-h@j3U$|#xzw6h8;jR$_n6P9+7A|4WiNHLByj+O^1sZd6(QIi8i
zFR18(j15C`26B%ZEJQ|DM+#in5D#+L!o=v)$;L<m=rK$Ede#VL1uWIU_^`x8c0~&v
zUV;x~;Ex=rYAOy!84@w>KAHw|E1+YRuwf>QGzgn`fh7n!q(O8mhi)2#j&F>XgRs$j
zPz8p{22G<8NP{Nm34-=%5W~u$n+7pQ<stPcQe9k}kqBPAQBssxkXn@wn%H+LNG;0D
zO)W_UO%%h$0}z6QXDTaFQ((jEs>V)5`6Y=Zkd-5rpeZiMgfqRTl?`E2lhFFk7`7|~
zsUZNb6Omg2po9x*dBKXoLD0wok4hOC5Lvj;YqAnoybk~78$8`W(-wSc2$p83Uu+q}
zk|i!H$u71)27{)ehQqw1A$TBQG^aopk-<7O$i*8>j6NM5jGRLI0Y!|Qf^Ow#P5~{I
z!yHn?Xe=OgnnvpsS}%1$&nfVcc>2{T7*>wv6wf?e*zyY^TVK#2u9U>$4DiZL(9%q#
zRhlDnybFCD7e=Rx1`Q_Is4A?%G;GGZjHuonAZH08{!u<yqcXPwJ}hWtfYkGXwL%84
zWnyTlX91nIfF=?6Rsz};xkgxQ9c`arS;}uj_4dg~P2`r)VIgQDH-e3W&^D2y+d7)a
zu_bb7`9oyWf`~@=Xd(x1?lUq+n<=G!`vO#wqa|{9D+iXfM%&MxdAhLj1}Tv{=clHn
zWhQ5)=9N%;fk3Jmjs*hdmPrQKN23Sb0s&J{>j^Xj4J)!?O<AM{)KFO<U<{tGCwfE<
z+It%D9VUz<fZltdet~8Nn|p($I&>?^F3q4*{_vgv{;mU5H5C^K7>_Ox0F@}Hv$1F*
zSSDvN(jY8>z^XSoq(O8mhi)1iT_Av#;xM^Hq(M3i9HEzk7*-D5GzjepBDKUY#{B8L
zKtOi@R|vpY1VAf1I3KB*1s|119;gDPTu}22Rt^sQ3ISu94hfFjiGGYK5IyRtUj>@L
znqAOTiLthT?BWOH_Te(oZ%o?oAhcUCIw^y^xgLGz4Ms&r{ltxKCA@fr6{Tb+ZfLJz
z!1@>_r1ddK9LgIlfem2AA^Jcv^%E&Z35;$f*@<*GmB1#Xb!bKtJhtvPF+Cpi)JOXS
zKe`SC+u>rcLpkvEo~W^6%2d^ugcE~OD>6&K=ML$C^;Kk+fDRr4Ejj_oAPya>$Si@M
zIRxqS5niTiWB^HPkiA$~cWXmOcOfMrK6T)(C;ovySm`);*9@4z2I8TW5qt{`toZ^7
zFX$!*P#2Nd15*%whiZoH(t$V1a9IfndZ;dPti-+Umzd~+I2=M^Da~+(7^wlHYUBoS
zC^3#2@WvqKAsfL#@j<DHDP*3qF}!wbLrPNOA`+!A7!;>$m_W-sXol1^h4Nu#z);-2
zZ9=Pc^WcgIbT%HSRx*IpQ6Mg&VgmC(VF{X?P0b@`0Rs`I;TaFl`Uh0*g35eY+M|B`
zgMO?MJ%^)+DIq{10Bc!~)<4j5a80Pbt_bVtmLxS)$sfWXB6~xYlM<6&KxqU%NHoZn
zq@w3FV`ED_bF|up200vUz!{sZgS(Mw42gat*ccH!97$_rLW&Yl0)e)sVQp}9^G#q|
zOGz^y+$tS9jaXQq;_dI|<YX2UrxHG#I<dF_a&~%JW(8<lgi{*o$u23TsTL`qqd6hP
z1k&l$7Dh?NW}uT@AiY-5fkkNNbW-DV5NONN7&h1fYLKWJ8NtV^k){M;*#&t<5GDqz
zhtmiAENWP*6mRU2a|9-8i;ko!05l?jIaUE~_ko5dKyd))fFc0Ifusk_&Er%Vy@0d|
zM^60$%hI601)Z*JLZA8tIyC~d)f6^W0?RCeyK*tX2sG%@V$uqKoRtf@`6Hxqfu;!}
zizn#(EzV`3;1kwCMGI-A6Kw1hREiNba!PuwMbq*NmNX$b2+!~<MBz|xADe(O5vaQh
zElJE^SGd4R66!aOO<)@dpjMi~8VE2eAwdsqFoN7hY_R}t4I+(h;g1}sYAP;@H6?BS
zj_4c+s<gq`5j^4p%30tM9(d&r=7B;JtPq+5!P#~+2Z9GOKpl`l+e5X0mfN5R1htPX
zq3dv9*?|T*5Vq6@W+l~{570aX4{N&TK=7C(fvpk4yn|&1shLm)Vql%ALEgcl`jSi7
z7#m`goTwrcWyl<R7YkOrB1YXo{Vh<20u{o8q=^E~4fyjQsQw47U_`1mQBn>pF(IcM
zm>7M|yFs7tGy^#q)GVM~0f|0lVUFG^BD;wKayuxvA!AmE%NwA#uYfO395T%v%qdyO
zfD+Q-cu1=^$ZYN)vMwU45p3{4r^P^765dJzabWp#uys1X8I_np6R^S|pQF*+A7(Uf
z@))DHvS26N!U_?xbM$~Wc}SaQCO#J;G9IYT1lM=qd<V}^U>+<-VXq}Ybs<Owk#$FN
zA!JMeR)-E?y$W*zC<;}LjLcyxv0zz(`W+8rw5~sfl|wfdLQ61wgKwzkRMFv>en@Rh
z+vy$ndOc_=GJ}ogAWiRJ-B=G(2TN^(V19>)DSomq8zthNg#n2!*t{!pbU{bsk&7l!
zyA`?3J@Bz*M#Ny-aEmSY#KzEzEpWq?z`zVibEn9w+CWVV&{PGY*$-;6;BS0@2UNkD
zU<0afJ>Z57_GS)kJND2@1X2q^+6h>fh?{~#bI5lCV7+Q+)nN)7rGixnG-!ap4nKfe
z2_GwiSqTYxGUgsY1tk8CJu%S*F$F?`2CbnEfvuW>uM~tiAEXjgEE79q08$Sg5yx>i
zFzjF<%FG9Mi6A2!#CRI49BKRszaFS+Do)#&QGM8vaM=XzPJ#MD@L~qU0R=m_)B=@2
z;IfIdP8e-VCvc%d%pe807{ecnkc0?I;_$hGK{o6NKhzHtDWH3@VSN`^Q9*;!32l(V
z%p5v31haB*mrm#dwdSx8qf+SvKY<P!BV?KnE<lD*=|tN24iTF|u}_MCOC(UagBYs;
z^FV<ODU&EI)hH>QASoYDlL#_|IMfRrV@pdtOK60H0v<Fh1FMr^g#Zo89ZXv-U~My)
zt&j+Swns>Bau_>9avZi94`Lz>QeQwwP^|%V2&`d*QS`vNwNxs4(3i=;gNHQphg#7C
zuE_Cs+GsqeH8?IlGJ*9>V0jY8NA8D%5+^8QAP<`izCkXt5irPQ3M;&z(PaVa^&v;s
zkQn5GwV?0~D<e&@5>fdRuEY)W3=BZ`wt@y#5N!dJ?ny;v3DRX|E}-TCC6zm*MjQ5(
zyD2O-Vad|KQjhkFz2HL}Fk4}ngAO%2s7Q1paEU!g4QSv*)yQoC#{gh^!jLkWe|#il
zL>_rLLrQw8PhwJPjw;HHyNNlO>3OQgE<<)tIe14LG3_u=+Y7134jR1x^^HwIg@&QI
znTff9sf7jXHVs6I(=$K<Xr0>h0pHjI>t-WGK4#35KR6BQMnML1%)tkWfcUf?Ylbw}
z@f4}xW&jy6j#P!h=8usZJTNi(EDbY+SqDvN@QFrP*#@aupq&L!<BZhyI>r^hM(9?;
z3U!#3kdUXqN}6_*&_|HrAx2ujzzS{5;DPm4(PM;K=A#EctZ7G@`9rKDW)2;CMJk{?
z<1_OLN=t$ha|?1(F-t4_^;A)2dPa$=u@h)%KxT4cjw7`G%FRqk$pLLWElMrUtV&D*
zvB9l7=<-Bk7gbXyRZ|yLV<)0d_y_Gkg}4u78`?h8<ovw6)Z`MVO{(zJiM;<5>kJsA
z$R?xwg4S5N26~o;unTdZX;l|o3mO^Fr|i;29p-^q4l726;*lrjL`=z3&|3l3n~-|c
zh@^THlKP0*-3lqYM_jE5D^p=<kNUMH`pwaBKFmr;$Pd<9(-1b^11%}w?GDmv9h|i$
zJUC$H!^VwBGk>VnYMATCJ>zro6H|gSGSf;Rxt9387nSNX*rn^BY71{22XP-&>ojoj
zNl~3<Zed2>N(|hMKn&^+$yy6q5hFFOAaRSF_NdYOqfM<vMtT`seK@opgBgqus~Tuf
z8^QW)(Bc-pW))`TAg_&JwFAugun;4yw!mE*p$E^%=wo3{c0p^S(p=2)fG+*3fvk(b
zg(4Yc3!;BzZUh_LhNja2shLpihGp;}Sx-Uh+96s`jhKaFhOpuon)K*!q=q3zi4Dzb
zu=GC2D>QWH!=|XHRiVLFgTP`07DJ?&Kg7CMus$nNc>x+U%q$5Wkrf)a^c>0+njyG*
z2tSb#Er$=q8qEUg2c#5=+0sJvypnSgi;FXpQKw!}*B?Q&6CUa#BOMItPLl<!M1vLh
z)bBK5EUH1blI%JLT&@n_+&^s9HBvH!wERh){~!8eP>?K1M)p9o`z?)(p!phF-NL3e
z=rpfl2;I&B%L+rXWQ3OWNJ$b>v|%5UBDAR=qIdWgi>7D|Jqyqn2WZ+KmKLaAEW%s&
z(8O+mzKee77K@mZ&Z@?4AOt?82010-9}U5=Dk>?zq$EFA)!2#fWOEK^$yGppab`(o
zK4_g4dN~VTl7%E+l3$=|>;y^m)EuF=0B1|`OGg7eQ&U55LlnIkN1w70S~5bDsxCBw
zVVPq{7L-sgjTV%!ZJnSZ50wpCvj?47h3}Vu4e3IY0v!rU_zh?<D~C@(i8+r6DkY8G
zAO+BfEGWU*a<rgSh3Ccr@8p07^zrZIL0X$L+M<N40y0KxQPSb?K@-^MC$yk68$Jak
z<`mnAFDSv;a<rfvL<J?ZeLmWvL~TXFYclLdhhQv`LmwWbe%IRwRxm;fN<&z|3G2`d
z*{(ON(m_gt(4uohHYUM2a<q)3Wf=)>o)+cjmtfhg2bRmNa4$+M$neci0d0PBRW)#g
zItMJ9l9`xZl$Z<E3>M0*NXrE69{?$>ZmQbJz@Wh3>Eakt5%*>;`xMcmmyUa@bhrqH
z6rGcF3~<<_B*{5Rg`3Mm%=6G9my=#>sw-wNFn4q?inus97M+{K5~AW6v@iH<`S-iA
zc`tTvPhVF3%>MbF&-Wf*3km(dI{n#p*|c}NN?%{oJ;cbmfI(z~L*vue{SgmD^-4n)
zgzc2ETGl#eLBm9@JFFJQoANxQxFi-b9KJc-p7BDDMwp1F-Pa60ra7*P3Vy9jA4M4E
zEcA9@)JT}gWM`orZL&Mn@WG8pR<j&?uLk4v?1mTbf*A^)?VGj8HNZid_0PjKITv$o
zJ!y5hqOl?Iw;|J<l^2EL7BuW<YB2u7uED^n6U<PMHgijq1>2m=KsJ?!POJ>+dOBLO
zSXfH}848wptFAf8T#(kg?gJMqL;4Hm00ypzWeg9_7-eoP;IX*8h{xklD;LALn1~P)
zPOcY=7#{dc_llUz{@{#M>_bs5hI1F#LEiOYJTNo$Qr1I>f@Q9f6I!@M8RqQVup&c9
z<bo^Xfth+!H>mL+m}$D}kT%G3{1DGI7@uBp^^oBMpKc=sPH`;;i{iw<iz*rmx|te`
zW3>`}<r<8$OIpJ<7%ZIS8<+wP7_l;>PxD;entWiUSgM1NUI;_MvqOs(c?LL$vofUb
z3OO{(h9Ujh3$FD63<Yg=46I5454PX0Tf8Q6Gn<X_m3+aLf~lGh&V)YQv)Hh~xcs{K
z+G0P(12c<nDHn0Do|>-jU-{|D!k?d?yDte|@%i8H_x8KW-b$(Z&$CgQZ}-@?`dh%k
zCf1kN)<&1lk$<(Fg|+ykXM^!{5hD*{hV-<n<_o`vZjO4{m&2I;ZpGqhYgfeVG^+jo
z_xr}3p{qhV!`8>?PR+i$Dpa%l-5rzaZ#kOP^8;6W&bqR~u~*7;(ewHB|M=!}i|H&d
z%e{4>N78sj@$++x$xP>zv|0_==D4n#>6t!*EnV6?PvrXdb+Nlw6g)g+QvA$ED|lIt
z=Op*3*-r0QH#X(n+Y@=mzyAN<@>3I)*{`k+?{DLkb~`yqwOh-5PE9o90m-VSRIZr)
zb#u?nv-OVLl(O*g@&4d89!ZVNOG~C5<$gXRabx7>G{%Q(($3B*`SBs~)C|MqfCiR1
ztYJUaZoju^neXhNRUs?i88FOgeLXep|2pP5t*`3;y^7ma;`!&`_2vHZRo`x=XI@(q
zX_9-(#H!+h!cw1^m)<y8OtAgG@a%Ql=6C1jTCYq$KQAdQ@!_FXzLdxNCNvm-zZ$Yy
zY_4^=pM#`x)UPiu4?jC5UNcoIv?ccDrqs;8zrGfpdw9`&pJ2?snwefxG!)&gb%|&y
zHE7+abnlZ{ILEU1o?!L=e}9u+ed)LVClGLKagC@}$O2(?zYEU%wkmIa>c1^}dyBK+
z^mKjs+`GF>%c6|0<ZFkoTQX7Ey({MYe0%*{=lC0|-|wByCu8AocUS4+(%08I_bl_7
z=_INhCi2mOecwq#j!n7ke6laTUXSPh9UYOVYh+RNMdRt&+0%toy<C{tcoy8-TYbFI
z<iJeVL)nk6Wm<4w|Nkd7DWjvab7Af8Z%6+XuZ!84@#Dk8D~nvaCv@5U`|+5`sUq^o
z;(j}=b&>nzKM1~xy1%!2yTr=dn^L)_>O=<hoH0J|;o$eyh0g7lmd~%#a+|*?^|YJ)
zpAXGDzOUHluJUEysmf1#JKi_i1Wi-(l`?#^BkQVG;rXZbW&ZQ+bZ;HDEWUkXo}xv_
z?dH43YwMcs%A3CYwrvTc#b(#E_iUXa=PGQk@BjbL+ve<v`kTkvH}8J8t9zP$yk0}j
zi3y5Z%HPK^T0T6tyYjPI=<2ZEd6my3UtL)_S;OPek<O#_`#+zvex(0me%-ImE^&Q7
z+o~@MzP-JDd8&5!k%HRm>ta`it`6Iha#HBk_4V^RL|>VIJIT+u@1oxfgGQs&Qz{Rp
z<Y(0s>xZqGF@x#F?d|%yaeE?sSPlLd_897X>g{|SzoVe>-@o7ag>v!>>xx&ke>^-f
z``}_B&7dU;*KW#RVo3K3JvMKL)q%(r|DLaR`v325=kM3Q-|v_IeO@<u+mbUgjgS9h
z6!9_L_rB)q)$m9B6F)vaez{9jn`O;MABPp$dmS2?nEw3e*V#9}_Q%6^rnrh%>%-PY
zm3(~UDrKC;lX`yM+#mfOal&awZI$l)HM~(fHQj#4SsSNg-<Qf-mj%qVDm`LrU^i!D
z+0W0<#lJs2)+-&nVjFw6_`0{>zGT@hVmS9@MRWG%4v}*;PG@GD`~R6L$#L$7zR|u9
zKh=&Kd_8afzhk1bUDMp-`&nmSdm_!xEbw3S^oOI$F+9HB)Ag3>#qPSWG5L5$zS_Ub
zvliBUJ}CKL_2;|Y?~ko~n?Ao*EwAX$gbz>8?6#K_u-t!Xsdx8m#r|nW?~6*kGGA^r
z!G6xqq6ClR1JBwMCwBE_e7#m2vMr-bE81jsaHi5aSFRT<>5aeU|M$@QKk?|_N$<7y
zDPP#nI;m@Y{r%eZ{|pb8O4XeGWEIx7+2Ohsvy8Lk`$x~R=O%xyEPj4Yw0^_K6aP<6
zR!??+SzEYvkGo4%{L?_kxbD-H_iDf2EqvG5_@F19p~9rs{an-5xzi{7c>S_o{`v2$
z6~7m|-i`3y-e9bI`q8{4Yg&xu{GPw``1ktq_B53si^tMx{+6G5rz{WL|My$;#uHPQ
zTRlHBQ#qmE{mPFI?Z$B)GH<pA6qwciDk=QzIZ4GcXJV~#>)F}n;=wFDrrlz?N^yIv
z3m>@@E`F?hBj4uJF@BEad~&Q0_E$WXw=tTz+#zt)y*j1`XI4InkeUAQ&Dp}k8IQv!
zzFieIGi=4b<K2HNQ|9((xSy*jIJWQ9RBd&)?Uf>S5_3L!NH))S|L<YFoPXlByt}i`
z&9N+Q7YVzgT;rJX;LQJhzwTAPXWe_AwaIwitEUr<=S6Z{E4y|^{@kC(h9BB?*{^zI
zzUw#BoR@Kmhd+C3%=!3BV4mHLEt$bGtWB|3>@2v;<g6<nb*it(ySwYlqi+3Uzn(~&
z`>P(Yulw`S-Eip%^Mre61pco-roaD>(Tn_r4|<lTRDXS?x-8jQR%UkoFZudE8zoX7
z|JbK|CBLj(yl2kMwL9WI`bb$OUwB}j@@Tzf_1mr2JC>U}%l`W=JMYqCK?AwQ$G<%d
zEFM?89Pg7&c0czc)UM{<?fm_^*Jg=ng?Own?cOhT{ZzHflJ8gF@B8g{NB^hQ^TYr7
zuly)DX3S@^_N2gR<<5h*<m#WCkv~_V*>UD?;QG}EX5~O?Sr?JBdA@CG^NbQa9?e$N
zzw`9yiiZz$ZdAqy#LYPU+NwgB(OJ3Q!*`xdq|UXcUsLuiuKN1w2zOYeuY~`6vy2N4
zIwjAg`TigK`5`20!+ocNlKloBE5E+JE*`w>&pFe5mOr<B{#<>xBCEkXP$znuPT5<@
z{w=M)&aUJWuRl98-|DjY+!j-4ad2?v|B|Xdy_##-`Zs(wJ-`2>RM0;D4;rG*t_$WK
z`^WXEw*7xZ&W#NVXPm3~eAfKv-j-yx=FO|a*K<WY6f}wpIIsMX$Nf*c<oeLZygoJh
zlD1V_8ny;6_v`#qp>kmrICP}V^E#^EpVr^+W3%c1N%i?06`$5xK2}xa$=S!tbB8Z3
zTzu8rJNpv87w$XXnzh?@Z&bfVgR$+a2d8uvRi2lO|C4)rn`rj_pyhtLWiB5N-7hE*
zdt)Ouqdfgn8$;ZcQ}qXbT{Hh+qQ<}UcVFOQx6X<^%AMkWKjrT+zFhwJgKu!lFWnow
zvy(p@ZZMbKAiwYD$1keyZ#>$nvo-trGG1x3j@i=pUsTA-tbfSyb@t@B>UZ)ig&*eJ
zpEvi*zdtjt*gq@vn)YU1!>4m|Ej#6EG=#6Nx_`y<r)>XP<~gmmbY<cd_HFxN+rFoJ
z)_ZlEUtdos_qSY~_}+StTaWwQidTK+0w33%4XE$<m@m`*_@iFF-TcH0+Yh#zbAEg#
z85i`h`l-NS{(Ol|ujD39`2EWKevR_GaGu3H{eSN7iR?@_omclO)6n$v>*SyOesZmQ
zp3l2|-DkzN_Fet#+0{3ccAjA<c($o&k!8SvJk~qk=51D$Kezm!t<{BT&Cg%n6KT9(
zAG)+8uWD1C@+<o<-?IJoR9Wz*ui5>k`rM+G6YYIm9v?qaEV)Up;sK+f@S*hri5idX
z;|e2IGdwsGRr}Dkf$7h5#rOX@>p$=<%e}qL(O6t_!RZq>e+V{b+*@<<OUY(4lbkbG
zCU7yIdt$wSuRv{nZo%n-?<(sLNis|J3w*kw>VMSk+l}NTuj$LJkWIB|IiEfGk^G()
zbFW7|Ea+#lI&gEpdH$ux{Lh!@*|J|aa?v^C?l<8nCYc}n&oG>O@_T;t1D@|HKW81j
zB*)5-{_RDNM!<o|TrU{X@2`z<TipJ2@l^YFi?mWdT{!K0Jm9QVZ_?|+XJ0&}?tHI|
z+FvHR)NArIxl=P{Zp;6vdTz@z_xI-(t`v5=@N@sa1x&5o7ys?uy&`jU-^R<cthePZ
zt29=>c<rq8%QrJGm;C)@>NWqLW7MCkRi{22c)Q+r!++1@whQxOZ)?`Jv9B!ryzNWO
z_PUMMh5a(O{1+$3EsC#?^q;O5x1i*u@de|xRzchC)*pFxYH>aH^YcrBkM{>oTH>jh
z{cVm(^1<a(41>eme@%~ma7OT>fCyI$sKNb?k##{sD#%~8ySFX#iQE+Yo^k!f6N<Gj
z&b(iqap}zM`~M#YZjGAS)jhqX?6vWXcV1IEo}Hhi!*Fu@vp1Lf?=PLcd;8*V`d^m0
zYljFjn0@Xwyt2yqdW1!ChScX*r%iIch@6_HY_94zsm1S4*_2o2`~G&Zu^n0WR{Aox
zEn7gVmubfRd9o&2&s1Ms{U4fjdu98E%#h78s=m{D;@%jy1TFXUUhXfq{K@xa3qDO<
z)qQ{e|8L%}uB?*VYm_7;s{ONr;ef66_Dja+?c6;lS$sYlbzo-dbUvBt7{&uLk6Sa$
z;%8kGav;+pxj{4BLV54sgVRGEJ>0aV?CbR_Yn_E}?f6}8xOHdJ&7@cNK1%*7I$L&X
z?)Puz{Ez*!udNH|`SeWRXL8!#_nYlMnzI<p>6NydP$simCg5DvRj<I8n?y5DoH)60
z|L2T*x2|eu-dv^o=>943^IoFb_KwQO&M(>h|NrHrf9LFK(#`gotx&K1^!5A{^Lj<?
z(`s*}3)FN)c@{dja50=yn#6r9fPu?pQN!heZNFweO?s}n-uUrF!?vwPta1xZZoaqt
z)Ya^ZvmeJTEx$KQE8%SUkHe9hswV|ce509hVfEvcp}*H{G@E$f=2>a;6#>_tFztBf
z_htWd&i}vf?|&33YvXkM`1W{RRk^3$lb_kGy1jzcw0fIz>bY6UX3wUcp1vaex!9Jp
ztKnZNuFkshVdk}v$1B+i;{s$nb3<-0oeNpPAs_I-57fI91@|r+E*F?Bi5Gt)sQy25
zzqI+f;LX?O9$9zxqcZ#DLzR~^FYmKwIwa}uFLrWT6C01T`&+B;J_2(-KAWCo85G#A
zSG&S-@{asP&tn@bi=X#@t^RoE{+FMVTECoZ=gxS(?d%o%G@Y%L>#FDc%DK74vFDv`
z?5BMZ#b&?nF8z{l?98;Q22+v`%v9c_yn<bXVNT_O6B$Y(1zwEHAHMlG$#O;PbGeX}
zzk)Xk)$IFG8};d6&98^omA`!b<bO131ON23OD8Qo%{lwW<yobxr-^j`s?54l?qXDQ
z?77X~Bg#{hQnjKs{E+^rlsYr{Rm;Pql>Eo<jFWhy{ygq4PG1psH7I0xqA_E?pG<?X
zdWgG^z6OKEXQ!zb-BP*!e7l|hDECHVU%-A%?*j(zeur-EyKB9|Z>rFiqPuygCTi!e
zDcZAOzvtTH88=_8O?oT#|9!A}fAIHx&PS5|O+9VD^!qcv?z;j9f*0=**zY(w>`TaA
zwWO*qOY?G%T3@cwU&y=tS<cy2Q?G1v%{7!gSyvJM;^h6lpp{1bf%}?VUGnxMZ;APN
zDoJay`}b$(>zv<wxU=JRxuNQ>tD96yemscN3fg0`)U#S%_RjX%ZQtIjeR>vXsm^y`
zrtm7|W#XVPTzHCY+eCY}dFuXhA8kEj`TqC+J9b|4O8%}V?_K2l4&98teC%@1$;B_9
z?@fPfGWUAJ)HB8MUu!C7G)T?!lCiE~SR1ixhF<(GkIc(={eN<c39eu9VK4vN_V-~I
zeoSnBap2zFDR1UgzgXB4xuW>{^yKp7wq|3$2h(}8Gw*aXZmIq)wo%)}``R0miX$nE
zDdFq?Ise_gefj+B>lg0-_p3Q*iH9pIxA<bYzik%}r=ITqBYAF_uax8QJ{hGv=QeiZ
zuJEQ0dLi@bN*^)Iv0P}CROQHcV5a!0Hv!U%e{NH&&!4pa@iBSzZI2^1BrtBxyzEw!
z-ETiZ?ghgf*Emk~hdQ5f3f-1~a$hLVw*UvwaKaqF4PFgQe_rz6XRqy-x7WM(@BDm*
ziyv1+ZdQ}EtC?YxcE)3M_<FWEo?@N$HFhZ{1gbtB6<=BM@>2O6R*T6Zmja9r%v{*&
zeCw7rgT>{2E@|o&@9tPKrt)OIyu6(MwglT^t<Y5*yEmntzVz$s>&J6~R|cs*;{O<j
z)H~j}H|_bKpPx-iUIhI5a@qgo_xttBb8c?B$Z!A0f#3GagdYL#PfS!kx-;kaP8pku
z3GeoPkNfqw-=1X(XuKk1p%bfB;iDFd_WoXJ^Pt^jZ&`LcJw3gDTi#u-?Rj^(en+pU
zVCR!rkau_2#a*SZHPX+`d6awz)K#{#Y@cUa-Iaf{Fi+O1WWn|L{eKJJ2JCMYy{5!`
zZE3>6rbnfGG23U%m|-!2&0@1hh3_4vb0(TBmkQ=GH5gx?8nT|vl`Eac?$0a6d1-S@
zv#-e(9J9U)?v?hq=X`s2S6iXIKWb~%#iQNgnP+AgPO+^nvnqPR@$r_aorILc6*Zq3
z4u2X;+m0S;<?g<JukyL<EVEpxzh5r<hwP~+e06a#`_}yX^VY@ebh0dZvS3~8?x59S
zYcozxQoXW1-kvdiVI8;V`&(PHkH|-^3tuny_3iEHV)}7Dhue6CKO8qW_WF&)9gBah
z+~P0Z@BiO-*7!=kU+7%-b34SQ>%|Ib7D)e5<Slp>lKQ5T+hVhOy35CTOby2Guim*P
z=FXMA@5!^Xv(>NFAC<REwz$2w+FVp4z@bOa(C6>x^Y&YkkMkK$D*N>0<c&c6|7}Kd
zK6YH){eEAy`|sIixmpYz?sp~jRk-vn%zSxi>6NA4;#OsEW>oxb{6A}R!2X{PbOQFA
zxBKmLK-`)4{jbN(<@H7ipQLQ(Nu8N(zFtDNd%w2Hjr==h%lWSDPCVSk6!w>2eo1KV
zg+{*kJ^iP|&ibWxrxk1Y9@*-_2pYOLwD!3u!<@=}+!5LhQwz#|eaSSGJ-IkF`}(@2
z*VaZ~o@t!UWTqi8=c9(Kf8n}_jZQv`>wdr8eq}|Vvf)+B^m8&v9!~^K?fyK>d3I)|
zV?8%(`sL;R;sw)=<d@IQU$UX{^RpwlukP=+*NxiJQ4xIPNT=}8V;pu(e~&5W=e$2s
z`1lyFq0>p_rjPwkPEHP<*K~Ac(CWYjWA(0NXP*Nzd6kq~)IqM>^yZtX)xv^p1rMF1
z?CWfDZ*9@^+w@O4{a?Yc*GITSwN$RnVvV{j#&fR1>x%i;m+~);%h!tpciHScF<Y|2
zcdGrI+MiFSgI#B%dV6)azM-u2s|Ppcd_FE;uj6*pV&8j?ud{2X?v>U2w?*xL^sOzK
zN39Nr$Jb5`damIf|F49lAgw$xr;FWUvu8%j$2m+5#^<Nr*~jL-IePNKm2SOKM<yJ}
z-c$7SlxyatC4!f`_htIlTX;`YYK?e(WZPo*elhO1{&THV-R6RN0uJgIOq7|AOw)}%
zQe|{MaBo%Vqh9tsYCX&SrA;y%_Evuvd;U3Jrg?c#^ItKpa}_O}=U2z?-#5eP=|$B%
z_nAhiE){j_rStg`c0_K=iF|X*ST2+M>+IUlUg?wa=V}6uDOcKXonXH<$1+s1`VrH)
zHy0%X_bg|4aHiUH``V)y_dM@mT#<WwThd$c!=mr&p0qwc_&_K1)Rd%&{~H*YkBBUN
zFDf^`=2K@xgFMeUi5C@8lb1`?9$yi-*kw=X>adIJVs{_O-;+I=vGd?5^Rtq#wg+V2
zsqmG~w@R?L(LHf_`kupIo}HZ?vb!wz)`mpp*u7Pu3~K9}v#+g*JaIoqJEOsYCw)&~
zWAWE^qxqT-&YXM|AunB!w)a@a17B8#^m}Wpqj*`TXdaj?Q=wvTfzcvZZS%A~hV-au
zte!g^7!S<k?#hS~u2AVN|9RB@hp71NZMnv4El-%YFg0vGvFrFr;o}+S&*g@E?X;RW
z_r(O$2WJ!?3SRpP8pDoH`YUxJxNncM;lG33_bS(l@_pAxV4t%#_OGe$%nwp;Z++8N
z^_|w!HNC3k*YO@<c~kSZGc2k&YWKacjrw}pQ8|cxj%1*kO6CHl24m*#wkZo6G`S*p
z(@)#~?x@;-p)2c3mc#k77jD<r$1Z*!rnmUp`R_|~ZWhf_3eK6=VEovttz}_@Di^~!
zor$7;3mHTbSl6^{&c41b=xKX#;L9S>7iTTySw(C9-U>CzJR@*xbGG%;^B)vU^X^TX
z_xhUuo_SAxea*hIH8%Oy{&e-fh3|Nldd+Q<TN^QzZJ*V=cmDq!)|?NpyOD8x+gX$R
zXDYXLRVvT5Espx(J0H;r@NX>537owx@83+l*j*0%b~8F}?Z40+RbCVLXIuVxzg59I
zD-M{|d^y?=YTZ6EXFI=fJ@0~KcfO#$n93FRCm4pTycN9h`N<~>H>D>%@mV_S11syl
z<qQva_A+ugFrF6KAat(oM;>cwPGGy;&tK_UF<Vj&m>HZY$-O@#?uB84R>&&H-{0OW
z2sG3WT>o!<&}~247pI<jhbWatW!+n5Y#X}WaIV!)>0ZfiMpG-dB<Xx;{d}<T`Po@M
z$#Jza+j{3Y-7I>t?1<;23!V4-g8m-UP5%7r+v=rE|0bz;dad3c>33PZcZntU^ox%}
zvoG~ZUw^rMaeKzUH|Cvh#dM-1tK*)nvDAp%RDEQBdFJme47<3dW?%Szf8B!b&-@nO
ze6K5Nm-0CvGUvcdFVlzI@M#T4#<tB{Z~Fx=<5~Ig<nd41y*m=l%{F;7_1>1hFI2r|
znM69=|GH}GqD!gTmnKY{oLnz&yGDL(?A}S!*4`50PG79P-__s#vfGU_GtK(c`a2iq
zy6bg?Z*Tehuz6A8VfIUX$<vqK{*m(YP{`gi+p3pS12;ZznRP8EV6jQ+sX4vN&i=k{
z{nN@mdcNO$E5G@*#lKU}@^nsorZ~5N!TCU>$*YGk8UitlJ#!Y8L*`TVO*G~USYMQQ
zYNF=cO%XgwpiZ5vyMFgXt@|HcemZ^eIn8(F+0J(%8rem=tP7KNSzr9WZ^MW8_5Zsj
zuFz#a&)#Azx3FrVuXEJ?&mv3x=9;bHm(=+6e*gcBZ&R+nyxf0(vGM--OMYKkDt>vs
z&8Ba!USB?RNHTwc<}_u|uhK?QTTYj6U{h}YvT*MHSV85Y2IJJb+<glg7IQJ2lbI@i
zaACu4(GLeB?|QXnzWi=ImFbqbww?Ipn$uzVOKVuUj&uEtxOnEus#@_^clPdQn8g?S
zE1+5J|LnLFXF0*sGp*|#ETX@D6+faJWS?j4_wwC&fottNbM_jRNt~T&<+mnPJMvfH
zuA-+#d++R(ow_<em2Zx(l<^e1-#_kJmu0gxZ{BIFesRUZTE_Hu`+6=|@oO<yd``G{
zF-0R`I+LBn>wAloWbHmK4|3RD@{uW2V`A^THGfTdD<8kLez3-Sz2lcJ!u<hVQ&wgi
ze3Z{J;i!F0_PIaHP5(aZ5&zu&S&=z?t@QN;OFSoEn$sG8WZ8u!*OEgvcywA6m{q@u
zm}OXL@^{nsJF-7NZAm-k6PfwzkAG5aNmgiooqa9S<ymE=8AlqK%rqIm6-pyhT*v|W
zb36X7V(h&>mskEyx0FeyEAK2DZIyS|7IK!pxzsLk?%J9t%`(qVO_kd`r>g1B{qt61
zs@7+Tr`i!JZ%#-aj<k?BDmXTq)h@!odrj@>+4~JXmZ!6SdE=3KI=qWH-G1%QzFQ`h
zO;-DV7){lUk6fd!{nw-N)I{s}H*E}csV9>A!-Xq#=a~QP<7JE}es)xwH@9pyQ-ksL
zP?5KdOj4Qx^5@ntY&kEs*FFFI3<vga@0K0u6b@cz^K)hT|M28^!;h!iwwmM}ak#a&
zmiz8h4Z+ltv*xn(&+vJADtua#@j1&W{E`-r@6LGa#<NItzW$=k>He2(zpIF^|N7u%
z`2E)=HL0`zRPpG}F>lt3-=$Gj$0u*z>}C6D#*d$~_3amLJj{M6|2SXZwl_PEN}2p$
z&zW#`rrTWkl@5&>A`Ej}73KU|nF18HFf~3n!{y*CJ13A~x9QxAvVnKkGdwu+*L2&K
zR$+C&B{w&xUtSx%eMRKvG*A=S`|-h@kz6dz#tgF}OL?a0J~$&=>Z1K6n4w@<yl>CR
z7bhkvhb;9Hy|uGgUDaz!hu1V6&-Z&i^G(%@y*0y3AuwB%gEgJOBzx=j!`2VZ@J$sw
z+|9*sZcjw1Ypz|%3xUuz5fiuN-}m#Jq#_uwE#sn+R@9aii#Q6BcQHMDz|)Xc*2<Wk
zcAfv`>(vYoeD2?pm>9Xg?r+AgFE5jxtnr+zW|DqRM){N5q;DDu%;|GZ{>pWK`Q+yQ
zmoKx9zVYM<oT9;C5v-&<$Dz?0G^-k{645AM_oMOGx3}Kf;p;?pKgqhj&UdbL`7$xx
zD3u%PekIeHj0(!0JemLH=c~CP>w^+cO^m!N&T?nzl6h+x=e&#*Pf6X!)L{HM`$YtI
z8;_(?+#1V@4+#?wpP6Z#`T5z|NBavM7{e4~<{WLB`N-eev9?--Vb0CP#)~Ta84t|-
zp0#ex(Qa}5WrdHAU0fBq`pAjM#csVvUPLbUn>*!bgYsr@KpAh&K7Et<+?n-?Q45wc
zH5l8zPVxy`zvmOz-v9r8CpkNuon@N&=f}sSzmj|!0$ERZ5}x(_W3(uCm%YgKSA=2C
z%W&Zo7S@=>4htq4H_XgF)jiSp`xGJFi=f%(G*(BefCIi<N7{`WX3k#Ko#|U1D!lfh
zC*y&cepemz0uH2d{WvUn;7ozojt8){0I5fh&NBWkDx!PIjq$)tv!xD70S9Wiemqzc
z_w>;A`00(YGuLSuU7NFW)trnTH!g;ACR%JkjZAsL2iVi^=rzo|4YK8XsEF<*$RxLc
zO27WTA5C>XpNiK!xHF;3a<1Cpo40b8YX-Mn`SK+B=UhMbkD}TQ6OE5H9g-FKQ4bms
z;kv|SFyrdV;Pz>{(Q5b7+nBjpf)AJ%g+XR-qAiO5<jr7eFh0!HR?-{DP>|-ca*nS^
z!m~C1mbtOdnHgoda}Q&Bk<~#iE|*md4|IZ#+GOw>d``G1YARW<%)`U?F2gw!U7kxu
zaf}CMepKDEjgi4P`)ld$@&hw>Yx7tXm)BmjdM?r-bq;C%`}U=-|NnmXuZ!L7c5<@%
zcGb8A3ai7{FVhNL)iM9nG+po4*VmWph-))5pIH-fU3wp5`nz?;56;~E>iT+4u)~4K
zr>CaA{QZ7^|Jg-BOTCUTC>4jSjhb5Y{G9LR^z+jU`)@6C>y>)MeEYop{~DS2{r`Sx
zm%hC<bz9C&C(WQG3&Pe$T|Ck$ytq&N1S9jKudlDaoLhcx;r4q~r>7RM8Ejtiy5gMX
zgEMzk+2`!sy)R>KF&BqL@&$|PZ#t<bC$;v;+xtlxrGUy}tLdQ~CwL~Eon^Y4`CONn
zuGiPs*O%YhTYY(D@NyUHc{QIry>A=j-?N!znB4aI0Z&3&=Jt<MiVw`(eVP{@D08pb
zl)szPVAQtd%gf8{XEp9zk?Y_0_Rh}9dhz>wRK2DMC}+ENi3rxo*Z(QJwKaSCjCnO*
zF1lx)nxZ*HKi+Ov$xET7UQ@jeTy^HRb*cXLW?>U6_ocPb+d(DD6yx-B)}L<euirl-
zZ14Ab)i0ONuUqu!X!rfZ!@=une}5DG_xbsG|NK24+fF?EeBOTf^Lf>NPY$l{`0?qq
z{z`{NrY%WFxhA@Au&HHiI(V~@nSDj{_Pi~5cdaHGZ(pBuv@6l{>OqEtWzM2^CNn=c
zW4o2-iqL_XyI*%-vs~`b^suM#<^B5qOIf+aF06^%{7%Al*Xwn=Gk$)0%5<WQN7AYK
z`#aGMhuHsryBZ!JcyCW7C_gf_NnER7(U+L>vC=&M-jb}Vt1cR!w{f0pRVuVkNZn88
z-|p1Y(@H)(aGdD9G3n?djZ=#=%=7LD>}%(j_w$~vC%TQtH~ZR}g^7pT0v9^9zSw@h
zPWbXd-KZ@B7sPb@@2^Nwut>h}_{Y~*SA`vRRD4W&`2WMh!zN{KBA#pM3Rd3VSG%R)
zq0_~>wp7`E(46bTL#?Ggyq2s12jtHwOt2QcvEi?V?bi&xRiS$A*3unej*RRg9tLw-
zrEQZo+yu?5cRms{kh}Qn`TTl~z(p=hRW<t!3qF=;t-t>$a7#eJF~erxY@-^(7ay%Q
zPg3>1bY*4m(Wo6i+Vff7y}G(O$)x{&+4%`aCwxCHUw<Kc{a&>q39FKf3pSN^rR5tR
zyxDx-PIz&ed%v7$?o;LLYikzO?pdd=rgp5+Xt%mb^UZeoIt~ZpB}@Lz&o@$ey5jPm
zlq~<O8>K;4>U@eAER0u1ow&-vn$x)X@^b&+V?B~DA06$UVw8Hy;=*C2soLRvx3=f&
zyY2t+eX_bgSM~o)-}y5RJ~;E;x<7_j%A`X~H_F9E=*Z$2`I--my%L5_KE2C)XNRqE
ze;gyR?$5)X<z<yAy~PO~pV~eC+te;DYkZLN>&r`~bCXm&g`(^4b0|Mw{5bJYhve&T
zACJp-uPkDi)wfrpz>g<A&N|C}*5rLZUT(NPt9PRD`A`|&Z9$DI9`-ChSaBrl@-pAT
zPhZ$)2NWE;yx6Ab829VSyWyKsPO=z$ypo_`QH?ag$#%6hvE%xJzkfcTKV-gs>0`mQ
zpb?yf&h1A^vT7_ImziW<YGLD%5D+-`=kt>vH7r@y{!hQXy6WxocviuTEysEe_AKAR
zn4$JX=l!!2-|hCrKWJbIVBk^-X<SjB{mIH`-m~8ykINtB)eK)3GeymJmc?Sf#s@u(
z>k<#QCGC2D*8IN6;;27dJzSz%92Jiy>a`iiB|ocQ{QAz$%^RL-ddj_gw9;Gd<)f`>
zHmi;)9OM4GyX2*k+k+nuc=`U=dfZ!b;Pt7B$&NJ^pE*ywKJv%?T+`E&-?Fc-TRO`$
zyQ`PuPZe+6pYsJLgLm#Jo>Kha`^LiGr>AI6z91D@HqSHRnJ{BRT4Z@cvMU$EIT21y
z^MC_~xm^CvGiF=9E%$a;>-&|#%a={n4)5}1c<6Snf?eHz`Nu<_GcPae{F+wt=Eg>6
zpTfNR`(hh-|G)b`Dg55tlZ(}JjxSEyvtxdFS=pN#foonqD$T9oTQB?A^=HkQIhK>%
z`sH+&8A~#3PCxG_ZI-jZviR8%KTyjzp>mV$_PNWGDnt5~d+&T}^Yqwk-l{Jz6c_Yf
zKlE|;1G~Rpg4gbv*_puRaCsHC;!Fil*T-}LgGfhM<BI(P6DF`cTL09mgWvj`q3U^$
ztqBH?S4OhkzO^;my=s@(Hwj13`Y@#irUv5@ZVd+3MWG5Rb3X3Uzkg*x{Q{qvMi;l`
z-WHO%E%E}Snd>2&!C{Ul718#!cexnOy$O@~xS-*;2nT2SAE&6e82SG@e!ts&^p>7X
zn&tyF1Lg%YuYTV%MfbrO@2hUn3mZ(iER5D>`UXERox+sIV$2}*Yfm2+!?}_*Tt!Vx
zX_^cc#;fBvPfd$|e{j8~VP$XRj<#gpD2vt)yJJCi1xXbyXs8xtn6q>HwvD=v#b13|
zZQ~RBM?YGLVb+?U4V!})56qnU>X5m}hc>1L<NPZ(jn}d)s9bjCmYGPwvfRuG5+Vkm
z7J}hYr$w8%7%rqtZJG;O`?e-P)NWzJcF-XB>U;Atbs5eYFTJ*IVT18oucnp-4TW3`
z=X$gyg{FlvG^ni>c@v`bfX(9Z`8%m+XPG8NI?bIPFkeu;QFuWEw+O?WoeMfLoC;VO
zGG54*X(w3C`Dotz^Y`J2b$e{DRC0dJEPpG&4_khxz<*kVVL_ytt_(Y<46OV6HT>1B
zt=hRaH@Vha-yXU;%%t#<%cJ`BaeJ-)e!U)Fa=-R_;Lf6_8JCuLPBG8FH({3AmpvX_
zq8v-abRrybZ*5s9X`B}D{M=mTUCeV_7a8R&bjScTO%&$^Ff=^tG|Il{QE#CgwMFCV
z`uO=(-{1Kz_nW)u@$vr4ll|>Hv#+lcUBB(&|N4iASf#8=G;;6nn`@SHLtqmxr>(wF
zB9mj-*;%G9KRi4<#W2||YHyY4105m9L~d501q=^#7#BShF&1T5Ff-Ep@}_U?n?dWa
z>VCac*NWRyF(=I+<zx3k&=ReGbKQ@~RX!2iRrlBG<IjVA1<z$I3L18mzIJ<kZSCSY
zmc^H*Xa=uHI@)#U?w_BZSLWZ}SMu_b>Z$qm_Ip1blm7DKaew!Hv%EVVZ*OfCW|*cQ
zZ+B}~Y4)2f&*ba>C@y&O`~CjqT%uYRI)&9=ENqwKs*cl`4_zT96hC>5<F79-Up_e4
ze59&1i~0G5&FTJ04Jo(zWUVf|UcX<ji1X|0%vz40PK*a6e>E%@VOUVP>Q0}@HTiQ<
z+j2A)Bwt$-8L}o~<Bgg>im%Vx|6ii$+;%~K{~xE<*VpsUEMD<>UF>ePq${h#{T*&R
zI@+CiV?!d-4vCbCDesQ;N>9-W)snKS+3_QZ<9XTBQ=+FPD!0$5dzyJ&)q7gN{<^<O
z58r@VN12zG9d%0Um$O|_`}>>XdG6<v<9q%d=C{Al!YQl~yv*mw{X)H%9R{u0&hu<4
zS!#-E<O=`%e!u@{WlhVkvlIIo76d4OR(=L{v<IIJIUs-GhvLCtFN*~YTC9H__9SM2
zn&WdLPfk+Je062z6zlRl!?iD^FFxAw=XU!1TCuYBlog+U)UZuo?A{-=$feVzxZ=3#
z;n1h2ram?SO*15=ynS_b^^wI9vAaqZCLizX4BY+W@+{xkW}*y7u5&s|Gs`y1ACBGd
z+3D}G&JU%>l~dmD`<*vu&!MdgOI}_|ij@N`aq^XVWgfb<RUXt^Z!q3H#Z6|d$OA#v
zItPYxTeP~hCvk3xp6uSj#w+F0EvCDu_V>5WOqcsx)SCVN|NEQy{oUOu7KKSKCvN`n
z+;_fR?19KhDxOKTpb$9H{d2Z?z8|#qY?3&0X_>FK+a<lYJs$7(ewRBt+uXmW=i@{E
z^cp*V%XJYOn@&yB^}b*CTh}z}N=L=2;&XE>9na6UEKa*{-|1lF4zoFSwNV*It-{tu
zg*xaT)&s3zb3N{)Y_pW%!I|KvZppQvvQ>Odkiw1CCK4qTRUe<vuNMn0`j>s~$L;Ml
zYj14Jjn1@4cK-i)ntr_B-m0&Q_Evwt_po`npK;0w1-Iu}S68{V^T`S+2k@k4Ut1%1
zxrHaY{C;h@jK$-v*-uYT-+%aizx_XrWg^XUzdxNG&-PbWWKL^VbG?wv?Ef2n{dnAe
z)I;(4TWhDkX`yEyigT?9V<=b_75Ky0iSfWp!Bit7Px(29Js+ct=LMx0{QC3x{85t!
zCnu|CUSAhm_@HOGoO`d7s@MtlO^OHp+uZXBSm408(R#{b75{lLJ!*yr4Cj30xUnhq
z^a@a;U%%yP`Aow(hBrP`@=BQq?DneMH8KA4!S|NcU$2HA&334eo%Q~~{TuA-v$@Oe
z+5i6|{AGJU!7=5YgSQSm|G1289$%X6PThT5(`}+8UYRRf3r+gAg=t-@@y+dlpL>mB
zca@Z!xBXtROSxk0#|Go|p?CIu`}Lq_`9G_&HyUMvMr%Ikowy!X?W=R6fB)-A*Xuw%
z12MfA4}Y7FE*DY`&prP7V8uZ@|G-aG=JS62{&LyB)qY-<jBV8x3B||d>r&6pi`}!U
z`F$-<w~gwl%WHY8cs$-L_L{1dBsIDIvn<cVJ$|`YSA{yBPrjXC=X_A|zt|dH^Za`v
z>*XKLiPVoXKK}Z_EYobag>{?Pf9haiy`q_5J?Eo!(T}F*&DRZDZqJ=EVVT<}v#fm+
zKSlBHlVr83@R(oD_%S~9Bmcgi+w$&4?fI@=`s&J~^;g5=DqUy%{eHhb>AB$luU*de
ztPJVDt{%~qocXVnVfz)vw+&2c+5)0;J{H*i4}D=>vBLTWH&?A0kFL$1bB90X@BKPW
zw?OQT?%g@T78Z~9+f*KW`R3;4_bZI|=cga<lTA9P+kE5c>FFyyCMq3T2kMdOpL;&P
z{@#;+4{zOJOkbzF_Azg_*ts925;lwE|33)#%elSn?8k44j2aBAYr++@ERs(=`hNLC
zferuE&sGgfJSTglp55L2BUquVXAWz%_jaB+tyw(G66@c8kpJ}krtywX1=bIIR$1T3
zW3kvATXdeKN9^2>d8b}qeI&oftmpkkiv<iK1^bx-4@#aces{;R@bCj^E`5uswoL~m
z{S7~Dzg;1ywf}cJW1slCPZKYg$FNs>Z|6Vvqt<f&|9`tHrt|MFUmmc~DT&44#+TLa
zWgS1>K9y}F5mc$`@+TRzG`LEnU5<+Zv^Z0GbBEZuJ1aPEv9K<1Na4z0P2V@y3e*po
z|MbCJKl!>J3vb+-s}s42W&cB~8_~OJ3y$61Sm`cozd>?QMYiSpkWYJ+J3e>VA3Dw*
zusU?L+j*PMJf*L$c&>O??H;(|zLGZonzca;1!+?^ec*<ySyzZ+-O^-ScTv$<^8J=4
z<}t=HAE&&$v$MF<e$VTAmrrf46Y^hvJ2*4nnW4Vo$HR7cvDe3IZr^nF-QPBA7tfd3
z{2YsPqn11`*4y)TZn@RN9cvjL@Yo*IVr57V)8yVCaKIYm1skWM3eT#8O{Xu6*;(``
z*38Cg>ICzc-_wo$MenMto%6q~0koFw{hp8Qjg_k{<+UE3S(*3ssCaxwxz@+(Muv<A
z<K@2=hCgCDw<jobi!fKj@`mJsWA8sd%s&U3UE5arCoHp0e?tC~p7OF!CvM)(-|yRR
z^U0&>UV&`9)&K1!pVw*BTRavwOFJ_oaL)|G)KgO)U2&-VRnsPA`M6y4>e}e(aWx-Z
zU#}8xOlOFS*=SHC=J>oZ6KTl`OMrrwMR(eEwf#O5mupo{=~3(vzxdfB?BAcCM|U5-
zwKZFP-`!&cN9HR(ydI+Rg!lTwdza4Y&*x=N|MOGm-qe%xwI7yd>@0e^$RzU;ho<vE
z$^5JbE5qwp+4E;TxL)|<T=6P(rVIa;+dMe4GVaB{9Sz3cuS@8*Fol6KkLnZK^nGlG
zFFrWc{<|h!-!lEa#o67eKR>Jf`PI2LdV5f(kZOm0%jy5;+@0<f$iC9O`^H#iPT{;i
zd#(SSFxa0l?emwtr*%Z;d_2Z<Pv^jU$Hy1<FZG^&Y}?^ii`qW%JM3P|_u$OQxEJqk
zK(-q+GnHuwh|ak=Q6XtXI70)QMezOA4=#e{Pih&t92zr3H?W-h(Dpjxbkg6SaqK2N
zb0Y5_-y_9X@a*cT#diZ7<}yt?AbGD~?K+3Bsw9WUzT7rXUu}(@(_pM!J#Bj}^SM2-
z^;_h)Rs<XnvIw3iQFCK)LpUREL7M;nPe~#NW{R)wGjnF#%EfT*%B##J=K4%h56+xe
zm*sM)!C3iJi^{@=Yg`QHw&?ZOo-|z0D{CEA6MTi6{lOXT&~5vBgO~d)J=n~C`NPA*
zE3>b!`=;K|5x~H;15|NnpIWg@Fz#2ke8IA1=MS9DW&QW(v;V7WYo%qa%Y61!{5orX
ze+g*u!4%Elj{D0yn7JGnH3T}D8a8`eDcY&~;LKB2x6e9(4dz!qXhe34>1KR+adD&J
zukY{m;})G=oVn*C8@osYQ-DJl<AIrLg5)+ZgIDY<3Sy0``<eRjY`mNzH%kIfp3S0b
zYa)%MjMI89-6&vVg*WvDxAPQ;ZA`H^xR@*F<|bE%>1VDi{Xa$BS*HFc3)o<VDWF|4
z{Slz%E>D2M9wr;#lL^Nbh@M>Zzh$1dopOW&qs9X>R)+Mv)fpmh8Nq|hrF)sQ+zu#7
z78dVakf9*bz~m^(FlS+L!jqgA0biIj1cDk9y8j7lNt<!2gjmjDXWg@q;lUZ{(rH<$
zZs(SyG)+7%!m<5@{lcPz%??ge4_5{p_{+s`?oNF2OEIQ%OO`e*WEa_x%oTJrWF@;-
zqnZ=rc})h3&oL*P%_R!j&M~m&X(xPtRJ;4}!gB!(Trbu#Jn(t{>&7hE2WP@|3i>#+
z&XGB}DS3&X^T~-qA`MK8pmE{oBU%g=&gmaEG3_%=I6K?C|MRl?-KG!EGQHpbzwUzg
z?OSvCA2~8=B!D&y{WiUBru4w)_9X?wZqW@{7weraA4_X&(Q5y^paC?<ymN7%R}>?7
z)LCLl!@1y2KfjGqAx=xUSYuW)JUBBo)i+`>LptYW-t?I(8#KE=_@2CcCaz)4!iIUE
z0(ecgawOw9nTfKFxnT#62x`uC|B<67f5?&1AG8+AW#&^UPK(KxIm4c5EjYdCe~VVf
zStia<zUPjNn?)Gr%na4{-po2DQcHi1^_qt01IJ1Zl<bgJun{o;r9;8gP3oQpX4+aQ
zckSicQRsEy$jZp-)qNQO2Xa^$($lW{XMSriURrc$CL7m|TEo4!Z!dQgcj5{-Ajry)
z-gjbMdcc91^GY4VCTc%eI#JcUkH;;pa1JBu9Z=IP_G`~7(}v9}o*X_oOXI;+)5yHY
z;N_m?PEr8}0ztY@tq(6aFf;C}gV{vw2c-@lwPv{83oc+2xe(2GVCKEA8%?bb%v@Wf
zJj01~Ti#u-*xhAIb8c?x%nw@TJ>73%`R16P{x3hx+VbdV_w1_-Dcr0J7#Po8;7kIg
zDdQO{7{rdUuahb<+-R<xu2BHq#KZIeoY0s>KU`Y$zhxTxY*wLFGUu9^&VhDNh1IWJ
zl>EeJb&<kM0j`AH(3HD}UuS<*3g*5RaG;WvA^qHShuJ&~>9?jZb+!aBESR|A9peJu
z8F?1mTo#~Y%6Zka_hp#H?uw5}ra3nZ`d+p3%P(_o=L=jHvlFz|r?Bq#lga)Xp{qh>
zg`M1;nRv1O%huy*jW0}F*fkQ`nHr3(L(Ej#q967=l{d?gIC|tr%UYJ(JV&LrY_PhS
z+i>)4mT3FDtgkKR8VjNr56sMR<^5d#<;BGtJ;hH>IJ)=AbpHK+b91`+8q<T9_m;dA
zN<BAcre4erfh!uNudb9lI>PBS(`ae-t=uZ-4KMER;!4`wP<rc-u}DW4<AKO6Tv9dv
zqVxB<>hJl$r0PA*XUii{7fQub=;QwNvAf+aFZW;0Cu=2f=g^Lo?b5b~SImrCdQ3{Q
z`c<Q84R}qif)Fc1`otAajiTearz$$LF(!TFXWO<p{rs-1x1lR88u!e4d37fv&$nyL
z3OVcVb+EA>(qypUUOwS;{qu`UHYOjx^z`)f<mg#Su3S|wmrjq_`AzG_3rV-LUtYY3
z`0_qRc0=R=%eR7(Tt~tg3Z8wmJGeNV-{u2D?A|KVFi!KnSwE%3GrsUFPOFMxiCEHS
z^<p8zrUs^S+6)%O``G%@AJ|ttdlqOs|H4Q2^9EVZa>S1;s!#vQFCsge^~-8bqfgU7
zgBDk<6SDuhpMSUWxtvw;v!2!0W*yDn@*m`eN2?BReLgW{H{Y7lP2MkCjuvLUoqzYQ
zLE@_iIvNR}<yWt_n4U83SL2npo5RL0_vX~rw2$2nL4gz*lNup@?_f6j0?V%t%wHY4
zq7b>8an`|}@~+3V%~B%rnik6#9-J|J$;@t7o-k2aGIrM=e$!0zId=1`X9O+tc;~@a
z#`g4^*y;;2x2>J~GNStO^UR#|$xD+A=hS{YD!wJ>rqO8z-tK=(y%tWL7(1I);>@cn
zE1kDyU%w`F?p&_ive4KGJ*8Yr^i8i=Jr|nCm@cGrGxJbES=s;NWo=(_)~tO0cF8(j
zZtF|`cq(U4SXXdtacAnODH~ZXY<O{h;=5%!E2e(^+<5c6{ePW(&lWyDA$j=b&a)5q
zn=JAvuqbkA{IWEOqu^P`mtSmO4@S1-JpJl>Np)uIuA1JUWjp>d#aZ`nK3=DC_hHZ1
z0M3kvFZt>Go6V+)&Sw2{uxImi*>jhkzfD<t;={WcOwYfaS2{2=`O|CZ8}jEaF<<zi
z8^UC4UH-=1i1D1nnF<zVA&18+FHBbV4_fY5C?8X{KGG{8vmmO4t0l_VBKw-osVSP1
zXDs3=|Is{i{r#B?=`TMsUO061+Lrt0-_CYzFuuGhEqGsp@$8l|jULT{WBl%M)nc3r
zo}QfC&L?l@b9-Cv;zO<Z{^H3O_dCm;UACd|K=t!;b3@id7@nGGtS)O&ppc^W@nFyO
zS%vqR=iCf&Xz5(c@PJ2FX$4b*ad|o4<Oe<Fxt~t7^DF?(z=2no)&H}Zsu9@q?CflJ
zXkm46ie|7z?5>h`dTZLF#p^da+}@Vkx!msZGGFh@%X}9<J3E^_MBQ%2#}nG`FR{$2
z++l2ar{uuQ!>ku9Z65eMf8{cNwr9aH?oG_L4$OPgn%zD7WGt0fyk;7y#_lX?y;t#=
zmodX)&q9WLu^0FDTHmdHzxT*{p(oA1k1lj>e<z?2vH#LvQS*JgjC-E(T%Psr^>y&3
z!N_ig^ixZ&T$-3!a7?L<*(5Ih%cs-&-GRpU59%BLdotO7McUa}QP&tfSB57X?GnAR
zA@Q)q{HDhjH(!shpF6Mm-Od{g3x5ee@TrY`5D1za+Y>f#Gs~QvyPv2XinMU3{&0|;
z^}td4ik9B%GE2Rtp1SMm`nqGk(V=X<=C957>;K2D5aIj6nEt}Y4^-*~)t*geK4+pI
zFy-Y;uJnU)Yu@kst@n}n>!DWe!YL2)x7^!P8SGR1@dPJdx%L6&<&)L@LG$L9pPrun
z*kqdh#ACrv)<$jR+TA8t@a$dPqUzTS>AS*D9Q?zO&exUioH6;Kj@<&=KOYW*77Kb!
zQsD$G94z_&_j~ZYJ(Vj%RtAM^$q3X6SkUmpzl}#SCqPVbPRN5dH#cudKQH%D{@&W(
zuh;Lt^zZL)P{(76O=S_|E7vZOg}$@RT5D{%ADlU<rDXPir&a-)A})h$dwOo}?6mXq
zeEaQwX?(OYmI*iz-?%z_{iR){uaE40&nIUi@ojHqgYg%6by0>nmMix?+sK$cOI+jB
zmpcsU2bWpA{Si?$<<sMm*`gfVkLlD|H5kw4ZYd}PwMLv1o}5`+dqB5<&Eazfd*6ba
z6PqM=v(0f;Fz}hG&0rC%x3XF$=?P@g%KV@t!`ZvFrn9c8vYcT^zo^ky915EAUbx7+
zmf>7X)Q$i7(}D|*t+$#`xzyFkO;6~TLRtCJy|;H|{BmCM*MD35>Q!uUPOH5<ZT~Wy
z`?986ERylu7LDdqPYc%ctE<D8cZq5T&9|#PBK@aXcA}k3ZQY_ug=jT1ncM3ew)}P2
z_Wz?e$FY8Sf6&xb{=T2bwv{oR%b9#@qC<nRdy2WT#)C6GR;ni6>JQ)C&fl+E_dMkN
zy}iv&v)&vw4EVjc)<8WZc+T>6CaqWU>;C^-kaMVo^U>X^tE)np=e!K83r}IRD0Y=x
zo5=#&NL~8zmC2l+B^+#-59RtEmV|t4;1g%w|9W}Ds$J}_>pw0$vz+09&;ET0MQ#no
zlUJt~zH2b<W-Yt1SFb>f=hC89<8P~9Ile7eDG(RLIQ47a{r^plk`H_~Sr~MCFdm3>
zDXKgzQSj_tmGPXTJ+oXj-|4%2U#6WCoh+^s;n2<}%O!Q*d{WupU!_u(MJh*+9O>|X
zr}8D>=K;SAK8y2JtRdHLvZph%^DSB8IeAk4FQW)=(E7%;(c8D}Tlio8;i;8ZOP)T-
zlDf5Ac<K4;C%5h3Na<&4Fs?2!Gva!1=ENpX^|#HNSH6Ec#qPM@;gpk;E^f`f-XY(o
z?AFtvwdH@ab<~Y+Ex|cCGnwpWXaz6h(T(2Lv#3_^;{wO#Bl7I~>M|l91zNldo6e9P
z_+t6zl?}#oS3fSuYcOu-PAIHc-!tcDN{FFvR-xwuJ1*9c>*C7kfg;Sy-PSTZ(8=Pw
z`<kKP*}Ypur}u1lc4mLmD*1cw-pM}O>Q`F3@!6R@b`O^ITvfa22wMxln4Y(4*`sX?
z=R~+y&9shvaAwurSs$N<{rzxl&767u?xnRGqc4AsJr&5vkluG{eR%<>ZP#gLz5L;s
zQ{U&XUUK_-*gEQO;l3!=kn84i?DstP$^H94cO9sE(Xjy3z`tubr^Q%%zktA+tcP;j
z-mTmAJ9_!9eVhJ$Xl3~RT~|Gd!=l)!)H#Xi9FJxQ)8!Jbb1C~BHGUUN$=#P#zo{@W
zy`O2-Yw4BtH|y4V@z(6~oI0uM7kJTz?j|PCzW&pb3b@XtNQ=BYY&a+W>c2xr55NDW
zwP4r2Rex88F@pyB_Wof!_hnUk*iF!=Y5S)XhV)aat<LPC0ldMh{;r(HMzWd;-gE!<
z6?Cfk#(FrjS3C~ZXfR%@XRac=lxbC>*Vg?Sv&)aaY%sps_39~q!Lo4MEvJrk7fjn5
zAZt}$vo)-AgO=ohnW3i+trzB6(WZIzUr08SMey1casL?7tFAh)&S6N8n(AC>)L{H{
z(xHXTP&MAnqiUexBXKS%DJkPswe{9h+$UEAFUUT9Xwk8kpH0G^hfGwz%I|*lpNOe&
zo`@zm320`et&wiqoM>ohlDabM{D<Y;Om|-i@jm@symDdRvXbj-qqpZ-&SGpj9>}1a
z{_dTCgk^Dg?cQrvR}Gfln*XXQ;fc@IMO8l!pO_i<^@5i4ftg`X1LpBO@Y%Vf;Kc%P
zY};SG#BeS|d)0J%P)}%74Z-lyKXCKDxZcH|`}Mi*>c{PI>JQELzinP45Z(SJ(l{$r
zc<M=pb0MoZ<NdT3NG%Kb9lVzR0XPnr=e!K%U%Tle)0|$J-(p95=J}qSq^9_<;>Owf
z|4UUm-;0&*sCsL4cDA6i?rgiIp|K&V%of2*RsOtK(2zAF=&k=&H`FZ2T~PMuiR7uE
z1z`?%-+RyQ`e(DvYxS%ZK5PZcf_#4zMKOYtKvpe7`YO?@r{y2`tgp(L+AIE`hqeCW
z(v2qB?}T>M{dHUOZ)Nb(1v41ut_a^gE$XUEs*&Hpn@79FHA7d0B+g#+>*0!9@i*8G
z90&syjzRUC{zgsJXfO`-di9dMU|D+XmJ_G63&b+MoO!!A?askDO`Bg`T^)S1OLV3G
zd^^x;hy}H_m)etebh~ZcU-0q6?!8<q)~#Q&-+Q{=#UCFZXP%v9`sJ+o{TtUZ4$RCA
zvK9h0>ffZXeC3*RRNhv2aT>FA;QDp%WKD~u&h7jAE!u0YmFd@acda#+oV1fkU9Wca
zU&`Y{=j1>xn`19O#dn55qh8Do2XIAwGdRoNg>g>hqBES?OQt_KQ~%`*_u@3G12fl$
zvo&ui;6LtBy)~<T;<5I<Te()O%Qpa3)z`HS%-s6*>#XtvGp}pOIacpwN&mMveR1-x
zomFdRuIIL}TzgVE{bT=+32E04n>BeeEtAqtD6QvYOKmXjwQfDQlJOjm-n^?Tt9j4)
z&9U-6dy8j*srK^--L+!>B+n(eon0jIU3^jXWzlWA%Rwu67CN^#9sBHf>+9?5nNLnk
z6cHA7c5dhEwX(m;|9*vV6Z29~Se?IrppjWK^U{(hv-j~#D_Iw_b4BUvYhJfSPTiau
zy!z#-lUGf)_^W%(Uq3mwQ1FBtc$aEUipctdGbg%sDP_vf@vY5I7l~VAZ5p{LrR41`
z(?{)(I|P*%@h$oFP`1>mU_l7u)vvN9854dyK5(6Xs@m!kk=u8%SOhOwnwQ6rzAMya
zaScPdl<4865QCz$#uWuGXE3dL$fKOzH&v*y(){nf$dbJOI$rTwZI`BZ)jd;?3Vgq-
zwEO<WK4)>*xh@-?oso)tcvX^hO_TBcEmqg&SO$l$cVnGXx%HFKTedl#9Vr_+^$ObV
z&SEQ9>J8d1b*{$uXr6Cx*Skj{4C#7$d0Tv$=eVw3aPPS_Z+gY~nfLx4-ty*6)8>Q;
zZQ+?St|fmtCtk3uyjJEcU%|5#f2OgQ3!VGW%5eJh>zxc`ha+n|4vRC{Tz{&QYw+Mq
zQ03V&J`3aBbq_a{gX`!QR}P!a$@>xO&pPLwJ}6~Z{ivC&rTX;?v&H4jdQmB?pfhx)
zsXi2$bJFa@%l5T7^EO;|W?cVO{J>1PzvouzfX4D)Gwp9M78fye5oSn#w{HFQD0Yj_
zQAh9IjRP&)k`8^%kREt)`QMWb#&5k_Sd;@83d9;C46cH@E#Fj&^c>e81Q#YtR&r;)
zV92lGVl8-fXKU+;SE3AaR$dp8n+rNFXwJ7=?%$N=r0R#XpOcSYTbmoA!eJ4-P^kub
zq>lnWXhB2BiWfoh4aU>OzWtxg3R(%b_nH+b9lv|`jp^K-*!wG@*e$xf?B4pb&B=^c
zQjut6YS_$iq-o_h(BQHD#9~gSb8{}=>pKA&2y)}u4O$?&)a20((9ja!RNh`j3*#*X
zlQNmlskAoD6y#z!*P|gkX-Wt~ftuzN@v|VmTRk{)_v_cF*9_@#t9R_a%?)zH;w;$*
zI$A%s*h>~HtL>bSzyjKEC&0bz7G#UuIaLmeV!vu@CFXN)0wfLJ1Thq(O~2HU+zuIz
zGGTjg=E5P*WxN)XMYz)3G#D(57x{PufmVDh35YXEw&?EcT)6W6+b>C<Z7)7sr_0?f
zwfy|$h~3|+-X^Y&W#sWbk^Q&TzQ#^Rt!<f(>6!qK@TkVT($2O|e|KI9cv-y0V9)Do
zYooWXDd3sU8O)%Z&UyLll+9}-EFZH!xv(%RPbIGU#;i;EC1)?U{9E+tSAvE0cFVR)
z&)>Sd{9O5Xa`CQjw%VT#otP=QYS$6<2WM1Uc`os2KX|il_dBJ~`sA4(Hk6k08?G)@
z`yxK|I{Sk&YyL@QZfn@=A^LfO?SnISkFtT*LB?gvJ~)#tT3%iaT8o(<vX*&{tLpr3
z>d}npTFY~NDsE)Or|_$0IMoMM)+RhTb9b(E!LxV2+^$+3hz$9ZS-(lNVA-aNYBo8c
z+r;-R3O<nim$g7Fw*KPZoC7o8i53~yADG$v=(d1VL0a<(jzrLE@Y_q=6r@3MtFURM
zB18JJFh9L~?FT+jxAb0@+g23X9BRPo^Fm*1J%7)foxh_PKyD1&%2yyZwdU6R9M(B2
z@ARe}Ivn;}sanHP_*eI-*USaa-kk$4yd*ZN;a<BHsD5NZ@Bwhx9yl>GX1~Ezu7b3z
zr#UBXa~FL3-s)ZQ?|rw^*>3Um7m}~uzWj|>{>ZA?w%KmdQ_9p@Kpt1c<8l21H&1NL
z4?OeY<`kVwp-27gcmI5k_nP!0Z{e@2+1E4g^+;sh{G!ReIw3aWz|7KHhc<&o^50yS
z5nKy8a%=9kW7Xf7=FGLIY}+KVLUcpe_ocqB^>WdvHL}g72e>SPEps1lZC{&hJ<FHZ
zc;WsPd+ZE~(t?i{Y4AKaqxjI5<29?rW2-$sE=9~TE$58gU#GlH2dS1-o1*1t8O4~c
zRla!UhYh|HK3JR-f`<BmnW}d^q?b1s=Xx!SSBh)g?65dJPu}$LJvNKW?`Ju?afUM#
zOe=U>u&fR=D8IVXOtO;o+@t<^oq?Mh=dK73|K2w%k`<P8iZ?0=8bMw6?42J!Bty=H
zSB7B+BSU2M?w!OJj0b1_e{u80jJ$Pm+0R}Fh52N!db2LB`o^j&=eqapxfaim{_fpV
z&=i^Zs%w=f)t<}J*_zN~yyx2n)1~)c%v#R**Y)XFMvKc^)mNS5E?DN~9$xiPYR=A0
z9g0$^u(Wk8yVmXbw^<kBL*nEcjOSJ-f7!`+ZqfA%Tjdx)bK4mb_)3|Z;HXJPO`)J>
z@g1le8P6@6<o|o}+6LpPy=D&+S8v+;L3A!t+-v=Y%`bj+ze{I6SF(OW^H0WeG9vfe
zE;JZRySQ=O24xpDQ>L2>7#^Hqo9N5SXkomysHhT>koSDsFm<)mnkLNzcqYBh1af2V
zs#4I*!{vV|p!}6*A}qJ<miSF)PMFuq%kzk<A`Z+fzI9s=?zL-uR*G$Nm>|W9+qoyd
zT33cO7zb;oJKqDjx6A;XH!Sf)>d-6{q(OxkU)u(zb0KEOTiVy2`gSP1gsWoheui_4
zUhD6g&uejcuM^)hc8lVG8fhoyb2$Q%iaDUnJo^eqN;4BEY|Knq9-O&y>g3a0);TNZ
zZ2NfYaM-%64P46_zzMT)qH%dVH)cZFeG#=BW;l1}?oQO=n)%!oeL<lf2gU=DEJ+7L
zs#zJ*Z%se3lZ7$;TxPyII00<w<>ZgHe$^sT#5Dt)xFswnzZPE>#dywV?Ht}pkb7?)
z6bL&o^VG8$A2x*EjQi2$#|Ua_M3($@{l1wIWmplit2UR5;atvSsm7cD22j{6Yh#!L
z-c<lf-IvYcs&AYM<qbP1VgN4!vKcXIk0SF~ocz&myWcEi@dcOr2WIZJHb+gr2WEa#
zb!rmSV6f<R(3z37p5cMdZz0R+3J=a$zJ1#PsukvJGklns?LJq)*^d!YEhwiK{XG<-
zePHIwshbt^LGHDdIK*WUd{ahPZkyI^?)ZzE54Qeevgls7|3#hI1E23)mNVoZoN@e^
z%fV~0*)gSMgD)efPoO-37vxKUn^y!tiClg9cF}@mMYoP+^GEMobx>;tJRjVt)n!0w
z=4@j+7xK={_+jGe+KIMP7J#Fe(W1EgIk@75S86<<t@<7YOsov)f*q{EZ$%kE&7<c+
zEORWE@0Fbh2}?DboVP24lTN@hxzD=z8Ck5La=`2f*uCt|5sc|tvq42qYV|{HD^_sf
zc=4<F-ScdqL#5b1Gtapzw%_CCvMt5m6+iC({QRt6wV7_&2CY|4%;&CTa{5DOjOHA)
z4__bHAAam&dVH<FxL(|%H<3m`>-}_Be%|bVTy00vRVyi*G^@9_$~%JY%%0;Va?V};
zoQ&_wOUuN}M5RlX*#G-A+iZPJ|2xlVCXT$p50zscaEt3-F1P>Zb5+a6X=Tto>2o%V
z?lYzfo_wmCEc+nm;P3bgJIwDbWNxopTs=v3agZw4g(rr!D+><BY!huxj^A4~_t^fQ
zdVjYRN<YwP`J}Zxch9#CTh}`8HkkkpQ^A)fZZ<0Kzo?zO?DDDi*DrsSHeZ?1Xk)UW
z+Im&+;zgI_K7RUOo^i~&JM-0v>6+o+biVw)X|Hkk@Atq~cdrhM`oFb}Mq=wdOXsif
z(BD?ymUr*Z^l$S2dabr*dF<mA<`-G(I9)G(;pFJB3$>Yf%+V^x*G6yGh>|z@xG!?^
z#4MZ3t$g`E<`kuzRVnV;!u!A{O6$F(6>s|eb@x0>UzIPl)8Dpe`RmYy#r1QRzrUWh
zY~S7aOWWo4-2c|}Mb@Nq+S&+a3+{z`PTkE=v&qSQ-@+jg0!hHiLKeve+R>|dQ>!$X
zd0$;@eCIv6%k1B-;GV3lc|p;c>sQ@+QdwnCYgJYM>qJ9ceZ>BmTDEsPzpaV%`B|LK
zpHckkdvVFzUtd==xVQEf9AY?kNVDa>Zs37T3u&VywzIY0i+|;Q|Fqz3azxd}_Yohj
z-;6C053Sr|ve)pN>Zz&9#<9E0=B~O{Id5CeZTIi@=eNx=S>fkx7w;PWZ>Lv&Uv1|s
zyIAKNCOH?UZ+ma$kbZvd@+q2=y6fdXXMMMBTj_l8=27qPj1wOuw><g${>6Ll=_}40
zZq0bK!Y*V<z`GlF)P18pE<e{<lziMj_>zjJM)<iiUw*O+Yi3Vl*IgNJu^d#**Zi3A
zVFT}S!@BMM@DN#jdzpCd?XC06*8S@UTE=1XcU$%U#8*}YJZpbfN-y=FK5bWdj$7^g
zeAiAPRUy|W7gtDfi(Q_wQ~u(`$ICVH&#e);yxsU}{iaDj=KlYC)N%d&zz>gFKV6)b
zFV<D>AHQSPjCDQrHJ`p&WCd(CDwY0X<vaIx;G6Tyx7E1v+ZH^Re-vN0z3<rhis@zV
ztUc=gl{z{+-=S!`GVtxHAiG0bQ%|3(P0A{Je^B|$^`G5`{%`KhzBnQ7eBh%a<?T7A
zS2W&TQNMlLp*DBT_MW+l%knE*3_d&aUpSJucd47UVNu%sy@z*r_#M9K?!9l>v$yOQ
zKOLR?@?=&&+m-hhCJJv!zV2A{p|Pao*_-)S-cL2xC|xD8CGl#Fq0T(5$B#{lKRN6w
z`6>U(xIk&I^>fo(o8NQnHT=u`>+=n*U(a8KCSKke9Gn%hUQ5=dW=@=>-Q1$*XPmP4
z%~Ec=S?g|K@%#Jz|1UPadi&y6ue6Bq0^_Bgb0@{kH<`cf-3|ZWb+Jm<LUiJPDqqdK
z6x{GwnO|Hi;Kcs&tlJYNsrf$gxDTog{cg|ru%UEG0f$roB&M9j&i&v&{+laonPKy;
z;Q7y^S+Bf%@;-f~!%?@8eLkG8E^RZEwWyiH)<4tj?k&@$hRwUXi+}C2>U>terANY!
z>)Bb&Yf}sr{{DH&q!qe_VebBdiGK5cHb<4o`rI_KS9$Z}fobwSO?$b6(VHKJXWf4t
z`s>-^Os23OCnkMlWbEBP|8KBQ^}4w2opCShyO<8%JmeqNAz>r?J7;kelS=<w3*Y`}
zdTMTuQfgYVPj6a(U4Z%Rmy66A@#}K7)O{}7==QGnp+2YY4~}co*e@*?&VDZ8w0TNZ
zSCsim=iFJ>7VZ{SUG%rTKk((F))fx(WD?gW9f*wR+>`ylWX{G<huzLD3KV{J@p1pu
zC3$n_FSIh%KJrghe1(y`PL$`_+x$yT?_a<4|G(e<4cGnepIfRkQ*Ox`*6fSlzRGh+
zoQi*yX|?BZu~&V4RHAYDuYj!Eo7NTV{I*;-<m1V{FE4*TURn3{cD-oV?BEHRXZ@$I
zUA8Ru*X50|@kji&e{#C`dsEoLe(|1V%U_2sjVzuX@V)MF#%2EZw|2$pg}mR&bS@-L
zH_SGt_~|KER&KE+DJLfdURvUr{D1cUa?q0I|A+tAzqqhaYc@+fXF$X6#xonMzGgl8
zf8@v!jg_-s{Zqf(=8==~Fz5EWzae{~ihsTOtNF!cX%VCIm%f<}Jhtv;dfl-N=O36B
zTnVw-SGn_tvT1Us`pf2*i;ujR@?InMb7a+;5<^DaeT$v`@=Z}s51VDKsg|HKbESj4
z%+YpZxsRuUR*6m3nAE?oBE86CXVXuM@6Ug3$o)9?_5NvVzHPQW@<ROe3u~vXsrMHq
zPuYLyvPt?M?JEl_|9W_7=P;%VdOw>hT+(11_WRAw{TcrbrJ5AJaym8XC1cdDi!N0P
z&Q^gPYj1zQsC_v7!ZBa#4)+Z|)~{DS?ssYB-}t~&Q%)v7)N$YDGsW=z<S(ypwLZH4
zd0K|Y@9i~830ih@Hr_Xxsm&=NW4OdS=V0@}n+w91Up!HmAH1nzcUMJ8&Yg~VNoQsH
zADL!bPYA2o+&6Dk;JjN~Rygy%4qLeU{XW~&2S*-;T1>tgb=5h}OzsVn_Jd}X$l8#r
zXLSpX1(&F1Z&_eE|6FJ66#4kmuY7lRq{`UrePLbmeC?lvRX>)i&ngu?ZTKf$IPcCL
z&#kMbE?@dt{T5TYd!4nX<>_mScM7)$-A>Q@Zz%hQgDpM&{|3dJXr_ab%x}V1U+-R-
zcwdmuq|&SS$dm0yPbm3!Z_AAeKlA2<OoOrHRGX~D+;e_DvH$<wRqOAM%^_QZBxij+
zrm*pvLixsDA?thsU)|rWdw06o)QAn|H;BleD@r@>GxPIx5#?~rpq6%Hxvxnpr0%SD
zj<Asa_bb|@>=TpWRH^?bCj7J8Wgk21Mt$7>&t<c|-wTTRagpoPRAcVij~`V|&3XCl
z!oIuh;Fgoy*TWZ|uMb@vc4bFl@~*PCQ3w9V?5~UM*|(zV#O>Jd?MFYqXJ2?L?a+yt
zr(QdkJ?UA_`CdQ7_szVTg}#p)gu=3aF)j6*sl+$u@2+J1sa1<q4d<xtSD#t2_vrHC
z<M%gq%%7f{a`wyq(#=|K=l1<b)4iH`_j6z7*~7>Eg4Pv%%{VB%p6do@Da+@LWsj$A
z$mL{7w-G({|D3dB&GGHo=0eT6EAGzXnNn;Y<Mr7t#%uceKhECwq`dp5Ym0s}Vx7}E
z^~7$rT?Zw@7lj@VKhpF|KJ3gg)7N!Nk7wJtzurIFJ=I%WuvJJo*uQ)~^ErtJHSGJP
zl&_qST%V{N^-l6v%0r8+faOJRD)%@(T+2HDV&~rYV=qN`T1sbsTNE09X2~g0`Dw2V
z_Smiak$iS#<zw~CLo4nDJzrtAiRoO3Ud1-9bB7$KzuK|t^)u7@O<yN$oqW}Qt9{?<
zProL#8uy(}IQsfj*}8ug52_C{`DXXc{>R5FV>{I^=ij7v`+n!0iumIxbz!gU(JwDW
zf}BJPp54B<^LM*z;kERSK~sHHvo9&Dc3pV>S-s_q@{E4_^PgASIBj<oJ6CZ$`{u3O
zBfoEWiJg=;l8>7lvp-jUV`cB#!?F#V3%DwOlrMkSb3OCpEnPPKIQ4BWuiyQ0>A}e>
z%d54kN-um)Vs<#E^!3HbSN%c#;<LJ6o?5^EwgYq0G8dloe!HNasy!Ea{{4M#zwume
z{vvDhI+^ra7q`#Lee~kq6!q}1M-%sioxdWqdxnHi`CCgD{jV9mN4uXbK0W=v;QD_R
z`<#_8HjCANlYM))zQt?0mdp30zP`J^%PrH}>lS?GfZwC53Vt#Tn-8pt{uofUXkT8Z
zW;B1Kb!2SF?_!~I5=vUOe`TJYo;tUxJkN8cv7JLTzl@-_q1Cnw2X(*s3!D1Qm$}R9
zskvR}le5$-ll+y>Az@M_wbw4!&h~$f@~VFokzP|?=-t}--r(zt8#a6&RyG*Ni66^8
zEp>gR+gd4GSL^R`i{j=+b$mV6z5hp_l>K#opXJ+XerZ-2I9nxE-;+3?-~3f}VNFYR
zx6Yi8=l+~oI&YEIQ*Z9I6`xuCqd(oUiz_~6?Y}y-rp3r^!n||;K8o-Cax3N3OkH8!
zXamh0a~4QC^gArMzoG2e?e3#_KL1uLXFqFS;d*(N$&aOc8*k67|53O(qWIhEYqlkC
zfAJb_ovH0Ew(;=f?KZ#7SX!hlAIna$Q96Dg^y^0>liz0#PSH$mvpBlH@Ufch{bH4m
zYz?ok=La3$H8u0#n($WM{qvGvbj<wnZ_#=dlTU8VTB6>UtMhsLTQ@&UetzMg^YPB>
znJF~}wx)Rjv1>BDC#h^yo_hv74zl>yLygz|*Un8`RB5mx$2R3{ifYK){>Q8K*eOX`
zKE5$~+uLQ~ar6Cb)*k1+U7U8>=dQI$cWtr#{&x>s|1Hiu{7iPryM0$4v2N5a&lOH*
zzV_z3-9k>|`-`33{X4GnJv~3S*>0|NUq$<(_W_LQZ?fOD&%eCk<L1u$;bCh6;(q-)
zymE?Jsg~fg-CON1pD=%R>6<P45!>1Cm+ujtTKvu4=_kiku}F)@5#H14)yp=?*_XOG
zMC{jFyE1$CvMtHy9bXxy$mHJKI9)GppVRmI)7!M{e;M>j-!d+np0#2hhsET*LADvs
zP3GKG-1)v#+NACNA=p}gf4fcJcC2>h(SG2Qx;w;PCiU;hTPt(4Ygoe_w#jWO+Z46>
zo4R!PHN7B<$zQpxZ9yj!1hVUHW|)&1DVFNVc#g??<!|T6NOprG6Tv|?d+n|HIWdve
zphe7Sw;0czSu<NVlKEWD#G4xxK)v-$>8t$ik@cI(`b5tqH>SQ;%vRT)pH(jt4jSp2
zymraVvIb-0*J<9@;u?&jTdtUNfJ$NWS+Cj`ud$D`_C5V-*OnBprU}?IH5f;yl<9Ch
z;JG}juXG;=(`lzkYv$%;T>Zz8zUkEAKF~^2d6rNH&>(CgcuXVkZ^4wW>e<iV-COAY
z8f@@wqpSZ6)@rV{c>}s4KoPW&a(6SRvnm=4QM-K8+7F^Jj5n)J+&Y;B^{r3#DXr9H
zvTi8eZP<L^((8W#Wor&wh(v$`^K8Idf3tOKWFPp1X&S#}NKd<VcG?=o^lc~JL<neu
z9X<8(*2Yvt&ue~R8dv{WtOE@gt3`Was`YuAXF_B^j)n<l;u;N;(J&#V5E@M<qv>Qc
zogmdwqxH^cT|C-88EvzTwqt4Cwm<$q`@Qdh)vM02zTrP4amYu(Cy`B6;c&mQMMKEi
z1&ci1hJFcKnsN2E-Sx)%3-=~my>|cF?YQ;V7Fvi$uUQl(^I*{er$EO*1r1{r9>qj1
zl^&;m>2JSX5Ga~ddM>W|{nqbw=Rg0b$lvtsd+qDLVO4S0=aoEu*~h)6(9nC$ZT`E3
zyMFi_53cn6SF4b|Yc9`oizhmBYCkW{dU8hmOrxsfIg7QDHy(K_Zd|AGvhT8Qu9aNT
zGVghXT?|FXtUSCJEW5uw`rvfVXWll$zfV_4`<KUT32(REeEDtrJBzzBXZ9V>^o{-0
z<9sfH*;L5k+@ie>dnOo9KH^hv`RdqZ+4Q1yN4)$Tw#)B&ToO6EZ~5%-a+&3(rJk+D
zGS=x2-^|tzRZicRz^B7m6lPm>d;b*XXah#oYha@@XF!w}E%Vlz^LWDMf^TQ1ubOBa
zZ@@n72)E_qEoljFdvcOuJuk^F=RQ?pGxhqD`|L%_Zl5gZb3S+Irg{7-w{tZIWg>+1
zpPYGeH)Gj;nbIK>CdYlxndNFuOOLM!R=aO}QGB1Hy5qT3?;GC6pK@F;z5ny;Z_ed&
z^8PM+{PNjV+4eavCvm~z^p(Y_n=_T`R9f%vd(C}+!Q=|X^f}jfcCEg6dcC&iuE!;u
zGy9f*n)T<m!?_Y`$#aD#A}xMD^S*jwrv40O??<h3X6}(b`(^s#Je#|Pji!2Oou$7Q
zTKkF5e_5fm{^WlCCqCOJKa^>o)4Dl-Ur6tqpG}q<R8B}PH)W2l(NwuMRpoBsu9d#W
zozIn=H1-p8I2Xb0$*GWjF-|7##LSbI&7d*R{q5C;^s-%lFUT&pHopAPV(m)rd4(q=
zvlqBAorv5b-XUI;HfhF7efPT+yB_<Tn;LPF^99JadfAshmVAEI_jt=Py_E%h$<I-f
z@GAHG-_wf{xYZUMff&sTQSN-M1eDf_#Ln&e8Dv`YjQiPvNsusEGk2oz8gQ66(m6~t
zEN2^~cG(!}%6kc_7Q8mC^y|O<WzVG(JihQOHb*dlW#!a{Jo}R4S7&{g&+KzNIMMfC
z?TWdZe=ql$c>Mmgxq^=mxjoqC?V`5&f32L2<%LVHLqbAASfUtD_A4Ja7r8~eMcg`F
zt#jtTqAR`2t<OD)>6|(TY~IUFX0ra~>)%=UWxoQYn=+Kl@?=fbmu>Z$?AsEUydXu#
zWdn%vCqC0dERHLpX4<wntlNGBc|gK37@EJaWLOqU=W|RbspRt6)z*BLmsLg2p(etn
zIg06xy8A?gUVBY?XL0ummNX78w<c^pAQsQnS*q$;J|`~=cZ4gXpNLH8)^9zsYtj;H
zKl80GD|S_u9#>4?wd9Z`pCxzt{hF0hmY-RR6FesvKhfE6bh;CySebpR#OCgvU5_Ui
z#|B}h`yw%vLUUeT+}*+xGeL<HTK<F;ZQEV2Eye7uhU~ANZ>s4NJVBY-*!_<O*Zx}b
z6Gk<sd*>*o@6$CC?<@g(K@*E-UmZC&)9c#K_Yo(vt{$Ggr%o-<sqD!KLG{#&+hmJ=
z?!T+ZZC~>+f-}}?`}fT<@x_YiCuXka);pU5_Ud*XEP+z$bZ*KF(RCHJlbXto@7+KB
z`22Z#yi!*tFBTOodU7IgWxW33ht&@bC{{k**`9LesdDYZx8*`lcq~6xpItiHKYUWj
z@t4;UtT4UncjD&B!)l&4&uyJ3vL!T|YsvkW_7e`b@3(Hu{5{8PQ|i^<`!?_?aTc}B
zVcqyH=<G^x-usL#&1j#V=_L{BxI6Dr{mJ>u_N$AEiXPu#mOoFm|Ier8MjwA^*Cfii
zOj__H=l#9Cm$qbHj#{4c>vW*`ymvdI)DJKyvy^~Jt?es99=}|defQ7SUgvXJ$|CRX
zE2lqvH-Gun@Tr?ua7C5wP7l0q8oZas>zrGkjAr`$+HH3VkIPP)I(2FZ@A6+yBW}w#
zgNnhePal6z;{X>yd7-;Lo;r2v$whbhss469U7nqpxmfz%SBocSOr2IUE2M{QSHJOS
z)nt(APw#HFcr%MtH(kEwL!(LdHJ`lwf4?o6wCwlO6~Dt5N&A=2$%{L-Q+WZna=v;+
zOkXke|KI!nb*sO<nfUp<y}ae+*3&zpI2F>jDs{bYNGTF?bzHrtTC6?RSo(?1$LUjj
zE@k~L^7*PC4DxiyXJb2CCUCJA?YgNVbg7OYzvX4s(-yK!CuZ_3ozb__^V}khjI8f(
z*5{v@_`mYWIgj}4X%Xif=Uof2P7k#YRXw|2|MsfV8Rw)g|Nm)VmV3AEMc-xJHCcO7
zg4*W16iSWJVwtcxB;#mKPHvHysblokw8cI@`rDs8{ywkMb@|jezCXVjUs_Tce==w4
z^V@Uct6r)`ZqJLoRKw|MUGieWpI71gCus&RJMrY?<k;)We?MJoeXi7z<$@B}_fej%
zDuVCtRllFg#w+FV=u7IR$Ggkl>s^m6pPN^4{qeWlx$3{Sotb>H+-Hi}UiDpl?hLy*
z6w=GS9$uAI^2A3pCTN4hx9MVDdH?03LhX-U@ljAr_xCxyT`2T$8}HKx2b&k|laa4_
zp%`u|CN6&Z#l^*`7Z<s1JvHy8er0%T@drcCRlb*mYpuUt2~K@>X6B`BxzXWY-tB&G
z_uQUO==FtAgMPk!Z-pGs*(6DqL_E=X!51+n-u>L7UlqLFx0BXv+3{vhsF?9w{k$h<
zZU`9*d2E-zy)CzxrRL+Kql+#-ztxree2tmYpL^a+CuUABahBU{X6bz9(A@_XF~!qX
z%~;v@>XgHd-SYEZ1;1?fbbLH(^M^GPHNv{)xNcnC_Dp2?Zc|=G?of&7CudggHoYup
z{N&6&)j3^rR=)D(lq%1@wO#B{h+vV}^1S61*DY+H>$sXsl#tspr}F2V%_T>9EH~$8
zF4sz5#<F(X9-HT9_H7kf+BIRbM(O1bF^@jAF&w_(B&_FQ`S}wsW8M*v;-A;lJWpzs
z?QUK+d%8KxjqPcR0tAc1TJ@?-+IQ@UzN}nxt)18FT*-aM6~CWGNN$NXbUybcdfUr2
z%|~?Za73-DEWN%}^U$7sCq+E1A1kDneLLE<O6#<w`2XmOLW^BlRMU4&_V{}GV#^H0
z^j&v9=Etmhy&x;~CD*Lmd*Xzh&h1f?$*Fmw6Y(!B-Ty@7^L@!n3y!{C^l$FfRqa<z
zgXh>aYMh*@Y3O|J%k}<vWnYgVtEM!i_0xMM80)`elb5#S-p76|Y{CTNP=%zl+}W4(
zbhL`ng3e6Xyk+|2boN=3mV~gL4T)QSKp{PD;#Ot7qBM8;HEWzs-h6lH=A@fj7MHv*
zzI94UQ>&IKaDwsWq_#Or_s`p7b!mypQl$*F&s7K6EI&7`vNfD&TwRqItJ*nt^P4*h
zFR7KUDE%5Z!I~*?y0QKd9?RnG%l25@+M@E3Yu3v>%Wf*9FYCM|%5S-OwR~7;^PI@5
ze2<T|M4sCtWc=jJ52Iq)3*4TU1Woim?P?3uWGG6Tw5)dH1moXTNxrTpW}2@3uw=r9
zQ!}S0wauyAbR~xMtJkC~VUzWrb~QE5nRzI^R_4i>Ut+A`3PoZv)%Hg1f#>#!89zDG
zKXrN(pT6o!HOJ3J`B^Nh<j<a)$=UVon8Ud@Z+#z3RZMT(e&90GA+_|n4#}ctFDH3x
z@3=l`iqC~T=VpuXl%2bi#C`VTiO7tor}Ya@%=~}m?->a-%ikyc>y#F|_fK=}7CX6e
z`8+M-^m9|T<=(DpI{i&Q)n6^QFu?v-;M@haKc7rCN<Ag=eE!MF>e22UbB$j|C2di7
zaz^7^2CMVAMOV+S+RQn5&fd@GtoL<DTBm<oTWSBq=j^Uk3wotYxhyy5TOL`a^hC#O
ztzYe;6OpgZ94c{%c;fSR%Fm6-(_YMtHxgL3RVZa`-M7W77OX5ielBvt-TwT%Cp!D~
zt}lHy_34^x2YEPD8z(ifPP&^tXUogVrKMlh_e}{+jGKRIjZLL}>H(`4Vq#Cq?X|dP
z9TH@-+`J)oN=n0lZ&%NI%sv>r<m`h4|JB!5u2`|cz(C$fLMh<qSKl@#p<_-=+fL7%
zpS0}O<Lx{VJ5<(aX?E0wh?(4edS+ExU{4{_iJ65<9`dhv(&KN#abs8UvUR4PKRx>Y
zYL|2i&ynP*OU+L&__dJb?IdqK!P2)g*P62|ai6mIkXyst%^OO4suTqhnO=EE-d+0g
zYV6FD7g$x91@o4!Udeis|LYI2((B85i=J_5u{$fUGR?W>!zkvgC{W7us{3NT;o~jK
zYFBG{SO%LkMYVq`ZR&ai>Y!ympJ~pbqa?6}C!*z0SI~=g(cA?c62cDWlJ3+j<715G
zP+;Cx@<_h)0{`w`j;0@#8|EBc|NM*u(=|Z{wl`Uve>bd_4-vL!IuZG&>RgXvf-Gao
zfpya;RP1rWAuev7&wRVsEBk-4I4juO$FJM<N-OaHwy&Ol4^B|jX8EJsFz4s-Sv~>{
z4nj;*TNxa=^JZRXe{f^6B-2z*h4eM-91aJ#m^vIc<TliA_;Zqv@kx&<10zR-Cxau$
zGTj3HHtR^m2|Wzf>1%j791dtPbu{gN+pvBAo|9~h;F?eMm;(dTJ|PE*KL>f!>n$d;
zGOZMHI2WXPtb)VgfH+e}^Y$4JU+cy%az4Pz_~Z<)ZC@(`i;Zf7Lq)Urx_bqxS}Z<-
z4(EI(s_?NWJm6$>IiwR-G3%+P2}gw^gXQNYEki{H#>Ff_f?dk)eI9OZZ5RI}a)n*~
z6Z}wOnPspx%OW=h%kC)mnfxqDUJmX$TwGi!7Z<r2mA#2LuaR?euj972H&s+qqf^~)
zn%yi;nEu9kOE{mLox*)tTOCnR_oaSwcLlA_tIdqqKQYBDL+h;6)+yH_->O)z-n%9H
zny1y47q22~*YE$gYfjaxm6K-Au8!f8t(zz6n!w?ppvrV2(rbqV4<iSQ=K{5Z8ag^t
zE-rRIt+{-TlK%cbn>HGCdvT}udhT1Jdvf~O-Pb%nAIn`*nI?TQ>q^ijDYNOvcsDIc
z{OglB;bMT%t83G(N?&=ny0*$#Rz>j1T57QKzn}iM{hQa#gF%|s8@#=~=T5)$_x94{
z)9)@RTl-g~J@@qc{MhxB@5k$L)oXQPcZH;#o1;0`y1dLuF)gp7NQdQ*dc&Nb=jZeY
zG&FECUF~$+oOV{mFgWPa5>Mfqo74NRtc^B*t7Cn-JN4$X^C>@{oqu}bqVlD6mEtG!
zZh77^d8_c%NNS0!dEtX{cfOO~_C_yBd9QXeY*(Pst2B@Oeak)n_bvBct(JSaul~!6
zz(Ac-r%%gzhBhsWP`Nkt<m!!=+)g(Ne3;5m^h`T%k|P6?8b^rOmV0}vtrf%9MwRMp
zvD#XGR5X0a9Iv;1wfpMU<=omDRuny7MK=2DlrZ0-puWkk7_Cotr+hwV8noeY^vf%o
z+UH!$-LZM~v{&iJdL)m}y|nfWo1ynqwa1DAGK^1jEWV|*F|fo41T-yUS+i-1>Y-kF
zd%LB<vsZUdKl$hC`;+^eQ!knBo?qha+!N#Tbcgfx=}%6#O?o=%rh<3d*I)a-WZjOp
z-eR@%=W_eMFIzr(U7E5()A2DgBgYMY2FuO+-9tDSIYOKrVw25^o}9>fs-w*<b9fPK
zEr7PSm$!Ge?belgZ~lL{ZJz4>)9mHVya`XXy-VhO-6X4>dUl)YrCpxZl7*Kfp1U>8
zvU@cp?env<OV_Mf6J<HuIQ`tl><epVs<b*VFkKaLI2R!y(BSZbLq+dj`1Kj8zps>K
zeO?@zfBOFQGn4koo}UnB*}Nuo!>)|ZH8=jOoOAWFljZjBs-CacRC#TFb>N6;M(1pn
zHFFJG9T}LK=4|9pU}y{w4Cp_-<H;oNIo<!Wf2|0v(ck;!5~uUFX<{d=PcQRq?s1!R
z{iRRlodqkuehx3MewH7l(cbrFdf?yV-oLZnz1#C{*Xu0XsjFGuzTJM`?xCUdHRc5l
z97lQ>io`az8OL(8%xtJS@M?DczL$SKACu0vC|6bcy&`z_D?it-8Qn+HJrCB*-1#L{
z@=EHC690!$deJl1YR9R0o1L3_ae0h(b5Xmjwzusr=etWI?`obsqEIUS`sws|z2sv(
zh5tG~H&^ApR4;W<kYs$)vz3vNqh(UVu47t?nXm3&?d-I=y1d1u_Fw+d>`g_tuN$p6
z&5`-?QK6{g;aj0`I^Je=8nw50C%pf<_mZZ2XI5$XaxKSDrD+q4%=^VTtL{B%cbLPW
zkY4!8thm*|g;T{vS23G2(0k6UIUk>f+^kKQ&hygh(uv|zO~+Quo4l>!uuSH~6-#3b
zE1E*=mac4B^NCN=Nvvf13I4__oC@hHjURJxI2=e~dL<J7RCep}d;eRntemX4ylnr4
zN2@Mt=U&_u85CIPQdf16`S{xjp>|Ve$EEZ&F|ahLG|XZ3oPS54p<y{o+>hV+E_=B*
zM?Su0wB`EJOB?Ev{XhSdjM*!eb@0{xlYN(RFD=dW|9LU8{O8PhF#-(@?JN_F`5y{N
zF$r+=HCRpE`Tc?1&FX~hZ?x{K>CWEL-LpXTh>qd<WxY`zm)p+H37%7<z|i<X*x}rv
z`_5?$j2t0~3-tc!-`N{??nU9o&yNbX9u^UG^fsICe|?|!+~^YPvdIkHOiThC`ixI>
z_Uv6;#Nn_&is@CMB=@y*lZt=E6ux=%e+5UB@8<w>&YC?%Z9H!a9~SoCOql4v!1PeL
zVNUD%^bj6K4i&`(at794C%31#+?SvCVMosf?pc0+Ul~fvulv#MWAS@mmVC(RLyZh9
zha4F!x!vcl5MmOzpkPq?Z@tR$%I{0~XIvGO={4EM@3}PZ>w;U0E`2phykWcVx~CI|
z!vP+~Cuexv7dbF6F$xE~KXqqEaxc%zI>+9rOO&h_{ZD$%_uJcj{p>Wowbj>t==n)M
zbK@0gaQMnH!8nYKMM0p7>GrprNzb3z>xh4mU;lO8nUWTD4v&}DR^B^mS$%W<leWZi
z@7-y0n>6Om0T-YrW^z}^a4~Y+aC~rnzP;W|-e`~Ya~yB6F4eZX#Tmm_5`F*GBGpar
zALRYqemSo=lC#~JfvHZ|;oKiJKRXVG0~(E2kDg8m`?va>FT={ZJ6r*)ewG}cy~_Kp
zOhMv3{w3iY@t@Y6?kW`MP-1+d(-oWQC(t3-_*~pZ>2374DR-{bUYfO4Q_xGh(v*GX
zn&k<{y>9Nx?v1f8nY8j#2Qwqb4+jRz&x}Tz3Ji?-9H83f`*i>54{O&KO+BzgV5jDh
zZmVbOX6T83-R)JC@_eUeZ?Yl-qdccVy4@i)Q5J=SX$`xst%*#FewpTAvqxZYNZRYr
ze_vI9Zc2|gygqN~C7xqW3JFXnA`kE?*s>^0kZYX#^ysHIf8tNCXnb~0{pD1Jt7gvY
zKkxf|HDqVu4ckkrJa6CfdiY(Sp@EfUf-(0j2Tp+ohgU3DiqCd^%bECZZu`=@0$%qD
zU9ZsK-xKpAAJ&}Ayw>=9o@A^hOLIdx%LL>1H-sda1U^hSAY5Fp$h>Qg`r27fB+fr-
zH(0so@2Sn3_jv5PXW^eG`>&xejHQKv<&3byxiiM9N-PQw3>z0+VyZ0t7;LoZzUjQw
zPf>IB^u>#wne+7W6L#mkX>*?KoM)(Pz@m`Q#!!^jrW~jM3WhWBpXcS=i%s}5CGDza
zg=#?D(X}gCUtLK%F1FKX&GYZIZ$wrqFf^8MD5Sp(cr3x;pb)^MulHwdNnYuuCtF^;
zs+3*kdaA@GmcezmxyQqE`A_bj-xK^@;Fu!=6QiKRIVL9mC=LgOTBiL~-^C<tKO7Iz
zU+nnHG05W5lz^FClbv23Z>uYRGU3dhSN%orkJfuUFX(GzV5w1QnDdikc8EYjLp4)$
zS^fm`X*SP)9o)P!>`~a7J96{0_FnA`=U=k7<^u1fgYB~J!e51$nF>`K<{aJn`HVD^
zqUwev3HzSp{|#a*x4r*ea*1}tOS$x_rue)c4xeuEPjlRvCU2j#%kPn+!aJ4;n|G{d
zc*fs2L2$<2N9#Ae|G@ZiuCc|0Q^BHZLylKlt_*dJ_e%TgR^)iUFm9Qm8H>V$Neo5L
zgcLw2{GU+3`kYgF)3jxyzI`w+T$;1%&{FGj>pOF9ZVmJLCh|c`eSgDbcBV`rhjW)$
zjwcE<I2f?Z`}c$K<@L-NTX=qix@_$X&)v`8bZ_0K+)Xu?nRdRM6VEbn9w^JHF+TAT
z7CEfIz-Z0!C-0n!<?i#MlWs1^e88$IY#@Eq=isgKjP*5}irW4>KjF@l?#?LC;84VL
zLUJ`TlK_WkWBdKzD`RXPRNa?b94I|!m6F+#+uu_@{`xPx)%)ruPix6ruY)op_7~<R
z*8JRCHRsswczgZw`u~RUpC+;}33&7~6it&e2NlvxN*^9r+fO|Ge}42*zqwY7p#@2|
zPBn$C&#V3U?nUD3BR-+Afq{zoH6L64)LfZ-ZNp*#4u=OWAa{UDPtiv9w0kPa{(o1N
zn!dleKln=Vkts7Y9i{Jm*UG%MV`^#XzI`tYe;$3YeC_J)CIyDZdeCS-sGM5Cv7vZc
z-R>Kf-t%SMoeuajotQa)%Sl#7jvZ<TOw(T~Kbf`p%gsU+mOr3`;yD{sA!IY%Sv%9t
z>D@IS3@sn}pU>ef)!V`UDfsqdqpaVx0$bl}=}&t)``PC?n-v~R7G}~Ea5xv#a5R9!
zL7{?akD|g~xesj(m^SQ*Hm+>}5oI!*jP{%g>2;UX#90&`%sMcs>F1>VU%e&oe66{@
zF^G4<yOpO(`n|XAyLGI7=R@X`+4d1^Px)5oYV2=kU||APd>r$yfXjt#Z|*7N>r|er
z?3*!PetXl?ZxzDDq308KgOc__*Kpy79gK_|6)p^xpE-0A6&V=cbNqRAH#+6Z0r}tG
zxYUD_Qnmc7Qm!VOq}6?O-}(KK{gPsf;|>f=c0vy4YFd1?Kov!!xcI*?tL;{iMKw1V
zjdVknJ$@;qduy*FS9zWC|GH;$ceNYvF$r*VFcgUiR9tdmV0tM$WB)VTn|pRU{3`$U
z?Uv?xms6{Em<q;*S;SjyJ25rUcK>|4ioO;GmKw!|IX|0bst7bRcrv*zW&QN((ejj(
z{n<WkwtCa9Gd(T+vnt`4&U`g{iK5L<OwNDpk!)0CU^Hf#U~DYY$;8O<!{<Tg&ixY@
zW6w<v^DXL0|K>gC5B?&Kxv@WNudZwLHVON!FQ*%*3jCbtKljp^%xjM4-qv>V&sAh)
zQFt(oq3GE(h2zc)OpO9JBI9(<pZmYHN6O-0M4i3M%3Vo&&;33Z9{luJ;&+eLYPr1|
zl>}H69>_92Ib*%erHz5bMr}dd(<?fg*Or_<S3LJ*w&SX`4(mUy*FQPk_IKCQ`+mFE
zZWH=p%c79L$@t{VB)0%I7KI5`jayZJe){+6<vMwf*Ha~yS}E(l3gDl&cg6kCONSc{
zEVGh7=FGtKQqbYtrN_qt1sWPuS?0xm=-85fGq@=FyXR^p{T7Y2PX8(=oUGz1TQg<t
z?rSH$I;-#0>;zR+^FdV=v$`y(syguMQL2&l|4_+`-`h3W%`}}f)z?2{2rjMN@kqZ2
zT$SGbr*>Ld3{)F1J~@+oprxIGWsmxTb+)H-Za7sv<+<dS=GCx8c$vk8+}hRM(@yMb
z-o5jhj_W&~_6A;-3C7a99C!s98nls{2l~CzCTmTnMSomr+PIMM<+YvvFT~B<`^LZM
z@P^$>V>kP?ty1J+QFtKE_~eZKr6-M`&h3JE)!Pb>OG#e(+a&dQiMGu=v3TbXC9h)l
z<ZUa-ygT{%EY_<gpPUY;GCnz@>cH2|!16{B)ap@PUTOYv-V>?SB`(L?ZY~Y_zUxtH
zP{=MnHJ;tq+b*qSWD@XbW+<A*X2RFV!16|A!`3Yh%j!N&C@yw5t;HYX>spXAXRhDh
z-KV-;@3I&?eDiyW?fVN`Huhd&Vbo<hF;h&9&5?mAQ4v%*=tNk1pL<!{dgXM+gDGp3
z%@SwC`+olM?u6pcil;o1w;nr5PO;YExxCPg!$E<Q@k!58Mn(>oz61Z)R`_z2ub*yQ
z6(b{Q=xw4ob3yH{Z?P}$ESg=j{{7U6uhoT{9PV%^q!+%n_}uE?!*S+E(W*DLJKVp?
z-O$~AYP;uYwYMo5>%EsI{ynx(Js|YLEVC>{Uum<++HA9{&u6?)G-TvxnZZyb_UKul
z6^lZEaijRZf6_O1RWCNly`yE66jsWf`~KhDOZ!~KL2coUG71ch9Rd#LlrDKJV`StA
z@ps^inrkIll=Yokxjh5Y@@6sYj!B;xaEDVNy)d5fj69Q}!UDg-cXk`R+e&0B->5Yj
z_(#Y7*$-*ut1dS>e8(fJ-9d=ygrqk!lRyV6W9j4rr*h*@FVIu=t2pB}gJH$-RGphY
z7JkVzZ|MGi_=-hVs{<Fygv}xeh9XP?9OjH)XY|hWj-Tcj+ooO{u=cER2>*=OO@-@v
z?4Cx+O;0Rdyn>fWk5eICkFm&tML|H1>6PQw_d35Po1DndshoT6N|ku}1>=zSr_S8p
z62K>Gsj&E0{9)#_<~)v{iVqCoY5I-If_q1QemC8`B>%YalJIj1*4-i#uey4t9DU~h
zbj>{RPwRZLce^AC7<e;S8lRq=A<*E^!I5)&v!~skZ@xDJ_xV<BlUCWe_IE^Z?ZLjO
zo6>FzW!_yfx5py0XG`|Ale2DVurLXHn8r}_3^Z`Vz@(_KVOPb>Gx2}ty|E5ai{1R&
zbNib;2Uf+!Ptuq6n&zF>tRs2t_3umCC%x}5Yfm^L(9rOlWrFc}!O09v0vz1n(&6W?
zotrYRN1e>Aom7%@H8{%j<i|aK=00`ZRQX&=^Tx-uC0RF}{a%~JRIzAu%=4eYBGBN_
z%QC@OjDtmip;3#aY?}D#O=sty{B(By>4}Tom&AV7n{;=}<Sm)E4xT8!bfx&zw)c0H
zRIANTZoAYwDbU>cSE-HfuX3B%DAP=Ddj*EZ8K7aheGD_~z{zUSC8o&WN%~pgxu4gS
zUfNSAojLEO+a{^4Q`WSdox9ui>TfIQ6q6}EyS{>I{h+`=MfX0rY0mC^rw$(eo$`O7
zk*0NoRw{d$=gWO>gLc*LF3Guh_onRYnRC@G&lYDggIt^payytM!T}nGy3)&nWf*Gp
z{(Bm)r{_(}TV66Hj(L~I;a|Nfn;nBQudP_R<y@Bf&B(WNxqn-4c`?h$t<8ynsZhCL
z&e64x&xkV#eCRpw_xpYQ;AK9A|7O}$Zc4A@;9=x&naxln_V%BVHHX6iccv3F`!{&9
zHgX6%oKw0Jvy6?A<A*nc<>%?<Hx&dznNCE8SfAdbz`%H)Qz3nyvbrLRk|%>@cS`h3
z5f%jj7RDz!9Iu|VIDFwyNWb`6)3}L&g-NMl4lB#NEdm`9j8AlucvGze8XO8(E=@Hq
zEq|`PeveY=>uXa5mEBGx_uHy{eRZ|?-LEz8o*z6r;fz2-gFMRwV|$Is;5^k>@+9Zm
zo0~<~W6Mt-YUN&9_xD%P&D7~9XBZ|g$-chs>c5&tM>;nqoK#U1NM||`IcN9zJK#~k
zOA|MTt`0jn&$c?{!~{h@-S2nH=bPl;yCb;eRh?btC6&dd!aPg@8~PcF(&GJBa4-pQ
zXf~ESS@S6*{p>7N``<Uur`+39Icf6e_2TQ)e^+`K2AZ)b1aLDx=}~23<Zw73z-V5y
zt>~$jq;1ufGc%3dca^*>TC*s{-aN!8QGtPxn^PfO%&c{i0z+egP=RuKzx}@*BI4qw
z_3MA0eyleC&GUyUE>{H_8u%EW__PZpD=;u}WC#=}r+c00le4Y5^U`Ok(arOwrxH0>
z6a<2pPDFZ0yWbROXsBhmbK>UR^831}si}*~PHELo`g>>J&0s+mg@i7KqO>lRLIsA#
z8^Q(3=^NYG88{psNH9L>Im!TW@RKtF9)}zhp0P~WJVT#h89yV(4CRJ7Gm|}4IF8I@
zC=z@A&bS=Z0cCu0M#HbkQDGa)gv~d$&Fge#U|Plrnm-ZZXZonpFz4vz_h+P-1U@u0
ztOHLVY^wN}1WH!yp#nlo0vy(iPjueME~(>iI3UGjRkZAg&tA@iXJ=*_WnIz8&RQ<O
z;c!5X>BLOiy$ggm92B0gWKA|cqVt4zSq;YzP}_~uBvXN*@rCdT&vOEsUwof0>2yGZ
z@yQtx&qodnOdHiF_?#2id_$j?!9hWlY2N9Z7Zy4%O+P>H)1z+vWg!v1$5(zoFKbf~
zAZ4C6C&-7*nSn{*!z72&qP7{8o^x&pbf_`vT3Wx~^ZCi*emg6-2X~6kPp$m?EK^X@
zFsbFsi;K?xejK;Y>h<SY^>WAi+V|C<vEiLRpUv*?-kEYzXy?~!(N9;e-#1Ckch-p?
zA0Iz0-~T(-t5TAOk;6eDmMQcpkD>Q;mE(>I_gJ<(d2?Z*b5Kx_(p;<3NxrkqJj=?q
znPgm0Fevg8tN(FWex5@5-{0S-pVr$AnuspDxvO+_@atCbIEje4Ds2obk6agQn!_t;
z)Uw;?@zuICZpLJmEl+$>Z||$!t(@w0>DHFa;B3aM^xPjG9-iBA+220a?(dh&kNX05
z=l}fqGbk=jkC~mXr0m+SudkoKIiYHucesuB(%R_l!bR)j_TI8Aoi%f&CbziW6h>w?
z8S`ap*7(da%YDTW?dR85^ZV`gqE9E)y<J^f|GdqwpDh3X$MJKSL8aBdtKaWcSD#xl
zY0v+Ezt0)gfYR&>A&(O`uZG9#f-YX|KYfAqxCMv90}G~kCua)HS9b3^;jO<{<-E=3
zGn_?X%l%|4zuzsFRs6mF|1bT>okdIk{C!_v-m-Gp<9)y1SzG@9^ZDtj)$1m83aeX9
zUKzfA-skt(>vp<bTNnHKiS^Sd!F|44)GVw2d_4YivcH|+SNm19zrRgAbjay>$%<d{
z;i|cC2hEf^Uwo!yr6C`azzVMe#+KeHRS#RmpR8OyZ&ld2eLtT`r%(5Ko3irmy1&1_
zd*9tvI@fu!?DD$lZ?-&pc)0!PMR)nqb;i;r853+i9uYqGHE-+5nN>mCPc2u;Ki((%
z=>Rjo%xzQ9YN4&G-3oVnK4)DyVd+-cMH_Yq2K;YaQFi7^{T<)gX1aNo)AtE9G$=BK
zornw!oVX?be%!ZrceNuUBQsA&Hg#ILR^G4ueiC#E<m#}sLaMo1@22TS&#{^6pS^1C
z=2Jz>{O;TRc+h;VV)jv=vs1f!dH;$EuraZ5sQi-))%dz!cF(Mn3?WVq3d>k*rW=2a
zpO|I-x?6vr1>Z)iwKH#6uJ$`ONp^WtOu<3cqLZrAPt^Z8Uayin+sbs+I>+cO8537X
zvr3ueyzrP|b$6!ExsY>(kB^<S{eDN7%hLHm(y#E-Th=O15ZHWVYubsKrMin0I9j?I
zrp?*8GdeideVJVC#)N}R&#N}QySv*PG&*f&>0LHwQT1hC^UhtC4tHG^l<m5*(tGRW
zrRz+Cm;bub%l*pfe{|l?qc?T4udbTPBW>nW_4So1zwMU^%IU9mnTGm5ox9oP`IVK2
z4BpI9eU-|t8&Y2^B5lYt_5Z&s^$c2u&gYb_r7UA+oX?qYa^~#kb2J%$UF@$@0nN04
zmT{z=pZE3-OTg?os@8rV1IyD_Ni$8;kAG)#@A2F1_siZLnq!dI^x4L&^ljApdwb_*
zAJ^OaC5U~cRP|wA^Anp=PtR$;zUuyJYmdbW>2DVN{1fhM#qnpd!`1%=tKQz7)zHkq
zqOic(!TVeZlc8D#)0+jX_nEai_#0pSyEkF;k1z9QIvwz4TKB{!cK=d8>9D^gFD^Jv
z(~EtTdiRK*6N6)Nro(}1rj!59O)!3cb21}Sp~3^Nb61!%ueN><wU?BXJXacD)1=t2
zfc5a)H6IlMF5ed~66-fTpriP}lrg;MS!v-B|M?6})9kGKS{#g+w*HM(OkX3*;c$S3
z@yQt$SMTI2-&~WNZ@xO+<6prUQ~7l2r0LVe?{O{(*m>^0aM3iT08ldkwBAoJUdAe3
z!%=C)pIx&RUMrMWu}qoaJKxS$tZCV`RaG;8t_WN_>5$X8OVRE!tc)Bo{tTAg2fH>z
zUeKzad31s3#95Ex|Nja<dH#I)5gomzuxRFqn{RP^tmbfVXlE!|=B&w-oFi(o@64gy
zJEqK7`J7iN<Nqtg*Oq%;&pf4=Ak6sW%(A?SnC{-DW7B6I_x)~R(^O`YaBcH(?!FHK
z9h{99PDdstE-ZO>$5QQWk-hJ2mR^11@3y>FOijnmMPA6B@JFCSit+rDoO^pJjcR@r
zv|oR@eEz#VS|`6O|HOU9_jq5C&1@E4%kE=d&KLe&biY<?a?N;igTn=uEl+gjmS@Y^
zR)t*Qz8qioGqv*D&Gg!dn^nE1omjj5o>qMA*Qq9%mt2nZ%j@s|efND+T25+RG{|=C
z>F!akiWw&&qqy6f6&M<YIWkVpoTT&BjN$8~kG`|bEdBrIUs%v6c1}F5!f{^JE6w;P
zYwG|112;<EZojV=y*;lqYww3c+*@DuJXVkfx$fGj?%fME&Re{7-b`MWCZz?c>8p0U
zy}jK!Xqn1d-`QrjzN$^$oWJj<Ti&jhYU$InFD!8EJ21EA(@DQO;_)?s@AiE5)6~|U
zY*+gW+**1*r?~I)?(_ElW7h5eR~5OxZts_Occb_H`<1<N#fpNu=}2XJ`24QRTQY;)
zZxj_3E&25F!v4Q+^FKY7{~y68XQKgHM)3V!wZEU$OO?w1f4|R7Ui6PM>cS7B?t5I_
zn?df~K5wQVOOt{?#~e+;Af}S9SHn;Dn%~nnZ}Yk5^BfgbUtVdmlCnK~@^)`dTx{mI
z)5zcdciWoS-C^I}-d2y@T~_KByDE5^aoU*)o|DyNCvVPPxAU0f@<%JDZ&J52J$dtS
zzrEi4nopg@Yd!Aot98AbupnvmttnfMJ``TE;A5)TSy8cY$7EagVq0Tvjvu}X0+zwM
zgS<{n;kdds`g&#ME~`|~)pDm@#bZlknt7$oJhtcEeR80Y*{J$k&d#UPqL(aK;PC3o
z%HlhGGpsx~?=J4Qdli$utnBf;ibtF;FD`E1%sf5kxzEX)kB)Y`zWs1@b+~`on;VM!
zHXjabjNPmmyzIwa;lS%ZR?a;<Yo1HqE)CTTP~fG$yR&nd^L2@SedGAT-?Mc3nvPX{
zEA5=f!!%J<pkvO;xw@)JuS8NF6(5sKKf!B$M?qNKZ_55ZPxU`taOUq6+AO9UWnrCJ
z{r%n4%*)Fxg{E5Q-Ml+1EB)QR-|r@au9^#58}-y9IxK7&=#rsZ+w<$^TnU|OT>2~Q
z?X{I39Xn<|61?I!P3Ybc&7}QdHt}h76ZzEq@38cKl{sUj44U9RP;6QKbZYpVyKD6K
z{dgo{T^f76g;Tii?VOd?)>qHnEIx0mzI<-ktm53;(fNB-#p7!>u8H36SM~MP(-SkT
z{;pv0I~nEXc8t?Hed_GRWsk3zW^{|`3Y9Kyu!>z(cH+v*bEksBBhQ7beSEBUa`n5N
z>H(JAUKb{8Uh%i`w&8pWPN|}_<FgiXakR{Z)ECA7{`@?*(rfGOr7Avi7lo8pt>XT>
ze)l`8=a2ra3|`(Pw0Np^xL0N6&f>!d&&)J_dZ+k&DU07-jRjA9IA`t(%RMv0@SN<-
z>}zW#PE>Zc+HLD~>`LDpE7cWG{9<3M_iJ2rcT?EfsFNEK56hekwl4BIch3HQ&GQ|n
zil${Ovsx<g<Xq$;zWwDFCT!mD-|}{JeGCh)<>qqUAW4=(E)L%3E>)h3WNH#pC|$Vk
z7H9BsjjN@}uUt>Qs$Y}8?`PWcywi=$?58IC+r9h~Q#-HjS7zmphwXD^jlS;Lq!+vE
zMEd+%wO%RHNut_eCvvyneRgMS<o>!^_iIyrtjs=oCGU>Ae67mw@9)dsJqfwq7hCmm
zso$BWr>9%*&O1F#ck;1bY3u#r{KvLNp8Ipp(fQn=FUzI(MLkx?I1%~dnyRSt0XL?o
zqO|0Pg{w}kmo<5!<F{IC#!k1`3llacToa8{6fniCaimPc8qXc)cXU3-lv|iH$vyJI
zgv~c}lGV5v{W*6`GXCliRk}9(YX2@XpV;q5kLxh5XXUjtzOBDVRb3#|(fM4&SAm8G
zPNsEF&bTlh@#t-s-qbc{={0V*-O2*4j?U-qtmW)$V_^9Qnt6NZ^#K&)0(xx|rpu%`
z2A5BWulxD*c&WqQFhARW%d5Y?J2&^`RQvorAKi4~IK@Aydj1sH(8N%b<|-Yef2dpi
zmvZ{b?~TfLggG`ZP%S%^wRnMChHd4iC%4)_H3|dMn>nquyfdb0UjA6}qe#l5J?P8v
zme31VRzI{Vd!teP?TzQHC2418oqTq7_S|&!PmSRExnZuctk<+YL*3wIk6$J@pDWQg
zn(Pz7x^~-L<Fd1lHrCBlW$_VmIOlWei{-S;nMShy?ChX=%P#qlMuzFzc^k9E=5Iai
zqnI!WyzuM%MxWDDURGFHOfZ)9`Y0vr=IFhx`q;Y2J=gR$w>D@potUXPiSY=;G6hGF
zW%)igSk~?WTedxKrXh5Z3Xeh`#4=8hW%H5`sj2&wsxvSsFnGE+hGc}6pTA++{d`yI
zH30)h21{c{!vzw{QcsoG$m)QWi2mr~oV+0Po^A4VoqgHUW;#PE{i6*@kg(aHkZv{Q
zk*%6f)3K_)?@_7W1PojmER9`_8Z01&sMO4S8Ia_o)wJy1_tp2_OCNFHYq}tKx!<PD
z%WC)71YDR;aDs=!obGsBHcGXc#~1Yk)Dab7ly%hB(3k*PUUp++vTgIW)bDIJx1~>>
zG9`DaZ0hZl^Nq2a-d~8btoYGTQ~qT`&5u(`l7(Ma5?mO=(#F7Y$e+QIJCff#?@q;<
zN9$sDpK9Zk?)&>cc2|jKrP;E_OQRTyrfF@g=wI)7fs30v^~;NkMW-~EpE#|*KZhqI
zFtc%u=E><>Rex@(yl$0wZ%41m`5fiQ-YBoI!_O}5aGYkcX1*q`k<_VGi}ata-pZYl
z(7*7Kb@~PwtD*otxqJG*`QJ|6)VwsPEMfYTcenMH{@(6=eA?Zm?q&17oH;jn&a<7z
zUa1POC<xRsorvtH`tfG-`BVONpM*1SY*-ll_2J=m(7?mF|Fs_;I97f-ss8ksbpDlj
z6JJ)`>eS|SId^HH*!$#F!X~AR`-C<x^`7oES<QFOb<L}5qs?;ztnsd#QR&^CbUOXh
z*=OgUJn38ReV=c+y6pG66P-8Jz8BNHx!ZHw)VwJ@yCg5If1G(~|KpdSNz*N_pE+<i
zC`hqP*evihF!ubUxpUukL|p9`+BRv@q$%r)4Q2IZr<{nix?-_x(}J(>?%rnHly!Ag
zazb4F-_o6ry0nAXmX;m2i?<IqdmE*_bFKfRzO_|etJN%T6_z|*lXU=dpzY4hP|zBe
zBa<15#5V2Ip84}^ex35ur%#V-g<G?h-z}Z)Gtb8I>CG8^)3X>)M6Nm_F<)T;i!WzH
zs;2j!ygxraYR<hC6T8Rf+4?&FZ?amG*WLWPBzjiTly!4L-@W=Zspe+DrWhmXl#?6c
z?oW@){e8KF!$Bd9Wy0nOrZI2Jw&var<CC!{So5i*?5q&`?7rz*eou5llY0CG0<O>K
zm9g9uWqJD4sY#bEU5fJ0UB&&|9(B}m2UCvIIU!b&HxZiI+jJ*h^SyPlWAZTzbD<B7
z3@nd8MOxx~?e}FnZ<>1h+%4G?GC?VQ<%Oi2R73BW<Y_%#xy#M$qrPtYQ+rnD<?W9=
zFQ*!x;E0)AG)*I;^rBGY#-G8KtDm<P<-Parx#9^L+Q?%%G4t-<mD_fm+8qn3As#(?
zG-aLXYN;!5qt_@ckegn3Qgyn8`2Xu)c7D5+{dDQ{IIF}H*Df(trr$KloN&?Olhs_!
zUTG6kwrkv$!ADo_w*73bJH^@k+vyem9xt^mFPC~P*{G19%=qNYv2__&mMnR8kX?St
z{QrNRXU1lSnYO;HkP0qnQ%K*nL}EF|3idPmem<Lh4pb%|E4&^Trn<y0chzpQtDk=h
z%~xgpociq2^wfaq4=>$q&fd9a(q@;xue+w7oYY&K`bG2lsRefub+|Yj6c({eFg`7{
zyY_5$l<3r{@23}Dx7Vnz{r7y*)g>FR8JhAM$@*_!aR1Ny)t^oz*H87dmsKzSdz`<7
zzx&7zGu!n&M{JsA9l5yJz29@zB-5{Xr&rCFG)_D5bb9=|KR^D5?NQU-UaQ-@t7pPA
zIooxIvMqx@-#}TLlgi7w_1_6@Mtx3&^temD%G)Mwo^-X|_wk7Wxlc#7{7G)TU*%gP
zCp+P8_8f)uXV-F^t_WFYp)b?fbnN+*ooi3<TLzo1dcH*Wo`HLmNtR|f&%6iQa{AgC
zSag&d=0skb&@w0WIp5YbMyCv27c5C=R7hWzF;8OoqD7B($ZlQ!{Et^(h|JW3vFE?*
zy|h>Ut(xB1Sp%B3ToD}p@9mb`HuI%96&M)*b10<$+j#9%NaVR&3K>yn4w+<BA7pYo
z_viJE`S$fOVrQeZg#Z0b->335`rMTz`)*nIzw%&hdgk5k$;4O>(pzxtT+^J)#avGv
zo}AggZDsJx-+b>srykcaT&?iL=V_RA#>}tAmPcRS|D+@gS|B;6vdjGI1IR*MmZE3=
z+piq`-@5zyX-QkXZ|V>2H?ydwYyDlGeShjX-Cc!~8`jIJOS0@yY?xDd*!1m+6Op%G
zI29@sJ*%C4<*||2nz^dazwA78x%>a>*`5=MmgNckfKK$tZGQOINSPUng}K|WFsCiA
z`!u2F#c${Ha?=AZ^E6E{4xL_g=2*M-KPBOF`}*0hR_(sh!N=&&sgOSJk*}>q(KM^g
z2C|KFDsQh{`MF-z^6c*FPYscCPc=_74qaRokQ?~2YNo*(xw(@hnHf1EJQyrDuhBd)
zGnLmchOa11B4cU6tJs;+<!dG?-qru0=czj}cY<-~qerEimwLaRx2M~9!&HYPjvdMk
zb9O#X|C90Lj789DCZ+UyTas_j^Zj(^Py5rRe~)|jX&Yb7%AIKZRqx!*)BjY=d3HzN
zGJQSem5BsDBL|BQgC+M4#?Z^k>C;S)6j+{^nYiFT&+>Wc({65P{c=k3i7(UH728hC
z)G9B%D0H*D!2G=Z<u|g26`-Bo4vwO<NN1ku&2uE#R;ethn0e+@{neeE3NQM7i<U*K
zn9Zzr=6B<lPY+bzJ8o99XIbO}^5JfT4_9>9?0+Dbd4JP#&;mibiY~$2$(uo|1YfT+
zE&6-GQ}bNQLxBc|2`m#fE4&P!EN!`Yjbhtr$8%?xVxuSNzt^9ycldW)s#(FTi#d~x
zO?!80FFWn}cikkpo0Xa8x<IQX_Jd~Sh1aXf!`wNOb=5l4>}fZ{c10@5nVq@`Dw;R1
zpOJn3jGpZx#{<DkCuT0M2<Gpbv-F&fkGn$pvt3&^?}?Z<_kXseX+hJ@1$xRiHPW-Z
z-q)Ch=E;=xZ^^oJ?J19?43ofzW`?3?>`z6C6w);ha6Jroawg_7VwKNK?pH>W))sAB
zQ?T!e$?F5f=VQK2KdcCyB%M_`!PqxIQMPT)%<MbDh-E%Apqh{t`J9#n&5}J}XMA#o
z|CHtv&@u(lLkmyLoViSNs@RFu-Sa0+{JYLj_(Fh4^iv<-{Bxf&KQ$|wd;5Kkao(&7
znwAx1d~!y6Q|2+(bC+1eCmo((Y}`9*KX`Wkz^dR`S!rgcXIgE&xzTkU&+DJH#=Z(%
zED8@K8K0an-tv;w?cAluV$&8+FgBiBRroM}Y4PgGs>@x&jTw1eH%6Z8D$Ad6Xzu+J
z`{!3KUwBi9jY;4`7emoA(VHfZmC`etxKAdYm^st!FLb$r_ud}1G$yI8q|I|`kyiW|
zm_#3PU|@<9bU3$1X?9x76CK|IMN1yb;`=LfcblJ(_MW%-*}=_I(^EFjS!s2ZIa6Q0
zq`726&hd+XS1B;DEOG^<ulca_^-S&s(n5^y2YK8##Gc!C=R@O@t@1j}MQ<zrbUYLj
z;A5Jo04fySo}Ag#CZWe}S<GMZt!w|OeTUhXl%Gq0Y6}ys)tvcN`Xp=Zamjg>4;2^~
zC$mg2o@~N<#OWN5!xjscqG$UoUrqJBdA4Y&^J%$v&60fyyXWM>SN<HXRhMD$5q3D|
zBU~!UZ&}QF;nU%X#@~6Xem!Pi62Cn0(xpS;f;aWjcc0pR@{=$3lV!zq5BCYWG%&E(
zC^yWhY%|+?;6!BAokK+;MbGvH@1Je#dG1|tYl>OME4vvFIkug?xzD-!>Ex-#Pwd_8
zk}96HG&8V3`U55c+?K`+7as|nnECse&7XVzPaiQ}kNz&fYifM^sgJGS<GbtUPv5=&
z|BT;m0*s(}G=`#QlDEDvDW|_I<USpEV&-o@+aKrrpDg+2?DFbLq5PSGmPxj=Q-0q&
zdudsw`^mg(CkslLA8KhmxxKdg>GQ?&Oayua1R5H`Stb~-Ke0?Q{3Ozj7pt=xGHKe3
zPtMe)%gv~q{9D1W|3mjh|KoEQF1I(_1=YU4Cf~3OPAz?B9kwazX1V*U)Dupjd_~Xp
z#lD%dGvUO!nc!uIu$pJe1Y^~-R_!?V3tLvSrVA83+ZP^FJk8E|9m+Z((<0R;Z=NJh
zUXt@Xip94%az>j%`n%mn@6LK@{3P!z(yE?l<?vL?;KPC@ycvp8O>=hUU$dWAY!(t_
zmiZhU#`o9mT)ih^TK=r_#pigw&n*xz@MW+x_Pvt2XH`+#f}Jc`Hy@su`MV9YR7mm@
z%6g$_<?zy?wgs6D+$RN|oT*)0u|4e<Pv!QsUvDggnCgTa&iz@kcB1yoIf@xlADj$W
zEQ{|iQDyzCTK{^w(T>+Y!D;Dt=2?C78imTY?57vpNo-5^v*2(zpu+g%jEXPw#;i%k
zEN2-WG&n1yzmwjO`kk#Z`DC%tp7)_(t-I%(jWvwb1Lc&Ai&sCK;bdpzn4!`zXXcVj
zg|OVBwgr+6G6@_{&hY$+-&@_?Bljcub=*Tx20NYsTZm_HnFX}8be^EYIUDEMaS5`P
z!4ti?#7_LX<aF}w|HEH|3PTiFCKwyvh>!UZ{qoMMl#?5C*iWQcYTrVvD@vQ?Aj08r
zz?kX8%=C=Q+-sE6ZQ_(|x0%Vb&YAi0&>z$HC!gJAy|jOG>~+7JI_ammo_j;r9G*34
z)DUQBNC(ZJUsxu-1GJs((&H>p+MMYpf>!L!ewu^3(0iJ9*5qL86N4vbViwPv@ta%n
z!c&{ft18E10;W&QnYwxIQ`b*lk5v}E{=gn{Zo*+j21a`hh4i`rKSlQQmfnnE9PLW!
z&t`qQxN7^-jPqiv{c>+<rN5ez=C_#-)Hpt4+TelY%o*k^OOEU6xGSbVv%;uCw9@fZ
zARqG1iX^laXcVPw%X}5;ch=~(*Y-Cyn;S3pq%EGa7P0V1_iSXL1dGB0bH*oU@*iz|
zvRWrS=1+cPG0&9dIg$+#nn!L;s;R91S&}X1k-d1%O7`<{SKe#AOzb=`E0)ov*};TU
zA${6cQ^oYTzx$>h5?$Z@t2}JA+_X?n%a@=9imKE9>_A#_dxqWLa8Yhi*oNe#Id`|Z
zt&_LXJUY`rhDm^95<`)g%HOOa^(Q`mxePb2v1B{tc#g+mjZjkQN28fj|F7zKA^nGW
zX71$8{NaCG!3~0}+xKlMl$;ot;0a1KeK&WyciQE?OAF7x_|jA`Wh=`B<NT<_@P$gB
z)Ed)5W<SY+Hbbt@%KX-sD6pZEp(rib+(l($@wB<tA-A6JZCzt^s?eLkve<jWugBZp
z-c&h%wmw4dg?*fqdB!VWq23kSPTc(GT%G!Dll@8F!|$e*owdpgIS1<1s4<<G>3i4V
zoX+dEpG6alKl=y^UM)Uv_AWx%c~|^{*hx`Jx0TYNYp6fPY(5ai)T#KOkD=&U-~5k@
zZ(17nU0Ky|V&?X@Gpzn!pLBW8>=OPLQrgl_a$-LkX==r)3%A{Ut(&vR8OhU6bZqky
zEx9Z|Zwrq0=1zH~&TnbXzJ=#O<7&s#H`jei3N&Z*vG{G5dEVM&Z)}cBJ9L%%GGQr8
z@AjyQKF4!=-d~;6^m9|fTOG^g=S73`7dL+A)K29HI=9cd$iptq(z)&1jsUi&e4k72
zsWdaNoDp(3cji-xEU#s8?}b+kCuZ8e{kdOsz30PsUyI!OyZ?IrIIrV)>L#=iee(OS
zxR<Zzc(O5a%usKbGc)~_mQv9(uK8ctmDB%i%{VqaIQ9O+T2KkKPnFjTT(PEHJ3mF6
z?e^z+f%g|o=3o+30adIau!_}~XUnvLS3lE5gWTE5EYD9r;&|d_xb$;R*_96})Ap6j
zP!agh&QSD>KmD!F)Tchb71-Lk96S#)oqT8Nck9cOFO%hagPY2hJX)b|ol$ps<}Jh1
zD}u!hKPM|rWQHzqTswKyr`NMfj=3kE5x)HC=^tb3Q=WIDT@tOLiXF~vF_4sA0$=I$
z@})0R-N~7|e$;<#v%It@(AzInDq5ALslk?If^l`#!;nQ!bn@muy`MAT;q-f|mFlGv
zyZ4vR>e=<c<fQe=>?ejBil60oU-e~zcIrO7F_f-5F|#V>*rZ;0ulZ%nJAT~1uj*pP
z@xzV5@-we#XO@yd!2^e%zh1BJ=an=_Xy}nNcGKJcrzr5hxje@Vg#~h(4U1o%Hd=AI
zz+W!p_0~Bn+0W?Rv<P>bU!>cA+F+wX2P305D8rhm<)!-0G;)2n|Np<N<!hh#fI6El
zx_R1%|I}P<&blA@O+9nM#fVQ<b2Goh&WSrYvno98-?G-C7bh4cul|>kGsv!s6cA(z
z6LdJYCd<91J8*Ye*7r5r`X_DX6jlR`^M>6HsN-*x;#^T)_^%deKtNX?ocUnG0eiGB
zdraqGV*JgikpAtvhM8!X?bgV<NB)1W|1WOXeYWdE-6GJI0{;EA!DUnOC(nD!=9%}}
zZr!9=Q^4)}vxr?lnb+4$UVY0#f=PgbpYe%~&EBq>rKWRlg-muoqqLueY4!uq8pryt
z)tlZ2%q%(kPW|qt&zDvmZ2^~rysD>FH#<(_dHuEarRW9kJC~UbI0`g02r`|RDcHke
z=(u26@a*sRs@L0mx!|mJNr0KjlM}Qd;QaOTQ+;<|^U8ZYc}eo>d6!ms_L*%gd76`6
zIWgznPB*Ty8tu7J-zHq%#XDUtlWS4gMxGW1mNlvkb0Tlgst}v@=1Ll3kD<eXY$n{J
zUjdJcFO@v?v0a|?`<`ylrt---+IKZ1OO2PrAHTe${`lpkp_8}8`S<@2XlQr}YX3-!
zZ2xpccJ`|;X^$3{ow--_S{FPg$>Z34yNjc4pX*ZAP0Li`udKWEEcW%1%ExAxY&Q3%
zm}Y54^3Jv{uQpqAKSC<SWJ;)O{3-KjyXljy=cw&1W;?x5nf>X5hqasj2h5xzt21>I
z^X?@j_scZz?vJ(F_U7H2zORtc!Gr!&xD*%|vpE#fuWe~^5@|fO+)ViT3{At`uU4%V
zFqzgN$^u%S{K~Cq(x$bW7U#@<W4Xcmo9r!Zc7FK@%m3fM?y9Bb^6JXO+5h%$ytJWC
z`DD(8kXfc#nrk=T@+=Fhetzg5pYEdn6H>Kjxe5w!Xfi(0S<>5Gbo14fw5!Kc6*2<f
zRg^q4tNBqd(>#CPobr2>M&<AByzuFn3E5A1uI8w(kt|cc{lAK>{ihu+l)Nxh^I$p=
zIpNDm-o_UK4(AqKJ{@s}#j)FUwzIY86P+z<7Z-3ufO-V0WJMM`GdNyecw~kGheEnz
zfVw)%B6kMM?l}KsLuD3Sv0T2pDib$f*xJ*im;hRYdTLw16h1~4-*%y7_>%GtJ;o<G
zyY}`TJtMFsVvD88WS4VJm$kTB8?LfUFkXGzxzLJ5z(m%o=Oefum|EnZ@QY=_<`>^B
z#Ee}oBwb8<t=>5&^1c#Ri-QJ-Li)lCVb@mz4i`!^439zf>38xr{t$3D_vrHLh%+J}
zPpqA*Qk0gPt--^zP^n=~>#A6h)euie=yNEf#|5aWu{;8KBK~}`VKT@Q+wvxX)-o(q
z;AjEW$)~mjZh?9N(i2b-V|nDwVA-AjKH0DstRZa@Xyf++0gjeg3`JtEz6I`qX%KKY
z=M$j9#-h+LXCqsZBLm1m%=1->#45No7{DDS*G0WPPVEd$%bYt6^EedJ^IjC{uqaFb
zX%I_tWpL~c-Ca9hr|6k=kuvA@^_6Em<`}SLUjBT_V4CdDSra#(*gk_ZOtoRbMzuXk
z4Rb18uif6^b<Qj7|JB<nVs7W(nfgsI=9X4gIx%x@?Lw`7PK69P=Dy5|CpvR_{ZB-e
zy}MB0r_j5~n@fzNXd0Wvd_Ko>HB7!zzc~~#zI|1BBdVDG@J&LhWwtQ0<L$T&4ik)b
zU)L&1E3aR1E}4mA*71g9F*!@_^urT37ktw_6{?&b_)f3*vQoo>%*3P#Y>ZEQm?yP5
zPcY`5E%bR3WFgsqekKkn?Sm|gpj8R~lNHkc8LKCs1#O?=VEO0C;FzqrL$zVfPF0CB
zA5TOY+&MXG4nxtgR}BuTOdL|D4}v%P?tRebdafzi|Jf_hE@K{!5XFWC8&xi;Hq2S-
zt#{+`gv|**C;x@+mAES4a3MnMrGNuy)cud)iO3JO3z{B-^l@;gfb^LJf%JKu>HBzM
zrfltkF6dT?6^#r{$3A(qG89eY(yczGl>Y5YlNV@CD_PZHffy5ql()-thN5NOr`8po
zm>K(bfz%Vw-ez4Uj#*;MZty#uJG7H!0whc}7mGc8XJo#ZMZjdSg|Z}%C3ku>N^lwb
zF*q9cnFKLT++46t7<8P?q8QU6uqJ;Fg^YO#y)FSybmru`oI7-LyGD5L9M_$@&zv=8
z;+U15;Vdg*$({ZiY852-KTlz3dZu|VfX)4!(@ir+6kWwkp{%)gb`;KguF|-d<=NTU
z>aXU1K4<O!?A+Y!{DmQ9GK^1pSQDigpPbp&7vTQ_w7d3#sQ<xv0uJYF4!(*E01aQT
z_)TUgnx<yK*X)qW<aHsg`mO1LBXbz6(;vn#fX<^5d%9}kW{vXcI~_s0w|D&i_dE5}
z6wPz?lhysdnVO%xq~0)R>G?k8z2FhWsVf8;9C$bt(jVqnfev|c-I?`7=hWTQJb{LW
z^(+&N;|ry|+vZ3*dn!1yOfYs<;ACdxaA2_HelF#J>@i*@*skE@lM{@SH8@i`7>d$3
zR6wgMITX?##xP^L%;8+k(OezLqBI`WMjk<jb3BbIJS++fj8AmtWHX>d1Bb(baHbP8
z*YD_6bw1a#P-TK5(}|gi6IwtMiVbsGi+L5&AHIp6vfAz3qC2tAK&xTB7%V@FZr_-4
zVy58~79$r1OJkNv1_BKXphU3_WJ7It(bW@?Q+A)eqrkuz&#92U?~&Ow9!ul4Ne*os
z3h8YQpkbc|h9a?ZrVVpiH|Gbgld|kCDxWFMqVNFJ?+?FoY?5O7u>heH0*sKQnhZ<=
z9lPg%S~PK$PeoToZ(r9VVdx}R{l*ZqJWl2JPF-y_%gsxLuU;3tR{FF}tLRyq+;Jxc
zCV>?$3g?Q<K<gl0uiKsS@K9^e{<_+oZ#JDyIWxoX($?(jS@m{>k6f~^@fD@{YWr!Z
zoOykUHx#zvUZG)*B}>@aC{@tWH;T?}7XSa(e!r`JcD8vr%i3M@{b!k+oVB%iPUPh-
zVJ^<kyNaAeEsLG|LEA4lLX^`hD=Up^f0YFO?|YnnZB68-&GY~IfKsKUrR8w}mEV;Y
zdM0dMV7*|vea>sI{IIM2PUr4icpSmuz`!(><H_^qr$fVIRbSmN6x}jaJNy*rbeMB<
zEH5qfo}OGX>F=F3)|NRpFSO3e=6H;1ucAP?BWP{l(j7Y@>VBS%mvdLUc|JG7;lxbd
z*cCh54AyCzhfFj!|6(D=B+%es%JN7j1HRO@RRfPwA*MpnExX_Ei#~Pgl*`+akbf$_
zck*&`SZ-d(ZF*hc7;3OLI>fN*=5#Yzaz`Iu6t7<-_E*l>nZrTBfr%rk;e%<ga{8<V
z63;++(fo=^69da5F9yfWyFY_wj5?D`PejV>J~u}ZyvRCZpYnCTjyai&lNR{1Ofa7B
zFqw@>pn;(&4U|jXyz!p1+UeY*JGIaF7&(6UDR?z~J7@j=#G|9#sh^&l+*fQeQ^3;L
z^K$K)6>GH3RVKjwEyc1(A>$Qy2z){A3KNYR#T-Rx{`!lyth*E73Xh9SA&$)pT;Fnw
zSb7%=O55pVy*|Y&2Tvdmnj9opb-UshoS50|n)Bj<#yV~D47fE7-b{%?TQ<}-zPr0S
ze3n^mRCfD>%~67tRtKh)F5Mkx)B5rJgA+65r<`PD<ZxKP#*`>v61~qMIMPGey>H6q
z^LEkic0A@gdFqr`l}6DtuF%cNxl!S}ULVzIe6?6Hy)HnF9kgaXisOb(hFNXnvP~1N
zOn=KRU!!n#mg(f%`TK2GE>TQh8DMIDZ5zn8RYE`hd_Mnl+3Y;fVhxYDxOp~zzg#YQ
zwQ~72j;u#VI)kF3v@S3Aw>NwD>-GBSRbOA7l>h(3-SOO+wZ=-03`_zAt_R#4H^1dJ
z5k1hUfBT5xNflm8@77f_H=3=}zWX(3rbA@p%r7r49v1wcy?$@lyvk>iKM%|QQ^>x)
zZf)WAvYVSyjZ#hs+}x5mIVC;adeOCw$?iYDT=riY|GD3~f`NhiCTK0d{<;%0XQsM|
zfHFZE#|@PXv%1D*PCH*s&o%ONJ{Pp`Ro$Akuf59mhNyiwrM>>d6wTn2tE)nf*WKA$
zU0(U&Ap6r-tJkj+y!PSY;ipa9dK0SO?R2mE|NH*w-S78lZ%#j7)|dGF%wH>ICQwnh
zm}M2$tsRArdyZY_T(&Rgig^F8rk8hjZ$GDat+(>!(&;I8ca?g+_Ik6#cgLpXZ!~|*
zPI=BZ)oiEp+S%<do3@GTOwin4=QeB0JMEckKl!w;H=K7C<gyjPPdcT2L0601+)=pr
z&(HJqc4nZ}yT@*_RzI2Oo|W?P<8k@ZH#R0ey`8^*DkC%7iPh`(X{FCC6)Sr7x=Te8
z6r&=bs!4<|IYWviZ-3$j4ae@ubH3VTPkM8!*nl@mX3~Tz)l9E*eKM9x{<dGQ7#eK9
zSM{3H-rMc%C2##wzudBScOvgqy<VGq_=aWjTu{_)n9?A$<$7H8+3T-z?%nhdZF%R%
zVV%Bml5cH|#I>pKstmUlFVoPA-F4-r>F-C~`Y9hC96S;E%DY<`w6fV{cEj51OiR6E
zze_2tv-F<6;a*{4WcaSvOFyky?mJuU`~CX=oY&{remKCq$S?oex0`#bw{OY08MH0$
zu9l^x<%!7L1E7s>42>3o9H+%Iv}`Z#{mp0T-I|q^e0a;clIz~7Os@`ZeU<0Cb+%dE
zpNi*(68?5SmlSVbc8+<%#LZW(2`^S;VB|Q`<}hQQLvUu-yW-UoHixWu)z5o-*QHqf
zP|lifH`9wgpEd8^#p7tp<{VpJb}MsvW~0-&Ee3`hpdxM$#|r%m-g-M-?$!Oyl{C!?
zS)#W7_mT1^XKHRAR!i$@cvofU|N2PB9f1ezsYMP9OadDwI7~U}^YB`2xKdGCSz%k?
znV?AhU0eJtpNibvlzN);uyx6c2X{CEF0)%+UV6p?lvV|m1WFCPmxi8*)Y_6ICmm*J
z?EWBrqL-J~9QUk{zbPpx7Wu3W=k_e{^8u+=RBf0cd*9;8nKMpqXU-Ia1m3VTK0e6<
zRE4flZ<sOnhSHNWe>b_FxnsOWh7r0EiGgK}+Jbw`E8eN>{_&`L?Yhh7A5Ad+zUAsJ
ztFKprmCJ)WtBqc|!gdNWuqX%!GM$KYk&;}PsgN$1r4|gT&KclGFXVG7q~H6bY6Z#%
z91(sDmYerD8tU*{b|+q*X#^Jh)6GzHENerrgU-`68#V-3mA}i0)?dL6DtcL%6dLBR
zGR&P~Dzw4t9H>S++|FOl1zLCi^s>Lb>AijV`~Qad+5JpexnhNZ-0TLB#}pFO8{Oq=
zL)84{M7%w{VxqBiwb=7jHj_7lT4;8^UMx<|IdA(tCh$|}<>xOhE-rd;L9z1Z)9Fud
zrqBNxbMA_KR%Yf(pZRuwBO<TI*YCZuI{bX)W*d1>XbN<&HG&qB`DgEc+-LpdUiEuh
zze^J~XMC~n+Wf)i+?14+;o>E)u6UZ|-g>fU)dI(6wx~C86%SdTuYDKyevWSRHlH>g
z$)YuOs#&tuWhI|hnV<d)39Jl(AM^kJl;8RL-EP-hrYkFh-T!^I|NnW$62<h3FAArm
zJ)Aj5)8qE`{PHC}o>8;Sa<}YMoeW>R`t#N5^(o-xt1E-2y!!m8TmRJD@_U-8si~Ki
z`^#6p*?9cPoZ@qZb>DwJpWl5;FdDIT^>y-c)2o?Rxb=28yxaL)?&sh4_4@Dk{a$xw
zo^AB*Fg8%Droq92V@uxMsIqr=UW%+X;})7!cA5Y8seii0s@CNzww#oe>N~k(MN#!Z
zCQZ!<g`0bidCr~}zN_QN!Z<;W-Z`>16#<}?t~-CdS`AvgGbMdq<uOaymFpC<Z){k&
z`FH7$4~glke}NWKY`<R@eQjN=_U~_RCxezLfz}H@KHfhav}9q4=j6VVH;>EL>m(oV
zD}BTxVc-x~`!&?^^_tBx_rBk+-@jtH94sV-R>be$7h{pM!RFIuy%Uip(K4qZTiwpx
ztN&l?e(K8HX{E2Pm9CQwSne~w{$J(J7mK?4n)fFjW_x*U?Q9u~f&({McSDx39{9O_
z$*Vk$9bYba%kB&=FWC3>TJ*vk6<5&imIjBjELSAw$Xb_eC_Z*>=GS_yg%gdp1}}5T
zd3dPx_=0(b$0Umm^O{?fKlt-hzkXu7T$RU^8Cx?h9-6pzZ}s<6TQV=Z%vJN137<Ph
z7o{Y;X5PyLDuNsoOqpKIGA{ku^^3oH9q5eTtkuW=Ukp2S6SRrz)wXn1@6Pkp@As+;
ztNB=LD_*AeD#SYdyzTcnpM~N}b7$ZB8l?X@c6;93FR!kip19fH?&lFf3)O5%Ny)44
z=DfYPH#%xtj%M`sys2~M$o${!;08|GAEq#rXaD2YzdomO*OeZY?>^`5+*xZ`|F7nG
z$kJPHgM^m(1+MBgzuNi_bR=PM;r}ZugIzuEoSLe=bkCkUJ0F5J8!eK%xzMk6l{D*=
zIhu;W*H`sQ8oTA~|63NhIjy%x#`4pS=W`U(-L45ag39^`?*<U}n%=oAuFpQ;m7$C5
zzBb$dtyjJYT^m|iSvhI`e0}r#HJgjKKRwXM{Pd*y{F1tLcK-H%x7hsoaQGZw<YYD9
zCEnBZKHW^8@448mH|1!TXwmK5?Uv=atGex{t_iiX?V8h?c``^FRMu=}shH9hInSlo
z|A4V&alDiu$R!R8O!tHx&h1I}X}iMlLoa>#oT9Fro131tXjzxMP<VBy#tvMnFu-=y
zPv>L2|J28JLfBp1;IE6<@dk^3g(N;kjvt;3mY*k^?JNPAW94cHZa*US9$!>#nDcT{
zk_^Zx94?a@CT+gkx>;p&ruxeZ=_M-9BPxSzybk{pQ%?W(y@Ly!5Dqvqb<Q=Ox~Ta6
z#z|LKwYT4F;_scqdcXOWmczL$<s<LGiWDxf2o)_mvZmnZ>xtqfPtN>%+B4_oePyi{
z29QPkoGP&C##<-2rHY<COfnLYg7>5p1pJt$KJ_`P@T#e8&dvRP6ODgYyR?FR{6MjB
zRgqY!qw_hN7@syLWq5ar<&ftBE6eUp3l!78tq*>3=AT@kBFHL^3J-;ub6i6&Ofcpb
zpS<t_ymtrMkhcPS`sRt5e_xBh4sCK^V3HGB;d^e8TE>Z)?cFB>GT?o%1_uky7bj-U
ziu63lbTd9mW9Q#`iF2L*HfKFK^Y5D?N&s0Ei7h>zt&lFKr{=tHBD{0U^iU;W_8eEw
zGa>C0jDKI(EJ~~IUm*alRcura+?^$NNVO!2Wy0ny8wv%$j(2HgIB(hQrM}ph|A~&(
z-V`-(AWUFmyeBY$&4lmc6o#T_nsH6w0-)h7OU3qOZ)LmUYoVvbf%f|?5NbSCB(}Bt
z|LSZLbCw(X|DXnD%N)==GFSK>y;t`m*H%@`FuZZ$MC67)rJIz~t)@tTyW~t0RVPd^
zHa)nad*&?VqO|`(Zs*>-7Ze2-#SQH&Q|7$Yo1;3@*V?n)Zn5o|6!(lLXZq}}gs`Ic
zZo&=A)8}Nha*NulW}NK102+~TIQQl~GsGAN2bKw&8xBl1(_}0=7a;@or9d{*iO4m#
z^PfJMXsmtPDG)3OT@CUCE!i>@iJjcmRuyz2a>Z@;j}VohoygM^j?Y$Wm@`w&%LZJc
zaELNK(Fu9m<+|}iq|U7~Dv-1aJ}nA1G{O%K_#a*iHqH4t%i=(aDl50j?&}tyVL67?
z3Z+I&CnU3(!BLjvyWrF7*^gM5EH`hzePZU`y$g-NmV9Vzn6!CE-3`mln?L`zFIvaX
Vo|5{&iGhKE!PC{xWt~$(696sQdu0Fs

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/sim/ssm.db b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/sim/ssm.db
new file mode 100644
index 0000000..6a4a8ab
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/sim/ssm.db
@@ -0,0 +1,10 @@
+################################################################################
+#                            DONOT REMOVE THIS FILE
+# Unified simulation database file for selected simulation model for IP
+#
+# File: ssm.db (Wed Mar 26 10:00:21 2025)
+#
+# This file is generated by the unified simulation automation and contains the
+# selected simulation model information for the IP/BD instances.
+#                            DONOT REMOVE THIS FILE
+################################################################################
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/project.wpc b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/project.wpc
index 9b34209..6888ede 100644
--- a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/project.wpc
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/project.wpc
@@ -1,3 +1,3 @@
 version:1
-6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4755494d6f6465:2
 eof:
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis.wdf b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis.wdf
new file mode 100644
index 0000000..c79836c
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis.wdf
@@ -0,0 +1,49 @@
+version:1
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:72746c5f31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:5b7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+eof:71835473
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis_details.wdf b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis_details.wdf
new file mode 100644
index 0000000..78f8d66
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/synthesis_details.wdf
@@ -0,0 +1,3 @@
+version:1
+73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
+eof:2511430288
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/xsim.wdf b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/xsim.wdf
new file mode 100644
index 0000000..51d5206
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt/xsim.wdf
@@ -0,0 +1,4 @@
+version:1
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
+eof:2427094519
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.ip_user_files/README.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.ip_user_files/README.txt
new file mode 100644
index 0000000..023052c
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_1.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_1.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_1.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_2.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_2.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_2.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_3.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_3.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_3.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_4.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_4.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_4.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_5.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_5.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_5.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_6.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_6.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_6.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_7.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_7.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_7.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_8.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_8.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_8.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_9.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_9.xml
new file mode 100644
index 0000000..ab2d54f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/.jobs/vrs_config_9.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.Vivado_Synthesis.queue.rst b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.begin.rst b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.begin.rst
new file mode 100644
index 0000000..2754520
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="a22olliv" Host="fl-tp-br-635" Pid="57846" HostCore="4" HostMemory="16257696">
+    </Process>
+</ProcessHandle>
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.end.rst b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.js b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.js
new file mode 100755
index 0000000..61806d0
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.js
@@ -0,0 +1,270 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+//  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.sh b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.sh
new file mode 100755
index 0000000..05d5381
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ISEWrap.sh
@@ -0,0 +1,85 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+#  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/__synthesis_is_complete__ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/__synthesis_is_complete__
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.dcp b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..977048343956b3ca3ffe1d03c56c61a199da36fc
GIT binary patch
literal 149519
zcmWIWW@Zs#U|`^2U=6K`>YRV+^EpNah7T+Z4D1Y?3@OP4dKI}jp&_gc%vGl!r|+E-
z+ds=eq;37{^)m{t#_4dcS$*2=Zo`609}<5ARJ*!KT+1wMJK*s3zPR`qHy`PBRn_;t
zSI#gNP|IJQ%%wF&e8wbA^-oOS&7^&vv5WjYrJ=@?vu>tJ;ekUf&w~9Pq&4dcn;Q7&
zZejMm8k=xfc~;T+Llr8gmEV1wq|bDj$KEUVRc>R{BNMh<-T<a;8f;=V%$`h-PQSkV
zB6LFI(w`1OOA;4H?(P#i^Y_}ugQ7i}EA%Y&o7OLWcvx<8ey-@VHE-uGG89p5Tm0y+
zXH}iR*>64^n~dD$c73yBe`wR{+PHXG#%t^PmA4<dmD?W8Iqfw0r%5)uMioCt+S!Q1
ztap}sXiA#OZ1tJ+>sg-T@)SR#<t+;@fBl)8bWmft+NywC=Z>bmo0ihaaY}LZ`<H5w
znXg4QwLCi>eVJ)F$B*Z4io$ng&p2oF<&VSDJbxLnHFrLT{&mZI^O*l_g~mz!NeU;v
z&zqX|&$+1Ma^1YGkF>6xGul0i=h=r7*X1A8U#-wiU0W_wQ`jK+>iGLNdNoRaJz|Z;
zV#SVn+TYmlJWu?X%BkNM*DWh~Xw&xh#`}56?cbX=h<&i<zE%8lZQ1tU@AvJlw$G`*
zy0qIkv3>ui$;Z#v-^?-i!HkG|me8uGTdGCxwHX;0w3!$f_!u}DQj^m|^D;}~i%UwA
z^vb|Vpz`$N^xA%Je<wqM*6-iL=PXq_p?z${nvT2(2~I6T!xo;m2j&Lcn!Qc@;r-ij
z9u+!wOy0iyd2Z&*k`Iqo?VTPfd9k{xX2<LD-?cT}g1fU5Q$nSklMPO`HE^<ji@x@D
zQ88bdTJv{_SGti$rScc;Ijo)UTKGd>L%nvI(1F=n?=}|hGV6)&RMmQ}Y@+QvZ;?g!
z(k1$?r4Jf5Y~T1~!hsiaPO2Z#yV<<KV9B+J9kSETC7a|ela*d}V1<T@o05LhQMEY+
zsrtv(3dFn>m46k)lzCU+>};kJX`V)&&oc7FkDrWOyKl{r*%xCZmtV{Ly3_yku^PeX
zs~?-qralzoiqQ%-S`=L|fBCEv^S(OnlT}GhK5Y0YCS#HRA^-Ki@63%oGH>_#+n?sI
ze%!f7PhKSFYvRU7{HWpk#4J0@oRNVcj){Rm5F>muQXshu6vV9)e6tTZ2(-QbDO$Yd
zLWkhQYja$jIU{tkmTphoEcy6BnR$-dwLib}mQ0Pvsh<7xZ$+9JPh#5XV}TpfJLKe#
zJuEPgIV7EFw7lA*_toK$?1-&xTwxIlt&{HYAIjTscFRFQpU;a;xQZ*(p6Z<W=)tvm
zf0s$B-!8UGDxQxPtovZ|_4@3c5jyiP&Fon8afN-X&Lahf!$)`*XvOgw28c53i8x-s
zUdQCodMQjcfhpy|C4u_PbH+c#dwn85N9~&~Rc~>`Y-a8>?fwq73AgzVi?LnUEqKSv
z_vhJvOK(g}E13~5u<cpBq}^@n___7--^5>9X=|vpPS)vYDO=g~zk(;<Xso{3-77eA
z+A9U4>Q5)mMD<=eB753ZZ0Q--m*(?-__;58v1?to&AXnt2d-*`Fz%LmtZ=x=XLpHA
z4HIf`cWKON=VfAG;6MxRg2bZ4TzH`d3T{RYrtg0vaw@_MEnJ-xK)}S<1VkwqrWr^t
zQ+dB`vL}~*th7e<pTCMhx2#fK$~21h?c@*dS$04-dFP&pJ9*1521L$Xu9@4P<9Q&Y
z+|swBmqFZVohx&-{HpvI@i6w=+A~v2mCe30J>4vld`_=i<-eo-%&;ksm$H>9OWc>9
z_{T`islh;#&Gp8%K>L;HvpN$`8_O|f9q2yE>h1W`T&X9teEF#brW0>5h4=mY{Aq&w
zycwBFry2M6T@8L-^J|yf4yjiwHg8$DUi;oRpNU&zC(0aKlvKO<n)e#Tql_~o`t7Z=
zuS}a&q9+#ACcbU|w+@*#dF%YT)~P5J&HMYI_UWUb7N_>p(n%dxH04}-H}*@ObgD9K
z-=eQMXIsX)Sci43?Cr+PwM&|9_w;FV9?z&c8yzPu&#2mF!YNU?p--@;xzaG>_N_@P
z%h#7}T)$z)?saPmbzHZ*{8yc`Tczkw;ob$I`!026{5>0SrP?Mi?dXxS@u%xFlxl9d
z{Cwfc=PoHx?ygmS@MgxkXzguU-zBGgR=WS0-J(o=Bk#GR($+q=3))1!p6W1K%;}#!
z;q}Bf7yN&T^OrR%wVB-1SnZIy$|PG)xcl?smgzY=gFYH8T`#lpiiUR6jGRaN9sciX
zKD+VU$5~mNLC&7B&b<>ZO)LvPG*kA`^&&=@puRUbm%WQ0rDpzkcp_Pb>(Dp*OZFM4
ziO4%4aGI<o1B1g31_oY4p4BVPNGwQ&7l~72Ip>HR{kp8$Vpi&OUABwtfySL`hK9$_
z`J9*AIo;c%!E|?mNn1{bfU08F6q#qg)^~7pba82QbX7cFTcNZ|YsHEN@p~HcPApu|
zB-8Zg_3Cg_XVK`rkNl@q#n=CR6<S(aTKe_(wEbZvFE)HU_{G0p&N}Z+=l?T2(x3Z%
zE^{vSlbig~KI_j4`yQ*(Gv&sA{j7d&2|gxQf9QwLIxXq+SI2u#Yka<PMl;z@w)oWj
z<30cWIj-cBwW@Lc_wvJDzfbOE*9$euUj%G_xj$yb|DStfBt%O3w$*LP$llJp`0lg)
z3b8l$*HzRO|37xnomb-8o$>>MqJixt*N^>Lc=q^QgRD25N?ezoDo?R|b>*>JuUxQT
z>&tb2XZJlhJT*}3Zf=3|{bvVx|FZL}v|m<z#V~eRPHLFj+%FH8G4}ptHwkr{d-<-^
z4juoOw~b#-TBmdCPx*NbukcqzoUfxEz1&~zmOAP9RjD|uSJU2|3!T28h*R>`gf?Zi
z@2>?zmuT~NE7~r8ry75&-fERk`SykLCf-nvv76Rc<uQxX*Ey3@^LX1;<ySM;T@X;N
zUG(?T@9(SgUxnYVcc{M`d(?UFH;WXf@cOSk-=;UpMe3h_VV#ulf0=R{ztt`qD+O82
zHysZzEhtg>pO-hGp`&W~>8}$V_e(J@@ZS|PU39Vg7tx!dR#h(x3!Gg`5_W0p&D>Pw
z!hZ6x6-#T!i-eb#nfA@nyFdA&?WrZFyUxCkmaOGXcG$jXVgC0QuRnc|d!|@*WQFmi
ziwk$e)XuIqUXkcge{|6c>6d?hym-*a{N3V$@vpSZB_~$3n|+fGkgV-?pR?`q#l)k6
z3m4A2xV=<f&hU>pa}n>~w(I;aC2Q4VBaK4uhq$yaR(G)3v@&h~i`|)lU7PFIEqrh2
zE+<f{fA_MKXU}{2TKl=%PB623?I{1!T%tdHU7w6V=+f$GQP*-l#08q&J$!8H?>Qy;
zmKoDxC-;5YwDxd{@zobE%=Z+Y_!l_G@B8%QIXjHBR>Vo&I&)lWaaO8%X0(gxC#Bhs
zd6nC`SA;S8OmMiqLhr68lQNr}z+Z#A!mSU_I=`OX#-DM;?puG|6hGs8CQJUUV3c|%
z_&1_Y!?t3zfkCy4y{2NMTivX66Y9+$mmGa9`1g$4CfmjHA9wL)y)$1?cVh#i^Q@<(
zuOzQdf9EfoVf89{k7Rf6%jHs+@05S|uuQS}H?Kh8|B{`C1{&YH*_OBpURYk*&vvTO
zy5dHlR>wozMapm5PRy>Ea95y{$L+@PH#aB5-{{CWp?`5g^0yq1_Zc3$uFs2@sJm$4
z%TJDfy|;&d&xqKy=H-lK3waM0q<vqZ7;Cm-<-YwkE6yk<ep_$;Y<*8>;a%e_m#faR
zrP+Djm_M1`b;&qulfgXqw&YtA7ALyw?OJamrMO(CCtotWvP49hW0TRZtumfgQ8!tq
zUcC4+Z&QlY1zjt(yQu}vpD()eP2%sqzvQ$O`?Mrmu{6zV_oajlr?@I#p1V}pH1qGd
zcRw3#7p3ifuKKC^%jW~O)pb*PPt5z@{P&Br!J7K(FLsnHS5$7}m$_jowbtu!TUUn9
zu9&H_X1AI1y;GO|dc0(N-PhjUS4_Ne*G#SK?!J7{cC7ArNw1$rxs=tT7mZtE7jKMN
z^-}6@r*~=WBKzgRzP--7TpwSG{lzQUxZBmGKJeVfj<?oJ7S>fve{rP5%P!&c;>njL
zx@^Aco<953;>q1@Z~pF=QdaMulhhL-wOnd(nAGE`6VGe1*K12%I(WaAk^R2`o6Mif
z5?g&!FJ`_xcS<5k?yvXbOXZbc@>4^OpZZwe)mHK+KKaR$m;Zy8haY#|?fQTEyF*8B
zy$keSASQ7$X06Ts>XKh_%l5nyzHvtM!n=1DC97wAdf{Tm9ci*k$;x=bSJMwWMK7vs
z6A5=oXMLGh!_2?-{dEoboXN~R!P~xdFFUc6pPyCk+NK-2v!s?-e?B{<?;M|3a+bid
zrFIv$IGnrx>t6Ph;6n}19yUL@@6NO6#T<uoP0u1tmMpxl|1l*0#qRo&yb13PUVXzT
zFEdwsNwxQ{gvihL(o1`nvA^u=6?!2!S6@7#Hg)psuML{rdK{PL{yKH_;D&h@TOD^*
z*IqU}{L7TjqA5HjcCqBAE6Trl<u2IHIyqN$y<6_(_0{g;tS|pc^~v0`shF{*aK{=?
zzTG*W4>T(6iYOC}|C&?!|Jbd#i>i)MSNP>F)I6E+Y~k6j?)-U^Z0~5#3U!*zqqXSf
zr<9i~BiBb%xlU)R2)ZR3B6pVaQmh-#@24Bu;`zBXITrsf?K?OB`+;kDiB~Mex5oUv
z^=!%uT{X6(x`z4l*^}H9{^^RbJlf?Tqaw#~#NV}bhk-yj`=jGm78aZQ{KH;YB;hLS
z!v1Q)%LA<nmlq~S-dK26KJkiUSn12ln^vvw>|Y|k(M;fYr!>>W_&*$>y<+`rN$Y<7
z-^x&GC-x>V^i+uGjHWd<Pfl4+J(*gupl*>2OU$*7H5Sra*F<P*%c;HNK4RJULeo#!
zpXJB@g$`oUM|ZE<Vj-~C#p}-fw7t7Jk1{yL>R*r060bk?PIG$pUxyR+lX4|x>jbvy
zxw#zgkSeTI_$I8+lGMQS)WlF%;O@~w4l>$F8*K%&nGLhgSM2)nC{Xqgt88(GMWW`j
z4cU?6b&4+)yf-ap(wn+ruUDeT8_B8({ZZSMN^U0p7reTotNG|GhdUx2(aeVYxfB2H
zkb5D`_^bNzyocdd58Ksl__4iAX?mL?b*0mCMU1$KB&(~N<HW##ITt24JA+sp)l))W
zJ)8MoMW`e|HmPpQ$xQKyhu{7VJiTQ3JdUHmjS?DqhYEhrl~B=N{$_eLbD-)p)}j-o
z$1eO|oZ%spy(y4U`E6feWqf&G*tvKX_fOyQGVfY!4St?+ZSJMw$SXznzEsLCUF;xs
z-qmoz<^ze1Hp{&w?bDqF->6^lQON#%F3~YG;P%Jo4;3V1P9L7O{ImDGb9whKKb*GQ
zUB{pQ{`W$!>RH$JEq@<1PwwvJ;MeD*r@x*NmA>b*6Nmq%inD(_=RUdG_FsE`^u@p>
zB30~NvZ7UzU2{V|{>c>%TJ%|taYZSM4QJ`%NlNLf)+mTYpRIg-(p9ZvXTcfAJ57tY
z7L_^~c1$Zj@KoWR`^4rM%uY!H3hA4|1iaVGyRj`rRn0ZT{QWy!wGK{=q(}EJ7xosd
zQF9CG@i|xYXU5fC*$<jz&+A$4+Zua3A?4Gw$H#S#^V?N~soQet?fJ8&L3VpiMBtt>
z|8voKyWS=V?6_?=BWh1se8YqrxeDn?+8yd^6!bK=t$VsE=ylqi-Nsk0ze`&nb3{eN
zvcr3Q#Jc8;y;2z<*Kmaic&}A>SF`(u@aB0@OPVtxH`lbi?A%>2y+D9l{9bxf1=DuH
z??;}5>t(5POfbG0Cg30CxaZ3y?ka|@IrnrPKb#j~U0Z2=U9!ig_vsJK(;wTV?M%vl
zbL*yOAJ?7Tpk^7?<FoeZkId5_*BYmbF5kwjCVf2o%(ITv50e9)<W+O9yxZ1aep)Gh
zH>-k5ne>U7R@xn@VFI7MHa|+w5MnRX4%k=r+57rCEfLEO>wqWO<-%Q^jtz5uE)+dd
zq}{=PLFKM+h7kKljsU(##v+wcIj$3%e=NEp?7v`!1j~t;@{x{fHl!`kye{(mQ7iup
z#w$kL8IMZYls_k*{%Aby@$Rm^XgMv*dH2^P8yMMdl(EmYoM(~U+F8NZ_2$RZyWM9P
zb}?&|-+ro5v|X3Earau$qet?XEk6fqcRXK{ZNB@;uHW8{XIv+)zw4_|Ah3Pmil&UY
zEKKZ$s$HIr8b#02!vxMp+kRxbb<p;sOqa}&RYCqa4inu!iiw<E8&cC^dn?bsVb0H;
zqDQ#H{A0GiWHx5jot@=Y_*z>-EjIn=i7U~4;Zc3%hghxacD~s0wELTkw%fAxPk*?c
z{y1f-^w%rbs+7BAK9*{H+PYiX_1(7F0Z;OFv%9|ACaIwY3dg!I0sYA9?~W$DjtZZ;
zYi;OuKe79c6PqL2mG~FLYJ6h2)sPe<&^6~}KI_L#SB0gc&+uINWzi*bMCHypnIk(j
zK54{pGqG=Eb>({$Dk9v>U}=1S>yfB-hbjNe-#ef3idc5IPye*`$=-8a6D2b&>#n|f
z^tIq%-+ZghM}0o=?>)<(u|E0e37JI8y0cwB1Z@Ovew=h^^T*BlXKJs1G6~pM*1!0~
z-EVRncXG?;e9!vC5cK4Xf_BICwF+hlu1BxG+f&?SeBns-Jmc&IbJIoV%jq|qUi^bi
zph867#ZZ&O^&HQ(rij$ik3Lt<*cL_`c3g`NcrwpI)|D?wsjEt{P3ilQRt+^a#-eB9
zVFLLPjym&$V_t7t)V))ETI`i`3_(3-^mUKtNA;EO4Vj~1w`Y|Fvn{{LMMH_1iqjrn
zo%Z<ngWlb5kA`2LE%~C>_KE5)E*3e5(+!^(teEw^Yu-*%?pTr+{Nzl4cE|QL3ey%e
z?<ftQa_`VG{kd6r|H1>=<9EwGc=eRMO_cqkOSPj$QQ8dABe%l@#FKbl?UZokOZt82
zkwzV-`1Ix(yiDJZ2)~e*bCAAh4@xh~4stz`eI0hICHuhiWVNW{*DIO2I<HIioS8W7
zak=jC=?mj`mTup&Cnw5$r|t2si9e@4{b76hV@v;hQ~tZ!fhotQFFL{e!bhZ%!PnvJ
zo!sXPPqWKeyE^Z(6)n5S^{Dpst=!5rKi+K=a(!oZHDF)a^Mxm_=T&KTyj-fF$21F+
zSJbpSOv42FlhUql7iv>x-{^aP@ea15^D_2wy2>5ZPjq-4&3SZ*f{3N@9j-^r+8w?#
z$}Bp(my60jlfE^(QAFAJP|==iyUuYx=w-i9R`K}STesPhWwhO%X`KFOb^7D1OFUm>
z?j5&$bbI<+zLM>0wa+=M^%qNDFzxEn-|sT_RDzRie6-`5?M)RDrp2$fi7h`k?f8eL
zGt3#<x6U~3X`WTdU>nbsq#0K-xhdeunTufp(rXmtwD$Jv>G3YO{o&9#+l`!OpDR3@
zlD5Fqp^`zD!Q7Bzg7NVP$C?b*jaipMHVZ`WD~<eUWq;vb5Npqwe4XR+k;<PBFHLJ+
z`Sk6i$F4W*yi0i8o<*Gg=%)Q>?faSO$*09cZhqXvy62!u_vS$M^4rD=1=}^J?9S!A
zH3w9})@8G9JjwNFZqoLxcQ}sQbg93*w(#eQnadBpoGm_saf^fo`^JY|r6>ChT+j7v
zY5H-4>(SyMfy-TvTXGmr*=`hd<$EM7@-yrgC{3_Ad2=~UG~U0t>BkYSq~B{N`v{oN
z35=Qaj$u~C+=C}fqWkQ(%AAic+`QBF+PplO?Rp0zyo%OH%)B4nXCB>Gevs9=uH@Cy
zv=qH-eW``Us~+c7%b7Ek-#)ETw0&<!QquOuIg+WY8;^1&*}i(9P_}5jMMv0?!;W`a
z&OK3>r^C9DbNS2V?z=&SYP0B(^e_SKhfU2l!rnZZcJ<e@=|{~2pD2_y9dO(e{i?IQ
z@U|)_Us-5(tPK;eUY>LKDaX^Jd&}lVF)y`pub5DtvYdU&*1x9aA+!JesB@Avs<+XZ
zB3g1mG-rF-BF!5$Ps8k*3n~@1COtgx{gtG3SN6SL?d!8RlYe<_ewG{CEMTpDNM}K;
zNR?XGL??asjjNr`yKVFlJwIDB{Z-zo3f>Dvp>x^_v_d|LiR_+zZ?(p4<5)*i|5}I1
zXQg$2>xaJCFB|sxTI_<b%bWg(-H(1_Z{zcQ>HlL9f4}c6ZM+tnp_Nft_bGbEwoOcD
zihl<k{20b+y_U=DGrM3<!|ra~1GO9UMdu`hZaBT_!Q~{@VtJjg2E%>&qCH!+ejJ*|
z;w^e7V9kQhc3~gXS2x|g<{B5chi&zG{jdwE^4dQ(hdRDauMR7?s{X2!Lv%`%z}sZj
z$7{Ic?rm<GCVNyb%64Of<MrA#5A?%W`y+IBtiI8-bM|z*mF<}~?ZOHcg&tfS#=6;C
zbWU`R<M!G$4^&n+9Ssw|8?<IYYS_l6Pqk|vq^xdI4PzDd*4?o_!ttnG*oW}dO~=>h
zMa9kOj?&W#yHG2yZE<wfgTmFUtG$XZmLBEON@bn9&xh4RYoh3!s)($9u6Y}@cF4{y
zi8-ixl<SCHn8CeO2`jI<&K5g+>H31N`^wW_a8<oYX06|>RdFfn;G-b%xxqP(Rr1<D
zc84Ae4&#pM7TppTx@N(1yRZ-ZtDBau6|0GRv*@b1xb}^FdF>y|Lk}9QW}PppJ)_!p
zV^iGkh=ZA7tf$wC<!FZnRH?n+-!J;-^s0o`t4)`TcWyQD;lFX5>tAl@hn}lV+r35a
zgso}#wz27dgs4q+=!VJr<rg=7?iT%W?>N`L?C^rOd#gh>Fn9|6N!u;9yK2vy-xAW7
z7HaL|56L%C&~Fjn&h<2P{w){pm^)2#el~91QG1T-(ZbtZKbhV?Vw8CEF!%kHseIFx
zKmY#a$}g|X-I<b$e7}iA%nOS$tZi~({I*5zXo~+_9e@3(d16Xun`~qIw{K1H*F1gM
zd)ji|&+|=ov);bluerr$Z%*Z{t`wGUCBJ_D@tgc$<>IF=MR(8ddf&OWxGX1oed%0X
z|MgMx@>~>TF01W*KJ~%M?x!zJvqgLy72dpT{&qY#rLyX7S7w~%vYT(e2Zx`&tabYG
zPF4TOx4(y^RaPDTWU~6ku4~7audq}+8Ek&~^6Y8LeV2YTseRt^&1P!!ys+qbVbkq)
z?hX6%<k~J5f8}de67roDroQ++?eX?C-j$}?a&~Nd)jg}|&Ze;Rj}@}oZqKTxJucTd
zo?a7n=T1y>zQoDg^&4#VW^b*H(tP@A+hxm0)q}z1r!QBB`Ana3>}}rMZ<8LZy!7eI
zKGDllf_%J}$KH*5-SRZESM>7dqbUcbeU;EVIj<^Wp4|qUwR&ooxB6V2>B>3p(zNAU
z!(QhdOwa$e#ic&b=fjoDpSGOq%FO)uxa{@XU#A_KmjBi9f4z3bI`>Ty$4!?0tzJ3(
zX=d}&mpXIK%@aGAxoP=dU4QwgdHX6B%n-6#_;<<$W*vX|$a!f~gf^*dd*7+!FRkm(
ze<z|R^SaYHYp)MiZhzWxa#z{z>*CvY>~}D{87zPL^6@YqVXy1&>RP71d8s{ZxxbG8
zW$(Wwj=%qwEO`1d`1ED#qwAmP8sGk2GT~|FW?QZcXWzX1^6mKLgVUDlPFsF=>BlXb
z|7L#=J$*U-n}q(?%YEN$Q+52Kb^Yg0k22r-x9-=hr<t?w`fJ}a|9n|bWU_7T-A`NY
ze%iA6NoMu$d3+mf-foBv|FmUst$%yeJiF~SzM5ZueEU}YM|#@wxzm>CE|sg_@OSsq
z+0&NCPFsF*=?t~4^>6p3R{lElsU+>>Wy!bIR%bqKx&7&jhi31;Ip1t+cR%rbG<R`j
zxBQJ;x}s|*<!8QHtJb5k;$GR6#IIG46JE`2HQaOBfL~u<ukEURa)ovR&w56^w(q>h
zKFA%Ch_i{|dC$=E@NR?Q9-bW%_gW+lOA94es1_OS;WTJ7XUUU}<CO7P`&shvula^L
zKV*H@K9W5AYF=f;x!n@iUi2isJtradTCYviA~|BFaof@z-HEklC9d6-;TF5aw=C>@
zPh#j<iD?)4mW9pgNn|}GF>MatvTGB25^GONh)v;J7S`F5`1OR1j(99jw!^UvW+^<~
zj_kqOHpe!6N|u{e#kcHQeotcTaT}c{F+8h{k8Q|G<~eP`9-OUzY=c@dPqzVku(tNG
z4UaD6YJV%{JiM#ZaLsaw!%U}+ZqQ2NS*?0(gH4g)no5boQ5}Xlbum2JQpYx2O62jD
zW)FTXdTc}A#f@7U6HO0EglYC9Mm|c4$Tny*<w%Yw*&z`o)RWkHP-2>hURx<sa)iA>
z8*6iSqUZsMF!r8A%Lhpn$Bf(3?UO5R?3S4Kpy%Pqa}sh-_1c~rCs*Vdx3O>Se)#gV
z#Jp2I4-MZWRcteAOJCQwo|nhp@7M>ItN*$TryJOaOCJ6_$<Rh2mdD@r*awpoo_>4r
z!^XXaHm@ZPA3l5Z!;vH&f1_g`UKAO2T$5;?(QCNkdJNAblVb{@$vn4A#G4=V7;;>c
zX#RBO;1z9~<ch87Jnuj8EsMJu%k!J7CvktHVUB^tj9cynl{apsyt%2wUp+^!?W~{p
z;b}{|6MtVmc;(u%(}&wubUOwemsnEP;~4!sN#LgCjG)^)ZBD$4ky9zvV^f^h?HGAn
zLZti+zmT<f^R;tF6?PRHEZtXZsPQ_6r^@EKJWE-RW5scaDP?+Wj&qwed1aF?Tr=cP
zvJ!7jJ9lu3PoLq5=Mv3v=Z-4ODK^x25yKN?CEo0F?x@1LV#5_rC7QeX3{O0h@p<_?
zhR4b3dyK}b7@jQ4?=cx~V&q(kdmMd^OI#_|W0RcQ?dbnJN#NQ}3729$wv}_c9j%W`
zn3VQ7x;#(t(tiF)p+A{tljX4mlZy>cJdEMtvN*P&Cz&V6LcCe++`%ap=bk#MK2Hib
zu=BZ-`SVW-Ey+Agmd6%!6dQUxj^UBA6mO0=cT}OJ*zm;j7@jJNV+$r<{u|V@v&B*I
zdD4VamNPD$*=h6QVT@czi5^>|O!9=&hHSNSnl&ZooNoTqyD`1X#^XT@kC!=n@FTNh
z3RTHGTITG*Mds7l@6PUaWOzQ0yKwgDW|ebK9UmW)*plDl_~uzsz}}q_PxASel@#c)
z_0H~glzg5vVV5Brr%dvNJ(e?s_V2V=@gPR-Nr4{Q%GuqH3eS@Q_879M$|O(NXE`Hi
z$4;A$TN2GTdJR|Hj^R-<6K~GzHGFY%2Or0c7#=S(_TWio;?3L69$gS!Y`Eg?9V><S
zWS&iC#}*_P8*<!=;W=f-9xP-&o&D#mZpSar=5dQkCkJdd<S#N4Z(euys6s)pp~l@9
z9xXHR=5J>YPMOhb*l|aqdDYp2Q!36LT@aqkV`XM1e#lh3+3xI71<z!jAk$+De2Wb`
zuEg+UnTj{(oIN;2<?K;~l;ZQcYxgdUNS0H{;#;;QOOJn%sd#hMSzGZ_CgRQW&f1DI
znI2P!NtT<E#kWi)TaW*i>2!9%*{7Qw&OVL3!NxXMD*3{CL$-snnl)o*oo*KCHT1Y5
z(X4j%;FK?Co;v0plL*N;)BNVl!72aFJaz1NmK3mL=X0mrXP*`X7FP<)HDoiCN)DL6
zQz9ipkIi;gx8saw30@`7k|wO$`P`}cn1o4Yk7LWTq=3acB~mi=*lK5WJ61nS3Rq(~
zW6}acwoIwy3yXG2sHE$KRmr#f>UrJ3b^7Q6tzrXJm1G_!<6{dniVakCiz_e8*eP)&
z?cGj+Njq&$oR5+FlG5Xte$0mBbj+G7+mx0qNz-FHdowO#<Go4tD=x&yrKI*a<{h(n
zaXN;_$ymJk(HV$e!k#6a{(fxAt{%e`=Vq|aoY|~tI`edMLysZH=^5Mip3qM6GI*AB
zp~H~vtwgdwx8;nW-kmllj>pKAr0DTW8BS+Uoq4)Bq{q<X#0>VcGnzF&-iX_iRP8PC
zBt?&H<&18}glE?nk4hv5OfqD9IiuUr`B{>{WXl;rQ+G;;B){8vVdhSWmgFACfMd^{
z9G@k4X*~P1fH#?Emf<r;m1jv8ns!P&iSKa~J0?+*pvUGoqucS?(*!T2XGysqrevHs
zx_~J;Cs?pq=FGt<dS{L*2o#@h4LbAGk@eZ91+vA49S0?vJ$ejR9E#!jWFX$`cjl;q
z1V}W7N61LLS*^z~<ETWl#hIfE7>f;0?2~Ag=`nn<U&iO;o*20)u|19-k4mV->9MVy
z-tGA1X;MJ_PKhb8dTh2cx*Z=Jm1v3YaeVnSX+q6Ti7oMZY=R)sr%4l9cS@AR^*H`N
zD!~%3$M$r3w<8n87bS6eY^5`rHBH5m12PTyH|ekk|I!g}4mfQq-laR8{pGZ7$HPzO
zaT`uQ-Ms4bQ^$KxKP~uNWXQ2EhUb*Ncr(kHqYGGz4Lx>CG(Yi9^m?3{c&Wg`3tViz
z;l5?X&8;jOK5_AsxE@EwV-hOyVq$K)_It~4?2u^Q(EYl3(&<BoPCV6!6-&O5t?9$L
zMMtM6CwiK~_9Px79XtM2s@&X*&+)mhTp`iC%PY~#FEugo%F%}!g(ArUz8@=>{xWHc
zH_j@a@~qP^W8n{*j`=z|J-e)AR8y09gw*roO?<_~+*0}6HK#~47j>R*4mo+~(2CiI
z0}m-5Q}9UQ;Zot|UR*St*;X+5f@EQ>fLLUNM5u*~s&Ep|C&h29dndHE29{4}{>q;m
zz-q`Q3F0n2-MFINu%lL@Ip@%!Lmn0~ORpyKNXZ>jxV3SE!K;S_Q&`#!J&Glo#f~33
zr17?3%BD6$jXa6wBgYOMTCx0aV3XuAg(ZPAg3d)mNW6VsFomzp@I|u7G8RKIF}J-k
zeUr8uu-)XIA3kfkiJ04OYni1{i9A_i$GomPCMT9O91ctpIi?Ww@bdz%jT;P<+83Ls
z^*B}^dhVE$l$dzs_BO_q@l&KqU&!qJzvY+f%DurCr8Qewv+nt<yt!ubtmIuQtoN_p
zCBJ*+?j=sWcfCv(Rjqh^C-`ff{#AAM6&}^oKbKp+;<)K<S)XzE{Q_GZo##I4uXK7t
zEcZVP5MQ>^&~u`R)wIv7OV;JASnZU0>%fEsdAA(SaZmML9Bio`9mu*nY^L26S@&Nb
zL?(+h&ptk{;?w<O=Doj8pL~2wCfe4h`N4;6ep9W2_S8+j@bQ7{>?)7N#g_Y@1Vu?#
z6fd9mOJ>ikOLeynSX+F(<a^ZH{_Xm`4|AvTU2xyv>%OEv;e1O~HnX(cmG;b6x^4Rw
zoj>?ZMs|hrfq7iAOSF%w$;z(D{ISb7{;El8%9iXJ_vPy|nWYWBl)h!yEGw(jtJlGB
zY~if~pBwibY@6lta`rEdw4z_r%r-1pczB86)X)EzYNM|=^K$&^Guyzl@bJuK(<Hyd
z<|f2C-@nYap<?0tY-VkdUyaKWPdbL)I$*7vYJ4ZBreevQJpOllr_w7_5~X_|@sxAy
zyC3X$dBaKPt5#;IS2mb$t##Y_i=$}83O}jX(?1`Z{|%5ocw6qTNA+Pd-oFvn2kvqH
zb-BDD)5-p7V^Vrc-7?;s-51WA`bvH^`1Rq@5!sEQHc1o3ookJ4o=yDY^mj|+8;Muz
zd6!(dz>ur(yp1i3+0(M5#q7h=R<@S{A9l`S%Vz#<`i1+hRnDx-`d<Z8>@Q8=xu0?4
z*@A_46u#Pd9R6Zi;klSCS7CKyU&^ei*roBA`KL0@d|-8Y#A*7n_`@;J!&@7})1(%@
zJ}74?yL4~jLZAKTK2#i(R*_xXpWr>M?;_s@d5`@UrzS0K?z`$fVWa#0vjy!Bj7@q?
zo*(!z_gh>4jw@^W6{`E|<_9izs&!iw_={uT+Y9m~3w{*19DnKcF}2ezTgp!KqQqON
z`;%Vu|KhNdxcE`>s$!OSi{AzJ4Ntw2zgphlUS^-kJp0O*^xTB--eWJlHXik^zsR>?
zyPNte<r@skCvR!oUT8H_J2aa8OZZ)uJ?AfL-eNRUc@dJEaJ=bQHgjg-m+d_F)lSS_
zygz-1`$P`+{}bFIEz|E^wBOnoqHX1SdBSX$|CiW=mb|!s>j0m~U-#?HAuPZ2*;jpH
zdRZT{(yM6k|HGD|b6DMV8@ElE@4o!7*6IgmSZ6V4uXzyTYIWFPVy%a8Z|fc=E8(z!
zgS$gaPDWJhU%I)cb$j8g9Gxd>mqa2~a-CyXymG^?umU@!xw(Cc$vw+v{+bZ%_FRJd
zW<|-mjT4&Po=b8|=9%1$II(x}=ib&c`nwK9oOr*8ySG)xcvhm$lSvnSk`-_F=oyI>
ziM~uqRy^FJXDC)AmMNz5MSYWA<~GYV8`oV|B2Kg|u-tG#|3vD7(+LZoPkiezeRlGR
z_yyW$3{%`M#1!-V<SS8Kb53;5R;SNr44)jo6uRNU{1da5t@eF;qj+I9kMQn*lC>)t
ze_NN#-MC@1$8~A7Z>%rbig_drP3}jWIJ!uhS9oSf$z;p6n8IB*uk`h3?K<kxYm>3-
zs?1?&rMqmay;=9CUwV7&P_)9`M4dg^7v~;xO5@kPuyu8QY{~RaR>|EZ<~o+=u55SR
z<$ir#*`AkihmV+h?^^#Nb^Dfg=D7#HS4L&%q?lb`KF0ltIb-6-rXJ3!3lS%T7qs{I
zr-%ov-Z(+ufnQo}6VnU9x4}<&FDzbW_a@<DQnB2(Lm8cR%gPd88a`(Iz3R)3jT27#
z`5$n4pR~Vcnw0*JBtL&CwNG3xG>duS@4kGQ)SaMfe0)N#!)f2QKg9gC&luJeE>AyG
z`Qw`3c`3DhD=rr=b3Ng|;Q86gA58xG2b^wCoa~^@D;%%z!u7CAoatT`?#*obtS+2>
zCh_gUOVMEYO)Fo7CM(YGj5D67c)LB$T<p{QjHw@+-l$d`p40wUyGnT4p8hL-d~4f8
z6^$bDU#_^?$G11P@1Zr*-sCKYWwLv-b!u)e_};^Mlwt4s?10MBEyu3(>96|I*vWJM
zN5<Nf{PBVrbGKdj9vqcl^C+7=*TAQyc>(`c|F2tH?TmbC>=*VfW2<?&)c2TEo=|Ph
zj1vxv{CmWoyt%OanS@bK#%9a5IWo7B_9#fV+ZpRRofFD6JwBn+>-L$-3tbn=B2qKt
z*T`-txUcknik<m$$4&=pUg6&@TezpashsNQ9Y5pO2jBT+v!y1S^w3Rg`_1!{xx`B6
zN60mnWX0*z&Rv-H%gJB(tYOXU<;IB%*-~UKs1)<ufBaIXm?x6a<YvXe@71#wWrxpX
zFu5w@ypCaR?w*9>Ez1s0+C2M~_>$tD)>vjM@v!4u_o^3s-m<i8PsXmaRey!8?i+jz
z^A(rie*df_aU)xVagX?$>`OiocQ4Er_k67+tX{<SBI&N(SJ8=jhGP4SFX>#it5IEY
z^Vf`DW^U7GeS3IX;jE$9zO|QA+d9vf?7Ac4d`~Pku|~<c_t~uE6PAm$ecztoTU_}}
zqG<OEt;fQW*(F;unTt-pkUHE_)x55?Rg%@xq-1WQ(&@H!<~~m@UEn>YzR&1VO|W&5
z=nJ=E9!b8E$(H>0Z@fsm%$9Qhg6=bkeHSmUJ;uH7*2Uas5=B#9mI-rj>U+s|*rm_p
zZf1?r>zQH_YM<7;)O*Z&^L)wnjT7>Hzf0(!&{<x6*6>O1h1z3I&lvCK*Em%>awk6Q
zYkt)(*U)Oo{mURKMW=>!0lP2n4?TB&N&Oo?mxo12M4o6}*3K(@bKjSajT5|m`e#K<
z;Pvh9ZQYX<l~kj2c!r$u@d?~M{nGj;)+|#$WB5eka!|73>{;`!_<Y^lFz?dDm9nK(
zQeyA;UTn{h)cGkAx=J;QkNYvJly+2NpQEbV`5DO<K3>>-tm#twyyn)cieEy%?AaEw
z&1P>xRLlF!EtevGWVz|j5_>pXXm3iN;_BIPW@07MU%L8SHLYKSCOfWoS})1%C0cbi
z;=-N_TFH(do%1EQqok@XZ{eSryCv%S@}gTWbc3z01bs2nIdlC);?nXnhAB%g?LO8t
zulrte>%4}jo_pqEpKfOMCMtE$wlfebT9&zX<APs{OVx~?JiJ&XENS#CgIOmg`pM0U
z?YDv=YrafORJz}1cIc2WW2F9;lXKc_#D3kF)2<`=>&Tq;KZd`q9BJ}VxV2`lMz3sc
zW9w_aRLR;johR{^!;0mMR%Om!wUEDFyI{LHm$LCe$31iByt{ef&+(0qkM&!op2?^+
zQSblu(6|2k5vkHexBllmK4Mk5h5h}Z<-2+Ej@if9*a^#=`TgYO-*1o7H=b3xyYg`L
zZs*k6AIeSA?z;0kYTugqomss&OMF-F;*39ye{{9yZo4CAnsw*K#Z~Da92fKk3+BuJ
zyYtws#p?G5ah2}^-<SWo@mQ`abV=6yy}hq~thlu_@3F?O2ikvnb?zQ6TWuZocKP-~
zwQtGQAHp4CmOnl=x8%<J&@VsUJ!<RdzSVBOa%cOdPXVmyt1g!rFPeDY&-zS<TbWgD
zUZ0}-ys}w^T{`u*Qr`(ZIdED0=tlnR?Urpef?1v~lTXZ8aJjd24%aLOZc~Nk#&ZV8
zCuBPaCm!V9B>2MTunQlvuaQrR{)Na}K|iZaZpt{9Y55w8eG1B4wQ)kGSGx546Rt}$
zpGk;_mh^30aC%X3FY8mWx#>Dj)Gm}HKU{4;H(#e_{&H>~=?RGr;<J)}{PQ#JY1Mya
za`{M84Ck&BGR|fUu{k;~X1o-Nn9;lN)6&ReO?)%bq`AEkcU_6NAaq$K*>R=M^n-_F
zy6-UUx^tzEhiTWLh!c$qb{=z5V~ovAa=gcU*7*1YNyqSmPT3R99m1v6jJRJ&B`bzE
ztTXjV;ka0OjQfju$=*aKOLy}b$rsWt*IZ^>vHhi6vZKDA`Ao5g-ST&NxU-|5=w8-6
zb=vU{>-t`6?sAt1(ULwf|F|t%rCHu{ZwvXeL}&H?hu`ZK_gNqOx&2;oXzsu0_j?cV
z$y&*%{4aSSV{7|*p04OC=SzpymgleZa5cKO=^n3ozeVAjAMz$&v*k>yN*>P${%@97
z^ZCZJ9lN4dEZx#SVf9%~OT9zyr^qhiWS-q-HoxT23}HX1`**I~s4;S~DBQE>?L*&^
zV`@{+hbyiVj?G9r?tF@`sM_i8?cJNKpVSn-st^q9ogRE)&blezi&mK~?<tD&TVr`w
z<6oBKR@nkO?Ncw;Jn-IXtFM(2^q_QVw`+M$T-9&2cy+DJ&HuebKV5aYaJ<fGotA#p
z1$WPuh$Wfb^8-#?@0hnmvr*u9l6};3^(Wb{UG#6gP#5{MHL3pB75@|0k3M3Edao|B
z$+YRkH5R9R3RRbd>zwv2i~6$g_}><b*~0M)U&`)n$?;ulA+UW{f53_3j})R_sXyUn
zEi_ohF0ZJa{6p)TcTLNV3Br;&vwm=H{2}$ttER=tPJf@DcM4DKn&(@7ZQp%$!Lh%E
z9I}_p7P<-ZEzRHJYfyf}{KbZCoLgso@U_~K+qAQPzw7-svD3`fX5`qptrP8^zFNCb
zBKO_9{P;P-_m`djTN~5y`H}S&<*RM)4|x<?<i5FgY~rkmk9L1ajCTK|eDwQ^$J_dj
zC?EZv8654t%Dqeef_tv)N?FZS8O{4k3qyNPT;ln!aQ13w_neyTU(Pi=<9hy*Jx=}R
zE-k^!lmFTEaBp4IAZsMA7$2>@Ds%dM=XF-<SsTP(D2sdwT{JE3Q}u~(Q5V@VkD8V_
zd*bUm&Wgz^PP_cM|3zVa`m<E~KQrt;9uMePeZ)Uw_WTL!Pj}A0^i9Pn>`#x~XJM|w
zus?IQ{o?$2ODfPmehHtZ^@kmk{bcG-c|JanbuKAj?yv3pWoC!+uUhlMdwa+)3rm}3
z)#dVcpFIyTTyB5&)zg&1V()VdyKbI8D57M^{8G)Hy}03tjH7wjFX?&bI~^l#{NgAq
zoh#8Z*Is_1!1a#!3vBz_YZSHXJj<8wyAq|K-u7Vg^z-3*(E`pdz5Zuthln3BsIq7b
z2v(JPA!n}`F59iV@$Xw@kyWdYtj|bnYLA(lv7}m<qt)tSOKpz)LWS$o4rh8cwa2WL
zaP0rd`O_&*Ms@njT%Z1(6CYjw;(6%HjMakf!K?3=D~9X#EfnPp-?J_1Pn)0r2L<^J
z$1mOKW3Rqmv-Q#TFY51q?%Wk+C^Wmx&M9o&@w#?fH+jWr-JjEPJb!UmS}OmMix;SA
zX^JuFJJh|Vf5P<DEA1cD-gMUpNj+Lv(fUoeD9PmBoZ6N>F*o}Tc5mwsSg}f|{z&!C
z{s~hT|E<{b=r;%J&nZ@odLQCX*Z9WuEPeh*?vrWcNA;>x{wGc?eLw$Ys{KNN@b1Dd
ziA;`t)AwKC=4{!a;J0LJol~42xB5lxIwwCnFaKrjfBp)b-u-C(mxlQP6Jl$*Y$v&#
z=nT#b6jIbbcDY%0bL#z#>3_DVhwOQO^5_42lbxTu=QWltw|V@&<l1ywXWv__ANjNX
zZc2Yr=%(|}lfQa?jHT?)%q<H(y-tnKoV-|m(*H%P_e_xq{^vXW=lptBOaFiE&wl*2
z(M~`7|I*FGb#FfCU*5H*?!f>4ci+v<7yRpAH*wCk<m=g;(;A+IJlhv@e3jqn&dbcR
z0)Lvu7Z+`1HB?-XS(ID7AkpO1@k1*veqHSD?Y>-Yb<^oiW2USRMJ4C`cwVI6oAqdx
zRbiS#x0C*21^MR5Oji3B&kqb%KF9UquZo+F8vB>B<N7x}cf~Jf*|OnN!1=EyS8kjt
z9?ZO}{QWuhmn|FXW$s%%`>}EPDf8wzZ#F(swY6UG`_{+V!k3wL9rwSay}Ouc*KO}p
zueb~H9IjV-TJHU4t-oORAC<S6RSw&$JtJ%F=gD8FdEarhFkaw-jlTQ(MfyB1vh>~0
z@<$pfFF3tdM)v%fhG$ilReHNM7HDhn>szPjpNmVj)7Rt6*miyXyR+U*yDr@htZChx
z6y~t`o1A5Czeg=&8oNpA``|kVr`<2!5G!@z=s#=Qb!WRR8Es$f|Np6|vM|!2|A%B@
z?$jMN3)=to?1?!XBY45N&MjuPXfbovSL01TtqM~e_<u<j`ud&WesSkR!2O;j>--#J
zL@#V@yzXlz-@Tgo)|>f$k1W*Jab`F(IqR9On|gQFkrl$%SxegI2WnjDc%54Or^jNp
zs5whX-~5S_v)}w=oICwOX3^GyWC!^lzm>ydmGihVdgo7EyGqoY{Y$5PUyhmB_7j>`
z2it3&+>yEvbTT7*`KdQC_r)$e{N#C0jQO$bl-ta|s&4xg2sakGJKWElooKfIJ<p5i
zdjZKmO(GTMd;Ie|ds=U|_JZrdb!|1P?&`kxu~*ifpY{Dj<E!)|p&NIE8~wQ(cjDR6
zTE^J--zT2G*72J;YU+h)F=0A9FI?@Fwd3!~PgwiaIO(U_HjM?>g(Qkf|0g))s~Eh>
zI^xW@cgm3!!fP4#&N{L}jGz6>ruh@6YVUPtih7y;q}cLfhQs<FlB_@1ZMIlo{`b17
zc>2*v4cC54ZhZ6gI8&8T=S1;-_Af2-Cr-7S$MGWAesMssfH!lMdShUyfIpjwX(G$h
z&5u?#=zTHf3N?L{Y3ch@@@Gw)&gAkb^CwQtw>{0g>%e)9kkD??qFp{oM;^^=IQPx?
z)6b}nYhu2u8D<?R{-ikPNXOC7jK1HEH(h1@=;SbYvP9*^AivvnZZT8E_1Q{#|DQVg
zY1bZ+3%r~>H<R^Gw?+sp_S++LVQHP4S-fuC)WsL-&5xK~eYCaVoqxiK_A9T86lWip
zQ2bD9XT~?<qEGkgo!1>XY<De8Y`t*tqq7ay%C_^bj9s^DV$#+<{JX3Frak@rE4pZ|
zSl!Y!%d0olJnGoa9QE9OcYsDm`IAeR?$>Xuxm$0(VCKJRqFkYm_BQB!{C(nR>7!ap
z<)8BFEO+jTQhtB2CF%+H8+Jz9$MS_Ycg=~=o^Dd-=4a=9?c~vo_LrRW#9lK;O?$F9
zDrdsPU$sYWYJFT7^X<1J>rb0s9&wvl++wuN*h(hOpSXUV@a!j>kH}}n>1EpSWh~zB
zzxmUy8lemAb#5`?0`pHDoj!l!)bRSvGRbvrI_po1?cDOo_|s0Uk27Nqs2ZfK&!76e
zasI@~#+SA+R6g2wHsa=#x1R*(8*pT=I>Kjp<!?`fUY8wz#_stOyH_7Q*O13|Ysd7>
z%18BnZuxw_H*#mpKcxld|GxX&nzb={-{W8FtIWa$bQNPCykF_G&2Ns}CD}Upola|K
z2wcjmm)RK8I!Ex5n*Cyh^)HW1c=j{<<msq$JTJBE7f)C#I@xL0<?|;_hfmn-H0yP`
z$P=xUB9HLjk~e2r8LBSP{?pSGv)qUG<tY_6zd5p(Of|J$FZ?WVwQ8>T>&s5RW?3x|
zdj8F#z|Ui*b8US3#}tN_cP4PfO{z?`&|mUu+kJ_i*)J7qZ@)gaXVx6~ptaLe_Fan?
z2nxMjDrqj>_9b?`^kg#w<t1;zPxIY)t#{G7+}Qcof%?@VPaZv7G$HOw{G^=RwL2`9
zT>sNk6B`$!l>O1TsHo6Vdx<G0kLAg8(+;1u4;1IJEX?$n{!?<}n$*5Mf`5AU)GXYs
zvt;T&i$}ZG?66s~{qOeLuw#X;9`Aoh7RFAUA$=*j&MofVgz&a4x6XH@&OgWf($-#C
zfBF2?PF2^>cRZcC+iHoqute#`9coLqa`OC4s?7Be7XB4t_h%jV%eeaa&z>%R`)k6x
z&&HcReSOk4;oV2$N2{U??U!^5KU#6JQ`$M|-S@x=!M}VJZQrIpc~ZL5a!DrV))TAi
zy*<i*);>v%&g0G8I6rU#|NPrdR_EirV`5eF_%rwZ-#B6QI<fMWE$7akIHg^l?lJps
zPsF|~-3j6Ljf%>9wU?~r?0L0jhxHQPIyb+4EO&)3nb|8(>waGC{Oij36XDmpemg}?
zy|Db}oE`Q{jt9Mc`}S4s?N-IP5AW-oO<e5U_wvDqvPmLeqK_|{@a*^E{>M@u6Fl^P
zt}l1|_Q+ZB?){GsHvQ#YJcVZ|Qy%Zj+W#i=rusi`e|qKl{)xp~H&(d#&98HdnJn7h
zR<d*c#L50Yjuz=oI<i90`-#cB^dm)uKW4<J{*c^xYfpvElAE4AS|3|t+<!=Jlrivj
zp7l6A<*D?k$wfznw_SYt>-N#$Pn}o)PT0h}mVL`4tv4ILR9G!}|5JP7>Kmzwx*z*{
z=kSzNdYo4=_+*;o%F($l-%&38sIlT&jy?CEe+gfg?)+=#^u_zEpByb#yjpEprC}$3
z$$#&|V@0Yzojm6MlomN2nzS_L^RN8&IVpQ2F1gpaEnF71M`gLaz4Eln=T0l0Jz(Cn
zSB>Rsp<BIRm8)LQ-}r)($?;QP*XV1m_FUguvUmQH)z!93r2nYYE)8ofd3!Iu{?@#v
zD+y;i&7Eew{2Q@!zrbF}OH2QGt`7)R4{P6Yx&FM!6XzdmCzSn^th5xn&-ZdNOIwZ3
zUgh<<LW>pdP3@m@VLnrw@;&a%E&nUFR@g6jyw~)|RnsJ2kLf>>JDxiKX`Zm`x1{Ay
zsXb;(me#p#TjjQf`(@()xdA7_I=?Dz{UM+Ivu5rMflJT-MSc1d_tRjB{-2(v8tH!?
z`&xfVM(&((L*f#jy>j?e(b-G*>zC|YHRne}pPapNe6+y)6W4ydzv;9ssz&NkZk>GO
zPMiBOmp0eA`JJ<me)4G5<mnTqpFefpDe75%u1@;&wTiY6(x0qeb!6KFF*U=ajkZfz
z>)dpHC%4ZD|I<?w8doEC>1~}`Otf;@si!OEPdt0QE4C=}ZTgX-)DNw5SpN2`kxAbD
zwDhBK($9PMCM{Ynv~KO5=YIYdlkAnJo&L<Jx$FFSjT54$BsF*4K7Zom^_!iVyY8Ov
z_#FD^jL6-m=_0FMA6X)Dcjl25qUlRB9GR5E)zy~2sQhoCdm=K=t1Hhd=}1ymSKb%n
zPpuJi<}UhK=cYJY_;OH5^ZbdcR|`I0@}ltn*0U$4Pb?1Ja@xNC>61@i_lUgxVx06d
zX2!fl{K8c}>vYfiUyQO>7Jq(}RkP~)d5sg{2e^Z`STzRD7B&w0vTMHJ@vTW|U2(n%
zCoF$163P8y%w>A@(Ib(yAD2&j`sCGTp+8~j`i_3LHh<t=SksadyLLwJebuL`C(i8<
z*}veFx5kxD%O7{c;+$dxrZ0UVl5d^7bI)EISNR{+O4Ib#d0eda?1?zzdojAs&2b-p
z%*;jC|MW!k^;BwB9X@~JsAXYjSJ+QU)}MZbrCo8JNhwE7HLGr(KXGzf%<M(t!V*O<
zi(<Q`f!alJG4mG{|M{LAwoWR~<6-%t3dx^)X7t}Ld8Ybg)sfyGs+n<4p<8!ox<1|)
zSXOX*cK=b8p4q`)YU@{ga@*nRH)Z9gO)E0r{4hN1Z(Z5*;>yM~%?w5r9)|^+fAgN`
z?2A2Z#hdp+doiEtorDK|si|{kx1D$tAQ&>ORNDL-|KDRh(#vZ~Cb$2(YHlVc+?^K~
zdA#wjG<%1K*oQc^T_+Ar5IkJ0bhLHPi&L!;C6AmOrxY%XV%hX=p>m&GgtAlYD$%)5
z9k&FP{HaH5sALVTidrdLo?GY6$dKU9$iRiPp|T<`Gz5Ca00X<jkNrs7ag7WN3=BZq
zam_7F4QAe3Eqi!P?Spq&S2X%r)w3+iY()6IZcMYac;qL)S~O?-O=CVqL-!1MjRmuw
z6ga$%(th3B5^dLL_50nVyGKQ~-OGADrIF?6;oo!bMF*<+g}5w`PCDG2%oSI1u=V*)
zhL%L>OqLLpy$U~0{+1|aR6fenmc6nxspH39i>b+mpDUg$dK-Ay=<!FTn+o$B!gfmS
zw_EaR+Pu#9Pu7?T{!FS{n53~iSD5eBhp*)yPd<BcH|StnWyJbUbLo(eL7i*<_icLa
zee=y*SEijuBm^R^&+59=dR_6ts*4U%N6pM0Z+_Ije@$16Rx!(oQ}QWkA`ZGDr|LGJ
zm~id`-=mHRkB?M6O{krh|H|{~YTa!!&PXP7{?mItQJ8h^GhsvLEmJct+U(2Uyp7lR
z-lFQ?+YX#MxysV%?K94p-`68|yUfW`wUkIa9lNOfAj^y&o11><hJAFf`Lv=?%bi#7
z>+4We&SUL9ezm(wUs!+l5%8a~$2gH$h@buKx!WJBqI9NS^t-j%#h|<7%K437?&<9M
z^ncNb?%e^Y6K*^`e{v~9>$~np^Q;5ri;D#;kmmWbb?ph6J>BwYaz>gTP0#Q)F8{!B
z-izHW`PG!5^xaJ1Ul@HunDTe>?|pQ%EWG>hqnV}VbzzZm`)zvumWBu&+__Py=X}=h
zJu}P2HTMaw)W6YhGx1&D!jA`-R#llEdhq-0n|~_H6Z~pfL%O;APfaU2q`><rP&iN9
z===Y!OI!HFPP{zU{Ofkg$At^usmIA~m0Wu6Plo#!-<c0ftOCyRg*s~0TgsGwpFd&8
zp5M>ym(?tklf5_V?~ChCJLcXBseX}jKtncCYrfE&PC@BYuKx>#FJHCdaY$|Xe|meL
zjzs3a%cWo3*V>9LG|KXOnJW6~6|?A#nKzWCi}OT#9$lkY=G0c!{@Li&Y0uA2FFXpg
zKL@Y`IOsWqwU@4*rV?}W<$=j<x$_?H<lZckbBBGmzF|$?{zrF<64K)HOY&~~o}X+M
zxVkRjX4fCH;ES7%ZF<SP$8-Bj9;U5+e_uF?t-t;}q~nc-(y1An4ytv&)8sP#)3otx
zLRjl6^F6ms0%tBrH2iYpIrpQDn|u6kXSo^pPdrfMXQ>sue#7jP*A?fcKXdG!v7tGl
z$zi+K2JxCdH5yOL1wI$I_%oNZTBrqW`Q#OTV~4Q+gq+8$k+r!y#l9_N+PE+FX7Y-Y
z^|i)dD;&<e=H98;_y4F=NrYx${_DnlLj6iUhgO_hWvb#7sw%(OJj8)}XNlrT9orup
zoJ%+T+CF8|CKX5awzw$*QbzGc6Q-K~eDpQ!QK**JCQ~+BnZ338W=kF(vXHKtdj09u
zijH|rVRDCS^-ZIHT}-`otY44&@MPvQ`@i!27Ad#wHswuhZY<hbDd+re-Sxw_P4^|-
zcFMk^afx%z#niGL&vn(mnXfZH#~8(w<PuqVez`vD!P6a{2Y*icd6I9U=hDM7Sbn&B
zJ=uBMwl}C(a^2($FV{^oS(UOn)AT>bJb8_KSF83v*c7|#(~;P?g^FHxE)^bJ8_V-O
zEAVoR&V3=duzN}xXTC2It@(NPzt;B$2UzdzXjFWhoi;T&lRuHQerf2xC)Qc+?Y`5j
zXKq}3GUwCEYhN!pW-RvkYko@XN!3c>Tb)y%xh%BYYEgcxbPEsT8RG{w9`44S9*bWn
zAGLG%>y~3T|BTqdx$oWQ&3?LQ5&MI4VkL!q_ovid+AS%QEWTi!fwDyZ&IGd;MR`WA
zA5St4;J#2h%}Y9APwVzB9$lTw#oAFi8Pa{NtNa%^<xJnne%w)zTmMmlAm1-TAD=}&
z$4=gicKP0Mq+&YrjHuRvGBM|KHOE~aXsHPA{+>|#cGX|g4PTqh5-(eB6aRJ6YxmM0
zS#gVB2<=|JVG{E+me`M+J9V_YUYc@=Sp4lN7KwX!HSkv{x5x5^H_^*DH6N|~&)(j7
zehI(&vpGs-N(MQ`Yc!U$I2UZZZ*<JWe%J0($7h<hM9WQG_k#KI!^y_S<-O)V65G@*
zxzPC}N6O5?+zmHWY<@JP?+!R+e~Rl~c7m(_>+ihfuh&FvXE62THt+k#Z0$S0rYgtm
ztm64^5At<&SIe}%Qu-%cvVDo!msqvckLK-JF<bq)=wh3Rt3I5YX(+}$S*)qx(i*X6
z4u=&pZmeDC-n&hU&3gS^30c<*A)N2S-tJ-jsNF0yW5uQ)t89!eaZm6*e1UJz;ays6
zrbimh?tEm{P-FXe@Lch^553yz9?hmxk2mi-UT*MIZcg-qHM&Pi`=t(BEk3@4;nz!+
z2$8b}YnU1D9q}tU^0)68x5paJ-`}m*_+995>xupO$o-kXZwb$L#aA1rasOL!rnR{_
zcdPQUbguSQH*ywkdQ-o2N%50kWy}>1PUHyQ>+Tb9_^~e`EvZkn%cyHs%#P`q#rw8h
zDTuCXE{izzDtCVGvV>Rqub-_hoRo0B@|4G!{jqbO@cA5S-fm;bDSTvR+41ZrdI!Hc
zz3s~4w+}A-{N&QtyTuD@ltc4Xz6hDkP0QHKo+y+wOMDyq!WTUZ0{0K}Sh?2LEt+!0
zSYEjxy78R-S*?r;C*O7<jq`K1Z9BVh)6rwozaAG4xx!cT_q=LKqSboQCy&;;Z(4fo
zsz~tnJkL7b+c_6K?F6KMYk&O}zEExU<P8!$Lirc}RWJ5TRr)GBXY-G1+EbNh2ES=c
zo18cAz!RQ5myd*hWwo-I#QM-L=iLqi1OAIs+PXG&9(y?@m2uUF4aFSY9)aq||452F
zkUzAI=SoxQ&j~kgh6&v`zS!o<&eDf_r%L}!(~@|8?W$If#?n=<uGahu<J^CFK|{*3
zdtWz&zSwjA%>Q^zl`Y<3#&39z-1RZ-y1cyR?ODC(556bESBmMbo!7HKe*4QyX)B$b
zPw=;DDOAPqC%?}+f7>x`so&Ar`{dZKtT!mnTeomxbL`)0t)+`2=d5Xe(5baN??+h4
z5wYo>e`j7)oG<ysX3g%a9VN>$mOs4Ax9rLPo7qXhyE=}Z&lNIfFBdzi)}!wc`c!4}
z{DLrFk>-~(6gJFXyJ6ibi{m~H*V^Nr7yR|G)L+<t@0a%d58L+7zp++c-)Gj#|Isb|
zoqrnE@oI6goNy32#w(@q#U-Pn(DU#^3IFB%7dpFrmekMYXZQE+`8l6g_EXf2NB<W-
zyC*YS?Zj`+*X}CI<^M-HzSAjV*j=l?PiXa)6K+~oTBVDwt$Uzv+av#sU86=bJvQEh
zPi5!58~&&ESI$~6!SGSux35RU9a?uUIP}7cH_Y?V<MN-As#YzMKk;Ve{pTkmc~0$7
z+IKNTBB3W+V*X#tNmWK?r>t6~HtW0g+t0N<yw@-8;oiUD^1FShetTypvKq~4b=!N*
z`0SOAElyc#O)aq-Zl0AiJvLd?WlfCa<v5ol*{W-%8#6D>c5D4I<F4gRtNi3gm0VXN
z4VLOPC`_voo|WbDd){_MtKL;FccjeWe48NRoU)zu%A7!{^8T9@CI4)>W4;K;TE%UB
z`D~7cPWd7W(TEbYdc&g@ZtdS@Z#s9q&UVk{M@z+6Hkfq$H)@M|l+?++rR;~b^6qPw
z{?vR+THaIj_i)aNC(rbDpZRicxykaWj?ZpS*`WJywugwO|2=16i-V1ic0?U<Hl1^s
zg>mh(8v@A*#%$~M&$Qw%{rouB?S{aUjc&1%nSv#oEdK=fOBty@Y70JYt-D6m!}zCQ
z3Hyz24(_hCN13Ez_KHr4;J+Y!^ZN89+fB5MBR;JNez%~~AU`>QFX^qlsPBOpB8$sj
z7+-&0QoLg6+v~0SUzJq<oA>_9I?uen{435a%={aqy|bk?d4uz;ty}Vn4D0XgxSjRr
z$fvU9^A4-6-_q09F}>pcx=EAYUI|t%UOLfc6HgKE>u5GxwugIbx{Y?eeIHd5cYo!v
zmPy-Z-#yY*w=Q+=rk<49N!g9xx)@CLH_i!L>lL`<>Tip}){ctMWp7NB6<-V94lit&
z5_Leh<jV=&$C_2YWtCTZN1Z-qs@BY&VRBLN$`2lQn*gb&i%Oo_^7KcZxm@}`{B-`+
zHJhumj|Q!%xoPviZMHOnMvE(VWxvGizNr`V0-MkOuDa7#ec66b(8d!EClu?Kz2V>b
zX{Gr>+4YD0&P-9MUf+Ccx6A#{2jxDub<Zx!ZDH;1FmpP<cRVCD@Qm?>b7r3&<b*Eh
z@lDS96TDaA=;SD_i(i*3dCMIYi?sRe(C4mfc6J-v)f~@IC8p)o&v~9s{w2C(^|AWs
z`gD%(r?R)zhKt0$-7<HN=e5&+=GF_&`W7tZz3b<qOOuM2w=j2F-U@n>l<+!|@AkF0
zn)^?ueyf~dZKum3$}PemW%>1C`KO%JkeuCD-TufN)63F($YQvv;o^zYTn>T_sc9-5
zxo@(MT|cEHYAiUd?sZVdJVSj++txYtJDsB~&-bt`lG!DAKkDr@r(<1-`O<w_D|Q9E
zSuNl?>1c41!l%EBkIm%ix%+ja_$}7=3WZL(x25{kMOHbprHGoZ+j3*=-&ZFR4+iWz
z;Zn!bUi|ifwB4EnpYT;T+zQh^zqoJBf8DAjef_65Zwf!|QxEa=wwn@D{zc<5i|oUu
z>FVodSXIf^i!6CnpXvTlEa^Sh!Ck3JDK8}Ze<XVR&Fs3<t?!;>vfnjuYRA=v{;cCo
zOBX&q<|p7Lv;KT~<{34<w~rr)-*HyVbr*;flxwVdDAZlO|NQ+K9dgaulP1PzzfQl?
z@1t~hHfM^3)ThR2Ef$xRST(Mn(hdE%>*=~vDV0v~Dz0<+SxyAK^Su*)MeXdmT9-<P
zTI0QWcXedHG~IdpYYh+IiGL5jC3rcz??0e=_R;F2zFl2K=@)KBeRLM=GVo8h#Bi@~
z$Ma7!I`5c;tE~ECcZaK@zaZJ~j<QTrNXO-~9|Q89*Y7>~f8p$-A(j4)`z9a1Zfm+e
zaMdf-^)(x<vu8?P?{%zdN@|~d{r2&_Csq{QDm5q-OKQ<O-z)L6`;k>^QES#brN7#t
z?sqm!u2<E&HIwhAjK|)Sb(dJT9WdJ~mT_sqzW(<XKD#I0*j)SJbJ+8VpJglb%D;Ve
zK6PkIb-Up0t2<;Io+h`e91mD#Wg5c&r`&hCwC1$FKOeM$r_YJE`0-lT%SVy@WvhST
zXRj4K9&sxt<)sJ)eYejEu5IvplzK_fF+}EyFH7_GiEFlL-S|)yWqfk|)b`fz*@vog
zzEp@XuHC@)=@|2^Jv<K+oHl;An(9^D!l8P5gWC6shF+VGDfcE=ZMR!FyZE@r?iD9)
zPhHi&Wzwy0OA2e+m@4ARZ^nvLoho?T`FPQR2!(%ztbgV>_KH@&5ty#LL+azle>}_W
zE}ollz5Dd3#ghyrt_jW&xi$Tsezvd}d-c|p&7ZA*MJCMKyJB<Fg~mrSSgzXdniA>8
zI^9ewfkndZ;yX1_dGGrF4azQ=U$?A$rpd~}-7@|4$Gb_(%55Jv8Hp_472<yN@~-HE
zY8pa0-5Q%Gd)<G|l`5IL?Hj+Gb?L?JPK`%*=WhSJ`04x)Hgmnzd(O;@Wu1L?iDl-c
zuB`$N^G>oXXPG6s_K(P9pU%%b7hLcB&p0Y~Rb)*Rqx{rX{kMz%Tul7;(bKtqZ%~_!
zZ<W8*IrSQot)lzRO(>cl(zsnj+{5l}Nr^pQwaGOxhtuDe&aoCVV`4hv?`?2L$4}Fk
z<7)BqgVP=!a<0`*dUIjd>IYn0XBFo9mwnUTvoSJb>aK8ZFV4Ia-9C=fLa8(QFY^cX
zC{D@0o#vJA{OnNwq3s+mPI_1|#GbCqpD3asm3Fy0?()3037@#vh*zxLv-a(3KIWG<
zbCeWbeQD`=mlioC{LW<KwV&mk&rFRF*19_RqT^AkkP`}po&`5JG`E+=y1M;M_FQGW
zsfFtcV*`J^_2rAVUrgX?ubEiaqAHk_+?tg1K|xV@)A6Fck1JH{x)lxfrp`_{tZpaC
zEXy{br@(*XEcVnJo1&hc*SUVO!ct`7Vm{A$$+)DfYio{oN=Ml0nr5?CJYZzwoWVI+
zHGaDJ&XN~P*UT&Y@SyYGflYkJlV^UJ*7VHvX;4B%eCWpot3U1CzGLOuCq92tsy#Z+
zNix>_KCfzDx!Uu-#mtqVGfQX96bUeX-FCOf%4o$4wz%WE!Y?&8b8xEFZl8U*G5&?s
z&Z+j_9WLKHt=@InPIb3}WnJak;_Z$+I>!w%kL<B@DST45T<aI(>yMk?|Eu>EbnZR4
zrDp9J!(Z38RsC6H7+B|^uJ_uT?}D!P{lj{n=f{bJ-PyvrxhrYC)s&|q$Ltg%?su#b
zx_{r=b*A&t9VaLK7nIrdSLIse`VBMv{!9vzlv*JAN_XGN1HQ?YrJJwTG#oh)*sZ?A
z;9iGQ#GPd2sYkjC*Dt9G^_g34*e&|_1K+3L-Bxe?r(Fua&{OwrR#ukJ4bJ5;C$rq=
zyX-KZs?2MU>%vp)`Dpjz=aI6OK{{*K$F_yV^c>?dYPl@c6Md_**d-`7BB)EZEb9BV
zxr`G<=gV>&d-CH<+M)w%T7HPdTnf+XTW@;3=&S?}r>&BRP4;EB;KTdp-DY7qC!EHz
zclVo#5lY+}9ion}IKSOU#h|hEmCOlEdy59a==;0FH(aqQ<z2>bC#)z}%g97!!CHq?
zF1BmVIR?-Dbze9AZ1AtsiRDkU1@-D}n1qbJ@7<lC8O=7Oghkz6V@upkrk8fU0#}~*
z-BXd1X;wa_rei1AkudkLo!cG9qNi~;?=Li4Sn{&%`wCYXV^v3m;6LGRwS0+H?dBHI
zm+$W_`1$01<2^rD-PpMyPt;mgG*sQHlM{{QS7y`6p8K%d>XWvUW%Hyr$KO;ir3uw=
zuATO3t-g9~<;REliGkDRX6?EBR<D3bDrn83{QXHY?Z4`rO+R?Ld+8@FxlECZJ};)+
zh&h!Tcy(TecYyo~%_(QaQsiHK;n;D-D)gz##`2QOUp`!%b9q@OgWS!(|DS61x%hsJ
zt~z?URMI}%=tAOGw{_RnM*MGcaoDnPntF2bMS*~{P1^(Ru6$?zb=r||)*T-2KP_4F
z?zJrIw11wtQ76AVp6Hlyr0b4TmAPqz&hdi+F`H#?Z*0q*&6`%9pl$CiBA1~dDXVd%
z?8V_{T7TYTC?B<WaMP1_s@mJGPxHIlr+ain?)>(D+o{Yc+-YH2YjkC<hsUrs?Nj~p
zGCA-4uZiOQD<3BqRmn3i>uqR|ov@81!1K1z7w5Aq{O@kZXZEgtvul-E%jX+qO(&K)
zNC%`8f1Cb!^Tgs7k3$RAO<ee5wPJ|o%}IGu9mOxEh)v{SPB5J`;r(SxvCch_DVfGB
z`@=qlol$(elzqaDgf8Vz{_o>GI|LN3tT$V*Yi~kh=nm!kXS-rD>R4LMjT9<ZuzBcy
zzbskay+%Q3(SrH$+)Lj%b1j$ln{E5Tt4DC@%EKHtV$DnCF3(ImSK{Q^yJil<ma2+d
zZtgepZ?*GYxblATqT6zJ*Gj&3X`YyLc=85yBj(uu-37^c*`j6rt`k_8r!knGby?WK
zIOD;s<$EshO@9-4Tx}ljyQDb=`qLZ=ta=<%FSObydmK;qeGu}feE;kK=0!6@Bj;b(
zZ8dR)%|}-0e{452K5Tlvto70(hKGHcQ%`<c#VyWf<e9otm0^nOH{V^>2R!$`o_Hcz
z?YUVo@BjL5>eo^){Z`sIds=b|>tn&~wni7$%-wEgx$t8Ad}VX4yPpMbuB@(<l;SGC
zbhk&f@yY4Gm#^|q^<KwebUuXTbN*far$2YE5xM>3oc_1^wSklJVg>*8JMMFIT=q3C
z_FM6}@1h(PUnj4N?3-83aKJdBB_=uhaa&2HS0Q_Y<wWCEyY~N5yBwA4#qZP3F25pd
zefQ7GE~YteKK{BCoqJ(z8JF1(GqIUfw-}EUPIdd`bfIN;VgBCdnvLK2zb;vuHhKI1
z>jxbdt!i($KKbb4CCn3DXFk}lY|UG>9SRj6?4<p_Gx7Nc=<R2kwNJ{M*Ly;u?xQol
zTkHDvpZUx>=^4v+>A59NoDb&nc3$)9RTJnox@dfPud+}n=Y;5J`<9uCjGseGX6U<h
zWHp>pIsfJ8o8s?H99^?6+HJL#{WkH>zXpBbcb4l9Z)S7+FTC3&r(E}OL$u$t?Q=~t
zS?1ntNz}QJdvwy$<uNsd+l~hMO<R_D<L;!L>z>M1hda;YyEEtOOo^Luvu*@+E?egi
zYb-Qdtu4yr+{WTtTb2lISN$7oR2RhTXX$uLJY}}noU1kBADT3H4?fT6Wr*Fn{KXBs
zqs*TaZr!(aa4;-Mox%BKdc4YEpJV0xZadmbtyLVmiaRbH&M4~bY%+J>&cPeHhGG3S
zzm>bH?33$^+V87O^@+bN!X>phm*o%hqwK3@jGwnV?7!)<u<RH2lVCIXxz8pQO9oGT
zw>c#)AY;ewtw$sk%L>^)DXMGaAGG+eyZ2Mo7DcWTPgs1NcUMliwDhCg=H|30!Kd#e
z6+;teHy6JN?%V9a|4_tlgQ4#IbsP(ouFQAH+_Lp&rpK;d9~WF|Deo^2W^CyFe_ZIp
z#5A$5j>{MMyR)wNV#wihoAdY7wp*JSKU-99(4A|*C1BU~@y^nRbCtvAUpJRpCaN-h
zrbXLLy}w6Z)SW)>t`wBWAGM+8ww;61blugv=Y6X@(RgTv?c5s)!mmsNRdp9N?W<cJ
zo6GRH!(y}0@7~*%%Gtiv0uq+*C8zWJZdK1IuCzFLQR>{^6K{=N>mLcT=N-&YpO!aa
z#>Sbe9&7G?bY*R6ZSeUzy&o?`E@{lrWPkNDF6Aee`JDA?Ju_10JhE^q@`?TbR>8*g
zVdvf335ic*zn_yka3}lCo>1S*p;I=nCzhRmWFvc^aaXReK}qHrQ(G3kObI`&zS`d4
zr!y0Oo}FshZLCo6ti~;5BA>2sSoaRIC5N{DoTvDAk;6rQRfa>)JN;@oGF_9p)<4<C
zWn}lU?cTN(e-~9<Nf%u&aBP)Z``(V~Y0tzfGb-vF9?pokBwlzy`K|p%llCQ=jT_mQ
z7@fU8Q%on@V!ryTmA2d7q#cuwcr45j%A(^qcX{&38#h)gsZjS?>780=P<B7~+U3;0
zsvPRBZNHD#X2$%fwaHL<$0Nq*^tiLGW-X_8QI_|$zo(*eF23^EwM2EogSVRJ74>{9
zCM`I4Czor{S($#TAdNd$RmAKSmsTVOzFQxX7XNrbMf$V7D_W--glJ50HE9hE2~BBR
ztP_7;yjFGUk<)r}J4|m@XFr~5y?H{w@jF`|F_x6_8%Qg9pMTv|@hj*5<*Wt2V>hli
z^Qu2=w$}=|?#^Ppu6w(;ESr3O?{V(=jbdM1GW@4(TYu1Lj@gr^b`ws{e;8_$dY5yd
zq1%U~53e89Z?RFFo&9=Qio`;u%X=DPdib~`CpfsJRGzrX^~ZOvkHe1l8>(uQwkT&L
z*I!w-dq&#2Ro~6ICYwd<ILXmgd2`99+FN_w-`gEj7kePA@#`*^(nN<JOrfuQx3_=1
zduD~d#Fq2(_bTm>wb>Py=dQ9$JZ`t7Pw<>sfAcTDmYOrEU}8md?+2%!f$E<mefH^z
z^fvwS;tXDwGU?zH)lZ>;vHxQK{5s(@f33=z`L1<WJ_hZ`dKa8Ek85@255}^+f|+6_
zjcl!ff<Jo>#6SJ!T|M_lKJ!HLS<I@YXD4&}@oXzS+Is%3^)u!5E7p0K%q`8E*<PW-
z!f|xb=C-qW&UJ~>*Ft?8UF+9c+;ib;xU1k@!Kr-l+LLRH$92!IT$H|K{gD-2pW|1{
z{+PB*E9}<~&vJgp>-Bn#3+6DNFBYv>t#Dt&!opiT@bZ~0n&0$pg*3lY^!wKDc=U&*
zT2XbjiR@JOUlW*~JP>xjGdKK**8ZcDI4eFJo^xKiS$;#mrVnd{|5t6d^ND4*?}~S*
zY6(tCy*{&uIq#9*OF^xNU#@kfCHGm>OxLUKf1Sekm)m;17K>Zs{)o`<fZEfX;@aC<
z#20cr57%6|aYgVX!)TogO6iX$>aXzpyf|RV+EQEXLv@F&vOUZW|JwRT_<LSp(nP*1
zkJNWP4sfr3eDv;{1M^bT6~l8+Y+7`K<>FFH#aSP2cH}s|?p&#GeskaLr)*AL+ic6T
z*)&4*PfDIU_lK+WKSytq@htQB?Fr|+`Zs@3s8RWQ&#PA846})CPL4xK8OPQ=ZNj`Y
z0lKgHepoL`?D!k_euHJf#Gh)aH@#I;6wW#<f0C7(rIf)^zCu7|%a`ZduYV|roj>)p
zb-{+|kEM4fzi5-pOF6V)y>noIVcw(|=MQcUEy5{9hT7*gPFZNhRQh}Kt|H-YDi_P&
z&N$O{w~^_R<@@Rf({9{aGAYsX-gBkPUB;Of?`n-!gc-l|bYEthwqg0wWzEeS7As#j
zUBmmv{p)TquV$vT7c~tY8L#Q`vsbN&%9L`C@e+91xc~k_p`UMN{Wc8Vn>~HmRBQKb
zE4s6-?#wpYeZfiP-iGKs``-vmS-nK(V#Mk?E5)`+$1DFH){6M9e6vn=wnXNve4(In
z-mIz@);622Hl7xm+Gbbv^;Ao<)4qr_IVpwIdAY0`or=|~`Yt#83T{1Zdf}4A9}eRS
zY#Ua;ONje+=H-U+nv~Nw6hx*a-Y(nQyUXH^qvD$D0`=C9mTEArTGh7jzNr4S%9|?d
z*8J5zbz^?DNv!VY2~N_t{9~Knu58Yc`t|th(}Yl=O*Rw0@8WBEJ>?+798E5_Cy@_z
zL>8tQ);;=g?q0jYQ;qbpgWoT_^`0AfyKn8oSC<WbS;!bX;TK%Gj+^14p4ihJZ<;?W
zHCk4tI62&{=OXw0iFrn1{;Sqc%TRP;vP*2zmUZ4)`GC_(U3TMZ6WJR_CRDOFJDYYC
zg{yW|H8UOe<-EZ8vX<e=s|hU2<TWRKS+rS0QNiWPWs{r@rEhIB?|hQv+a`4DX{Nxp
zHAScLF6|YRR_ZzW-&T)d56_+8EGMx&Ph}Y9dHnRMn&5e9@pO^>2}0LjU3jYJru16D
z;=}*_IyrxNLi%rAyZGYM#JRiQd6#@sx;U@M$^B7Fh~Y<X|2W=7*2~vyTlMe#ttZR}
zb*}zY`}4QuUD)gi$IQD}+b(6z|5S2gUofkh$k~;L7bbbhnw=FkIOKV?AaUh1y~Pn0
zM;CavTK>>_uXFFP^*+_AIL0uoz*Ps7elGd{=>5cr7QYs+6Rj}+`g?zG+EyJtiHO(}
zN<6dttXKa`f1tK&)3K^WXPC?*md>=WH@R)=v9LB|efML@OB1EPe=u}=|M_oTwo-?h
z{LN<{HKxB@+PS~5+-pAX70V9+EHfko*lp}pJ5H{%m)rO7#m%1_Or^itc6~LSIqky@
z6Op&6ff~n`x@;2B)ceVQdD6-R{Urex#dW9Tsrm(8`~2p|nJxXw5lgdkiYHF<dDJ*v
z`2=rv?k0xkE0&$zk^h6gU}3I=x%`3~R{P&Ra}5mEoiK9)>ucxlK9Nfn#XRAkT)ir<
zoN=Pt1cUg>%-bJBM6Nx!@A}@);nO$uXMA#s#|xTOA2(lQvwQf*a9PFK?fxGQD_1^z
zIKg`FksnbT|DFmo-mX1;{mnz>C-mL&7YWbY#d%8dj`-HSJAHqw+P%nX@2RBPcpg?8
z<6mb#FLr&jSXL#a(tTPq*LBS=|L(Mfsn#rF(%#&8s&LNttwx+|sVUd`KDAi8^}Jwx
zxk4{UZ&uC9zw2*>A1o@+=Jubk>dR!`ymLqS>K*f3*X%8OTamdXkZbDoAg3I=<g!2&
z-fgo@i}}7w*G353;H-Ws(0StNudqW=&)#eDubXNyA#$hGmg2+Le#*3K1u^G%nDBb?
z`w723{wZc%<1{1XJgW%5tC<%#o0VR0^=UNjw7yz6HIeb$*82<YsO<18(%Wybxxu8m
z?bOn957<j{<C4C$y}ND`|MhI;EDr4lca)`HODhDfJCc^Yg0Do>)=BQ|9p!z}=bj#^
z;dJrpNXX=Gk(&5Qsp_}%yw;roMf1;`+rOc;h-cFEGWWRr{b#<+Q0Kq4P=5B+{9Sv4
zPDlFP5&z1Sd5`<yrMkpp^EP~wzI$)=pKcX?`6)N1`7hOM`plQ6a*AK2`qIC{UTmIA
zZn<b`crM<k8oM~Xs3b#)S^Vkm3H72=W^A80uR_0}b?TLSa~&l)?WdHi<!K3da_+TA
zk&LYP)645w!&6Q%9G^bPA#>xw-Q0^e&QV_a%xc}P9q!-m-IS?Yd3x$X?(W!yZVRrc
zo{kgPU@a`}9KTD6*YKI<MoIB|K?h<sCMJnzc^DosmYsG`u>5c89q+>m&*Qg0n%Zxi
z=KCZm?4v`_Pm>MT_&y$B+{eRw(9QZxVhDFn#VQx`SGPRRz5lb)U3bYAr$^3x6?!fz
zFTNNg?|CRGWy+BG#`7JU<>E8y$_uQ_Do(ZD<PLwJ>zRII9%qnH$)A^VXURC6sXO+4
zd;QFbr{pVsSp`~6s&rqzO+Yg1?<I5lvQpJqvwTX$l^*(VovYg;9`;~O$QGvNS6+-~
z=N&TL<(Thp%N2V6;;PTTpPw|6pY`MVOSS4_fsM}_)kBtDsWQ%d=NK+I=f3mRwF(yx
zhIuWVJhSsOlh@x?k<C*1PtUSl{Kosz_hoLE@rjOCoXv9n8R=#Ii`KHO+rPYy=kK9!
zi&7K1O9S7x$O!vYrM*1(<jI-X1%H*IGCa2J4b8cG@#H%8wBK5vQx$*4F5JJlLiW$r
zq89lN>?|uRTB{AL11ufSN`4BMS24Hx?E>4cVT@6~PAnBr6FBq4DJ}cS)DtW6Ts}H!
ztutJxdc|>P(;;J_1m1~}R_ht!xzgST7Ie5BmY@Eiz0cy8rqc6021jl7zdYiRuPl_n
z#s7AdEAKx+Hr~?m6<pW19QwWQB$G13&oW#4BcXv#FV~&&Jr<?qWvsJcX47V$tYeky
zc@DI0+y5u-{Uk5pw-Ti~zF!`#j@mX=@Gj@BI)h(k#-iI#aHP3&eT)>gTVJ{R)!E`0
zOHH@+5q2rdXEjtNwkC@hP3&l!SG2muoWsfW$NlTeH{Flfe4BUGeZyyqrBllje%=4~
zzO?w!v94>sPv$Y$zI~u1sJ{J*a8+_tvcs}{Vbgb+NUrMgdr;<O$Z8eFTE3`jiC(Ko
zPQzjsM&DIx_RHe8E;=pGtKODB*(}fU(Bvy^JKnEymkaqdNBx=hq35lW{N4F<HeS|M
zHQ8KnKdU4#bgPMRSIc7KV}HUA7I}K^aeJ)vpxnJyQO+cI@ixaLDF@6y|B5mEP`@EG
zXx4^Psw`KJo3<_5vMK$@@@1K^HQN~YJnI8j?XdZFe0JesyFHsYTJOcKU}WBQOw3%M
z^!?uDovznJdj!j$U)aiE_ow_jb9tj}#Uo+Kz%Am(MHzO@`D41JbNl~O@4k4suVuR1
zea1IY=D-S-?EfFunjCg2xf1TO*k*PANeAZSzJ^N6t$bhK7@xGXzy2%x-O}^-*e~~5
z?~PQ6m07gB>TAaw+2ecJe&*!On8e}SdBtpMh4!+fjK_OoVqS*{ms@gQZJJg#wPfnE
z1!Zv-Yqn+ZU$A(=vO)WfRB>@ao$cwVna|5!c4)}8dk3F0;eKW#KH2h)SX{B`h8<eU
z%g)@_xcK{mHQ(W+`%gVi_=P&Hk~Q-BZ~g1g{<*VLz8Tr)esK;Buye>PvzOfBv;2R@
zlLras`4?uny_u}CB#nXHN@Kn<-&~1PXTEg`OZ%9Mgvic0{Xlg`qkC4<!BbN%6)M%p
zOggYwD(<hE6|+n5`>ikjF8BEQ^fjllyX>{Xtx7`GHUUZJ%w6(tE`Rx@d}6Am`|GOb
zyRJ{uJhQ^4Pq``f%eqN7&6kyA>bkxQ&`f2W!u-g<J}qY1DmRu@<#pDrSJ}04wEu7(
zXmb?d4=79zcyjM{%7=z&-)AooO+G#AkU;sd@M^ACGk*MMocK0xu~~6q`Fww`udORz
z=x;LH{r2=Dj&-+r+7~f%cy9lH;eS_(g&AY`>@7T1y(Y}uA)8q)<XIn_@TThC#xuW|
zl~;?+y;!KSpz)aF3Jum*yQ72Nv<fC~sX1ykQ9<JRnx-|P_g5}1xc$|9{muvx?mZG-
z>E|W4^<F=7WUtw7k=?V>cf0!(Z}wghVBdYerTw@6U-mgXeosnU<zx<MdIa4&cXaQ`
zi_Sk7r+0Rl=A8X>?{W$!zswZJ<r14FomHs+w#48;=g+$`R#U23KgSAQ*7~8f)azAT
z)(gcy9QW3yuQ{CL_3UoNN)_%L(@hU%8WfyKm$mI<+_dq<WKPE#R=KH;T&*&;T4GoC
zcp3ibYMXz?qU_#{6{=S|7k`Xg=VZN!t+Od`+O7S4ZrSpaX8vBx{Z&%;>GGYd`kWjl
zC-?g@&Jx}c^Wf#}XF6+6^vXI2R-d`=fBcA(XuY$~U2V&QvO(PHGtNZ$czRuReSLD>
zwUh7T0<RUhY4aTZa@9@s#v{(Zj|C?zGrh1-L;8}$0&`Z&dmj5MtJaxbTCsocfo%o{
z8ZQ@27jQd&*^XiAj@RYqiufJY&a}Vz*=pyW{b#0MzaD?==&U2gA#SG}_^qd2p5S<}
z#ahtYc+ZQB)+GnUC%%zAS32Qya^%{^%$VgI@AJ6srmx)Kc53z+mWv7>mOlM_%cWQ3
ziS<{_=KF<*jr~_0uvTXgmRH+T^g?;he8Uy4Z!<)f+A!qaSfPI-Tt!!^_zt^j@BWqZ
z<}TiJ)h9vg(f5t!dv!zVLetd^xu)+(GgoD=I>*X!)xd92r(3f2kH3wJmtFeXbNc1?
zr3VrPPEYuhaCqu%&$w?>mW%#4F?aHG=NzX4?YqM#OCGx$G;!|Tr*n^0Y_e86x^wfY
zw=xWE+n=A^*mUx^*X3Wg0)C!)b|csSU~JZ;N&E-0WjdWcxN<e!yl}Qgl&dr)XZAu)
z55cGvGYjmc#TGyDipvO_sk>?VT%&Fm4Wn;QujigJ<XgXI*YcZjdX>Gs2Z|oZTo5!V
zQ0w?sr!GEqVa@~TIZre%{W&?$<9%vFdECQ-CtG7TZ1`hlx;*5Tz~O(TRRXp#G5Rm2
z)=!+~^k!9?^MhJRw(s*V?2p*+%hxS8e)+|!8MVhBuP;$N>{$9S|N8>DO_Oi-W<`F?
z-OwMnR>ybOMAzA8UL4HMviTR3<Yvj;eYDHa`~YWP`<aFfO)1td+V~onvkZ6dubbe%
z_@TXF<^{3GpXB3Bcp8sQms>2LAEv!2e9ghgd#&kvn7@Bsy#LwsS65esvGs3L**nqB
zMMR=EZquw+3im~v-!MrA_)QiwS@ZV?W9oq{wxCH(^K%8?IP-4(o)Ew?CAD%>WNDaw
zbJU*(9XZF={w>Wlw;ct3Z@hQ;xDo%WFoxZHmsIsSM6W+S^3}sgY)bNkyX_9_iQ@j>
z^Of0@MRxT+Uu_e&fBsLut+l!fet(XU?Q!9p%(I%oa*y>HDgM?{<A(K`=X)MXGFR`C
zS#`0%e^b);(~0gLix$6PtQOdudgssf+neSTAK5Uc)pQT<3zKWpw`_~*;;URFUa@NF
z-x+C-r}XF4tlAPbQ^Gg!v-<(TtarQ)ZPS@QM{WM}>xGlp>2q42)O-#yILIy0F>;m^
zUHL6eURW}w@j=mxNBdpx70kS{=m!Ja%8lF;KR@nSdH49K<*`q1EIN{>?);~ddrmpi
znTL<Q1c$G55%9Zl(LccR<eGZ<g6oIgmQ<fnZF@NJzEvXo)ytj1_uO}Nt0V?mCQtTB
z=iB=B=#hFQsY4!eTRxw77bkgR#f^La3YD*4GrDq&#kyGQyw~}%*`IqG{>Zu5#qa;;
zx0tKTAvfZ=X?F#0Z@}JvFSUN3j}hn*O;l~3)RJa0^=r-U*{Zf5*-ewb&fBolR*vV<
z%~x|SoJy`P5MO!EqF7ty#=7P-mkFjbx^nlv<2}A~j>ps*U1gmmON}mnoN(=<&g#~s
z&b54t#r(P*^P4!MXBD5bvGARIr9CP|<NK#CwzYw8nNtnkzcdb6y)lpTh9w7&_V=ju
z4Bc`<%)Wk~xK1W>o@Q<`p6g?)F6zWG_ez8F#w9A+iwu@$$F1F>=F)Qf;O)Il#*9nC
zKG}QhcqYH0NWY>_?)<+4FWu(9tu(dXmlC0Kbl#^FIrW3${f2%et4j`X@3=H8dGQ&6
za|O%eHvI0jm~y1Xyjg>x?7q)aZttC%7V~5nwlAGAT~g`!qu(*h+ql<kTT`jQ*zkYr
z9+~&=eMH~8u8Q8PUb6kZYSHyXtH<_lRDI8H4!#*7yX~E-(!;`!GdZtKGzm|v>+`wU
z$6A&=wXwW^=9G7=eAf;XzO>)DV12yC?!Ugv{B@4qeQDpe(%HS_?D1(=CWPNz^~*wP
zCUen})S4Skm#t^i3%@tJxz<o&pKgio1((p>ht9iLrCt7Tt$V}6o$E{E3aermY_ny}
ztSs!!QdcMIJUUo@-DykX^)^Z2cMFd{pP5jy`<6lD?0>!rafupc=T3&mrOrFhaoXdn
zdN9iz)3ah%W2*LVVdr^$hW-7OZ<_+1R<%txyjS+NU}udJ&nM;iOK<;s?j*xzZE5Do
zx^B-W?*rG<q)*Fd>CV2d?CsfY$eLA>(LC2Q+uBtj`c=fL&&E}A&t@H7%RlKrNI$#K
z^dqZ0mv{*jSjjI){e9)>COw;@MH_qt+b&14D_NZA_^UN*wb1w5VT)J17du(^-0asm
z_U-os+FH)YYpSI+EIN58C{);5UZ&{yy2^IBK(YIi+xw<u=HAGA`|+S^Usv~<J*T3t
zpZV}&J>#GA;;*z_b)$q0`(lNkK8_4~`}NrMHkZ9$Z0E4GEI)ptsIAMn?90EE+g9A_
zI{ty-^fc?K0$wjByzw(-t$w#8aCVaMiQgg<64N~<@85kWeENCm@6A`)3o`!&@6+3Q
zvrAQfjZTtf#?g}e6Eg}M=J)P;EL1h|Zp&-))wA}!UFmt<-dFUpR+E(Hqsc5)uQKOx
zMjW2{*~X5;;8)SYwr?()AGd|n_Ai&0T{4e5(rj*Gv%!1eYfc|TBNFreJl3C-bs{jb
z=EgD!hdY&1u3CKmX>&a5!2fe%;@6L6r(fM)rssO&l2dOYpW>DmK7EYrNe><yPF9V%
zy6({Gi`j-&Pec7OX3yW2c<(||+Hphq5UnMud~vd?b{nrR>^^nvT(fXtvq|90*mrxi
zbXJ}A51RMq!)?{{lqsv8-`#X|-|oAj`&~A3N1pxQY^3?i*kyxGqjX7laM;#{P_-kM
z%76H<aAolZb4ArAUsBZ6-n7c*^Y``kzaQ-Nx~-V~U_C>ivyDWyoDQdu%BcmPB_`==
zW&M4UFi$x=mHYI?8mkk0`+E(~W#60fe9}$LX!Gt{(+b<AzU8Lh(mVLw$URoEYSYUp
z(>s4%xFHf@7?rlJcIRAH^DX=-m)RyOD9$+=cJBR~`QPInNc}%0nVa(Sj9=-@??qCg
z%xgBwZQJ~}l;OVL`3)S2$1@@iuj#)&t^28l>CufMvzhkv7WjD1yBpEUJLfI;Y6*SS
zko^H4OZ)b3Sv_H`Md`U(ZpoJqFRy%^8LHm;_`#BWu4i6-bj`SUym|WeiOIRDN>{W0
z_BMMn-(B6ydvWVBbLV2m2R(;&o9tkibJSQ$*?Vfu>;pY(8aVS9UInYTf15hNoFkOA
zDM4Q2j%rtf%RYuW6RkyxEz{O~ztXjFV*j6KHw*Gl9k^>1EbwT*wBd_8y!&ET8I|{J
zW|H1J%lO!wPYbL>Z_F{>?dtUQz4EqpDHEp5<k*Dn)t(J4yLiNNb9~d1*4)3RKXuF0
zfKP9x91cIW*M6hwR*nY|Qdh2gs(ZQW$)eRRcWwvq<z~E3l(G3eaWQ*FL-(%i(xBVN
zUN`EQEqXF5e~R&%#^imE?Yr(AnVEfs@BU2*|7(SR1nlMCdu$He(5@HyeLing`2669
zSI>2b?4JLm?BwT{E4Hm)nNoaHr(Cx|<M8_brL_|_EPP%%TYBnTcBU!YFYmJ3d->Az
zo<~kOPck~v<(tox@V!eHDN&wp*ME~YGW(+O$wl)s=WqRYpgrh^y17qbve(5t=fjzg
zRqJaC4%UTjex%D7@c!q9l@6Z|wwNAj4w?7z=gn=kvl4=;{o>~zkv`J#`ci7q({tY<
z>Zg~mo|TKgXC$uR8~ZYQ`%y#w@b7tDo~`L^7BbIo<xborcklhFQ<oIqo9Ei>-my(&
z!^b<bTl5|{%?x&vUZGHC+*ID=74789d-uS)$LkMfPPj9>u6W}Y{hj684fb>{_kQAH
zloE4Hd1-9x=Yt&{GiTrYq@GxQZC)%(<|4iL9p%f*42o8HZ)lYkKN$EjFfI1`(`Ipo
zLtpcjnJ`swRBYcW>RT&tGK51q^=qKhI@NFA=5k+&SoiLk{nR^*mn*{9etQbM)a7`)
zS?y_^kf-pXD=bc4=~aeYrR)ox?@Uw^i~MY|AYy)j$%Q)`j>j8p-5z47aDi9fb?0*Z
z>FryY9~jO&%Xi$eN5J;d)dY8*mux4rbj5x(KV7^b>g(19`{zc_=kj|dTN}iwGc?wo
zJK^lyuK(cQxss{&_VH&b!(w=X<`+!LKc6k$s@Rq$XRaf8XQh2SD^ouES(aC&yMAA^
zO~1e(vdh!%(sZ>?3BuQeX6S5qbo=U3eLv2Y2HvD#OVxRr$9(^9)|78Jc244vu5So;
zYRv4s{VrQ`bHh2kYj&qNI*Aqj;dx@I*Znegzuw{GgYhg|dJK3AHnBKdd(ka%k0T`S
zRHb^D&tF!VQnON~s%@7xxy|{j!s*ui`_T2%Yz`{L*WVxOeR=kW`#!E8?e^~c^=)l-
zc1iB+QcCyo4$Tr>{q%F<Ns)5>-0K0c3|V}ujtI^F>HDx{LjI4s$J{r+)H?1+y!^(y
zCTi=SjgAjaRB$VO{u4Xl+7_EB>zt~eaB(%&t`2x@xajJ3qX19wf-325#+_<!CN|&R
z`J5+W{VNkLb2s~_4G)?ABy=#vD9*Z&&*6MbIK3xK?NycGt|i;n#fx44_buk>0XD_T
zh~4k`^c1VjS13PA+maA}oZa-tc5}Na+-j<qrcLB-DrTK2{Wi<D`G4&7?fa7L<DT96
zD>u{XgxlfRdt4^p51v?b`>~49!iSw-I}?o5JyzU^nR#|)zBz}Jn-fEPv~=8TW9z?C
z6PG`nTE6*I`I_dCLw|x|C1d^a<@a>zS)Kd)>=ygXSkb-fKcB3AIDJB(k@)u-z1hyw
z=B9M<MK#<vH*^i$^-s1?b?@iUN&HW1<8(LAcP*TI!d|ho_lEOP&Q7=eS+h+wZm-N1
zC>8Zm{$Urt#5h__b-I(whd9|hmzbR=dzj@FCLTI{|BUEUk$YS2C@gVHcJgvcGc@^h
zL0+(-?p=PKWN^lZtS6=Y`u{tW7Dq9}{@tdRcsrKo=k8fQJqy14<<S4XpiAuL&MQ`J
zCLJ<@(@x3SMxOrTZmMr{a7GT}wdi))<x|^Fh5lyNSSD^bsW1B5(lpj3(|8T9O}uww
zUBy24uWF~27Ek^6t~dR%2}?{ycURctJln-PLM^vFQTofGlbds0;)45;+E<eo9cIeC
zIO|}P;CFqi;<LZ*zuj|YdU08soQ|-}&C1Pt=QiYg5$uc0eYbvxh}$XEceci7?p)PT
z4Ql$N?xFBF=%)1IC6QJ7H*Xy4+4p{>`|bXfjJ7lEql$d$*>4MJPFd)X5qI#<y*j=(
zDl=ofB?1|G<L10~tNK>ts#)=dbL(ZFy$<J}e=p8obI$AS7x_6(Ilf(ay@p8^-A8pj
zz6JiBSYOHayx8FXUm^29&Xt}UF8$;a+{b@=U-O5u-WKkQ=iW!HP49}~Y+C)9J*WBo
zy4^GU9_{1`j%%CuQb4ldcWChs*`UCJ+!ZDvp((F^Gpx**d~N%0Kk2jg9%}g>tNA#s
ztjvk?u#)4?&lmM>Z*Dv0bmaW1T|dN|?Y7-`d|LEX^zDmM(^BIYmff3~f2U7Odd~9$
zPFKWh#Inyg{64<fUts^I?!L>Px|4Nyl%20`J{0}Ncwdxc*G5CheQt`mCJM1nmOuSA
z!S(8u)to!*d?uUiKU22!yK6hwsSQ&cMdT0c{i5@=<*dldD<4*@J<%#-I#u|<@n+=}
zd{W=pKD{u^JN&4AfzqF6eLaGw5;}ACNIXB)wr1humAgD=E=Ulab6#x!lPaa9yX~}>
zDa>yC)~*q5ko;FgD6t|r=gOvB<qeOoUj3O<wDOMk;b#eFQ$5$cSG7AKE5#f1UoJvq
zP1_D`RmXgtzApmLYWjDc6l;z%+9bZ>|4~=1U(cV`KDu1ib9}x<qfW=2eK{#Bt1I7U
zG%rmJU)D4sJhiNs??Fm_*|N=A2Ni`3^tcwV8T}Sm7RLT}y{;_J+F}8-S0xVHo}SV@
zYAJb&nWNW1fPcZBsfV}e&AupK#$uQCO82xPf1<dUQ{A=hbHy<$mme*wmbzZKSl69%
z%fmObOkaq0w_AHJyDwIJLc4R%%El>IGgiBqWpYa=#lJO@+f~%Q{rb!&Z%mk$Whv}Q
z@?WD~S>yhbV|UY=`Q^H4-zB#iEig_E+!D1os_n__6<-oQ{?1K(dEUjw!z0?|r?J=0
zXX~m0zbQ;VxMnTm>?cWD4|crgeSP8p%hs?R0f*B(=1ZIJ^FGp)ExNF4Qn}OS4=Wtv
zxz<nE{MX*bb9#e^Xq07YcyQfj|1&?TG~c}2%b)XU*7ekTSDZDbi(j@bTk9IK=(c{>
z!&8f&vMiYMJ61Ay!kL#|ebVhG_OLKGZP^s}eD`99gXLl}S$kLJwyi4qs(xPlRPp)l
zT2<>dV>P+EWsjGCaTC$I{eDBo{yXb+YEDc#kuLC}>Lk;?m0VE;0v)fn&b0sYR5Z?D
z;tS36sDF73KK+UApR;zv`joINp1r60(H!%-ZsV{CTUYO|e!VU-dd>!u|3TY2`kz@9
zW|%%@(h<96k!;BRLhFBXg=yQPjK&w7yI52nmsL%8;QT2v_5PB(zU%egA2+<T>Uu6C
zi$E*4Yts6o^UgATwMvj%oZq%rGfr1ClvCOwJlvsBfa~YmrCG%+E1rbD*(<&x(n!qk
zOk9<q-)Ws|r=FVq*8ZotPmnVs<?acKT}zWSlz$}uxUq>Par?df%5Ar|oV@)llX=NE
znN+5{ImSm89^ZC%cVpwCZ3X>1516cGJGr8eWy6H3Ynt|&6?YexeToi@7CQEP-(uOe
z!)ZTq3+7wsH!p44IQLl*&x`(t7pAyAyS8_`gzF?Hho=WV`b@3Z$=t${)zl}w-uUYK
zrn31RuGbc?`Ez^1qNfHf`W&}PV;5?b@Bdz)UdOHQC|xJ}#tsI)Fz-`KZAu-&zrC}1
zVIO?p*7Zl$OT*PxOXWWf+g3Q^$Br#~a$L_}oy-t@uj8IeqpnfAp5niRKiiK<T?#kM
zvwM_h_D<3!Jip?*iPDTj#g$2{`+_a)JIeoa&gD4zWM9f}*Pt~vvbHQ&nrpu-`WZ1d
zAT7+pYeE0#X|@;c^4`3fQ>(@OYGSJR`_md)f8A$Zcp0KooVv}V>y_c(?j2V)RJwoe
zkeb`)%_6hoqN#@FtGZ6ly7~?5w<=U(eu{l`d{e;0taveH!j6&?+mc0#AH>9j$m*@z
za6WVEo8JN(9*HvwMDMHn$Rqil>qs-h4&l0vqde8F!o|`*Z&+*;-XA(yOK&-&iHGeO
z{up=1ol2Zsshy=;7h50j51MRpnuT%A?NDC7gF@4o7rM0Fjf|f0{Mg0)ks+d`XDw9M
zNVopf4S62Gx@n@cwD41ju1j-*L|(=vI!Z5$(t19xX<^w5YmPpL9*=~0ooBxemgilW
z;~=&*EJRvpzsOdV`V(dMZoZj!=Fz?7od*n;-wb<y`xUd-vF|oowQjwI^VRh{w=V2c
z_VafP`E4PYcymL7*qg)8!gbEoY}LA$Ze^R)^5fGv9-SFiofhbP34X!GrPLA^wcMlD
z(%hC`D0nUFpQH(#Ki)ikdhBy+r0$Cz<)6P)|G(Y9>X(#kFO)f>%6PW~$I^`|N1r`l
zyLIG4&~^p?3#%V}jGDON)4JRJbAL)~P4KlBI(qu=tmAJ&jc1+u{>t~P$ni5zb>AI&
z@c*4>QsZ6yx%mp&b_Hv-T?Dq=)m*AnbG0vYhtw}wx#J51wG3YJ#=I?NGhZcE>|OkE
zg~`p(9?wFZO^+T1KaFwy|GdK^e!Z#d3WkerZ*JcAx_4pfxtfn$j@z@=ue&ID%)aY`
z^ymGZpF7_@`u?)IF{gCb<NqH|b5D3JSfbwQX&1X7#=?6>)a=XuB6xcnzP1K2dL1!Y
zDqG5-FT)zi?fO{z;;FyuelBM3R0z`bjtb$3b2nP5I=lLlw?&iW$)@<tPHCrq7e7~g
zGw1Tt_rD%!CNN}$)*Rb*dRNA`R(7dRQ`+=z=-=U-X~_7hgK_;rRhgoCKF-f!AJ#@Z
zeLwT;HJ^a3``9m6?hs?y81VN>ymPNphUdwx_I$4`v@5PYy3fBnc-gmpPVpBJmK&!t
zI-W6qX|iaa;5=qkz5f9zorf>&@L%?8^O+gq%57a=q=Zfz^4F$?ef;6#Z2A1itwr+U
zCmMx2Jv;U^oq1=KG%HiA!)lh(;m&sod!BXtvWw<>rLyCq^1kN_*QVrevzWYXlK!U%
z<palK;!mZlW{`Avwm&su%?!a+A8*E1=9-_;*lr+m*YL7(SSpitr;N}1omF$rHAVzl
zI6gdZQXoapIr!BCo4R_|uk~JcwuygNRyb*Ty<FvKW66g8zaM_YJo$0acS+Tk6J0y^
z-jBTJp0QZ+PSI0~6v;NDbIHFn?>=6i^*#04E6#5Qy5GFs^hT$93;&Upn(27&;2ayV
z6ie%41zloYCtuk!Wj^K<(<xp0>czUv$&O)?kLTvy<*Cv>d$v_9P_5_TG3FN^Q{K6M
zd7{0eFa2Ti8l6vy`7QM`PhYNiaqXX#%KWz2E5b_yx+brU)J~h5bkg;<gTd+t;vfA|
zgC~|o)*XIV9eV6*leTi&lO<vbTf;lL8MZN=`;%6-LOQgC-KjfnpGW>pfh)}oIcJM+
zl>W?o`c6mdZLqc5QS&Da`vUDw+!2p-xzSkovA65Q?lrGIELbq>?Z(wPHj~_~Sv(dt
zK1`P2KH!mgc5Tca?#<`(Y=5vbO!y<ZS;<(blGk?AQA^c@OU1UO6>OO2U92!=z2GyR
zC#yD1X*AB-xH<f{r|8DDwaZMr7N-6wwPd$BcxdvAEODziCSIADf7aiK)nP1q`@><*
z*T|@_-x|{nh%)J?-V@4Bo3A!kTbzGl|NP_UV!Vz?KVa{Z`0l3b?YLoKg_QIQ;g+p(
z%Q-SDr&hjvdG@eYk>UaQDuEASx>YPIT$f8)?v>a7qj_O_;<L7zuE~c#t3J9US+}ow
zb4jr4kCPixYb_;~s_ZU&y5;}Y+3j01qYma2XLyUhXkt=*b+lN*HmmE`R95d@bG)V8
zof97^{(JtCH)xK=um0`IJ<QGvCuH!(s^4fk!|^mq=*^j;>&sf?b>?qmD+|y(CUtYM
z?4zkOtmYOu^E{fDVe)8SRKK3OWfW7K<jo9*ASJ#%|Nm4f#T!qY_8>l4{mM7?#TSg1
z^`6u|z5iW2)7-^n##??((AzRoLEy*Cu%pU%D!<wt?l%;Ebgp()xb@es{(Fl`=jMu<
zT-e+F;kVsK{bgIeab0_I;<@5uw?!@~(VJ%3+`gsv>(gDPe`|lpFWKw(_vDuyGrvaq
zyMGrvzhuKt9z)rqPqS1R-?9GgyeItX)ye+S3}?Z${`Wtg){E8+Z;17^dNKdJ^kR)q
ziPN|7SWmlAY%gMSZ^ukUC({{e9dVc0LwV0BPVU$@{l72Ejf$(wrs!{K+wb^x{n?+Y
zRaXipE`PesqT~##41@EVNcNc2<ZG^}{Y*Yd(yhNQcH9ohmOXo_@{?+PL-~cTd`mM<
zr>8qiJM}$Tv*)ts)DtuF{+yYz?v7yP`ad!YHhrJ8Q%H<)mow+Q^Iub*tzLV8X|Y7R
z*Sjzo1q-&09}NtjKUHmW-?1WQIu~1XS6Sy5oy@Y6Lc-w+iH|%_?Eg0JX<%=VbxP<J
z$$nNYKFxQ(#dlcPWN>t-sxutT(Xcr8)zdG)BQ>u1`Q$^HUfnHoP9Ls}(pOn5FefVR
z^!n(GA_w+YRjI9NV*1+3*71AJdPUc7{rz4<jVWkL?x6z{f;sybbgI@_eAeT<pRJeB
zbWWn?M|S$%MJ=b&7uGCX{#^ZS#TP?8F^M@%X*WJJWE_1Kdim(B-sx#;7BfXXdz7iR
z`?dLhwe@KNL6JwbOb$$*Kebft+MS9p(=6Rx*Y-VdKT)+m!D@4J{vnCg0$YyGSss4&
zqFljjW50YYj-5Kkmx`@uI-B;eSW(&OmCi}Oy4i1}biVU^E{I>FVLj{nb5Z?cB`eJs
zueFz7Xu5J?!SuAG_uWpPyEJnz7_IlYcBpd>%es>VTUY$~*AmI$T=(*f(|Py&SK6GP
zrzWhDlGq%^JLAN8ndc0azx<TEWv)vwnCUMrk6yFV_{Rp5?X!06y}$kNVFR|cf3Kuh
z<$tx@eW6E?-~VIHnclgxZMhk@PG(=bhI2AURNkkHt=FGyYi~-}^0_AL^Fytjv!5sK
zWLM^1)PCW6VBN$G3z@!tJuYxU_BBI!?$mi|pS!H@oW1rwCS~EK_>F8Y<qvQhbTTU%
zF`xb@wfUlZSP%cMeji)i2b~9{cS`=xQFIp$;?jyb7A+BQ``k4dak=J%6T#Z^S&I?_
zkNUh-aqrEs^xKoHqAuN*ddF2&@}2vf={t{ou3ulZ<EPZhrg$D5{lzj%`OF#$^)5si
zwKILwQvBMGyE<$-S5n>e<-R;p1^eZ<l*=14&J=&j{@0_+oqM}vUq_rwx4h;7@mWoc
zZhPO!{=4zn_TN6Hy9Z(mt`-_BnJcLC)SXA)y+`e%i%~#(=aYj<-z1mV-S=1~6PI*3
z<+JG)qo*N@XU%xT_I&csU&j~y%&lA$X#eNIdm|OQPyF{DoDprl(OSkH?4Op-ddp_H
zQQ!v|r@H?&i@rW9^|M-kKW*l!!k_~a_h0s%*RPc|W99aDN8MB`G)^7Vp6`7|=H<nO
z|Cg8sE_fopc5Tg%-A~tim2+g=)U0&ae1aQei+|I+$HJ?Q7uCjmezB5m{^y$F{PeCv
z8h7{Ys+iEM@yuGBn~B4X@%(1*`3(7!Jtw^}d$i0-LBM30Oi1U(Uni?igv4z9d+al}
z!R<(S^}gL=DSuZ^=`3*Ks8YTayEf^^3W<Gh=JdKu+jww#fPZ4tB8xj;_wT)$bIj}>
zPrrNSag(n{k5=!JHud;*<E9(SYLWJoXZ)*dm(5nV-}U%&oZi{vj~F5sUZ4MN&*qj#
z0f{pIwq2CIwRzRAy*5kE>8b6tJtFOPazed96KBwWo))QVf#;uzI(`v7t#hkRaNnK9
zKfJAjs+ZL@A7M+1P1pYYBmQed*6NJD<vC}k9oCaLcPBn%x=7s-DPhC?vlYeXMSBJA
zv6NW%X6^;adbal)+5Q`?zF4Fy-X#0aIA)n<L5-8#{`Sc(KNe(9__Q#2^D^nYc?z!6
z3(rjZ^5y?_(Pyi^2c`Wiog?kEF7(>c9cimvt5TnwTl0Ef)w6twAMeCP4e!5ee%93+
z=4zfZY1bmxKXcAiICM@p)V}E5W5vJen<nuu5&W3mxKzV|=k*MM`$^?$VZ4jKcHRF`
z<k{|c(>+YB^ZG`Qe;;!a-IF#lHtwF2`poWO;_aU4%V(y3{~96qAW87fYWJx-b1L#G
zry9?>nmcRZzjqo-*XOeyo%HxD=Y6i}eeSo9s+m+<E<T*WGBddG{BG&gDw%Tv0X^}X
zn9Zi86$d9@-^<H;#r$_}w1Z8dcpQuRz24tKsrmYE9`O7)+-tqsxPQ;46I{y=g}+%G
zKKa_2<=+=7{M*R9K*MXH+~cNul59&AS1gWej?T2byK?__i;t7uW^H<ImnHrzTrc$U
z!;I|2l|5;5r|vv%naB5MWzd$r{o=dcTv)W<Z%0?ke2?cj#u<}24Zfc~7pS`{*6d^=
zm*f_?YOgMD#+>K3<@Zn6d;FU}&%d@Jzmy$YTGnUwd(OHL)u8@3{rH}eAhWHrg`I+y
z?V6Y2y;x(WtH!OxcUpcJ-gSN-AQ5(H?$I6B_WZutZC<~e@0)Ggqj?Qw8{7Z$U;emn
zO=0<dC!6RkALT^Vm$PlovYApX_~Y7^@TV2*&!wE6dcNGb@$HGGow`CNWkRcuSJ}nh
zdn@Hvz&iQZ!2-X~B8`ZLB7bv?`fZ<ZePXRoYmapPaP5t{3jbw~gg8gut8Sl8mS*2g
zstb0ixL75lZ&;`G$iT+;i(~AU6UW#la?ba@pQxd_$zMM-wQY{|@|!$TO*7mic33Bd
zn%#DCUitEjUyo44TA%fwW<3(Ue{ZdU&_-4}-M`6O+=IP7%IrMSb0T1Jdwp@p9IohE
z*>{(X+qPZ)_L<>BSp2P^t%@^sJ|uU~dcTg_^g;5(%kQf!Pe$$c&$&25TkKzmTcM$D
z-Rdn8hJOzF8H+eK7v9pBwPrZv`D(?ojhqLy{g-A~=XlSX_T*;xa*5lLJ0x7iW_6vK
zaM>~1c+no6o-H48zpmfiJaJp={tQn3@@+{fvyPW|Z(i0RS-fy*!@0S8TkhyeY;(O7
zF)!<nb-b|8oSRo!Ig|t@#iax;Y<jV!XeZZYHqk>@w@-V%esTN$tSw3V^Vz3N@J(;%
zd@fYrvblJ+se7gwySL<?WcNC))P;Q+-_kT)oGVU=A2#4h_R`<`;d7XLw)>U4d7*99
zO+Mb-7d3L$SRFRW6PP)TF-$Z?bE@h*g~Gz5bJa$7!W`azx%-3J?LlvtsAlogO#*x7
zWcwRL?fv3qcF<>LZppLg?Y!yv^Ob#GCM+*4TG72waiL}9%r6gO_S{OIHhas0UCQ6g
zAD_-zD<x`ua|>I*NBMczSw&yjlv{MOiald)@nZkHVmnj#@jI8w+WyS`Ti3a-VcYXL
zOVm>~uRL0mT<L52`b>Vfs_tLctw9;PmA#9fO`iAmWlZ6p7_(^&nbNWvcUQ5bEzw!N
z!gSuFeYy!6Mxg;qBNw)&-{{=;w|VO}mChATbvL~ko8Ig^$f?~vJAQfKNtQ|CO9YNQ
z%8~keed_{umDv^kLMqKY%kK1CnA6PL@#i($;|s^@4^8~J&C-Zp<h%>d_WYM-;_{(J
zW<s~Z!pppCUUk1wHM1z5lRo9k_p4uf><+&1+~sa6)Dh>oI^YLOSM7)8bt=KDo2yjV
z>ist}U(~&*aeL*(4P}veZ{NktQ1sp)_V~-C+C3jiU2U)al6w&{cXRr}U$(z;TGgIC
z-`sHViIafm?wGBfJUrp7pV`eHUA8-`5gr@u^DM2*anBc@y)R$S6FunSFu5u7e8&Pa
z;Yzl~-hedO%5C-US@}dSytzBW&0Lquc-eW@N%yziYk$U`RPC}<+%2Gl^|xi3r=Qs$
z4h=2EqY5g&vO89G+pi23&oFg%_&DKPk*)Q8RjyT5Wrq7_>a)#wxNYm<GaZFT3PUgD
zO!?L^)w;nWb??K>Jxa-b{E0lG3pO^X%EfEm?@!s%vvFsgsr}XL_XpSPk=Q41eb@7H
z?<xN+epWN?3&_21TJh*%hv%isVJ^ZA=MS1>KQ&XHDJ!ob`QW0`6}|Otf_>}qO1J8S
zhO@p`?&T4_P{IGpGE8rsR^wT1(HFO_CQOLhFU4rrdS+8b^}ao;c%w^7#l-qjY*t+F
zoA&OEZB#<Zf*+ZuoS(`lp1*iFU7~x<(c^t*Z+gxDzu}43y$dc}OI)w|8=cX-<iBm!
zL#vHU_oKfROGm8#S2wA5w>k&=(lU9iwW3oGxXL^2zw<rar!BEp(PYb=?z>yvpVYVp
z|1`JF_~8Ds;iI|iOvg#ucU-gI&B$Vt*um8paYiKPzm3k(2VLGu6YLdVc}-oo-QH78
zTdBT#U)E$-t&7{X-@0+-!jDIf#Pi#OPP8#~EK1^jcBJY;-CUuVyFuJZC6!HYtR1+F
zwbzC_)*Rf_`FItxgda=V*W{(Q`sN%vVX~XCarN7GX<ge-U-2>Mvpsg}_S@1smmf?&
zoFa6d`_-0DqUm!^mVS`h>8yF2sj_tQ)8~ep=gvr~-Nslyt^LSv@%=ebLen&-saeeY
zq@Ff;>Yt13Hg(d^)a4c(DVuxL=H+^2H7(DL-8qZH^ZTMbH!ORs@<r6I#Vb)q{gcbt
zKby+*9?of;f6enzNN>y}iBHQPSFk@bf9d0U&2zE*&0EKpyp35mv1eM;rAM*+o2P9m
z)mb&CD{O0!`-jL0-1d4$llwLvOp;?UyH|hV_G~pP%{hlEc1$hLt>1t9?KwY((%bcu
zPS0Mocyfi3K}Fys<@;_daT`pJaZL)jmT<I1vSI7l+TH(kYvwq;*ndF&Ja?n#;nsMk
zR|o7lWqq~=O>#JKo3E~?&&%mb&aPjw74H~|4y%{`Wb^1-{deB`{Y^Xm6zsfS_b=C1
zRko2sUA?!7O-WTOqHiCg5qrJV;rj}wgL|$wOEVeBr}y{^2^uY)uuR+g!Y6e>!}$AA
z#ee?Gh3q@aTy@sk*RbAD^78u@v8y#D+1Ho7JfOiISHozt;-|ug)S2yyPpAA}aY;do
z*L{{-^x7QX?@_yscQDl7{<}SYi57p2`}|}-k(ML#)2}MO5M^THnCjcmc79_}ai+i>
z-p%`VlyvJ%IrU&+N~Naj>#`@i<$Mx@jdR^L*B#B&`EBHr%V)+iA?S%ukH3w=|J4C6
zy<=TxZFYSTR$Qt6|M=rMm)lK_F5KK7bZh-HtGvpsEla1k+<LE-^|gud-qB>MD-Y&P
z4)0GtB=*!N)OUl8#Fa-?>?L-=+m>$npB0(Dq{RE)(x38||NlFBF^=`X@e2)W?ri#5
zR`e=H(@S{zRjE_^qbCHFb{JZ@o!#YYyE5>`%b0^9)1nWa?dM;gr*%8}l6{57yZfrq
zW>02)N*9-oyAbk8r}e|?RMDDK`tv?BWVCX|h#kANpjEE?&Vgs4lb<bb=~Dixtbc6B
zRM*qYtM=<1GW+@`WrfW5C%&_yOAf4<%Ih~d)Hq#h=537&&YRRunMZuj=`Qk%UEDRR
z;&jJMR;j5WKX>uQ?|!Lwe{;3bQ8r_f0~+(ir+gKR{?B^YK-0I&H2!n@lF6=$>!&IG
z68L6wbm9`7iBq>7k(6|DE137Idc{1h#<@<sGTZkjZ0KMNo?YkceL&=^-AujW{oc<u
z2yS~-WB2az%9eAyHLnz&y!CSWH+Ro^Kb~)2w<;}kjFtHjzth{!_j1|O9nZaXY_RLy
zbu8+|hvzHq)_wV~Cr_SleW8%V=JR%Zf-PrP=YL(?b<5wfUwvux8@Ctt*K9YP8n*1n
z`SP0Ge_xk=y1l1VRpwexk<^NUpk;Gh`BSn?L;Z#2Yfa8S@vfe#@KIv7o?1@A(ZcA&
z-B~w1L)8V}HHADsu}oR?bZm)@qEog;w1sZ=p$C#vCoFV(I%&Q>->S`?x(i+}f41=2
zE!)PX+bfPat~~1-aPXV1zQGrF**IAryYG+R=Dhy2<8}OrkJo#Y&r~XI|DwMotnT;(
z?`ICn7ITRO$SFFPX8!#r{d|@FHM7oW<yk6%&F>eoJ=JwL*^z#N?LX)7Bx%+kVe`f0
zPaM8@=&rnMRNnjVmIYc&kG~p-i*x)p{B(Wtkq}oV;l12P&d%wpoz*{k>a>tJu^9ml
z#)q8TbDkgG<u^k?gWI{$;+a5;h>zp3oSzRnFL14`FtYPyFHg0!m*7-1+H$V_;L(C3
zJ7rRxy_Jsq+0=jR)?Qz+**sBywS@v_8D71uzsf)K8N<9uH+)lqXBvyj2wV|b@0WMC
ze#U~h2Rl_XC;vCqTCi%_H`NdP_B<8W&+6=76ZcJe{<iO*<!8+<JT~|Ksl|%BUG>&p
z{o(OBr*ewdgxRNm-YogH<ne!{1LZ=~1q*ldU);F8Xh&n@yR%=^&uO)uo$>$wGlpBd
zr;Miu&415x_@((vPo4>qfBN?CDX>y|Y+y6lS=`F1F1AwnZo);o+b=%s;*UGGc}a(;
zpd^!0wnW!Vx#?$RKd|j^ThQ_-ajLAT0mtXG$2S=w98SD^T9&VN`quO#TPDs}FvTd!
zz|>_y$~Uo9iyl3Hex0qylk@Dwbyxk0ME|=dt(f?@)IrrUPWSSeJcnjWo>_epczN2H
zxNn7Cm;3sswj?8Ia(i`p)1q@mSz@)00pG-49C)C!BIx^!pcy~i&6<S|oYi=C*WrQt
zJB3!`H^1Ah(*Ju1#jD(!d2a`^1*^=P<5J!dtEQA0XNqfQUy5!p(0o_y9g?FUn#XDu
zuV8=bMqv3aO~sQIAM?2MmHRD>gda|A=M?uZk6v45QgLLj$n@5CwNX;C%rl=Y%<zwh
zcoespwQt*os4sg;-|_w`_M6?=TefOd?#AvAQMawmCZ+FgYZYD8ddzH7)KVV3`OCyy
z{^Qm{?l+~je%QO>%ZDtR?Dm%8`}XM)re$$EO*LF^#T|X@nAuo+YJ-&IiE!>Pm90@e
zQ+B*K=N`VUqtmq8MZIBqVeL_-jTI9A3wPXQ{MCM{-}zwRx|+hBRTm8_MNV)2tFzcG
zGBft~UMm5wdzU7EV9?p?S{rDvmF@ChrZnA{s4J^n&2F?_`0+4kt@!Cx58DKrOea_0
z*1k2t@%e(+x3guwB!%g0*lKcOjl``+p2&EGxXHWBav#*1Th3GCId_{i`QwKd^?Zx9
z_@rtB*61zY5uX;K9OyLVNbH|YlJ<Q+esO00e_3-;HNN~>+2KBG-jzb>#)}?&yV_WP
z`BHtb6=%n^w_e+wms|_VSl{)-_0&&M<tp9A14e)T?2dW-Dl}r+sjUk6t30->=AFUc
zw)5hKihZ^rD_Q4A#^x;xSKGJb<AP%X&wIu7(%lpMrWB>g#%dM$rqB7{`b&jbJnGMB
zruq7I5-$!OVRW76s;YkE!V*W1KABWC=cu`Vtj;)`vu9{h*!W@VnteuV!uq#voL$-E
z@yNmA@!yW9+B@%W-;C?Kp1kv3)tXZic{#Ir8`S!xrzI@XXlM>NY;{6LKUqCOZhr0i
z-zgiqCdJQt+FK#PyZ1uRt;Zjx?ARLfXPx++nI)%oB)-f^ng8um%g)OOx|aQM^q4&F
zSFxn?HilVZ?ytpKS5IgRJt^YYymNcdX@!|QM~{lGQQ8#wEcl7qa)BE271oPlu1|i(
zUgfgp1%siDpWv>t3CsC&UrviVw_$b)mpSvRCz`&uTEAUccX`t5_PB@QKC^B-Q=IWS
z^s-R)&0~v7Cr=d$anH)A_MGnUmbZD~rQ6?f6sq=o5bV7vz~z)?c8KZW6#o+{KEJO?
ztD04Kh(4ZqZ?5sDNAomSb){+)Jw4aqe8H!nE$y+N|4qK0>Lrg;RBM7-a{T7r-5Rg|
zd*-=ov;PKPxbE;%KiDBza!=B+eSA|ioQ$e@4y`xfsO%QLCefyz!BwFpe?;n%{h7S~
zcM}%;dSw3c+do0c)9S|yWgf_+D>fYYrf_c7e!1m8ls>CWSrZ`ki7_(x{5<QKjJ<o0
zT)gs^@A1Zm_HRB<5f)%v!PN8R)*9<2>DwC~+epjrl+1lQJtv3Z&U^J|7gfxUy!Ydm
zke%*5*JYAOH&>yuMIy(%K2z7rhvsm<TD0!o<ESTZoSjp+ZhcsDZ&C5ruO|%eTgz&D
z-CCY^V6~U}%Iaqp5v{E2cNWBU3A~9}cRF)h%tUolgP^r@AA~>E`t|l{zIk}g(@&QE
zamMMFe0cTwRR4=v?sA`0&c*j&^U;kJ!3sI|-z(^{URG$3eX?xXvQ1^XMCa~*xaIB@
z(Zki#yu1n?IHXFYOyQF_@=EH>Et?nRC$l^*A3k!($<0A_wa+0P5#@K9C-S~-75B)m
zJX(HUYr;(3=TjEkZuDRJ-ao3Wj#G6>N`w^W<?j|(&dhRK-)HsQv#H&qU^@3Xx7(|4
z9lT~9e0HtLOSW`rzKj!2#v1cCFkPHAWA?<AOfKT;mvZ;ldfqV(vOXWeoU@0;bNdnd
zolm`5WrE+o;JiMky8PM}>G&UqZ_WJNv2{hs?3w!|f?c1;{1ds6p0nTj)AWjy{paRz
z@0fEmw)gPqX$KiSEBH8_=ABfz6yLaLxn9SM^M$$(yQXVvhBk!To)_DDA$0%pm319X
zCJNGT^St(Yuj&1fQo2TTNvNg%RxOT7e$Is)3c1`1r5^Cc2W&Ya*KyYH{?YjA(5tU6
zU44?$;(qw#J59CUIlGq_8=1(=+3KTFf6VIZ><@-qE%R^cDqsD#<C>JktGr;*snv0x
z3*NT|%$$DK>&~({+bXti_7o|)P&BR5$lK;_(}gpWdbV`rzC3qvP4seppKG_}<I}?G
zwRh!K)TkVOx8kMGu3J%wA4OL#o&4B(!||ogdYT%_7G-|%#ep3W?$*De?yBt6{CRy|
zIupm7pC1I)hfP`^ySeXdR*7n4goG5klIEu+_N(ud%gKCWvG|*{@bz?#NQoCMlWOO!
zl3-t@|NGb*m%S?C?RUd}N2MBEjNwy^Ja$)+X?sX!yxP>WXMNYm30=(aJ;SIzd)0;+
zZkOIGo?XS9urXiiy0uA_6VJH=ho&c4M0;+ZwWN9*uXc9Z%l+HW%t#8DYs9tw<u&G{
zJs(UB?Gq+*&oi#Q;y3f9mu=_zdV!rYOoe}b%Rm10?$3hKjb09=v5G=@+peACDA{An
zv}WqFxmTwo8$Ua+{;7G+{%{Qq^?WVyRn=dv=U?J7$o{RZuVCf(rCQh{caC_HSltsR
zUUr?#!oPXpU8!@gFRkTwy|=^l+N;ZoycV)mcN$!7n!U(7aBoS@xeJ=n`3cLdJxmVs
z7<Snyo6S)0oe>pi#bYUNnpN!fx28e7^rD+}irX5tV#X=vjT<?NOaeb`Pc02|s`kFS
za%pPT-#ua$)ia_b^;DDu{Bw@^-Q0QbXW=0aUWRw#;^k}CO;5SIX8!%7QvRk(oFg)}
zAKqT^^MhZj;WH~HPnHiyOmCIRuzdbA-(9S9NygOOeOI=%n|9t<YIH+t_uS)u8gJYw
z?|$dib8SMp#+2$57K6D*ukB}A%A>j9^Qt_>b8jlT4=-Ev{FhGln;Pu}tBp5DT-p5b
zyS&CX#ej}m{3(+i-LEUmX`7+n)9M=~?@`)(?f+|)JI<ST9j}yrEuP6dby{ivi7k57
zYwvr+zUo=Tqb|_#pSgENK#P~~X>Z3#nLFE@k9sN0ynANL%+s}-Ig$?lPfUwDdiM5<
zv)@(uL&}cIsY^~hW2V6O?p(K*(>f9DH;z&J<=rPb9^J#>Vk8=NG;;N?UmQ1-{dksf
zTG+JfEJ{i_>Amon=<gRD_r6XKzP6?DUZ-yU?+?%BRb*IKUn|KJ+^x|f<o4y9&5MfU
z=hI}PukPP>bQ>d^@j3nZ$5y#o&6_90a^9uay<oG%^5=0}hZu~W%-VP3s{f=TvMv?V
zemAo+sNFLb$goL%^`kD~%<&z29u~a~T2xoKi<|Sw1i3;rowWtCKb+D~e^Qvn(!iq_
zHYYt}(HpmyJ4+>gN^725D8AAu#esdcU1{RGf(k~_^^Y!RCLdd1!uI=k-~Th`*|{uF
z#&^H^SGZ?wBufJ0&(zY(+tW^KZ_BR?yU&s3w%FP(NQO0mAtjJGZDTg4+{%-gXHR<+
z#42xj_G!OSb@chpt>*dqO&r!4-g@`^gm&q_%9%KmK|PF_VN2lWbpM3b8S)&qmp2`)
zy&=->wzb>)wcVDPMwx=VE!I<X^;p)fG`X>%d)A{kPX@c4<_t`K67@UMM0GE6Ewq+A
z=J8$g%3i?$_w{z$*2Qks-MaSWV$G{w=L)t4nbj>=ktyh^w`8*HtXt==s_E-T`Ci|#
ziQ7{9ckVlftM*0gNA_{3{yD6f_vq=o3H-I2|15Xs*0>d;EM<MaOX>JWZsUVFB6g}z
zrEdxKRlm>ZS$UZ^^@ZjiyJZ44#Y?z8ZvB6))adW(bA{_yKKLsoc!1fidFTJPm!A2R
zrhhdwU1TA{GWGN7RY_Ak9Yhpef>TUBnzKmkK60XnhaoS2Yu=$Fzb<hd>{!~N!)neq
z?a}Sf$2G03mVY@T6T5Uy{#UgTmGEV6lE_$iIyh8CO=@l8oKpgC?aRBv8II5S7RSgV
zTdCYL<6rQqkey-Tih3LCf4DOV&%S%&tvQp_HkaDdH3I+3Yd(3`#VMKZ<h>m1AT(o-
zKv50nqXgX_x|!9Ng=ZM|KG}CQ*im-<r*GQ5$=!}Zw+oj3^_~=P_#gw1$=y#k<fZM^
z+*UoiaI*f)=Jiac6c?Xbc<0!Yk1ORAUc9R>Uu?J~Al<HLn?%HA%cWsD4|P_gdhI;t
zys6{BQm@uhxyl8M_q-h>(=FMqy+7SOv+GCl<~I@5*?*3mNR27HyEN|lWhGT+6$P#+
z#)WP3)Oj?Pama-6ES|E5o%?p7)x*iZ_Jm2CZ*KC?-a7Y;)q`hu*GBsb*TgK~<0@pR
zins2x6<U>Q_kHu#wuhS2KQjj1)6(-aRx!)UTgSKcPO-8OPnLj;@N<r;T?&VO%{>r%
zAm>Q%=XKU69GC90jW)Q%AoXa<{^mD#T0$hvj+nQyKa=+=^RFn2Yr6hXL!@+7elWvB
zy92xzAKjd}H22iJv*-D9+WdFPNUs+S<@j=E&#w+vp>y}A$W3rj_HOb{^38eW@Ra@U
z-ioGouR~<>Ll^gO{kSPq7bBmv!~5LK4V)JLZO(1Cz9^!5=+OHWzP0&AYh3nfdr#fb
zuJc%~VM^~e#=bN08jBxFb!z?h%hS1R93OXJo$3CGn-?vZIZMFpRPQXo0Lxe|TU8IU
zZp9{*RrjnI^Y>l&|GINYh@R9ok7=2GJ0-6y7Tm_p)p=h{tEc(<gyr|Wuc&1$?~ppT
zkELS%X?Ifr$ERTuCz(W-1$<pAlQ!k^{GHld6JAXCpD?SkSf!11<5?ENmnYR;H^u$j
zyZE!utE@C1;Z5~Dk1s4p*vzbbz_OvixKHJ7)RaW$juX+F?uID+=6!Nw5trP`%(tG4
z@9%luxVG@3jFnqM(MplkZa1eb)0xk9@nBnxwfa)qc?WDO*Ok1#Za;V7ns6o6^!9HC
z7OeMkTB6csUi`Q4rt-S!B9$*DS2CVnE8Kk{WwDxR%F~2@HrKXX>e+Gqp<>OF^pg)X
zR<1sFleget|C%L-H_j+Fwo)m{Eio5)&9V4#^@fxDRyoz8b*bA{U6wVx|HWjR*QZq{
zj!E4Nch+6w;aR^VwDHqkv7FD>d#1}qM%bM*P|KV)*MITMsPmU+iPmIpTVE9w?GYOr
z`@p<elDY3k^alCrBYP)rZeragH2v<Im#0%-c1jiM*~gk4Pn1wD+I*BVv2lkA@0uq@
z4Oi1Ge!la&!h7hLq2ey5EA`JWGgPnI65tWKd(y%WyNhhXH}CQ>yRP>~a@C|-qv;n#
zCO%YNw|8%1tj3G9%!!?*f4ZGNeR|e;?yz|ht90y=LxKk)Y8W?e`%zwy`Q)a{##*=6
zg8a=QX?Izl$sPD2x--S>L-oC$#m`+uHtR2&=Ay{DG1VYc#nM*gVFi<A^0`pGBcZSV
zc$CD6Ju+m{xp)8Y&$n}2`WV}sr*&z&)?Qct`-0`W*w(O<|Mxok{fKS7^o8NDx`9vh
zC5>DC-LHhhGXIqQWo#FTntFOimiY25u0B&{-E-s6F3!2UAbh>t#z&8Km+x~=Uh{H-
zmcGhsNh{uZi_6B>UVdT!*C{Sh#9qGP|JJ&hemDMT9oTF3>c44NR^2~cL64lo4Ic`P
z0*-B5%q`fqYGZKU9rdGJ)1;MD?r>}`jSt;o+Vq~M<85!flCfIEkt<KXRV`0npzd^R
zrJZEBGw*he=G88a3VFAeuRJ(2`+t#H!KJ{{mp24Wo5NiAcb4eqcDEUf8>fE~_vPDg
z)OgcW|F9nt@!#v_9=2w5dd(cFe&X{Q<;9CQo8EhDmrtF!X!`V`DTkaijz|Qol~-oZ
zXgV*y&?i&K?Ar&X3-6O-Eye3%zOLW7<FIVi^~}_HTckS<9bEA1d{;*LDH}!ZD_g?W
zbBKqktlGi4SvLM*j+ITp&k9A|g}sS0nPS%S|8C*jyr0A8rvKp(hmhjTOHs#-&&@yT
z6>;i`?7N-(yB_H^L_Fxv_P6NE+J5%#cS*;h^(!w<Wfd2Hk=L{M|CP_e&B-6j`|lL2
z*%4LlZE(phuXL9-m-?(ZC9=AG?!k=LquP47=UslzVf12i;%3$E&?KW{+nA1<G$s68
zyuK%3<(%~uEtzH?#7h?OoGB`PbZ**wi<|rZ>*>z;QnUTfw|mNOSGJy&zwbHqzL2}z
zvXnrRt$9@kel|_~6_Yc6j!)U22j8VHr=IXPoFc8|mvPj4%K1>Q$m}0E%SAVFZ$7;_
zO8w5VM;tRg8nZTjGn|l_BDtiM&o)N1(qiT7vfd>9<9Bw1Pk7w1QmiEAuXS$kgh#1I
z)fT+14fbET+v3|Srk4G7d-uJ2eWh+W_l^z6cVA@qzV>*dhzswf7Y{{lzT%wS>ri&<
ziLErxyCjj*i|sPPnS+j+Oqc$(TI^Cuw$@b6C3c*jr4M;+uxB@rh`aUV?!Q&%u5nha
zjXpH{=9znb8VcbKwI7x}nH;XMZq61VdA4gQ%Uj&u#;(1aZIgOw!M2It9(uez7_?m{
z@zV0tUE$WZpI$H#>}{^UuAg&a<;v2G;u+@_tX-S0X0StdgOk9%-~63>HXP6Dc{%Ov
z5uZQDLwz=Av^i}tWO;hyl})kXs!4h}Z^iaqXk=hr-+!-->B!-<;H76xpPzBiec`my
zLOL>Zv&q{Z2EFzYQ&K-Ju9R8k`tq}uhx)da7JI&}H)WPyW_jwQJlh=0M?1>jMGDCt
znEGn5$-lF+I2Ik-TsJMtQ+a`jhWewDG;Onn*m+Xg**&`|qYu6<|L&5=ePDy$!5Zte
z8jDNMPAFP`SLox5?x%m>s(t48@jyFW^K7lo+_)6wpbE2h|Jn@80z`hv3z?}zJ-g2x
z^{{`}){lIz%w`3snwWTh^f|xZSwlWf=bGG+_hk{8B~m4;A2MwZ<MPUQD#rcJX8pC!
zl$Xbh$|lV|`RJ=All`Tt<E5`vR9iE@C8*8(`0M{^L$2vrdDEiY^80F43S;bE)*RSB
zHD}Ks_SsE3vM1*MewFjf<!#Ix?H=o^JNrvi);_G~6UsK=y6@CpoSu{T+TXjh?Teve
z*o_E<!#71|R{xN6Q8ZauaIz$Hy5yUinLOK$3;ryg$-KzrDrcyT(aV)>Hq)1-{I$J&
zDM?s7bkUky?goo&4qczfD^RoXp*?Sc(&j_6geRy!ew!Zr^&Jzpl8nG^N4L7)GS}-u
zH%{$&f42X@^T@UHc{b@^Fxzyk=FN&m&YG-40mq#9=4vDbMBWH9{&W39s(}CBcdUJJ
zebGq^8802#^zu=`vHS$Pc!A5IMduhr-oHuFYM=Q@`tBxK_cM--Uct?W0zbJJdd_cM
zwZPK9^Oeii&Y3S;q@JXj$hn^2mSGorEBK04^_TP+88OF_iTa{y4{LI>xhAolO<~%-
zFF@q8*eqGW<NZ+^?_JQ|-S_Qq@9W7g)k~-TjEQ*H+ZfLNR>XTo(WQ#wioMn6xBg<R
zeDFo5bCJP^H!D~-EHnGJ?cu2<aV%Slstuo+>s32Ead+6Dpw{VNwrFOpn-lYn?DlBB
zZR;9a7hUb#!++=dYG>P?y>YAOpZ&^ibKmdl_Sk9n`pYX+x@RtUbK2?il(cz)FXDg9
zcl;<C{X}Z!{OGU+Te`jkt_!-xXBvC_)rF}iHa8VUcC>fTKf9e{QAV!Nq8Ys#FWdFC
zpZOKwzb8vETCzDjN5#%-0@LY|809{*vJzw8gnhCH{{E3Y&T~F#j_8A#EJl3uzD{b-
zEr}~SE!3T*z^}Fb{PqXy<xQe8zVXC12z%<+HC|~v{_EMsv(+E-Ojaa)-_jlVA<IK?
zqOL|{yxNvJ=RJ=nSai>ys-yGe&7<dACNaN$AlqwxenR-+MvW|HroyT6s;x@`5~BBS
zzQbSD{l2A^HH>}b^J*gtMT1Mfb}$~~x_@gHYs{*A-e~<x9W#QDG@Z7oJ)gLpQQ63|
zS97Y8+KCIhmdz+SFTclN&m;HAS)Ugqg)O=Il_zI{U<}{ozd5g#PUe+-BWN4ry;kSB
zBHP)`?@r28d^@CTHtEynP5(AZ#Z}kTd`g*7on-MsHl2H;%k$5&U!PiPvTzniA33l8
z=$@eRJP8HsNrhz%7lK(iMI=<Tw$_FFP5J+)qEhyXK;g?e;a4VoN%JfsRTbD`i=HH}
z`W7Dg;NRrc+iqnp?Ejv;Von#A!c$W|vCnU8Cj1j!e6~;`cb=Vyy1jpC>?{7SZkyj6
z66QG9oAU46VZqdfbsH~lay`j%^pyc)lC==G@K?v29XX*Bm`)pPVwk%6#bp=w<8!YU
ztY@rDJZ-**(KYnQT;JAjN2=z^hxu|Qv~1wI#<2aRXU2@_tlU`%)9v%EW{K=ubWpsW
z=}TWv)0FI247<(R-&Gh)o0`h_Q|OcNsTmL17q3)#s-fK&d71af)->PC&UK#mpZ~Gg
zw0(|Bcc*x`s(pgUmP=1L%<C6@KA#laTFaK9^`kh!|4p{T&87wmmUio%diNz-Lfgb@
zuj<^pxi4%V>%Dhx|F26Co#t`w_nDe&HOx-Jk9?*U=h=jMGm41VsU<$XyY8sgjoYsd
zPM>49dcl>%L-BXdKaOvcy{~T{SGhdtTb*6dsa%F*KCB;>nT73t^Kw~4+LP7ZlETd_
z^R_qnf0Z$~oZa<PbiUd5<JxlF{eQF-WA9t8Wo+;|@x*wo&bE%@-}&UGvwnWtv2;#~
zoPdnu>)+edQ<?m{4MLB#zI2+%GI`^}z5h}&!e)!iU}bfAcs$E=J^Rl~;*T}=B`jBr
z7O?E!&f=b)H23=tAH~gTuZy?)hlDMbaQ~!MYVUJ0_Vl;rTXC*Smn7b~C^RAG)rmsJ
z&94?5-C3y|oe_0YUQ+em6br9syk1Ggs-D^${OPe}N1vUJUc-BwGqL0J6shgjW!@L1
z<!3l@>^yA7x>@eJOqwv`sSu~%!f$=c!e3}e-CUuS%`|11fij!koBcnR6i8fMkY?{y
zr?De$Qexk^?R#H)gf5A(zh)O#bEiskg7t>ade`o~b)J+{A=X&FuDrzFS9#OBM;skd
zsuoWr7H&-xIi-~?^fKee$MjuI1#9Je&UJtH+3{^j>d`!*zRzciK23SIb>+^QU(EZn
zUkmWGd(2jtcFJdYiHzTqytaeeFFay#xUV*|DgWWWEs@72EK(G(NlKNfJ;CHz{Il)V
zLc!Qj@BL2=XP(>fYkoaTHe<r~wDyM`;@@^&iSFp1tUYDTU$<|3w;K~~uE_sd?@(o;
z&&A-JnYJ`^%X6jorFAc+u<x!+y7fj|<-v|4M|LiZoOWR0UDaoN5i&F4vmf+NDNnd@
zEKOv&?{ts%$E`Y57cDSb+UnZ3GeB&?XVX^!ZVz6pEZo$!yuv{%ATVZb!{>szapxIs
zH>{Ymbnk<m4ZA<3l-IrZ`Z408zPcBaK)J!;2d|&Lxp5(1UbnYX|L8>#*DnvJwzfIS
zGH?Fh_Tmcn0Y}#$ag#SEccjWEU3|RF<>8n2ae^tow5R-PTh?-Zn{YL!@AcjMfr}n_
zwZ_<eR(4_hruUvpLzv->)#-O<Uc^qfUOoBjfsd=7Xs|n1r|22;E)F+b<JHN%J>@}@
zpyNx4!h2zRUVpMX#xz+s?1Fmx<@<?(b<6uRUwn+zU-oI!o9sK9n)Vk`-YxjI{g%_I
zpBt?zG>q-n<V(8o%-y?snuz_;8AmUfvd=&9KKh)!_m-0{{!d+!cTmjirQ(U*=4ID=
zzEp(GS(`JTX~~UMrw;P$o|Ms9{YI&H@0F=JX6>7H#qc#X&ewLG@#f5fd6p)hE~nYg
z+Vf|P$0^6390hJ)H~v;Oo7LN~;<{hgo5uUTlB_A#?&q)F{?K;zAI~GMst-3+wQjtf
z5L0GsePZ8_@~c9ITRGR=zB+rq^eNA{qmLE7?|!hXR9pFN?X>f%Hyn1x7f(KXOysC`
zWI%#nqJ5s^I@8jH{q@fUmnUTF8t>8w-5jeNW8xS+edfBQ-z~1VNafuUzO_p4>gt`U
z|7uRi85$h?s-*d8>i*a3`d$ZZoA8deCsg*(+w>RS?c%BHRIDZ(t#^H8|3Pl)#Cr!G
z=DruM(zRy}QCALH6tJ}S-z|gnGBc<2-f5I{IU(_AyCvs@Zb!D~(@iBW-kN>vr%0bR
zpK|b}fNZg=elb-)Pp>+qVn0pQU30^#-M<dZ_;w(5cEc3y+&vdFIpVF4H?0ldTe9!|
zQ9rSBAzP<OzF3&96IAGR`$&X>j^gZszVbaH`)9YB?tWqYDQSTa-+D{6vrB%wDPMg2
zRNO7O$sIq_6b}pUpW3fg;T9;V=@BWBvEJ$7f(IKO%==okx0I{(-W?Uo`ELU9_9s2O
zURbm68|!hVmACk1ei<qI9hW^7^)=?{$|bw21f$l=cD=Fv{kCdFSx>!*ryd)xw*SdY
z(|<mui(WEa`@3Y9WZ9mw#_#htJ}KR}p!4M{wI?={trt6UuPFV;yUyR`%Zojeoejk=
zJY2Hr$SjXvUt_s;JTm+BO{0DlPvxezV^1=SH&rh`^K_ZApHy@6aZT6b1ru5CYebpM
z`cc-~GmWi@;kN4Xtj_$NE9d(CW={Sj`M2e)$dn6zxI7;<O5L5|>9A^&<ioYwg)%=q
zp6`%ScY~>Jn@;1@%V*O>lXuL&7%KKwWTWi0&zX;Ry}FZC-#6jjQmrXcaZ82Gw)G^2
zsTq|2fAV)OZ}Uc3dp@Jh7bf+t3SK{HokR1C^|>FFK1s{9vt8S(B=Ds2*aY7XT;g9Z
z{D^G7u|$=>*^DD0WA2&XQ?}&u{aG6?#IGb;^e<%cPKyISUL00S=Q_X0ce&`N#a3Z*
zzDqa%V)IIUQFTm>A#Td*!Xz;kzoQ>mY(x5O4Yqg$1$c43tO?wyYrpLL{ls;p?9;2P
z`vv7AzjbmhlGSfo_c+EucxhB-=46S|2{#;B_r=9Z9rIpnxA}waq9Z(4U)GBlCNG@h
znXB^p-s4%drVYX&H$olqrwT_J@iz6<-PLw4;d$A}uaP1klv91{WhUqQDKC;PI={88
z+*LL!D0=Ja?=#-**z*4Q-kd3C*L1w$-c%*x(o_-Heqm#J(khlI>?_YCx#hIm>zB-G
z)%?pkm#<xZ+l&uVoV)6d8z}^rpPR<<%F)a;&%Urmbk55C>fZytvvn*;3$yH<@S($U
z{-vq~C0)X^?(|)L_PXuV+#_P2ZCN>&2<QdO2u$4(_sEcgak<BZ#as3Ua;506xnGm|
z;ICjK=a1;}KfX3|Y~&8NoLJH(F1nzza0TDirTLuim*)L%Exj*4`@_pT_Wh|TrvHz!
zcb6QOdb55*z`jdH$L9#OFVEF_^n2-#U24{9ix2r0*UBc_>uzLa+NZYS!;DLd6ge&(
zt+ih-V87S4>ZkIDP3$M;&vz*Pw~T+nl*i?HF1m`=t*2cyzSSyxSZ>ekB|R(kRuIe9
z<+28`e3S3()C*qwknLFY;_4$&7s|EmXQ?LWl>GQ9C269+F0yM8UvBT|tpN+P#7pDE
zFEuOOj`3v_n)7g#%|7M#+(lO&^LcWL1}*n~zpYo5VaEQq2`cWc^}G#=ZYeweN!(pE
zm2;<U@-nHVkJI+tPI6&gGB;v6;|H6i*FAQ)lutg?(4zlj>VYXWv$SQN3hnehwa!#q
z%7gKjeZJroyErkYz4{renNt@X46#xdRS8Uszs<KQeXaxhyPb1`mfl{qprZSBN$?ew
zxYhH2#KrI3d-F@g<oR8lzaLDV^(e^J+9EMP;GpU?F0)B&J%8js2I(l?Rave6XyJ+f
zUReSQw7>Jcjd^s#;^N+>mo@4;cSt<!_B${6u#NXnz#G}XhFjJQg<3ln6>rRXB$2d*
zXYZ9A$Lr3@SRFK0<O}I{Ypk0ZC*Jw*UKQWoI>Rrre+xSOO=n4#+>A93ds&&U_m@@X
zh+?gf2Vav-xspR_T&^wORtZ_5-EUV3$Qj&tE_~TEB=I8W*K@0xZSOg(ev#+Fy{v4v
z?5yXqGt;dmBn0#Ac9lNBwBg~W&agSY#zLv<4td4Y?tA2{uXgIvi}Vjie{SXt5IcCL
z+k%5V;nDouv^8fq)<^%8=IvflV^n#aN#ZC+P{W&5{Ngiz{*lr=67=Z2t($?2>76$1
z_5H`!I0l@QZ<sREdgk1{UTXRBEo<LzQ(-;0_?5ni&UD@TM{4{s-=w{f<$R&N|HhT~
z0;@mmYY|&fdm?<|L>}dfh00Ejd3NTCsa`ROfk8UXNlwd4^8T5q9%cIQVJdIH%$J_=
zdzhwkDKFsrvh+-J+QOc^khF=p3=eKabLst^EB)yBf`pxn%{Lcm7M*#xbQ!}vZmEVw
zmU_9={;kixm~XjpOJnxmx|iB_UMA$o-ngCCx;;qFTsK*9vU-ziNXwk(AM->k&b#jX
z)tH!cDKztwrnlqXqh)!AAAOd67jW_rYsRmKZ=W4;JUGp%Ij1_XD)NeWWXZc}uV0>P
zJJFZA!~Kc5=wHTV+jX96&d8m2Ecvs3$L~4ud}+%*t0ZM}BzM*y<#o&1cVy#zMsI;#
z|4%n9T@f30yW2dhZ55MzqxA1kg;{r<_@7ljwTZc7dT_#xrI8Hc*EY?3ZhWC+zT|9E
z*`JGjrtO?@WYQJIUq1T(#SRJf-Hb163f_L^$H5~{iso|EFV~;`);{9a!c**}Dc(12
z96!xYz8WjJzD_~4+F?Hbo2v`-8#!6GmFu`XR}I@ctDG&SF2E|xC-=#2)je@Lns!97
z=bc~GXHxXd)@XLk#>@T-G~O%ndQY(Z>fJEoA`8E>vc=xjzBZa}>GPToGW`htJFVhk
z>^avpW*OKnTQ)T}HjrJ%rda)j|NQZNb+3Qk|HvZh|NF>6lc%YgKO|K&+}<rZu_@?z
ze<{}#i`{Xr8&1Y#zFT}uev7)~rbaQ2iyqu5%N1>VUz;&5<KcY%vX6^(N5rPqcu%9U
zH`k-10=wAURL`?LbFMriyjAiDuW0SO&Y<@*&otJoK6=;n_vYxNpATPVoZ-=NUliFs
z@6X}GqSaAuug>wlzU0W8Jm-9*)D5S@KeA>RZJ&_S!s%IJ#{Nb>N^FDVgc#qY%Xj_$
zdREWPc0=6E61k~H=Gu?$$9m*C**@6!Jo^5w^_s!{sTCVGMjThX+IH^6{x^Huaw^zw
z@&8VKsi?fYkX8MEbl0&8o_Ecr721bu-zHj4mD#a&ZKK96CC-mm6U8S-FW-3b=qBB5
z5lXQwlRwLF?g@3<_p#>TSvJkr4<hBH<NvIi%dus}%*%RH9CS1JT{wR}V)sp6`FkbD
zTD^ALsbcvT1AUoF10H+s{Px|x`B)dryIy9ub7DLFfBP?7zVK{J@_U<}h3{_gnVh*>
zG5<t$y~Y7|A9m|N@&16HZ==iZ%suY%uy+38*Og|~2UCo7W;<1NUb}m<+Enup$Femm
z%_eO#Na&ay_9*=RAt8;hLskjrQc|2)<*vr4F59`JB{Z@>YYmG}R9p^&W*2*1>*1+|
zN46SV7d<J`);!TbW3^Af%|wM6McM8w?o%%RHecsm@Mc<+MU7xM&-v3mv+wPSc=z?@
zy_YNarP>6$&xEYG(SN0ML9VW(oX-by+y86%+3OcizADMn7pSvCz1UV*<B+?bvw(fg
zDVNk|599v!Mb9aVzSvT8=CE8(evOWp$|{rV+g=*1&3XSwNkeSD2IGEp>09SL&!|TK
ztq|I>v{+l_l?LB*Z?|t-U0;6PdwXub*O^1Rckrmy$$9Pc5}d-y^s~-+GH3Qw=BG}M
zPmdO{{Qa`+$m)EX=T5g&e+u{oF1Gd9FU8Lj%E56^bWZ2)pl8qPWfND$eA@RY>-`$n
zr-#?BPZx0DS2-CTFjN0t;8N8?Mjx&(oUlq_$sKFYNW;K`g-5&Y9gsP@;J95up9y>V
zon>1t964`Nx<_&OWBq#uM?ba~oi@99GR$A@Xwbe3xw)?%evn;vB<$j!mS0OZmt4KX
zbV5Px&@@NBuEPN)=i~Z!wkNMT!>;=_XN&x+3oQ@bo$qZotNPSnTe&vI<C{ZUbK~oG
zy-PBb`kwQ%{9mkLcKyUZ#cbKmz6*s8FQ%v*57&)oSka<;F<<g{fzkqpOM6o`y{(;c
zL(#xLP~PpEX=uu!|L29T?tiynuE_59C8zwZL~O7&l8(3&lh2zyVan#nsy9YEIQ@+E
z+~b)<awja;cm9&~SDV-KRp6^zr~RJjy_?dVv|H`|>fP!q{7glQKN)u0KQ)>9#$eaH
zgt-5qO8hfyAO72Iv#BG5@y()gq4}yD@jw6A9OM&vz<l*t*48qnRZADVkKuUGE6#Jc
zVVkkxs<qc-Wi56#irqXCSuXS3z^OxW+IH4O(KAl2P&}n~?&1eKhHGEGoOKqTlp^x6
zWK&%Hd@YS$C&Olrsdqo~u5Yk*Y%PC1Ep*2DRnF0wR-3=OY-T$4;35aFyoQ8kz=J<-
zA{!2s-|=_9dT`o@m3umlA6X#a-ufx8tK_&?@ZJR*D?c2&ucM(V!aMiJ&O4@Y^HaI+
zO;0T|y7jgE+1U^6&gF5tRHnDTNL+34tKDEH%XO6_r4O7K%sgxt*|D=ash&K0CT@xJ
zE{>(?5llfboSExP@-7webeu5L@#FTn>2j8x>*uTJ?5X92J&`7p&OV<U@a_eha>0?^
zIog){BvYBoW6eG&8TEauDQ1~w#KOOP)#Lh2S%F;ty)K>kcC2(-yYAB)ikn^<{!2H^
zpRnclw0CP}-PxLwoZN8R$oqhF`reYGZQMO?pYcpf+`azcJeNH+zRwj!?)`nsoMpFV
z+D%WnJ5LrZnzV3L)P+~UJ?GBdj9Grfnr%@9@6@pCJ~v%Hx6a-8PfG5~dWMBYi#%H7
zIW~9Sel7fck#^F$&%&>hjQZXOD6N<ncEEU*<?khnTXNQZ5lvg?qq}7GQq_Q$Zm;E6
zs{OOPq}{vjyv4k<#ZGS?+AMz(StR;JF=lFfnNs<qs2dX_7Co8Uc0$9~WNPxq%Rl}<
zUvhcz>=5HM#on{^=huCdI<s|o&oYJ0&t+5_6Loudrc8RWEBf=N!dWa^d8CeQ;hXgS
zGnb6$GroeauSyTN>g{~$#q_XMhR5{uw3mne?0l#9z07tmTT=Nd8zqbBl@SjEy>>Uw
ze*5x4$nKK6at#(!=jydsc3eBwzIKI~+oqY*|3=kMw3)K<F$1f^ul+9%{@lNu)ANK{
zaa_Mx#L?|b-{dkK-c{;xV#g1*=_hutoF{ZK@6>lb)|ZY34rTis_RLw+dM+!>*g%<Q
z&x#eD_gkyiU)TEGGvQn=ThYu;zSEZj&Zh)78VIhvwbb>vk-@C30zpeA2W*s{|18K{
zt54OE!N=EeLScF3rD-;|`oAr8@r!D>$b6~0txq-Q!Nv2BLacosHJs~AJ96c#lFegT
zo?nZu{ZpNLkwsoKTX=>x<IPg@IU5rfoO|@=MAiw_-FB<gbjz!*OK6|tQMZWI$_?(#
zsFb*#_U_%4J$yZ{BrJaTi<hbvdCgh$HaNSWIJf9}_5Pr9n`7oM9Jy*ATOKFS-aajV
zkF3|u`-_%~U0H6rUov3!vL%mSS$@@I&2RC}*{_?^8#_HjePZ`z2Rn_~F0bCr>t9}I
z@+#4CZTl2^sVh?E=c*;z=Y@zRhgkob`(aLw_s63}wi_N=PR-a|!r)O5eAMhk*ZrIs
zi>597>}8`e^U~vwtuCvh6Z?-H|9<oR3ce8YR=4+I?+@<{b!@-m6Z$La_SD3J$qrAW
zpICjkbFWxnk5f{*Wam>umNnbfMs5ES__j|;b#qQ((vioK4_xK$gc&-WaQr!E`F1ty
z(=TKGvS+Z`PU;Ylz0xE2u27+~s$=2I9}S6nwDb7pw&mKY`SKY}>0KQ0(MY;+>BL>x
zoLR0<Ha<AGquz(<a=yRnQyqgMhvge99=+OdQ_r2D+MgvpZu2gSWS?jEUL3qOd)@w<
z%T52EUUcDKeQNZQt5PBtW^rwOyghB({(GYD6*qV;wGR>NzrIxFf%L_Fc~!dmJv_pW
z&-qy+SFNoV5wvc}Nf!4MwhBLUcAo2A%p0waeAfKB$Dd=j-@i2lqU$%B*Yr88<Vazu
ziE5I3)5#y!zcg#p(lB$a*^Nx=6%F_tl*}zN8Fw|llXw_weymH0>3KortN&q(Uwz#&
zZ`r-Lg3rRL|1SmdR4N|y?bl^=-1*sgTf7_h8{cQWFFJXW>ptGTduVTDp29@eQroE!
zET`rz<zK~M+_+qObJm2&vg2zfm@mB4u}He$YuyqlpRa56j<#L<S$oKS!2<o{hzwJ$
zPFAxQJNWM(4*bH!US_kxXVxU;s>r=oIn8hSG$(qO*Dv4trtJH)yL&22swI7!k2-SH
z3B8?}V0rTMm%VbG=kG_oy#K<$DOTpn-|e5*c9#o_-oM8Balt#Yd8@xBg{?dBcH_}4
zn#;Z~dnxO^e0RuSO`|x=N|Us651-1Ow0_Ab;gNZ9#oWAY*ED*Q4J;z%i<>sLX|XcL
zvM<j~`LQZ)qP*!5o8ul#k!nqKvs>C_mrW^l)U<GMn!C{aWC?4D|D~Bn{v1hKk~G_s
z(b{a{2QHRk9hWBI!wQ!UZfMPLlqj~l6maD1q`!`*-Tji!B(g4cu#olW52;m=;=5eC
zY4e6T4JS<nuC7xEvN&}<wQqKdpslUM!b)r5ef;~xgS9V+Jp1LEnD@b}rQ+imr#DX9
ziYvbUx+$}~;8E4lKkLE{Y+9%Ct!~bbkkb|mjAI|O&kOsv;J4WN0=f3dR^KBkiai@Q
zJ=pUr^Ji?r4f)c}kL8Oqu5MHP;+G$`y=dFS<k)5>^H}Cri?3ep*ZM0yDblg-Xm{ki
z^tDeX+c3@x?c|qQbN}j5^>EX9QS1E8nH>#1#Gd?|`QyNyUe_6gcbVpG>ufHc^QFf5
z>wM;&3%KtYf7X`&s%)nk;(Jm?BwOM`^%=tkt>n!YY+Iz>+@AM7bykm+v9tBoN0KLh
z=t-L%T)$kE&sEkeY~_XU5Ow7=i~Agt^>dA@@0>UJ6TMe7EI5uQDpGWI@kWV|*Oi9f
zn+o?#cj8f>#h6t7#ZLBy@$bhA(vn+uI9J?%G+)e6;y^>^fs^L3Y6|tTGmgCfU35A&
zr<l9z#DX}1=;NxIJ66uV&ZE~i#c+dh*xs)$o#le%>`x{rADd?&{(IqLHnrui3jcf!
zQ!ab^XT!4O{Wgh?GBw{ny{%h+^kdHRKTKORCr_VLH2wO|;$yew#JbFXJ$2DhmnM-K
z*O-v%%qjjlYabYIwfb^S{`3!~-|Kdl#vibVUVi=2l<1rrpLGkkoys>SE)Dv!uxHm(
zhehE!M?7nzjh`p4e66oLKk#n`>-Wpy6<&6eQ%hDAbDhZ*SRp&5$7GjfPH~2*uDZ(4
z;_PcK$AzR4p4BKdGuV88!7Tf2|5sDpV|w=KjWv0<1EaN`>|p%-E#$@lW4{7@cDLoW
zYwrEo`*`D>Y4^iyuRXf3`E%&U>{Vx&&uV_}W)=K2dBT-Qeup1l-W=&Yf6}|3NlrPV
zgL%vQUs|H9!oLz2g=!ob-yfG$`^a%Hv|_V3<Jl*#1&*y{J7!ci{qeV+%V!zlUf<+c
z`@#R*$NLPHuMOQ-6gC(7+B%ghJ}iB7`@r&9X{MKwgY+ieyfdSIjpH?^_*vRVn$#Lu
z%st}c*lr$pYsWUrSV^d=_}5vpa|ubYAHsR>zgFV0@Y<QJ!__kHKWDl<x2depMx&!5
zcUErq+UfWG>Xl#nJ}X^V_dY^t*458J%H3Nwx+WefxT}BdPB53S=8NAA^IjgR->@+E
zg_(i+v)pU0H3zu1Z_ih37FAjPOM&Yqi`VD4h0+Ra-%D4YP>5V7cF0C1ae{yNttYoX
z&zmtZ%f_R1$=<16*SR+GF6HVMJR|VFXQRutsCJ9LqH8>KTfgY^d~N1o{^nwO+qK>G
z-(IzkEzj2T7+HzkQ_g8mneMXQ$=QCz&!ewzJzHxWB%OJs@7+!<rW+>d!f|(VS9;{U
zo+{|3CVKnd+vT-u!kw(&@0l5M<hk0s3y+>li>Kami3`=-7j)%7`I*MHY5(^GFMoTY
z+igm+{=*qe27XqPR~M$vmbf>aJ=L6F>`UiH{sXf^*8IBL!|bW4|JlXnTJk@)2d&#X
zVhrBOIodS8(a4QCe0~3p0Qp;)4j&5n%8U8`%(4Ibz251Y+?f&+3*W9UY0K6;xi96u
zjC1<(L}u1~{AZQSF9~w8Z=b@uh__Afu>Y&pWqe<{wzl$@uSvU57RUZhc+w)y02$Gn
z^2!If=apBynfp6|V|Lj6jo;2LV)K!nHs^5lJHEE7bDn8&@%v0sU3m4XkF?b0prYBY
z<P6or*;2OOdVJe-_0HcLUU4m2Z_WPc!mIV0F236R-eAi2d1_8a-!3r<R}z{f9I?#e
z<+A4KjhYXlTLMEvmR>#h%j@ZF*B*|pxtDGvx$SoRe5U2Cm|cpL+}@3WlcN86RV{kY
z_E*10-7vqmcCxib2J^k2*-ZAyOcy7m?>{rcLSv!M6=B}x>6v$~?|9UH!+SCRiAukt
zg|ELc1oDXr`l>8lVxs?rW#%25-WM$Dr_(3wy!Fd4H%?oB@7&~4vkf2KU;kXccw>T3
z>#i-_l@}Up`ez@0T<BirE!6WU>Rr**=_1pv%}<P*e>yi}-kp<IKCeD;N#@{>lESHS
zLdtpz|DU<EL~6mh-&6OVzIU6~)aClD32WZYoA8O{#0jrF%Pu}+6V^Yh7g;t<Pu;Yz
zIwRX9&DZK=@bTW-op*muFaC5xx~^xdNTAPimsyfW>(k?xZ;Epdo5pqUI=`}w%emEj
zUyXl=Y|4HZ?oicn=*#75o5$I`ZDw}+PcamU`h5{&uU4rpHssANonyG{?W>LMSLe<O
z>5tkcVqJMSj-O$rd$QJ+j+eLZDvRz@-#_VY%l^761|?Oi!w%1R*3CGxQ8hxpXN}6`
z6-naED${@LEDm)sJLX*_*>WMb?R07f=cF~a>t0QqJm=c6Een!wUT(dh(Jh{3Y|1lz
zvBT<{>winH>u7lK=*kIuYg?z#(3yWfx!zLdN$-xhEy5_WTj#_b@zoNx0?#+x%PBD2
z(U4{RN<PC^Gh|!E<A=4+&GkIzRvgupU3{x2DB|A27t>Rvn`a*2*g56>%$*&xKCX|S
zT5I5_`0=$<zSFvc2R}^|{m}c*qV&-9JNgrDH}Re+l?%EQdtUstFmt7T-?0fSJ<lxj
zo^97iG5WadU&@yA-x&E{zLc{ttk7d#@Nwtq8^8M6y<abRtz)C672wcw<yy-BqVAZc
z{vYv^Lhq=tF*k4Cxou~W)X6!@8~iLMeTX<LvE}6I>Wv!f=I|D;wVBVgdDFYIM>sNK
zjs^N$^6pBDwEX1h(Ir!1wWKpJ^HNCXgbNqEqKj6(P&%Lfy{Up{x_MZ$#hI>@MK?}d
z*>tG(@!r%AV(c+z10w9FZ^?NQ@VMm2KjYU5`T8xqSv=oN4#_P!b7OmWAG_C^V~gZw
z*&od~VYEj>=la{WtLs?(76?{2&lY^2ex%i&sj)OcDr3<FF7`J99TV&R&NgG%US^|q
zda3c%Kkfhb8sAL}Xs=Ur6_#`?VLm6=e^IKG!)-;?`kO}=onKj161(M)?R~8|pX%Q0
z|4m|QTl;t8b%lgKLcYS*nqm!J*9^k-`NfQ`JZ&%clL+}F7-1al(Ep9Els~td`NP_@
z^ABQ{eDSzps4jT(#x(y))-DhKxkv20@H^9gRh~>7>kiu=E3Bg~MOEiz8kb%@uuZ?R
z`IWrEr*D08XQ(fbxA3@qO@&?OL@L)s>Fpa2+?~6Y|K|QZ?rz>wOFwI#(qY}krNa4o
zYwt_eZ*gB%#ukJv@(8!w{@O8K;IU7eefN~r@rkRiYuoRMv)LB??C-J8lgv9~IWAew
zxP6V=G`-HV*H$nqkbR$yV{IK%K3jgCR=&Q>tKwykZv~#MS#au`%(rWPj9%>GbLNXn
zu*|8DYjN$mEBm}HD<?Bs?CxW^GeOf&*v?w9|9kB1<QFG1LM-<`y*TID?K^k&J<hf}
z9<}s<io5XnnHN6^eVxSIZ~uTJ!NIE7=hnd+HEss)-%aG$^IG^s!IRTclQzutNm@|l
zZSJ*X=Cq9BsA$`xr#7m*7nDB1u|sq3`}U#}DlIGRUfXt?zSpSgoE-aqi@3_X>ybH9
zc@MIV_;YJdN<G_s#ZfWIe@{~6kyl?!CLPzam00yX{^^gio4qo5eNO*NOje6zdGB|G
zaaAD8nj=DU%wtZ?Zhg7Bj{jb8?jK?48Rurd{}d&1giHVBrWGC0y1OUqmW#&EKEx7I
zf86A1iK4uRoZxxwQ#Tit{b-u?qp*0*<lx`_voz9VWmc@<E&jC3h}Y?*;cGMJ$aOEA
zqE_?oi&dEYQh3Vwt7d&OH$J*G-OzZ#JRYyU`$BvBUz<(cFP6KzX*K)w=P7C@o%YU{
zDmqVMfkSbS=vC{L@e4#IXT+IWf3@-Kyu8%nE62?ng~A-k^L%<DOuxpsa&2GxWKzF5
z!>Z+q%lA#5Vv*{zdEON_fi(;2Hl_T_P`YYR>EC~B-u6k){-4{ho{uk5WBtzK-Q_1O
z@BPbM+}+A~{qDnUti0NLZ!T%s^nc2Rv*&j&m{p>4`3z5M|96$vY2U7|kNcRSw)D@*
zvI`8c3;ep4*4)v%X7OXqbN>g;PA)b&W!IaxIoUfK<OO@3=G%5rZoPujRAI~gA5QKO
zVcGWU+2yl4at~&_{V~nTyutKvj@Ne2>9=mD{yB8x=5fwvml^-Z=!SZ!-AO#tQIqjC
zCWc>4hTrk!SA)C!q1&G%@@q4d8%H$+<!Ses^G~0&IpUp+yXN_sA57-1op*ef#fsH3
zldSlT>=vCO8FH6x)n8NBXtOB`^TU6;th_69IYDuMwAQ(`Cer>7(jFA-Hr?oR^qZbi
z$?Q7MySe%?Z5<nQl<a@Bf1E2<(eQRd$hDn}R}5{#!scbqoujT|?DnbUfkkx;<DG{0
zi&-ZeI6CP;+RA>v1qJ(0SvI}DJ|`{EGiI|V`--2B7SAreX(X7PUgoo4GlLdi4@ZpR
zEM>3C-#tI&?tkn%``)m6^ZJK=*@t$8B|5(7lJ@xftZJd){nohfq%);DvaK=&91qf2
zKN#I%et0;@N#biC;~s_0O*dSl|Lwh8Fjsi@DXE<3eM{qi$uHmhG*rCP`t&q`|0~!_
zZ#?gtw`1q-1BafqT|Rcw%TY+i-auyYm+~EVZN=*+{_*~Cib?h8{L8b|^IotDy_~SE
zYd@n5%bhESrycD)-1q-$`^E12t1qO^<awL$$lq?a_>ad|9DKK3k~qY=#An`9@xL1-
zE+=GY$Y~icyb!*BgmbZ%KKIK7U1`iYIa^$NFD;fiDB~r#Wc`-6KX=rqp9yu|qZrS4
z;mhkOtn%yLzY#G1@?yPiysAZJ3m1F)wgt+TU*<M+Ts`~g?)4XK`MW*C`10Ssbd={5
zkI|YCd~f-e!oR;1A6q}%Hto3O>jZ(tNj<KMGu(GX?NsgC_@ZS?lfc7A)_RLq%S!!<
z`7u@aU2nuysV&k5^W}XO=I|aYzvLf%$tPZV*@Bk6)1RcTx$tDe&V~nHEhnhR*#{Ri
z2mSan{r;964tr+TJhAz%*Ajm9*Hf#PlbBoH-PQ~DR<O63x7^X|=B((&GCS1Y7eBAt
z(JWyRn6>k6Xlb;{(XI<6=Z@zjX=&%CB=4`B&w9$Qi-V_RPOpU73t8`|yw+c@?|eLV
z?@q=3thsGprx<<qTDIg-$E%u5-`ZmVRgax|B<lF4KFHa0P5*h;vmzbC<NpJm*o9lv
zOl3^qU(NC%=q|fw<AuYq@80c?FSma3txLUnpS?m9`{C}1ZyQ#(HH&BLc3N=ZQ^zw&
z9mY`6Jrc_~`0GLfTeRISJ&c^#I7L>q>XXwp2XW?pc_p8_PuRS_t=#ft<?b`dms`wV
zzT{%`m$<BZcx8jM&r==2(BF2P+0P4d_8HDePS3VYSG0ffQN2I+^VKAk6{<PfZL9b`
z8+zQ5T=R1$_k|y`9VeVORqsB<`ma*wR_}^NzGUgSKaCGheQ`KAxxy>@dUH+7<b_Hm
zV)9Qo9sb^!T2k}-{qJRN8(DX>s_weh>G0rn)5)^B{QHxVdHi4VynJwWpK895rc&2+
z_Pif;fgIgSuD{)Q;`d3BFOiADTVi4^wU#eb^SY9{<B;KOnSy*(-j3^e7q>;+2)=A{
zbnD6Y3Htn9f)$zDGX4nv-2YN!a+$&HeBpzfxvA4rPXr$J->|VhaLLt+FOEpuPrJ!e
z@4&8baPgfNKZWP6l$>_Vde743JC0qy_HDLU-1a|x=MS%8nJV?;<!7He|MIq7(z)<R
zj7jL|!#94<A3hGVT^y1V>lu@Gec4U!hEN6jr-#%O1ZJ=w<<U8$-}Sww$bN6T{%)Zc
zr4APjO>5uU2X478zjEhJ?``vRYL$3rGrUz^Q}J`glrCMLPNA8PQlB+WSN~(<R+#tZ
z^ZJQXKF>9EJ{i{7Xm8u=cj#oDYtSdFJu?iBq`N*n-64DTM{%->bZ+zwk?YGPzf5*@
zds`kA(6P1soxftj%H=_dKUb}9`dg+ZqIWAIyF1jTJwU-Qy<@X!ivHc)%dZ+(77BS9
z20c1^bjwepNAk-Q-*J?Pe_tre>buIlYvS}M_Lf~HN7FU@tq(s4X*$r_bka>(XznI{
zW%lsbEKBeIKBt`X_EVj$PQwzmzVq{)7PDnc(>k$R$4Kl!%%$*Ifrj##6}5|-xL;UL
z&sPhRKQ=uiFDLGN?%~UC7idh=ojN7d{F86MvoAOQq_~JE-TSoWky%tXALkJ{4xNw3
zP9C2zfjuBdavIyDRgYAkO=MO&#J(qai>kHb@34-9=Zo?Sr?-B3GcPX0{rtw6_c^Z}
zb>TB9Z9b%$Tf(wv$q}!%1YRaPy|a(^$P`zJg%*9dy){CH=e_8^($DL^G`;*Tv()8e
zQM++|<<39Hx7&(2#ysn@HvVk9@$8P(tG(;yRC*^Q%q+Gkwdi77chb=?tmLIh&8_s6
zMec9YXR=%Dl-L_zbvDG3>AaxXWsy*iR`sCn`^w=Gom{5<OK#2F$t)u)%6V%0M`^Q%
zYk9ksERQei{qk1*!fZZMzvMiZzNG6MOS1)n&z;phvsvg<Mp~bgN6JE<1G`m(bRI?X
zM=>0E-FbW8-h*GP%@&;s<5DzSI&qi8yp|T8|E^sBxZf3hd}Eifi6K+{PF5DzTxXkY
zAy3xlm;HEAq}8=E=9W0~O38{d20Qn2M)+0!aBkL~y0oN!?UN@r(&Kse+*-L%sHHAV
zGjM9p_kXb)rr$HQNI5id=2o7ipN~|%e8zT&^OC52=d3MHlT7Y3Oc&2$%`z7Gz}fjM
zPeemm^<d)J&%%qY8nHD5zcE_CCckxB<IBz;4|La8yx-7S_Ai}r@}!4_Nd}+e6b)8h
zTco_NN5uU_zv}7$2h9NM+2?EJ??_6|d(p&N!N7HIN9ARf2V&{>r{CS1U_Z$_#pv87
zz1xrUb)Fd>JZsZ+X5x>t%XU1wHv5#A2AAf#Z~TkzKD+gEUgwP%k8}GQw4P2~Wg~Uq
z!1wk!OEj&#Hb-u*6n5FUZ{D2kQQXU?YP?A(6>2>^<%82&zGdQKIbp8bSg*`Fv}XB2
zz2>ZG@84O+A2XdfyRT_&jd$0vUy{;){5S53xHb8TsuSZi-RtH5xWe7qUyAIx`^x8B
z>tzLvB11-pBRLG_KYxdQT<^YGJY=H%za8)0MNJwXHvILvxm|U~4ddxS)-DGREYVzf
z>ZASgw{riV=p9*A)csP)aVbN=Eb}*u_Z@g9-s}4D)qCa#^4{}>eg=zv`TX;t%k{N0
zy{>H4QayLr(b0v^an1xiF^{Ml{a!({jSAb!+cwMIs7&Pv`?6ozfAcD-_Ycj#@_BlG
z`D6S;`q6LZO`6O1?92Y!cJ+;EQjvUC(ee9FHtzZqHlOoj=cYd4{n4)1yVoi#T>G%I
z?ON@FUx7R7!})GaUVLhs;e*BI%_q%YF1?@jBBKAugx-X2tG-V0+TCP6qxaZF1E;R5
zGpE#-XKGLT?mtm#nn;(=qxqriYs+7?FU#bey)7jBoIJzB#iy4ERDWAH<&SU?%hfi=
zO-bwK&gWrWS^vpPA@!NZ%iD{$7ns$ka7pM~u`i4BwN0<x>9r)(;_I$&){z;D1x{Uk
zc-d#etm$_OwAVXzw(yp;$h|+hiqqo4VFy<IHQO8P6F16UJpJqLb)KEpA8L&Z4Sw9W
z?=sP}^4%I!mC_^j_q2!5$D&&;``Ks7ugK%_`<J#}MmVnQh}qY`SqJ)~^h~b=zR_Hn
zSE;okQD(`<$I?458y;P^=W_qIRr_*<($Y09y=5;y^HGUyZOr?1F$JoEtbaGQ<UCr-
z^=Y@nR;#;zcD|nB>t^$HZtt6)$>q~5ChsipI((*kEw4k<*}Vz@`FlA(+@2Lzb*n>D
z$09mydArZttBLD`k|V7jZu-*dHsOD5$qfOi4ZRgbYkrG~?<(S1`0W9Mk@&+6KHlCs
z6)TqinCU&uuRniV^hEEgt_N$9drU*@x*2qDJ$mVEWVPr<S>-a3Gb@rSxz<YuvHpD6
zvv;ZUvi!g~vIYkGx=YlVt`{G*<#{K5PboFhH6S?a+{JZlt7cZ4g)i4jk~A!OCXjnR
z=J#v4oloU7MCTrucI;)G=j<@w`+qfederKzT9ErLM)v1hsf2?!)}G(hvEbpa3p=b#
zHdg=LyEI_hy{5kSzj795%l>)W=?GliaKvBlf&9+ra@KKE-p0+<_c(DdUps8^o13$>
zP6W(}WIp|A+sn6))!$xX_+lL#>$Iv&D`!G&OTY{Z9^KUaPRr)T{|Z?6?5bhZI^A_q
z2NtW?%=X}zFY&nc>8FK@U(J5r&)*fYz_<7}%hKy&-{$NV)rl?>yZ7grg|W?tSAo(}
z&4*TpH_zV6_jKcp*Ecd3{5Z2CHDKe(i{Ia|&ilZ!wXf?}CuhusIY&~L9OCJG<7xcY
zNZ(^yke$hM50@8~i2>i99rexiJmvbAJ9MqvV>YS1RvVg3r!AX4hdtw^<IJR95u@a_
zA*bZtR)-gCYTG2z#QV6Ny+orUw(RdLV=k+VvK`m;6WShi1uQ(Y`>AT@N#_~I<Nj^A
z6?9xD?5=!Zz3(o;6{%7Uj+T$U^i|GVy3|hP&aFR>Hhr@Ea_wZ2VZZGwr+dc_hD?9z
zEcaFAPo?U^?;bafO;}#)mTW&I>0m?50ktmQ`cv_Ip`lxfU8m3e&pAPyUAOaZZ`Q3V
z2bfo7S$~pxUwr$@#$;}ei+?6>a$a+%$oR6^)R}Ki%@Ebt7JoW+-GeV*PqgYgTyj0N
z!}^3xtU~YKQ!l%oZs&Mdtkio(_RzvjuG^CoxR$2uT*SR?JKv2r%jc~;$+qsw-|cIh
z*8UC>Oz$vVVNts!zf5Fr%nhp*ySq%=9?vMdGfSzd&5mPpu^01`guH7r=W;nz&3!ue
ze!Aewf49VS%8hK6q`oRW^o;XHpU{r2DStHkqy+C;%(|bxsMT%Kv+K1JeA3TPtugtl
zVKBGqvehP2c6Z%9B3o{)d*$i<`k3XXD7Fuie=I)5mcQhzcu3M5A1@|>QxhADcbsds
z&dzaEscu^2w8&v~a;tU7k$3aN_y71>apu8_1M4&A&%J%fTO}#&O2vL&ws+qg%|&H-
z19sHLt`V8fw&1(0nd!X=MKA9@dg{DUqG9!q3po}d(mVZERX@EZpHbNmQMPhL`=TtB
zZ<9LBlanHxvsW1imGvu%CwNr8c=qLBnxMN9GuNfR55DNii(PMPOPAbvwP01Ev_kr3
zb7t#RniHFswCK%Sy{mpgR-$mrgOykOwHAHvo-frd?_BVu*sj*5g++3k>>=ev%-Ww!
zZ|LoRKUX_rbI9r$Uv|m7b$oa2-6Hu%t*gRLr!PPFFWcEHYQnM>%g6=0s_Z;jc1*L2
z|8+R?RrRWS!n6Kp-7|W>BJJrfhN}7H#wt}(YOg*;?sEBPSRcr}%(pP~OUCXE^Ljr`
zf1{z6|F`DV;{F};xcq#?C#_u1{-@PXaC=JeBSo>hhf){HE4=!AFLCor!BtLeDz{bg
zLas@(u)TMEas7tMvHp3^H7gft@V}3`KWn4$uf8*1=U7adEpD>SQAx5eBQi$4<?8>h
zMa3<%+q1G$ZgKZjzuYlvw)Ksw@MYOIw?&AqY;b-n>GVxgL`d_bSLdsebvHljtQBW_
z6mga9`AQLv3y+)3OIZAVG1UufdnnO#{ZVpBv(#?I>6srkDcud`eD>WeUTCfOMa#sH
zv|_H09bG5u5;d0FH7x!$F^?lj$EsnM-t?c}u1~MfzWeK-&<CdD4|y(bRVce`cV?QU
z+t(Wt9IIA$8s2<c7jT`u`Ev8Zyy-#DD-r@1o?bE8J)LdFgiC)Ss#UIvta|2lb7GWs
z!|NZb9GV%vulRAgw?aQ$aD|@XtEedom+Kcs*m@s(Q`s`{<inoaPuJWlG&U-j-8w3g
z_VC))Ip)1=9zJUlazeA~-7bB+eqzfn&XPmIi?j|n=QC_e?rFN;Qucal8r#OUW6{0L
zbAAT}x?P!>6H{_QymoHz{>M)HVyAZ-B#Y-=J2*GyS=a>MKHo)i!Y>-?6wElerdh-%
zYw-`Q=KE?LC%!#-Fw;>pJw^NLGy5NZ-Ho1o)ZBXd&65`oOjW#srf%n3E5Y;gxc%ho
zKP%6_Y8Kxg$Y~g&Ajzd)etYKPxliLbwy}JB@=~Va>7gZG*Br={(VTDA#-L>*z3bW+
zj?2OSLl6G_u=@6jld=;e9#`712ulP%ysgj2GV7MJ%__h1&0nT$;XBMOHSg+v0m<#(
zW`95Qe%HPgr`)3qFXst-dF<47Mq@@z+8X=&`#Lh#8lLl*w?JFg>*FTBr5E)w#TmEd
zv-v9>nXH?6>%6X9O0VH^gZa<ZO^)vn@vNG6_rt+rt3#i7e(^h3S>0Op$MeLINt2wO
zGh3~QZeyB$`Sv;2Yg~S2_uJoP?_2vd>xZa(ujZooLyV`ttgP17Yql(SGXHn`6Wi6h
z6Y_TH=RS0~o?SZaHRtV*D{iX%o6+c9D`2%riu+HBe3kW-pnFA!8=QBfMITu$zv4ym
z&huxU87!{W`xO7ZiYxU)_bSgz7C)}NPIWXiJ+)Kwxbp1HTeJPlndG9veRs^tVF;C;
zv(MJlsXboFWOGVK;obUG?hAQ5UgmADS$Bweqjqg{>8Ht`?lW&+EgBY@_#vY1tiMsC
z>)!Rc?^Dm#M;hPhp8xIGp~>?Wx+Jfh_WeuaETOK1+j*y(>jmZ3_ix*F;+`=xgTDWD
z?x}rJw^woX9?hDuuH=@`*VZN7ZI%%;-gGQgU^5o6HV^GFFmUc!f1I<x#^6O=TEU^>
zd;4si#7$3py!*6o!pm8!K3t#GApi5|&d*yb^`%W8{n(Yx?7c<fmcgkbeHraXXU_P;
z*}2YRedw<;hBa$i_AOrH5nlF2?Xjq1o^`*%ZKIQSJyO|U9__yMX{T24oWeVix{?*I
zTbc|7AH4o8JRx(l*^0v6?~@iS3y3hkd64;u%S|^A4sNq-J(e9e9RJU1(aw4OzUGa~
zPq&BPr`r1Rscq8Gy25=X;bv&$>U|roPA(T0UMrksEtkT=J4JF`_uemubgaBX&t4M_
z65sWrp<4Xc@_#?3N^H~cp3dD{^nb_J+=fP;?ao`)dTsh4u*^{_e1d$y{F`gowtR^Z
ztCDIvYFvJ}BW1QlqR+==x(_YoSNc9W7;{A-DWi5<+vB75s{~IMoxj7}mniPl_h{$Q
z0xAB4>0hR-n!C2O-cG9CXxHoy@fW;TF?hae-%;;-O7e|*sFJd8eRC+|&QcqdSs9{n
zEZQAYl-t?;I7FO8tLEK4eJA#@^*!|_)_dn{x_g(L)G@mfGT$URQqMkG-{b%N55h|e
zxLaT6l+61-PjDaSw%vbRj@%AT+LWZX$yW8llb~<>&o4YZA;C81+GW@Ip=p5zSu+$%
zXHNVcvgwN4qU)>WSt0|yPMX}dTE>@qY}&y$!CGe)MBmBS>e+F@QE2`@gXQa0ukKak
z@}Ix=)3yQwsnp3+|4j0l5mvL)=+nwsdi5PDrzd9>6>f{)Hna3$NI_4$jo#ka*ts({
zJoi*z{cMBE=I+~e+^^OM*ekgv1s#yyy)Esy^o$S60pV{q%<DSq>*Xw2lFc^Z+|x;|
zOJgRLy*qnF=<A^q6Mw3fzcN1O^pZ8DvEr@M{=`X3^DkbT_EyE%c7mr>^oLz3Wr@Yc
zt+6?K?w0KHuPS2TT5g}pFSveI`K23nWgiwk-&LW{VKIH~3r3HG$K}^-)(0M(IeW)~
zz0(*S7Z;euO?N!xXZA{V!NQHsZeAZF>}IA{zp^>faP?6k-vlwUdsbl)3@dKCNzAXP
z3eaA2C`y>irI<hB`!yCV&g#y`mjzjuiMsqV^5fI(f9UY&XJy9Yh}Alqm6aV;uV(tX
zW}WS4%5SLCU&kdV!LE~d?&2S}z0qYyy%y*#|DI{(&#{)pxX0N`Z+EiPVj-W)5znNv
z1$aOG?qYRu%)ixE{_EFQt|cj#{-v3k?fIpqxzP9$&*aco%%Lioe{27IT@|a6a7<a{
z>7}Oz9L+~wL=`S?<6ZpZqU7U`Q`dWa`4b_wYnk;Lr^ojvE?xMY+lT#H?ZNZM&;EYk
zBK=!uneWwe2L1MT{3CbVQS3daH(#-gu^?~m4B<=FwMo<at8_lkKFb&P+GYR2MW5=w
zzxZ**p(wa3?vLp6sr%m?IFutSHJ`D<<m-Wa(G&8{A)K3L_j3I9+3M3BT=O`Rd(w}q
zdQLljvh7-G{+4IQT6L9j)0cMFpV>{Qb7VB=`+2VCgicz$+C-+n>hhTG`8;+f=bxXt
z<9&E>M4-CO{&_Rno@}y|+PkOo;jg?c!TF9Ad9!bp9OWz8FSE8-(X%(5yZ>#kfb5gZ
zNCESMfn~y9m20irT!aJKPp-^zoi=ry>)hh(g0jseTHiw6WIOl=M4M>OUfvQj>$Tpm
zHWe3DE6=hIYv*%Tzjj>NUg(k^Hs#lmWrrr$JiHn%ke&2CIPQ(d)w){4SFFq}kMCH|
z&}qKl%zd}(Z5LZp2>02dzNe~uN0+E)^u6tU$oX-BluI?k24|)zE6&#4di(e2qqLjN
zd^^(&WB3;Sy72DA*%>osYxHJk9cX;;aF&Gbg0weGavzp2E`K~p#;nEt(ZWooYhrN{
zr$oBXSn_Y-p2(jWP%?k=#~EdtMPG5tU#j8zeo5$to|VwEyN%&KPh@ZJcgRax$Tmf>
zIfMU45X0=%9P1aA{1Z!J+qS~lvex)>Gt-Axx!H=cUeo(ZFaJ2=cBE;A!I>x3hkXmL
zyBMB7IREGE%je?KXNjMiU{kbpQNRp6yX~B7A52)qY-%hY)W>=ML(ie#%$&BH^H=rG
z^?I{d;(=VVzQ^>#1<hj31|2NdBHnMdTF!Cx^UFRtGb`>D6Z{q1>_u0<dnUzg{72k8
z<@^Sz<ebM#tdnIY9LZ63Js4H`ZuYWyFV*+$lvvxaFGuRYw4T1B4V(QAf4(Q)VYpSN
z@rmCgPs3|bHg!^olU8_5o*>aVsc%B*S<~nn!4L8u8-EQpT=?Gl7R#rfmr@K%<Xj!u
zyq`!Mnf&7O>RCF%j+URyCft`=`E-iwyM?mxXM9^Xc(0J#HT%l!nQ!v1?B)zlxv+Te
z-~8_>f5i0;2&6ji?zHjz-!M&qTkN^Jx~P!w)eq;CHXKb^v{P?VQi|%!^=r6i+gdjK
zX^c--`xhv1T}~_Rf^u-*<$5-`hxb;hC5g^Rl5&2s?UHTR`-2}$@}n-WeQ-D#sQI_L
zDluz?-=l-(+6r2aBwh<Un6A9|+@st$X>nO~(kfM>h`EQh*&pAp_AYa3+O0QdKL05y
zax&a%8`5_nEjuu9&Y{g-w~Kx!sbx#K#4x(OId$XFrd?5UgZF2PD#}G!H*0@cA;5g?
zCUd2W{eH)fDJu>=G8B(i`&!l?IoGwa*@rvfwhx<;E`#azIN_oSrLO%QTVE+LH<fs8
zsF3&TOE^7Gc>NM}%cCwjT${G8QRj2H<gdFf?&tN3<$>jSpEDm{y1ekt*Od+>g?0*6
zSzUr<D%O0p4iWy3CuH;aHwn3z>^gfVu~_%n^=IZ`J9bXeJj8VQ0Q+i<aHaQKmhClL
z!~Z$Y|Jc=!nTKM%HS{~rKc8f`kGbDr=}r|h_Wjj&tgOo8Q%*f!yecq@YugNiTW+0f
ze>m%}-IiM<q1za|HKe27&wXN9)T={`y4T)2$={e4oO?c0w*Tzn(3~B`6?t({Q@V;T
zovdMtUw%oS@r0()=9J5N0k={W<wMr&FM9LLs6DhrpnIK(?%q5_1<m<8Ha5Re{9utY
zxw<!QmA=%uW3PSE#V7ICXkIy`b+5y{vg_c>7qhy)EdHN1XU54*X19};$+D}Q+%t3C
z@-G`Q9ygRd&-%rE|K9TaGp!E<d@epere$_YwnB8P?Dqt3XRf`AqqcC0>u!#;=kS~-
zF@Msc&u@<U2fH(B=9F@tiPr0nQvV&|@!9Le8=q}++fUCszHG`J^$UBy+&!dkGdV<(
zdD~@YgQL~rofn^LDOcPJc=f&Oli{xIUs*1&3Q6?uyY+oquj@bKb#DzGe4cgC#WIld
z{Kdfh0-u04^ZQO7x1K-CoHyM{*C^w&tCY%<<1ad&3$<JO$NzlS%N65wT3L^AWl5Ff
zCC;B-Ym;7lEz|#d>d$jsLB$7LSITFqZ#l$exsb!`>-_!3IxGJzf9m_J+H?EPwEF!|
zOx2eM>ub#A@Hi`GC(<t`^6{@atKR9*mW|f-8|H6y+P-T6!)e(|^>w=WW$CU<D<+Cs
zysEs_tQ5m{X7R3qOJ0UzD;_sh@Mt~ts=jk&_N{v?Vy`0`7hGh~DD7WpGfBcSZw}AA
zZO^9XPSX86m)UUD<BOjn;|%+9=O)$Z=hbrf%sM48$#YBPqCeVe8!qfq{d4#|>x}&0
zobP4J!@cM3zFbv!p*&@w`f4|`k5^ZU??_zi9rr87VQZVy+8m?G!}0m2<n12mYp0*y
zaXrrFecPrLZ!R~gvkM;D_c-jzobrJGSGl*Wo>SRz%bZnt)4k&h7Y6S?y?gJ=eFwL_
z`_Q&Q_0(d<)DW4szDqN`Epl@#)c(HM+x~Ixw6gl??!ozvtC$5YuS#MI`<YTRXW97~
z?b4P9*&Z5y_|YXl;b!WIuNDkzBU84Ynm^CZx7|MPNwoXM-zRdDUAB~c+u<=O&s<L6
z$?XklVH4D1MY!kW++O!9&dkBBb;eQ7NUo`&H-699*VLRQAZ4=0PD$t<^QJvjA3t5S
z5&iyb)6AJ(Epx3e6nhD~FWH_IY20M6%428!*GgNnb7!LkrWt?S{$l^Zs`Hg0Rp-~7
z7o8H=;B7zCdC`5Xl9=d%xy9m5ozs8rS$C$^d6#W_oH)mtdChjwqF<ehb=$spW*k1W
zd9}>kycx?KC;VjCZn9kJ=%=&u{RFK!n6#Iy{&yfs*nir?6qkqWtJjoN*venovVzy5
zxOCTa;TM{sa%-kd{c&%m84IuA#5~h8|D70h%04gu=^FDZLg~X9i{PdSF6*Oo-f}qc
zhi}Ud{UaN<;QqY#cB@KXUzuTklV$hLsf&#hzvb>Yxmw`nqo2&>TR-Pst1j4;aDu@%
zRcCUn$MH2CX_0@QO_birrk(fUz1Zrq4L`2A&0TYfb@?jh<4Vd`nPjGQ$?jWbbXfeU
z>$R+t95J`QhK8>9HryyE{PN`W`gM~|{dqILA*pm8%d35hi@hXfJ18)j*F4`<J#Wqz
zvv78;kVnoFxwDLSb?iUW$Gahp^KjAbi^sNP>sPzP=;irJI37G^I4eYs@yheb$4oL!
zD^h!JT;e~!<a)|EbyxO3&42f8NZ>v;CB9d3-t_$B8Yd2?zH<-e9A(?H;a-~ET7|M`
z<r2<{EpJYLc{R=X=jGG3Pp)n$Uv}J+OZ1@smmlJ5Hg#@yj%Yvd@8P?&dCTUtdE61Y
zw(TsBP^7kaOs>xX9q0N0*;UOMim#qd;LCF8FAcd8DIB;twY)^;)qz}1m6(tFA3O|R
z`g`e@06V@_GJ5l01>|uW^7Pn9P5NB0=PT2;Fx`mNYwnpP2Iz0PC4AtHk=ivY!2_iq
zT?(hHNhv%Q_`CJSnP}A|vjsFQcG%RFe9q5!w>!HlT~n30^7gjN9lWMDHI){Bz4x>+
ztgUI!wWbsc<M6QZhi(_jziLeX*q3O2IK8t$`OSmaz|((}gJbvq5RVR=a$~{*rOfM#
zR{Ookcb&!<P<bSBtxf)%QjP1&e)i-mpH4H;kzXCVxuLCbn%-%CZj+38Zv4yqdQO#j
ziUi9Xn||ff{S7zuyB>$M^h{puplB8pTVis$bqc3|nquJ<c6H6`vR9YIcD`=1Y&pJT
zUHXlu(XPVJTFcM;zZ>-Q?C%AkzkW{PnW{2(=kM13?^Umk`PZbyiAm1>_}}QA!=v^G
zikFWY2C%<<zA=`k_qp?~g8jRjuG?L7<ZLY5`eAwSWs_|`U+Mo9zjgbR#qHKZ%~yK4
z)@?taHUIysJ$~~yxTGp|FnsV0S+-ZDf=4y*-E8LDsUKqgZ48!ceXkj|;r0p{z4bcv
z*)Lv5iuDIgQ(HV?vhb$62fBNY$L`)KG27<Zzo+Tm?;UziIKEx{=5WuXc$J$T4XX2H
z+qd@}T)5Z$c%#-QKZQeEIo%g$NbK7@tvjVc<pw`r^ri0=t95_MY^`j&o8j=4N&hLw
z^L1}uoZ+akc(B=KQN-3cvy3=GkKTSQVkVcRxK?1g$R6!I%vyFVIuDb6wdX4A_M9`9
z%cjw4&57m-1&i8dJU(fCG@^C&Z}u(Q6}a!kuH4SOMCV>fNi%c3O~{%2gGO4?+@AtJ
zo<7`rM_j1z!bFxI-C`kf+mBs6;@W=wRji27?HS@Wj%JTnY<S@=>mjst*$0gaKMx3=
zy1D2`l2DQShnTL=sCfngKc8&V|09+WfBVR1_QOT<l(x^*TNQt9=gex8aLe#h0{<GN
zA612@bF)7U@%kv}a;wv&XnV=qt9Fl5uVu=_9eQ!*;?3wYbz01vH3>~gED`sM^%CA_
zx#@BEFWo!qa7^SnQ^z%hy3YKMAAMIj)Nf<`@5nk;C+({JzET+zBT5<6Gzx=)BcGb?
z|LYiYGT&Qk^VYB*hZH~G{djW8jMb+!X4-t8p8MI8>rt1#)sGK3p(0_I{QU1*nXUN0
zdEvfq56;e6#WXk4(|zlT!ka94JuFi1r^uytT@q*Eypd|iYqU+|`2n#P?9Y8I{w)h%
zap!;2dW|b7WyVuZ9e4bam;T??ViWJ}&e!G7nO0e!pU%p2MWw3emvN)%L>?P{ao%Uf
z440(#{8#?O&c`sz*;jStufiJRCrT_^`?q$LXkAPBQOf_-^U{9x<vX=MUa9)Ja-vTR
z%S5$xr<*k-6-BGEn{UsQ+VLP&(ZA!lOUb`Y{CQ0ux9Giy-&HQK)t}pbE^n}rue!F)
z{&nfczRs&wyB5!RuFve#wVAg2-Y*MHS^UiPvZ2R^-s;5bfi~v?%`Yq0Yo0%OUGdtq
zj>^RX3uRoFJrInoD)_jnr@|`iybs@Dqnnq-KgWFWTz$4CU|V>iy0S&{A{&KO)3*3a
zXY0ngO%6X`w8nYm6xHat)8DimdF**n@x(K$+68mp+_g`#wRJnLdm&Gyb`{h9kg61&
z#F_Ep!4}D-td1)8rrwbJ6FZgTO5BMVt2mX_Z|S<qzu#S2^lhz}L3AV63K3h)lWB|i
zV*<~tE>7rMQ@cgsj;N^FoCeeEw}}i6`yLo~?8twxPFiBd`l%=X-YuHKW*%D7aL83A
zGyVzlSA(?9H(cprP08{v4>>)xSbQXYYlP<d7jKg67BBggq9F50R6?Kg^(LE#a~G`-
z=~mpkT(~ja_`d$LO?I+|3W0a4-g8fSu+oC9u`8(T|NN;5uB_gs&kyFj?w>p9!~Rbb
zW^TP-Tz)iul0&Lq)`Zwgvs0{{0uyvi@84Z>=8{oa&R_M~iYJ^5367pmu2ekvb>m5&
zSe)P6%}OCJ`nRc{oZ}Htk-23eOV0DeU7u@r1!#P~Z#(UF?Uv^^cBfx>8sPkWQrtg_
z_$ZIXD{t^=D!iyuoP5Q?e07t^FIH{Kty3;&el7mjeZ=h6mQY0lrdz>TW$8!TZiTaz
zv%kq%Uin|TG;LwHnN5yv>e|=r1%`Z1L7wgf-%PwJyAHg~jLcBqpStYgsXhN2cS@c5
zV7d6oHJdq{tPPUdwXqfZZ2VZ06TKfv-RXRHpsnju0MovmsfTW-UOOdp+|5EL%lOw5
zCWjRZ+8#}0xNiHqt@Ojjo;jP3o>f*lYZI~Rs*b(?ulBvI%*Lh8r<?s&6}yD`8F)r?
z>#CR49SON!P#1E{G;(vYFCX)P>A@Eca&dm}{dH#hdz(C&w4fu+ZW{}_W_ii&32IAP
z&vMO4rDBeJWXwD_tGWAE2u$IT**bk6v*w37ebJ_grjtAGwDX#FY1VB~e`B#n=J|wu
zk=O4`do^`hxvrdT6!ULs{vQ(U4_p^k{$3Pv-Z18w(%$MbI{P)sgX7%<ICVX3R;|`5
z>lc{ndQI_e!6Tcut$z*GQodTm#8+Cq*75#OV8^g_uer+6TX|Ea-fNh$`oObe!4Eq)
z!e3~8uD*E9u~q9oGh<$y&AythjM#FAUc&=NZaE)FGcj<Mx0usg)LOl__)o^&-yhdZ
z`jhJ_YxsxNa+ATty(}l+-eO{OxEpKy_Sai(Cb=f-UvAakzNt)OZEUw-aro~3>+Vt4
zfTFr7$LCLQZ#&cUbB*N}Bd(dBUrm{R=6AWU3*U$8?q$9c=jgAzD!%GljzzuJj6$YI
z=Zt?Xcix(5YAQN=fr;Ig|AtRb-*GI}IOO)DdSd2=Cr9n>|K6=Jg^hpRbrHwlU;OSt
zyDEN!FAuS47i<qLb-cBpmTUTfDksBPci(<w{`AW0<!Kd<z_#sa?P^>cm(8~%zWK|#
z+i>4RR`#RQ0|c)c9OB_;pUd&@>G?kkieBB7ZvOP^;p-=wE92Fa&xV>vf4n;N__jM4
zH8;OcG~c`HwaxTzyPv)E*{&3Ge$T#XDdC$ukAyfk`)+&B#UW>w;bUrSxQHua&7Aka
zlHpa48Kx@f$P546_<hZ5r`0#st+^#^<JwusSd{d0!p7KXOHEC_ZJZVGz9VJHy6XMU
zr`g*vZS=3WuXyo`!B1nqj|rYD8O*cVzN_yj?kQ=w@^)iL_%;58e%lnJ*lm_4zMX#0
zLwv){RYJQL#+^^h+h(`Zd2&-;VwK82rpj-5o5~Lb?Kb>+u<LtfNm<*yTrZxb=4W-=
zBj5ZF`)IFN@sGjz{9S`XL32-5v7d~aseN3@J~n9PNv(@#CMj8(hQ0i_{84?~M)UJ*
z?$c(P%s(dXVVx@eHNzx)wVeCrKb<G+W1gPbC)P35AwzcBw!S_2ItP}P#yynS)D-=2
z<;<0_JAbU+_<BvdNzRY=kJmI7zIde^tN%6aRIpxksc>E7E@#Cr?0@H-IPKr$I5#(O
z^)e}!QwP5`F>_9G-Nw|qL{Rnb;f8EsUdhFC-e=uZ`TEd^KVLy5@@W7cU+0377fQd1
znzz15KXh~6%x`wZm$O7{PpZ!^vz24Her|S+#_`G*$0ZKArHC2x@GV{XbmQD(?@s7V
z2~9QYy;8Zr?%G|6O($g^<*>Au1^g+LDm~5f{gw7FHSX7Tmm<7f-1I(wRNxRRE$2@&
zd!o;B*!Ae-l7N1*-fnZjze$0UrM_K`TsLhh_u3iZ>reN_2C7^=`=Q~^Nki!;(L!H#
zA5-{s-FE#RyZvW<E^?VEo^r_57CGY7rdHipAuG{x?uGWfgQ+1VpXOQaeS6hyqM3N|
zMqQOMOC$X|f$stzt7dQfEn>kP^vL7f%(%|91s^=LBgCf1{hI7qWb*lCudk@|tQ_{U
zE-HrEmA4<SUNz@)bi0QBf9Ee5l|8Sd-(FO&c5_Id!si+6@%P(o+t)48bJ^}xU-i4W
zt?W%R*Z%sAew+9FkD2_vjDvmZ&%Zw3ci*Wo;y&n8EtC82!0n5T$>O5twwf}U)bE+{
z^ws$nm6vMLl8)HDu-%|?>(B%5++Qg-RrX#tP5x86Hzqu9x|y7X<m{!@@{j%7l>a!+
zxy75@kf*<rE&ALs@k+6m0s3<vc!sCv%<7wM@cQNTKLw4UJ=R59C$hAE*OuS9v-Hdx
zJ&7x4uDoSFw&sS#zB2`n&vTX}Gi+i%zTNLA|A8fs{`Y=g$@W}t$F&bTb=Ql%s!Tq}
z!$0+E^-cw8LCdyKr772cS#)!M-S3t2Q~2%n$K8s;a^m?vUIp&vdwS`5N|lGrhCCVf
zxnEr@%r8r(FNkuolK3l85b<Zl@3sqVA32VH-kl%0P)F&@m!ol7g~FaL+`_ExVRyLl
z(tK+d-Z{T*$NWdL3)uC7StsRquac_Lia&iaOJVuBhV{%1yPm0?=+$+3>T~yr8}DS}
zgW}Wg<XqY2VIHzI@y7(oN720<a{ua6(}SNL{=L29Qv-VelhB==GCNZuh333C-6_Gl
zW2>ftTW94p2Bt<)882zmgafYov$yCUxogJtY}=go=3mTj?6lEp;5^LxF-hOMFd|}K
zMNpo?KQ-U|-;(1svTe%uryVzW<DhS7aQfMV_4m!DE)mncyxV@+irZJ6y5}@RnS4mE
zVYGStB7Wfx!M<Ck>cRr1m0tV2uD^NTqVm9OhEH0?%fh0jy(w`%ul<#4&Hm3tRg?GZ
zj6H36_Ik1s?}_+!5#unArA?{aOVuTd4{tlnyGhPCZ&tQM6${7RjJ1z{A1z#U=(qXV
zBf{YdjW2F~jSpC|ayi$mj5CL7)8|+<Gkj?OQhhBxm{VX^oY|s;G;4OZK3##z7(TvF
zkL4R)^#$o?yp?};$?>5{v-FuwHy$_$y#9M@0@s$>3nyl;H?B_Q%4@%x%J_xr-n7Qe
z2PVh;+9q`0>r|$Z;QtqQ`EJ;q-G0L9@ifx~U4{+wzusAT?>Wz1wLX?a5h0f7!1DT-
zu$mc}>$D`Fd-!jN`S75v<mBy%ua)-{2^Z%`^_`tC%XaF?Z-Os!mVGSl*d`J-Z;nUV
zrkJ?WdnL{OZ$fV^wKdhW`EVdlW7Dy^%^8KPKi3^{lr<_Xzwqlu2v_;9{nnnVtas*1
zJ^ib&DUWH^Ltp==d4KM|*rIrP*Ng)X#dw~a`q+`8Dc>Hr?Ow85g4Qu>*;7lBR|fvc
zOSy9Jn$Xh|@)_2Glii(NPKucb)UIj|dDG`EFl}8+sP2(tKQy9>r#jqhyDQDbB3^n!
z_L0;q3zZuslT0q$`?dRM@r8d2B^+)q+@r#)q{y8*@#U@ytX2J2XI($su`}cllla1k
z6~Py0-j#^=7xfK1v9qH@qxyTF&Eb-SdohVQSGUL89=d;OQRGKaU;mA*o8Gqgr1<~L
zTqkjMVQ9be^rx{cQ8o&*Y<8J^5<Y3MqaumNGf-o*;fb2)W{tx~g?9R+Hy>TWk==Iu
zR={WP39{yseJ}GWzUTfc7vOt0Z^Dg@@mn@8o$>R!RerIKT50#hd&--AIHV6}ZoIjt
zPHK-!y+u<DOX~Hx+|7s181#$XR}HrJnreDcp~}K7=y~xrN3orO%My*`nPNR3yqcys
z_uwlBmXJ-K-E<=C?G}AWQoY_%nr&)!@&iY2&g=(KC9whj*(<(mzq5^n!#uVt;g|Wf
zbI$iAg!{RoUbp0a5m?Mna<$K2Hv4YS!*h-2rk<|a?Ko#G&wobF(B_&2<yVjSC8+Z)
zO+Wc8QMYT=am_V?vyx&?l$~P`cX+YiBS!wp+J$ecQr8{Y_<0-GSuR7p$>%C=Ot4K`
zw`teB5{ZKkWttW~zjS%eWe?}bkTueJ)f}gmC3H4R)oe-lwvl!3?emR>YwSL@Yrjmo
zwe;_%$1QI!NLb%2pTzp!tYX?pi#Z`RO4t6Md*Um9s=t4keY;2HiOK^%o4LQnHtfC0
z>%kWH)Jr+_PP0Ww;Ku)Nv}eV?m}b1~%w@Hf*9#6GSH5`cHG|5dH8uy8!a^>6=-I^a
zD<a8I!^}lkS>yfs`C3BaqU$a%{juw``8LTlQ`;Io-r97RtL4m6p;P&{ul4ZS-SCw-
zbmsx@+-);h+=^#)?fFn^>asz(GB<tVhN&0JLOo_pVE>)qvv*%oPPU&v<Mk6iUAiZB
zT~B84KQm?Ztb+oIm2>1|lO*OWxxVJ!<d73e3qPpOi28Rs<XR-#gZ)lN+(JW3#2jAQ
zmEZj2SjRo%m3EMG$hsNtj7k!M%mi)vr!;FAZ@Ccg`<(WZ-C51<c?V2(ywYY+Te|L?
zn5lHdiv`<%ybY}B`t4@ukr`*aX4Z_SOPsv|KY7H<C~@5hzp&l0!0FDLQ<L)?Gk2sH
zySy_qy}ij<&gp^Q<C}uBUBar9?JrDwT4!t2+`PZZ^ZmiA8U_N36wmE4Dk}E)C>F}@
z@+P)@qt>tfUyB(8pB`8fuxXOKVMOzq)q4(qZK`VS{E{ZQxWtU->14J&la|j4nesRz
zm1*DY>uu40=M`TOy1I7Lm;9*tXYQ}x#V1&ICd+jH^rssSEOISpx7_$VJ8$pPlL0%H
z8I<QPS3Ouh+jClZQ?y3I-!L=j%To(|g+5EAt!vA*%D>a~;iADtjon9bxmZOlcW2q1
zyv{FjFMb8H(d`CpKJj}@OT3?R%e73@xvb=^Wcafrfj|HDtLWG%$5xq5HO`vAo;2mo
zazEa1u21J&orGU;*_gYmxOpT_b>`03S?~Wg+~Ko2%JD;Ib-eL^t2y<OTi&ld`{T*4
zL!Ca&zxFOS7WwD-ukhb_tCAM=oButYJ!Hy#DV_Pp(?WN7Owy<5#ciHhb)2o4O%mt+
zzW)(9jj52~@qr&4I=>DtX;3qqFU67aL9TD^vFq_38s-u)txT_E3`Lh+zy6oM{;z@7
z>ATC0E2moIM{IlaZuk40Mmt2ezwbJC@7<<m#Sp8l?2i=RJ&L-1dFu)O+O_%Bi@UkM
z7`|FBy6b1!i5G|W&6CW!$80%A`BdEd8;TKTQOq~KoO?ZmCvx(M4BOMWTFDm|OSXtz
zyfNci-NLdUhllMurdS;Rw&Q!`pUw}7Wvp7y)=Rie{wi%!GtcQx@ag~aEf-E_xX;l4
zT61-VN$T~xsUKAC-OOcOA1eC$_OZO;IkK@I=4)x6Hc^h7u*jnN*3$CB(yIPbKi^Yb
ze<5R)L-O*~o=#m8B&6;eEnFOHH!H(lqW<m8h%kA9;N;`0X0)FRn;LXav)3|`)0=I1
zbc$-nj{ZbHMe%$K#m4J5*K<$kFkV!=@0!})m)`_e&8d_>>i=@}q)>Uu#~};VzRgNo
zJ>zd!dU5~f1dCnO&HT^p-#0`nIjX67biDt&_B@{jv(di~2Q{Lf?i75>`S{S`r5rPb
zXLS6n<nt8}-|fux)=;%;+Z7X^gAaq=`d0h3`S#nozi)oz>hIll`12!!PYRdrWymeR
zG^^=zk-t><&#$@O=jMbl#pEu!pq(cuD%gEDjN#GN+0zt1x1BhcCVqx@p5uzya;a9$
z24|$xX8%^RslWBYC!eh*qVMEfr|hW}$vr2p9&J{S^7wT0M9p94qXOE!0l${Sw$6Gt
zx9jrHGS#l=YQ5wSkL<fTWdt-g@*G!ssLmQ8e9~%V$Qo^x=Tl!d-+8<Bdh*`3qT@n^
z-L`^Sw-)U$efuxDKTv2zDWh+0Q`}-+`+lj)4P8t3vibk2KeI#l{MUBZ4L?P%%oAt(
zv^VKy>&2yxFFC~QOLJZ>U9pPo`jW5mFBYyie4ycqXNP1-j!R=k=4yY2`0r<axELof
z=s(O~ePq(ZLZyaPLUFQ7TDvSJo^r3-ln|n_GX3eFUy5^Pv_Ai0k@?laWyAHZ4Ee+B
zH{IMIy&}E4{>|=3Wjxj1(qF{;b4yS4$<1GI`u4t<<~Qfep4hlV^XM<W_Sli3;*56n
zGd@ZFw{2hF2&~*Af8e_6t2UnXPs<MX94Jw}B(te^%e3q1XQKc2Gb?>s8+xkt<hvO2
z&vyHKn(ls|8uGI8{PHdb-P_lnG9{fk?ES6ql`zlN=ht1{egEFMNB8rV`j(?jFSmHE
z?ru`(7ks={!`vZpn&ge?rPuc*#BJ``QMQ@A_e>IBiOf7(SLx=Of-h3<)a)Aa1#^}j
z&tL3Q`P@wJ!s+C79-`Nr>yy52J0h%RaW~}VQH3rpO%98a=b@R6Gp;3jrl>7u&5yV{
zxiaLrgy!ePMMvhoz4}#g%NhywbxB$5hyKP_-)ftCZr7P=9hQqHvpVJ}XR#c(t>(N~
z^-H|}pXv#nr<jT_t$S0Zx?*GVGJ)y$IDWii^xgU6%~yuoS7v0|?P4kWZd*MmhP^-|
z`Nl%6aE%Eoj_4QeWbOIC{_cO)OCEDBx3^v?3u_ghD9ZKZ4u{<Vmj7}Wbf>5uSa9r>
z)^~wddu>_6XXRhL|LAh5#$JP1!BXFS=RcN;)VMq<cd3q=p8KnG%AMD}`r`e)pI7*n
zY{}hg_Hoaj6Q7P$PH|ZAbHBve3!js9KR4}+^I3d!{`15Zu5ZmZ@2uEau<%vj1ivY3
z0@c57IQ-32&;CW~q0Fy$I#!jvn6!3Eo<%4t_lM(*D=V_rq^B&d?R>s}U!dH!xi6;f
z{uOaa`jPwJ14dloCN~T}r_44`(iVPt)UY<*Tx3zeOgrYzBN|29Y;|5Y)(3t6@Y1Gj
z2ivK*$CqL+=1O=*8i!96?~t@DWcay#<E{IB6-#d!nI5_(vTj`k`{jD>*4JxKTTWv!
zaBuJR`LFT7s{GNUzt(!Hi3grYar^8#(E7YMVqT`Cl6iaD=4Wi{=Ljv^d1q=^h~&2q
z-?GY6tvB(#4_+|QN6^1+n%pbjcj0H-cK@o|?f;JPTSvUr(dWxOUmd7QGpLv;!}{*n
zEZMyLHlJM{=JF@q&fRoA@%*aW^?J|tS(3LcEpuIS7tAyNwZ6dlA6K8VYgpP7$qbgo
z9Y(p|#WwcoE{s)@zP?Plo?oPS$A-D<Q+HWem+}XFZ_^G)-S$Y~hsMS|zM+%KRXbS^
zHka7%Nb3uFwKeT-)3W~bMU4>?41OL+x%#Sh#o7Hr52gL~J6XO-Ikmt;%ToIB(%pX|
zCo;dv>t)z;O2tGv)Ao;0rnLGeFaEuDP0P&B%m3WU#Z;(wTi*6)vYm$etIPWqO+Nhi
zs^cu%*~e~OpR_2%V9B8d#(9g_YICZNIJCT<y31$HYxR~5kKe>gMoXuj^zr=8nW^yR
z+o?a#J0)hB<=kFWdF9H-cW=zq7a3QIba#pO7h6od@<XuW>Xr~wt@&(vLS1*K%~72s
zQJ}LpHc;dLtXQ>^$|hkKTD{hM(tjIs^75_CBAF4v{p^=3mifw6FVS{bIH#uWW$9LR
zO$HT(DOq94t`3}`p3@Vi9hN$wp{<qdr*v!80u#6Ek00tP2`&@7Xt!|sO;*`kajL10
zR1P0p!urDL(aN8eUUPTvY_E7|`11ezB@vI87c)rsA8BiNVP^JL&9j`<Th6|H6?5^0
zrn8#jsyD@?n(AVi=5D!`7Jo&!xN|z=o$3RAH#X?*3}w_Sm^LS9CfmkkG2)dI&MaH5
zyk}bGWg$ZkpBsWPM=hB)OyiYInfq34Zc_2=ysz?eF3zpl^wnE4^W1|in#=gkRRlX_
z8TIdHGr1L@zpi2DrC=-0S<$z*pUAy7`?Kttpg(Ni;?3qOmz;K+^zMPh>9VB<7Vvs{
zaliZcNJDR}ok;4m&i}W(6+VR<pHhxC6n^GBwLoq4scY?1I@cVTI=^4^=(g35L=Kv+
z2>5(;=FO)ZmZELDHdRZ{{%w1^$#!P&PQGOpKe}{%7(W!>-@v?Yapf2LFGor{|D3za
zt|`3h$q$Ji^D_G480T5e`PKO+#j058l2y`6jX(jlENjjse0%q@d6+oXwr5Ky?%3PU
z=g;Z>opGVZ+q9UaYdCrz=be-P-f(>Hn;^}ziDgGu&uO2T{c+Fz`tr3oxniz!724mo
z<}kcm<PxuQ@O-=c&ds~+c39~yPV!-0P+07uy~6v3)a3^c9cy?J?<YO7n%fa-yV$5t
zLhR0lxss2Z<)SY<f7<zN(dCIty6hb8n$JDh=ss)Vlmk~!S(q%UKHPkg+2Z7eB{u}G
zI~K$(n(@s(=;@UQrh*1hIX&gMmo;5x@~aq}nWZmnx9jrjtsD=yXZp?PStVX>tX4KB
z;=ZHsN7bLVR&4#&^*eEmVuh=f;Qy)XHgomFRo0oQ-Q}pW73c|F@t7;?$e*@7lkFtr
zy%$_iE^f3ivAmw`vfj6xr7CfbqMp;-_PY<R_zLUYxY*dbS=LzX?6Y|rC%787t1G<L
zsS0<x$9_6hpn7>%`?cfeoAeS&XFlvYb4*ByvD{>di;A>{)AijaiX(btLbv^UQT=q*
zim0*<jk9?V(n9${4D24RQ_jt2yw7WPD%sl9<N&Lwei!p(#YLY&wWcc^>|L^9n|o0Y
zSH#L64-*{x=Y>s({@51GuPfC!O<b(mpj6=I<+mSC+k{I0`<VOf!ws(VXv^HjF5B`g
zvvMTkPRwmS9L)XQ`N8q?8H*bOdOpw3@;V{?rh&<S&aF$y^Ix0Y(aSlzlTlmLPi;ot
z2{WSu4|y(TFa#_)u_qwJr;_RANz3mlp<XWvFNDk&-Bt8*^=*lsqWf1l*QaimI<ou8
zZ)+iw{cULte?s?eap>tZ`(3JQFU)uBWM;zRsQ>nbt_N+euX6l;{>$2o*henSOHYdA
zT)DpK536EG!ry<NW4!x!3QWuUKkbSicjGy^;wAfIE-;wy;PgKg^>MncRq}k5{2s%r
z+vT0#z4v8zj*9O1^`%dI;SuLrsl9J6C5S&)*vvM?g}rADN7$^(0%xk^o10=dO-hwn
z9JB*<FWahTU;Sz;e^QUho4@{`bLh;EY%StTKJV6Ed2(NQ{q-{!vSb?{Eo~F{dX3fU
z?1FVHey_Uz`PSCFs`C>tFi>&m4D;F_%Ui2i9wXe7Zu?)UKfuWGkXxleUEg-m%ja&d
ze)b^c!-Cv^qJJK&t^6}*-LH$@m!IU-Fy~Kw!~)eFqD)qfwvMtheAh^q$vU0XQWjS(
z__X-{i~cQ<Uq#$|3?9bWFmO*|@oqY>t|j2-a@*T`><=;8T5e=s&G4{GHTJ{jw8z_$
z4L5H!bLY6h@bdhj3*BEto?bs1)ao+3)!V=Q`$M)VdXMgK?fCq*sk-=VlUw!cy$AQW
zFIsxP#<zZs`OQ4#569<Bz2950`EQ)s&lH<!$74U-_Lo0yuWo&;ZT0ll7EN}3`+}SA
z*3PbbILXE+IoqhavMxVxvBIj`&sJ%1lpTM3XJz#4s@dlrs@3a!k-qlwVq@928A_+E
z)-?2g@#$oms9EdXHqS?_<6&Op1MmB+GfU2IVG3`3#vFBap~T}9op~$=`;I;NQZe~k
z%vRHb1^4p9R=S-DeAm>s;Ir&~6GJWMBVqrizCUCvmsTF*mt@4>y*d9o`<@Pg1y#$0
z?oB$Zr?EM2YD3QVs)H4UKH4kJDlSVua%88$?4A5;pR|U47rbGy^x&0d$JO16y)V7I
zZ^=KI)vP|@+ahhp^?!mMz1ngwkG12_)l13>l7=o$#lCu(q8INdJ&oA0_v7^0Y)x`3
z*Is=*wJBL~>%-?O<}e*x_D0|~))RG86Af0Ee?Kg6%k&*b;D%E_uAO=y;B%NQ;?2kW
zU1kgVe%!in@#|6Lf@^Em^cI)%{_}WvqFJcw;4+umPOUR+QpU$7K8g<w5j#_9-Klat
z!gk$Mqk}VCuJwAcs9C>k3Y2~Sn9<+VR#dCP`m4Z=RO4f(_~%sqoPRR>$<4Pt&%M_@
z)J*)$@?BU@i8(e;@R$SF#i%I5N3Bab?Uyl3nxMN>qB`U1LxHd`)l1XZ9;#%QwKk^i
z%yyq9*SN6EhvD^4=hHjg7jpUSd2>4V$@j84hRL;YhjzCJo&J?G?e`|HnR(5ck(ZKn
zEL!`trg57(JrZ@>WbM!Co6QxuAZ}Vgb6V%ZM@Q$q+Q?S-jc@Neb`j=;VnMI!PmP&e
zAA%)*%L{vI&kox5WXk`XGyFo<JI=;rHO#e>E1CSd`SbnfKTiK{6ls4T&HbQxh2T@h
zx5xPFi_Mx>YV19A@m`T+O_$2-?fY)l%ie7~5iI!bv8iCB*aiLRTtD~S485GVW4HT*
z^OKpo;+tRQc77^5cl_51)|IkMB~ya=ChVPg^Up@b<6GA{E}vzp_kXR{IfMG}Y`bZf
z78sPe@7Z(x^09)14Dlr{x6<mK#Jzp+WTn*0*{eF)K4xiI{>r~1w=s70{{PWSk}8CK
zzwr1^7fm}~_g7Vod2Z7VyOUGpGAB41Wloq-cX2+?<n3l`hq#sb7OJt%dhtHzTl=I#
zi*MK4y>nW6(KyuM&SBNy**<sV(%<r}dO3Tt+uxgti{FL`lvrvWePDF;oR*ZalgU?y
zhl^?_J6w1BaVjlma)@k}`7_3ckF)n>pSdJ=rTOQJ-F*6!<nQ0$Q!(z}@8qAy<|Cb7
zq47Gp>lfd{>(b3HU(0y?FFTNt;vRP3XhP8425CF@<Oj(!nij6iN%~)?%(wR3oZ<@(
z64gDQ3&P^2#GDSE<u~b(hCsjQiibXi%!mFrB<XM5vhUK8WrrkWx;I;;vkI5>F6d3V
zC3NKP?5xndpzb^K`ek2lWjrY+^|tUz^gC`5@4$^4Ti6aS<Kmv4TVi0p;BoNI65DGv
zxu24h&xIY$Ncy`_C2C6;$JFkBt52EfIo$a7J(T^8_MV^h7mCW)%y}?(X0Jkf*UB5-
z`i=gtpTD_2yLv^c|Ezy16Mk$>2y{qo%arXr!+WM;aj0Nm(${AD18a)9HimEfDDTsq
zUOj&j|L>dC>z-^sCt&%=ZJ%(wU#k~eNAIgIQUAj&g)Jm4Up0PPV=i`}Zo`@Wn;#cF
zKCNG?tN3`S5Qk^=Tu=8|&wq9->iMQ1mHl{KV!+1fzok<{&Kk8}ZZ%ytLDD1ZgTI5u
zgwOM}E==@N75cukWCs6)4P4Hv!-7k8tA-!n|FF)J*=Mpw*rsw94j$Pj=C1^JJ~U*x
zNc=oiW_)DZ0!Kl=>mn~L=1e{8{W+&Nwb1cl?7fU?M{b9>YcpjX0-yEu+3tRGqS;OK
ze8=x%Z-*O#iQ+z14EugqOt{thk#z$1qq8@k8!lVb_hpBimgtGn8DWN*fnWaa?P7W6
zZeO8#U>C>QY0>>(D}wT@H9FS0+TVQ3xS&VfN6qM~)(oz74hEHvG&>W&?VtObr7^zk
zmO?a>qeRWjy|?s^tWzrWZCktI?uO-Z>Xx^4^i8rErygesSoyQRX2Oaj>4dg~-#Rg;
ztj}9E=WbBdo9Xyz;w-ZTs`j_pg{Lj<TzS8Ge$U~U1)I7aGVid~+{U}T`emfk?q`SE
z{Ni}Nx=9)=DPL&3OUmTsn}CXmRkt?1R*#hI<w>6NB6DiRHUn9o3>n7iBeR=+x&C?`
z^uS1UuGg7;A|HzWoZhm@yZmu~l%Jbn#}huMkcORsUnj7pWwb0hSv1=*on5%$(4oIg
z9_9V52e$1zdH&CWCr6H6J|~nRX3XfeJn_hD;hf(QQ5-KnJ=e}`typdvwt2-S^^LRj
zDz&qdx-`~WpIsE%c~*aEEmu|wqruL<PF<6Knl&^_&g9&0;M=awx8rW0?x&^J=LKV3
zK80NMc#vzR@YA^JK)jvQ>Bp+enniUTVvfH#|9Ii;`7iu~O6o)WO5V-+lQ66F5l><`
zSC&ZS4vw4$3xxs~cV6BhqJHYiO1^2&V@;ez{;e&M5_`V8<*8SEal~b*6qiTl4$8@y
zE8};Uc-g%VK6vlJl=b>Xx0G*aJ^1;4<ICAxP8TCOM2i~#|K**xPr-77-T|Er*Mk-)
z=gPGtl&V#{RjYhatGlsx?$Rbv7OyYd{1Rpxyvw<KC!Ec!4w!bP=wzAbHinl<M;~4J
z&}QOSexT2D<&_dE<6Udl$}D#=YA80Aj@*9azGh}iZt>Qnf=>b4_4TH-UEAE1;Wbar
z+n(WQ=z|hh`O8g;tMcp@c-}aA%K6dUKV{dl?#CXo6jusc@~XM|0^7R}ukET%?z>gz
zBbVVQ@p|5wrAI=zyak;Fn@=)LoId%^*9$gDJ12=3TrJtl!Sjiy=28AhACpdgkzKch
zZZ9?NnlNK=mk&#ff7kt_ORG+^I;`GuU3K+N?b7*^)^WTGwv79D_GpXBSKjTC*3O2L
zugo_%ch#)LZ;PJe)4=JLyfI~u_1?E^Hoc=U{m=Tmz!g&t-G4CU=OwnFrb%rqZpJ;9
z3#C>Ix9nU~uAb=V=yy9OK1EJ+Hcx5vU7@=-_}leo__pzc^ShpSb$F@!`9z_G;yMRY
zJ8rzmlJeQHd$xd2|BtBN2l@`Rme1GRl#zWB9%vpp(Nk;Jvx^NeYTKEAUYgx;`{SZ{
zKGqMkd-M$L+?|+@ii?%Gc`UHJ{$T&y<ma2OOKR=1J~Abm>#S<DRu5mIyzsJq?Z!Di
zT2Gqli#l{zEnd6sn4PxDH{R22jc<L)2jQX<%kN6OdH66#cDmrdXa$bP8FGR7W;>4j
znq#qMQTnfG;s+&dir%K>w9Q!Tx*@Me=T78GrXvEc@9r^PcYn`jHHKLy<gMPURpJ&>
z-TLOtULV!9f7f0<*mLP%97nkBj)egiY=5{;<(MqnvF!Kui^k~^MMVy`is#lWu6iom
zA<H+jJ6dj$?wSR^QUj;)XFqDFn%DjFYMJkH<4}o-TK5wU9EhE}E_{}z`{oOIeij*a
zwpJ^CoV&>L*>;<Zq0`5N>FMb^<NGwWINa>ht^IIgr}b@_G8Xryw5v-OZdhf^7y5d>
zcV43p=j-|lj?F&r4$PXDzgb75i(BY_pH%EwUNNgp^Np1a<<chP${+WPn`4z%!!N0$
zJI&+%qu3+w13n&;@QS+g$sp$0o#M-q)eV<2r6j_ub{-U%Th4Rc!Y@eR`0NAMzOVa`
z+8o8^e(B4~lm3kQ^Dgh2x%&6U<4o>ZQ;eRQL>A^PE4=t`USX-qfyWUC->HSX-&Cn4
z5WDK;)v!{@6vkCLn^|Ibcoyhf7dT*9+M@U5ng7*lrER?yb5ic`efb$8RWh^u%@g&4
z?I*&o-%2_bp6&c+;*`VxdUI~F>69v5)qUTQzA1mT^2aW&mzp6#@2kEmcdBhqWe?vh
zF`r@KyUc1muF&67mv+rQU3$D)ziW-P-WvbIPRsVa+O#c;Q<9ZI#$~Oj^ZU(v|C?3J
zSF~h&^SI{1<>NC|f7id=oy4`<rF3#Y+wWWrYrY3oI(dIT2RWY9KUB4M>6Z^Sy4FjT
z)4!$^IloBEkDBuO{=c8<CStA!T5C+LH18QOtKM^azG{W}g^B`Bt23p-Lh_fw@BUeu
zZvP}#``7(VLdgut415-Oe~kk5A9?mZ=ex+5wI|c)+U}p{51f8-A!F))lQK`nQ&uO=
zq;IKv8ExQrS#Cx9!t|Z|;ZuKJ+4feZC~vEt&SSM4mAkFGE+?J}k&rqxPxzd{!kS;U
z64ph_r)1kL5OsO+&){}nUD1S!RG0hB24`6x-ZIfR_%p*T_rts7P%r%_ufz<We`dbv
zl9L@V@9T^Qt{!rEJMt%9xv*i;?C@X3E`F84mbG648Pea#aDPp+n(y?O#ZY##$`dAm
z4ciZSoqihkexrzdRQ_e}Bjum!b|!F?$xJ=#Ca|P|rTa;A`K^-r)vupCI=n-oVpHH*
z+lhy>HcHf|9Vy$nE-$v~fY;~c=8Ye-%*+Eku5X{>e%^my)ejfv2fZ)Z-tsl{dmCkP
z%x%|xtld9>`@x)#&u4zv)O<aoe&Mezi3&VVSihcpH&atySD>autijU5!-pkGt+nj+
z+;hzy-*#+FkmygEBK9o$-pl8fC%&xzck9N9r?Qc&#pGg^&8@mTFY)};g(}8rxzBR$
z%$Q!H);(XZ>-hFFKGWXbY@B{-z2TytXJmPE-&>!J{Z_a9*z;I(S7$Eu>nj^Florjb
zynKDj#NCY=yUZWYKE0N2%X^9Wd0lxnf0n+ta?qLd=#y>3vbh@Nb}_Rej;-$$*&VsR
zdSfleE^gBap<@2RF<TUW%-_7VU#h#_?w{D7wTXu}&inF}@!kImM(T3A&hH7b*m`qX
z)N0{!@3;G97kw1F`dqD9knO5h^AdxqL$Pl1CmvcCExr{u|6+IlOa6^11zUEnNS(8A
zol2pKqSmJa(oglaZM(Gc`@+L>_P-J~J&>BXKy_j1X@Ofa;x2m}TXFsT-y`2yw;$+w
z>2KsZ@5qPfKgN>AJ3Pf>v>IG1eoaX${NP!0(cVaCcH8xZ+)o9j?|-P)lQ6GlbxXKO
zK+bZ`UtW!Vt`eUvJU?XfG^y%Ez@kQ-KYz<*y;VDAh2($i`M7rqr|Md^EYqdOrk;5#
z5;0w3nqsT(VzEG*_0_Za>?f3qs9PR$Y?$fzc;8{Mr+e<duNMyFnjKozJ)cYOg#J3a
zFW-)DP`CeQANTm<cm4+n$FKfiFL)+(etmVx-hP?6GdDUE%xBMf_2ZLJSYqKbzwKOc
ztIsXsy`K8#?ekfZk1Tu~>So?oUHJC!C!>|Ie7)*9FS*V(pZ3XHxOj=U$n82`ZM9YB
z{(L><ma#of{%fIli&?{s)60wX>hlk5xi0o|%i&q2%E!-!yDRJo)$BcyC2x4!q}1_=
z>tP<DhrQqBnO7~Tn_0f&pORw0)i<(9ehSC3>ZfrSoZfc(k=crHjrsDIwt9x?dF~Zb
z-MEtdV33bR!*$(>#hig&kHbDUzSUbF;lJkKjCDEtwtP6-aA8}vw#1x>^|f=K%c}BA
zR_l0JGP=HJmOYbcxsLUJtH@u2OC1XLW*VP4QuoH8a*v60QRtV4ZLjNJr#}=vy^f`7
z#^b|soAM5Gcr5VUEj(|{`^fEQm*38q^4?<2@5&?TZIWhdFDo1n-Tc3+#=-Ts$VYjZ
zsq9Z$YX1fOmza9(+M=WHLrc^&ezXO%rv2W2`_8XTle9l>__WMh%FH?=VtNpd^lJW7
zo08|=RQa%{RKw_jn_wGT(Zda~wzFriZ_r(x+w*5x@Eg&HmPFkROj9$Bzs2Q0*1Dv?
zH1Uv1(~J69)xnawle=6wCAKGCvffaBV0Y$ah12YNWaEm06J$adL$*8ieJb2tc~+pn
z+jPe7O8@S~2F#Ib{91K#Hgfl>N^BNgI+I^BHBKp0NA<n%JLV+<n-$nh-gUPL8fZ>g
zaiDMWR<r9xIilvLJ-;wI_)5=h)>J<5%d6dDt-buDU4loI7EOrYIO%KbAEI4dRwed&
zW#syl%Zt;SCFMFlFWcYFv1*63soJ+W76Lo+A}@U2WXFDRe}0mDu3VRsP2h}QUt<<B
zcjqTPNz9&B_{Y+w;NF7$MLH65eZ{%n+HXys*N|J>^7@2Y^~{*he?5NGdbZmxK6`sz
z2GezkR<8gHUB}w8uN^ZQyUVxq+`N_~vTaRSZ=5Xe`r|*M3_}*Vh(v{)n7cohNi(TY
zIxBJ2+*kYEMU~IoE-v8LIx**M^V0c_)(+dx2HM7k9L(xpn-u4?*5TxycWwzAZ+>`W
zek-X{tf$@n<U;MwZ1#t2^o5tcn)+;>x3FwQcXy`y<pY{`f7ujxtBOdy{jSr`w0cc$
z%}sfJ73)K`yI3yR=E%CqFfPwlTfDh@gU*qRkcwG;d!jZM3%&nSdS=Z*#e)(?M{hr7
zC}m@0{kz0|g+`F*;x5fQ7GDyAZuh>^UGP>*aARTh5{tGw%PT^e|F7J&TBT|ihmn)b
zv!op_gZ?h?k2nx7)00$l@*q=BfTqMgWs_ei)-$Ab>}=V`{^*VRY$vOa_I%}s_y2RA
ztGcQ1ng6HhwGGq%%{kE$?8<)T+~vCETebgu+H%pUOK|U+5|!zdpKI&vK0M7*<9Z;k
zb#A>|clO!D35+K;zvGUyDfI8J-N5Z5ylrh8N78fs2b*5J5!w>{W$Cn+^A;X0(iZj<
zySBLEN1Si1H0$z&1g28~yQb=`{PpP0$(0|RF1-4=`P`wZ(ydEgs9s6Ct0{Caz;)@d
z|M^@;=RLg`JfB<W^UgU<jK5l}{dUbdSp9_8XS-e1y>gY7+p&D9mj#xzHmTj2;ru}%
z<+<Gdb<5vq<W#=BBoWckxM$|+6;ceT-%snr?$kTgd$2<9_m@{a(=}&IdGhk+v3CzG
z+)w^ISflvJtWIgC{=fb6H3RbAcy4K2FxlARLG@ML^~(|^F10^+Ji}dmLBzvFc~-}@
zS81!%ztb<ypZVg?iErKAS^rk#7IC|BEL|kDpikR!bM^Pii_(jis?YCPuI9)%U+DKu
z<D)-AWB7ypp8t%^mCfE|`tFnY7cQ|~weMbu@NQY>;%51R_o>b2mM@=wN&ETU>eRAp
zR#mr3XrFaT)vx32`KX=8TLo1_Ypo_k-ZY(gN?C&I#5M~Kjh#t~8#`7nj(mOR;qFVD
z^*$6-*qkU27LhCYn&hR_{;lVHe05~k!Ry5r%<{5NWnJr;x=P8SaNgS;oxz8v|LTlM
z{>Y~sSl@SkMPhEPiCb{@r_}wI{@2{Tc2mOiPlQeMOJzgG!~58ue%^D2-^Sy`z4reX
zrccw4)SSU_oY7|b<ML*HiTh4BcJFDrzjR_@>(-54_wEWx{*Y`I`m$#AhkcpLR(#g0
zx-PK5cG9DZM;L!U-um*)KQ`reE3^}3cAV$Rp0V!OA+?I^qutt5R5H}|-z~Xk`#9&h
zg+;}j?k6GgGP9&}6((vMEs|UPdgdjb`exS$|7toqzPlf3nB|bmVwP8TVO!mLOP`O;
z2B$SS=lN-~eN|r<QL=UIecKv-H4(eTskuc;pDMDfwy~U!cR93ffvDZ5?LI{;ogN~~
zr=*44EzGn0X0QM3?%AAePH8u97EEv7{lZh*s>r`+bKM;c9p-=T{ZA*V*rl{Ct-jl*
zA(;R3O~RdCleiL5U%!bl4;j*!CR_F-iz+MjvZxz72>)v>3W}NJ`%olr*|M7Jx_@hC
z?AB4fq8TE%Y0tz;i|xmBp67*4oNr;aasE+b-%D%Ezb9V`lwO#1aoGm18TOMcvRTjc
zwqN}DmRBas;^EPUr9XXMn_4fLYFJx#an{ZT=06<5wl%JjJ6$=$)Z6rV%cfN?xXz`!
z`O}oHKa~>}Y8ii$b${Tcd~cH8XWI{)<zmS{w)wipSP0+id+TslJzmzNrC?Elxa{wx
z<~tk|azte2tnB@6_FVMl)-5x&R&c(5(@^<Y<gH@Pqa~GgGu8c1csaf>p1W$PxbM+E
zd5+23b#6Xhb?b&}ewxn*^IStW(TAEpp8Vi=kS#W={Ksn#UzH_${^Tut=yXWl%WBRK
z?e%+J&7E%0v-eSf<kia9ww{OAu5apAXYX9oT+S?U)#i=OuSECUR}-F1zGK1Q`{4IQ
zrtTXXLgRIL;<Z#)g+9-@$X&>Df65jip$!Z<22xVf^=^jGW!v<4s>x#!g^KN$`LCTg
zkS)v6`hR=G+}?;e7Qg4dZmP+)6*ri{$=4@2WyNK+ud(9i_OZWnIQg7WCNZPV+05qR
zqQlnVSr2MdUfA?1IG;6Me>vBgd4(*KWVw}7#!?Q68;b1A*P<*e72Y^a+<KtzwwCGi
zhq1@J|4!xWH*uN1XZ?ciDs^s#Pt6C^;}iBONY8w+;&TPh%0KL^BEr9H&z@qPTDi$q
z)$ml4?FBY2jqq#M4ND%V*SIH5uuNN3p7uvX^v%3UCJn0-lV2<Q8kE(4>zny2Xu17@
zGqK)EM^onVE^g+%S68Ar>G8=Z({HQZYt7gYQrfBBZ1gk6<C)us+`1d{3qI(5d9`%O
zw&t=x35^?Ju5%hwvX9wwJUW%pGf8Yh-F5y<Vg7Vg3C8MAcjEs`DP<}4e$kqmUw!6B
z@iaXRxnCJRY(gt9i8|dnc5Q;(uGD)*UmBm>xaR+0)r+Y*D;5d=)D7oO+|%S${#L-Q
zXZhFqUgPJzQyxEj9LZ^S!0|cD#HGB7cj6cQ-g9;J?t&S8TQ{E*pSRx6Xzs7iU+1h~
zKdd`l`pCf<zRLBk1<9Q~D>hXNOtIb3lUTd@mP-B&4#l{$wF#SbH}=<_NeFdfaX!2!
z(z8=hq-(xN+(!4Gk9Or~Oy63|malPhvuXFP+-mESu^Z;_8ssGh{djePS$M|g<<Be*
zzEs;Yw=6y>?~aE2yh~CR$KNi~7kFelMOD$W_vY!Epn^c{UVa(T?{Qy(Yjuw;^5F2O
zjoil0HHEk3{F~g<Yz0YMc^1Y!WDs4pf8kSug|<2GR*RS?ZkceaK5>iC#D@zEZ}q8^
zFE!ir<@m?e(}tTruAK0B)7#aDd^9amr@a?rFG%NRm9j9%*l;~&&ZSKW>qVY4lzu;%
zBeqt#Vp7s^apreZ)OK6UKb(JrmqA@IG%t!(lJP}*RrcR01?IBOFG9VnPi^Tsecq$&
zEk8$kPM{%&@RldlnO?jbf3(}b^sJq6Ve6&~eDQv>zp3n4dE9WW<fq&smAb|Z{fo<<
zZZ-Pwo1;-W=kPm$H%}7(crOpX_U|Rv<!@7~*=D`*^u2iD$j*$|1N@!ua;lAQ7KpH1
zvTpd`JbTX>PlIQ|J&&YboZ}bvEp^NMUc1^R;G95H%MPWq73&`@j#^%0-nLfizvP{-
zVH4Ha+=E*tn{-SzIH~1z^w6`4r2+O$iPyE?`j=j~elJhb@UP98E{#(L3o97~($%up
z2PQr^r6B*(mFvx($*(+D+T2-YG+&<m(SgG^b1qJM*m>vq;;ol9yS-fKz*J;fDweIK
zP<!SGPs02?!s~eZCoO#JS7Ro^7=PiSl|jB`<-utdQAZ9)@2~CFoX_8Kc~;N#x!Yc*
z9^Dga+bqZ#W@T?*`BqLltgAlz;bkV#Pj-zzf};2D<7bfPonfGTp5wdP!dEW6&K3P4
zZ4Q0Mem`08cWv4Ro5K@kUY(Kh_A<+Tqc4p~-&3pJtT}yTrck++VgHd68`?BZKHl|N
z%J2Kzy1sV~w%jW(hD=IW``G#UtyMfphnZaORpxzKb?NBl%|R1hb=<!;;eXyUi6g7b
zjGt-F{&%5_)3M}y#pCefvf7Fp0u;8+E)<JxeaiGYP-4~Q-3R=&m`{jDWhM1@`v&%K
zF0d`SCCjuxnm^p8ytaJt-%x2S?&q&q1s<tYU2pmObD8*~Nq<y#3b(kN-|%j=cdhR?
zF{8PON1I;NtmJL=PE4ODWN_=^{`suUvPu=3#8VE79NMVAXIhYzkXu7gJ-_Mu>8t1Y
zKiv4DZEn`W;D{O*>s7M65gtxQKJgZn`Ca+edr|7F^lOf8LEncncLvM9>JPr$zgqD6
z>|W>Do4*{)mG~Yme{1gf!(u!4)NXydN%Emw#=@uZYpqLUY>!{IO>`>Zd{X%6S;PDg
zj~g5|N*`DIZMQlg-X2<zec1l=^*kHD9qeD{1@GltrTOHFjDS(h&a^ibuh%Ci{8GBH
z!Yh8k<7><8)-gQ0om+iu^Mm{!rEjLH-R?`^Js|)6xZtsm%(h<H3u{7V>6=FCD^LHb
zub-Nt(!2h`a~aD?(UD6^drIamDNb0g^wQ7QY_Zhd?K-nx-TUd@Gx4ULbHMtf1q&B$
zIP_YN_YlXadl$mjum9bhlh7dC5)jpM_QU+2r4vNY>#z72=esC!zW!yMKF>3=uKG=#
z{ATei%joHCX&;z#dR?>G)mCU(T3zP;&>ww_&xu=3F!`$E+<iqwicH0)^ipE3?G|6G
z(R^Wt?wrGG@2j8CTWBPBd~=Lkgo19iLuvY20oKP$9|+u;Dtd<TVB{|o|4TWqH+e6Y
zeXt@@q;_+baf3nXvUsDZmSv$ezDec!lQ)L`5Z$B}agy)zwiiKe?O9Ttj2E`_1=RH|
zj<>0Oe5yxi`z)=%8d2F`Vc!Vez=*neoqH{QT(Z1;db(7qzI=77RC`(JuSRASt)QdZ
z_cmOw+`Fb@N`BadQycF7Uitp}8(|NQ!gM1~vpyYLk<gq8f?fF+o{O*W4M^W(w|^oB
z<Hp>o_MHv0F2o)WR=Kler?-4#`^ys@)h(V`cf_aIyRNs{BGzjrW+LtuJMr3-BVjYw
zO*h}O$;RerO8HK{H{mr;4oojun&+Ne@^x*#$Af91Jc6ayJ)bY?bF<4-aODv@wJ&~&
zc*$JWr*&WdWb&3Ze*HVC)J-G**5^MDcV}DL)=P0#{rIl)<-NwbG_`+hN|)yp?tBoX
zw9ZE9)QU8_s6&lc%e*tcHZUH|nzl0M|LWJrHgA@f*4(ChYU1tK6O~rAC2a+VZsb?&
zpR*;q#%||xj@ykN!sq*)`&n+YvNWov^i=NcrT=XoPWZ*HYHTzqcE(xe&+Hbbd=LF)
zy{}c@y8Hih`Jb;dAMc*}YtsQim5yKriND<Kvof`>-HUl&xqs*WDRTYF4FXf5HhjO<
z<zxNj-%jV?oEC@EC$(bwSskX{{Pk4RqgyzMFFWq<LjF(l_?dd{Kfb%RbaTs>U>>b!
zJqo)8{vPIjroT2LO(dk|(_71JPnPs^<QuLrS<rOv^5@-;Bo>vkJzb_?x6iWmiLJZ-
zy)#T-R{5V!oOSRVTgLY`;j6#g&Fo%I{o<ri7<`N+NX;px=F_4nn^nrzUg2NVyjX00
zO7^**a-1K1XRQvddA;RyxLCncu3fS})s>cOgf|=5eU{r%alB&TqddpQzHe*)D=jtX
zPY*i&q*YqdN84xeSNT`xQs;9$s#~!CS-H54dtZRql>^H=GtT?>%{Hh~zMsK0oqt_G
z9-~E#&F9uBzuu@Qx&M8*_o8R-&er2{Imr!u$s$>I>K{Bgq3wEbvP9tgPuv1m;-hwS
zzc^+&+1SiLy78s?`eX@(KS~Qentj~VwD<6gjnaP({%R8X?xguBb)n*?yR%gIj)Vlw
zk3Id1ag&`QyWUZQ!2Xi6^NX8~7$(2oyJQ1@cimJ0E#2eZUJ8>gm3nz`O{wvT<LdXS
z`*F$T6xW(S)%zzN>s0N0n|3Oz>SLTrWpc&byG!pd?^IfFLH2o_YV+*Bb;&<=bo0$S
zKCS(@il3yv-pcb!u1o(DKVTT;;%a-`y{`J8kH;&yNzauwocMTS&fTR#%NrH{Niv_i
zbxz}(TtxMusaZVMdnFfJ3S2vV(8u)q&f9w?HEzj!oNfB_O!N=Ojd7id0xLr=PJAaO
zrMK610)z36hC?e77tK5SRZVoFbzAh(QyuQNc60tXd;I6crw+SUzvJ1G&~xNV_=0j%
z^)t6wt7MNhJ=QhbpY_u0-kY$$)Ac2`)wbSyrZPR|t5uuC!GnFdI|MI2e6}cb(ZxLT
zpB(Er+YQan`#yV7-?x9~s`m@U+Y<g+UsEkm3|V$XFXr8@qhZ<?7Z%2EN;~OwOWgO&
z9`5Jqw>PiRK6<ZbLJ0$Rw&(kXTivBU-&9z-P6*F?-ZAUCbko)ThMkehLiPW<KLvaE
zbLXt=uPk1@Ecv~0&BreS9G)dpe`^X`WT)L?OLUmJmhoYWlSay)o3s8+N&XeLQ2XOY
zFQNZ@CvQJBjZ#^+sIF>ziuQ*BCBqIyUGE3xuJ<KpU&%@KJdrLs)4kXsRZQSUMu5*(
z#<dY!G?G7f+jrXEs4+TQ82BUK_4@K?-PYLe3jB{>#KcbBeeQ?x=~8aL%(dJPY?t|m
z7%j`{P}h0JJx|&2%Qa@*Me2pWWyQN5+4L^|?*1^rU0+R8`{r^(zDNCzlWb+oTAplG
zJ<G-EG;ilyvGCjJ8k`+^ig!8ubh*Sf&8d@@oHpg}g11M^#W$~Ve>*+Z=wIrEE`^Y%
zZx1aoeDP`Lo}cwAIp!7TbeL+hMSiJb+O&MeC0F~y=MrN6$Cbo1Tdk3od=eeDu=mbW
zGfgLtj$;+|%<PK!&aXtz&E9fM*Z1OG_hWmlw@WGh@459rXu~GC<O#k1VukjoFbGLq
zZdfx@LFih~*5xN$MUwghEUY{JTR*NibmpVbC6D<$duKW2_1ru%JFeuyt=Xb;gj3#W
zKWcPWY*(>gyK@b9fb7$x1+}xf;+?i<ul%i?f6>L|`_1_5NwuFXlMh^I_bxW_W__~y
zN5s|p_c)GRTavu>LW{?yKIeoZwm0WmnodqNi97l$VE4zCH#(NAvyXq8`oq8Ltnu3Y
ze>$?KEMnX%d0=jw*`@my_n#bCvrWe&)rwt8aC*kBY7@H;U0T7Gz5$V1CRg|A94!fX
z@$kONwGL+AuJsnq>%P35zUlSpyrr|1vcG&~R%wXSnbRetvFP2e=9SIT2e_@<^Oqzz
z9#myAO|rPG&$gvaShcIESM>a=3>Dc&{c@Q{Dt>xci$6~H<eO0W=2gy|<DsED%Gr%p
zaa!=I8M!&^t5W_Z+qbWE;hdz)%bJv>Ug_;QHQOj-=|_&{Qu`nep?L-2yg!;V_-^>0
zdw1kdY|{HZJHk#iG-inNEdO}8tD|1B>)GOUxpg)CoEBlRoKXTtH?^}h&My4Fzi-Fw
zKQ}kAn1=Sh(JO1}FB3^<@A~ZZ@y7yBk2$MaXR-ZEJM>?|+bs7@z3bx{IjSWeX9`~`
zGkI*!lbQYM#Kxln`6=IO1a@uF;CJ{@c<Ar%PyW3d?&TN0T++cJDV7+pnB!``jAU38
zfA7QFolh5tDLxU^ymfo_{n=SB?#zh)e*fLUhn=7H?&8bQ(J;MOI`=8}nFzhNZC5Hz
zw*I_WbeGFnq%k(d;L!h*?rQw+E?lzhUGUD^Z-YEz+xLx!SDNrCO?Z*~A^1u8*)rRV
z))zDV`C7h4N6bHPN~WEw(Yy53$_0Uct_2y0oP4_V^Wvf<rs}F@KMo1kobqYW$SXb&
zair(%S>Yg__^2zkfB&)uJdsb=)mtnhH_blfZ9>b;Yi$ubQ!cf)@n5deF?n}v{wA9<
zyZg@ti!4YL(b@0w*42I~t7T%=p;?|ad;bf)DoQ<S`Dy3X8OD>>ifmY+7`3!&&wtzB
zi)tr4ZK=@G-4gk_=(_Je3!4dNuE^-@&5T*wbw*v{f6jpf_rq81t>1M-ckvc1ijXw1
zYTYQcZV{h>-14Va6U-CJYEN)IVzBD+`tzYT=H%{rTc!Jb2QGf>vsf=#!^>64&sk~u
z^8MABzYbk{^T0j9q9Z~p`swub`^}s?#2h6W&mK`0`;~AaA$ID5y(>>A1(_~kT)h4C
z$!}Y3yxGRTc9Pi76L*q0-)EiDskK~|bt!85lfWf0v$utN{Lnm~8yh0i-TGQgan;-z
z6)Yjs_jO68<zM=qanJ0*jwiEOvYE6+UT$^%cU5!xjqfW%A1Jw9y*4X*v!#U8z8hy2
zs+%spF->b)g2HwU&*~?)oZt60O4;tLX|EIbzhSfHyuh<E%;~qTy)2#4v3*Bf`@A3D
zEQ7q0+4m<JpShY^FRWv%{Im0%oNASgy_NUNTdO4)7t~x9a+D6f-1yw+==52(mdtv)
zg;I}YIfP!=zcR;JI`dQV)$A3PGZ~MhX-B_sI=OT~yGP!?GF5J;?FDV0Gt&IrTBM{B
z-io#?iEb@jUbRi#{p>pDchWvbb$W9r);I1|(wnue@LEOD<!JqtvfTVO_0D$-)v7Au
z4=LWX`yO3tSbnT|hGPHP{)imeh=1!C?gZZ0lzMuaqvNI*Cq0hJ@1LvkZ`--+V*HX_
zD(|KT=xgg+b)T)~E!x@n{IYze<<r)c#WiLNT+a6L6=!VGJvj4_d2gd(z=s93<%^C!
zo!aco^*nyZzqFZ70<KdXe(q0lcxoJ+sinAhb>6RZuUcXLrxngOw#0LA-??|Q`&r?=
zbUCg1SHfO%E*{ot{D0^6s;GmT%UP@Po$OyTDY@CLU99d~pUd-Hg)vyo@@28%iKLr9
zH9xM12{=2s+swPK=gN}qX}SwC)xxw^cPvW?e_*{`Bt3V<w}}yZgyxlId#rmgzw5E}
zsmaXMMKa~e#a)@j)`vR|?agvtv^D<P^S9@ccpH-A-v@9SBwT2BDUk?S=h4sdY5E}+
zfB&;5&$z68qZoAQR6v=|y8SL!ru<}BS^fM&yVaZ6a}9si#y#q5*jE$rZ<_heyl;g|
zx#BlQ*qP7W^m^x|iBbP}IPZO#JV!=Lr8MNh^n)*imfSecUowB*ja3u%^37IvFdydM
zbLqvI_xZD09D??|o6yx9lk8-9q5P)Nv)t~lsWTiJ7G#MDD=OMG>@nc>-*hF*dUI<L
z$2x5z`~F=X-wndv2+u2t&~eH0S7hAc82nTCuU_YdXPm5A0t^ug8*}!uxEX0#@-)nA
zcq;I!@_dTe?eFYn?*wOEk6!!tjrI0P<rAXJT$@?e{Vce_?X<pXPlrNn!l{CC>l=nM
z4%*r9{!IC|D(Z~P-Mas?@}4-_p1$@W)aY};8KdX3)U1AT1_T+2gr09$AUWailZRn7
zuk7c&a|<osC-;4WzRhdf+Sfc6dcBpx5?U)vp3kW)`kUgWp7y3x%si>`^Us?r|5}+=
z@AR;hmn@X-Xg?5GFn8JI7~OLUzs`MEZ0q<Zf7W(x^@a-%ge|(1SCln=+HCIL(6R0G
z<F|QJna*Aes}}j&|7~CLrdX5vZC~ea-NkwL#9J1Y#qw9aM70D-C5x$7uX{74Y-@|c
z{Rn>loV(UXW6l@uN?b7I_4O`+UmO1#HPs)9k$qwR>UyucrPN`uHQ$~%u}@b@-dyug
z`6TOYMzj4lPOqNwrB=~rS*+8Gz^KPpW8X3IUV3S?=g*&-B`gakOt5u-&N8!jy5FJu
zPj`HdSS{9Zd-6Xymq&K}{pYMY-47^bvEArca8c$%7~jLQ&O#sW+?4z!c;cnQpYN}Z
znoTQ>w)yO5V6*+nv6q+mU7`<LTywkQ-c+o>FEJ_fhR|-;(+2Cr8&cM<Y5&-=Yja|D
zM8liZ!_QV&XG9)*d`RKx^V`cdnY{ko%^_>$^zlr=vzy<a=3LGDyXL9ERX(=w-<!79
zJb9uS8Sw0U@+<cKL%kdBu9&8(eD0kp<ClGH>n|%BteR@S-+)1Ij_Xwgwdf1^FQfhU
z^>c5m=bQhhTS_e~CTqvB#GgxU^3|HVzR8O8oYbHbl*qBfc7AGc&LmleHv74!7{cWw
zSN%P6%=ZmzR@mpp_X0u*Z`f2~qm<A7pZiJ7d&yp@G_KHyt<N?-v|)9fbM*I>l=ZC{
zmw)^cE3p3bmd{20sP37QRZGrjF=$^eTku}m?Zx3ot>0rNJETRK`TTgi|IXbNnh(}y
zbFog65-rns=43lJYwjY;ojT9Xm`XEoc78u_$7(&J*0%WcNx$c9E<d&@cWX;wjqr*7
zXWyoLFH1fBqRpOd%>ymL<6(VCodM4Ft2|tja{hl_o_MnT{{P~GOIHU-Zg=dI<(hnH
z)6*c+o%%H#NgMxZo=mjNzkPPuw0GM=rp$?aG26@StCUCGmp=l(55AxDV95*ds0;6c
zJnEI@auR->_y73F)H~!2YfPv+n`YguC&piM&P_U2JE`Q1>7$8%s;)3ru30Pep`B~>
zk&{17IQeeMF19-q;9JA|U!BjR&*O&Xf*LvAogSO*%2)1S6l*&oA?$GB>$3aoZkB&0
zc`b8rN>rZDwqwPWZQe<%dzR+ys`HjBwLW+(L-6|oDQks)AK$IGUNEnx(=B;}ckq?T
z4C1@)3gvAOH|BVBs3RdjL{!Utdcyrh%KOj7NBi5zd2f#1cG=ESe1TvFv;UuUx;@L%
zS6KhsZuL4P(|8}VNnSp?mqXcd>B=YT5}x!kO#h)^adTGK>Y}<C7iCigF5iiMuei}F
z|LKYf&iZL#hYvNgJ8$&x`MD#K{aNkI6*9A{j21fCJ4R<3O?1>(DEc$|z8?QQA$xg&
zDfQ_;|D6$!znQSrWbP(Qap7z$^?ARSZq;#^#J|OkRVAYA*w+w$VWX?oAH_MET8>`S
z<eV-dy3lQfEra`Ru^Zh@ThkBe%+UV%oTp-*ZaS;yH4)a=k#+BS4ELQ~S$1&ltsIty
zw6<HXJbbQYObAclT6O-Np9CA%BA>byf`Yj^xA<=JtFxN%+R8mU5Hwq}x#7Zx|17({
zKFvybQZbvS&EbL6h3gU@r+wuQjukL_^WqloDqRKV#bWQpWIn|HdeXtbckaNs>k{)P
zU(RIYyU*~d(L+Q1%YVZU$2ZMrE1e=+q@iKF|IYkr&GzNau`79Y&Ds58-@-$Jjb-ht
z5*-#bC~Y-8eql8`(-c#tc=yYikA6K|^0cbrqMOE6ecoyBUvGYXlU*>>Zux65$=y>*
zrbayK&N#7fPuc?&O}SfK{|swys->1l*#v4i+-T0dZn=;#P~hD=)+KlT-~BdiQrW|$
zrs6*zZFJ8L@xHz6ci+Anuio)YcwW|L@0ajygT(y3e3E^hrRz=}D)n3Pd`0@@b)s&+
zYZhHI*Dq;*+N8RvKO%K)htc{O4=3FHRKM?MamCV5)w3Lp=l2KynDNoRlF3b};DA%d
z#W^zPKYD+-{lLHNs<29zy<SY;jBeQ@SyvCID7ik4Q%vI1eRVLLLCE3l{+_qD?<>C9
zxF(rpnfT$)0ZY;{{8!IkHm$wuilp4WoJ)c)-g9rua?nkjzw&rChqm=RlTA8o$%oe$
ziR*^gZ1eXP-J*K<B-7<q{-mIz^ZowI6xOz^)H(h=GtO#4jL?n)I+NrJPRubq7gMRT
zbWswY*a4j#Wnte>rY@Noy!mo3LuT%(dR`BH*ZD4;NB7wEziyY0UF^z|e}ZeKy${#U
zUG~ejbQBc*yw&nu=|^!<!}5!L#V413JI5_}L+SIT538nXL>~x=Ie*1th1|r&mk+<p
zF-Tmlq>^}YbD4{;yX%wX6~F!0Cmzguuz8Qvye!F+#Z!zw=;}XHJ1^~3T=oC`zZa~l
zUU0_Q25#AXvETx8TJ+jUaqEg~CUw6M*}qF8L*9I6%)L`u4a{wq<<n2yJbfzd#QRrI
ze+0X{;JbCLQetYiYNuLoWrG9Tog+!759szMXXt<Kkw1IdUaXGg*`wCKE!xX?oUgCj
z$-$eDI4MGQ&eOeNG52T4uY6orSp4T%f~17`2AMWS%WU7dez`|7r4AlSEODANdAWG>
zg;@PZwT0b(`=;6$FV^IERgkd!bCeCg>U^KI-q%8wfAm@X!t`F_?YnQd&M$a)>gKE;
zE0pqXxJ6|cyq+51khdgUpkRv0jUz|wIWpgu>hCTQa|xU%l38LO>tSioY@6*+IlnfY
z<=0`xQqJ@5SEYHpxUUoY*y8G!@aCWYb98%-&Q{_J@!5Rz(sUQ+)bD(vvlCXe?KwSV
zR;^3nQN6e2naQ6l`46Ort~$OlzofcuW{uX-p1MkvxjT;BSiN3*eZlM*Pi5`HZJt><
ze^hB=a*F8ve%nrE+Iop4eY>i-&LsX=ze^zMw2h9a@3#C<@6$ROd1VhXSp^j2HcLwF
z+0(&v>-hP16)n1p8cv*u5$+GzTl?xlVn}sNxq5hNqQHE+Lr16P?)$gtwBM>zPglGD
zoZ_=KXQKB<cJFBgx+fYRPX16iS&hpn>fj2en`>eP^t_a}%eA|EJ*&{Kct880hDY+^
zn-k;$y(evYvS{(8rrG!BwTIn4;vH?YHvH_R=|wls9yE#O*wtmed{dgR$J)sUm$pd!
z_u3S)z(M?4(F=)^lCGoXpA!QFW`1~n<V+67YdrzM7jCVE+}mAMMT(~^iYt}q%QRb}
z@O{~q5Qe8bM!&4isO+5g<$~}&TR#1tO7|aiWV>r>D0aj}cTMPNye54qDru^~TJaxy
zyGkG0BrJ28C$X==yiDMQ&C}=cJ(r5UOWgYYD_4n+wd<PXftV|q|MPE@Hr=`M;HAdD
zl&Xlib4r)K(7CylzeN4)&m;1&Zl?Qk?7qGa5B%c);IjH(#}9uL7(FxI|2ZvH*~C_U
zcbodHI_D|(?=L)^uW-cMY~Ajl_PF~;BXgdgXkNG1(sQPRcNO>IqN3aFH#5=~Yur30
zSf$Vw#=oaJkJq8#*QVGX7X7W8nNn`NlXq@g`X;W)(qUE6Q46iCbsg5CX8GDVOaCe9
z8SgQwQ#@t#<oO!=&d%8DIX_fngUlKipFQIB?$%v(iK+{&A(^H#bUxZ`*?v7E?V$Go
zM(@7qD?99rFTUHR%D02DY~{Wl<C#Z(vGWLguQy*dD?9D@qc0hs=6qVVc|oy8ch(KD
z{V&hQ2&T?roBF@H@b|3)`<wU3<?Nl(BK`15`E<Q?b7fAavKmb~?5HDjD2}gm=M=pg
zzxMH+eYQcl?d>N4E`4?7a~Cg#?mYF~`tsAQiD8k^D#FwA44wa(XP&l=UjFi`O<|Ss
z&O5&}h2}q+B)wyn4y#)646fGr1-%`I4qS>}bb0ZnJN6OHH~!zfW@^aCsmz=HmN|sQ
z%C>(w-;MX1Hwv5#^IQG$s$EOt;cIH`5%up9>drT4%-UIToK>+VhHw9es-KVD-d?$A
z%Pzs=|3}E;-7X~-v+oVMH+sX&e;Vi%blNy^nD!^J>R;DlKGnaR<M%nC;|_1Ccl-D8
zKi&1hUF+Mjqdt;rGu$5W+`M$;RDtjQKV~aFN=hVEC$4&4z0G$~YyF(HH|;&@BA4Hc
zsBbS@#Cq?a&GKFiHg_i0P3z}mRx$@(s`(hPFYVsHkHY_ME{UjSUTB;Cvv7HCeSlQp
z(!I=wBaF@*39|Xk7ifRXI-p<Tdy$@r=<~G;_eu3`?zrw}$uetZ^@5UBTc*A~y-IQa
znq0BTujL%q_9`jup1JH#Y`psipV?=agnz#=j&*xoC@iVC$Y|D##eW{>8(b(k>>bMb
z<L&dG{wKEa><KaXBmDBZWVxWK!G7&9F5#=zE`h>TOWJMgrra={(P;E6vw7O=EjM^2
zzcjcVN?zPu7t#O3)i8Bue6Go)3@6PlEjz`NpZ08VO4K;8@XgxeYBT;%<bM|u**jbH
z`+m;Urf*>ZGjFC_GXH&bX#E4hpzLo~{wTL`^CT86<ox~1-ssDcpGU6$SyUe}PfKy~
zw;!c_TosR(gp0j)-?=V0WR-=2z5GFwmEWgqxMZ^`|C2%ChW*8JYpOQe`Eo8iFzZmD
z7>~y6g6X@NuO}}{G=4B6YNvQ}+Yd%hzNFw~dm^NHCKqi?m~rRC*Q{qNRP+v)vs4@p
z`ds|-pYZKz>!auV6?!1IlGoGM=5>){_r%{hotevzZP*>_#{a`kMa=TVVtE(Sgmu?X
zX)>gL34C}rAoPr4!0qX$D<(dcjY>PwG4Dv;bwSJ6K>e@(vaJ`eK5R8<`EX`a`?}5N
zSkjXCdP~m=KV3KZkok>Nb?FZVvqY4`c296=jr#RrPWaMxnZ~zF56ind-z563HeG-6
z-dvSadQ0cN&i%A#`Qx-pcignx)_pYGldf}U{eS<XjW!K?!{#3RZ1(D0>~Vk7Fpt9p
z?a92hEcsnoOYZf%|LD@0S30-rmaO5YS8p|nr+0G|AN;s%^Zr`zxZ>YQ-mbilB+k|R
z<+<I;w0cRfRFu!tsBMiIUEfyz+bS6P>G`~*mD9u83%_Mfn3cmVD#K{h!e-Q^bwK_w
zQ*wvW*Sj(K0v}CxA8hE~wz;<BjLGX-_XUi*IpsB2LQaHeNPmoqRNa&0reWVSSz~{b
zfXj}6Em9$g3(v}ad3ru~^3%}pH_P3cwwEm7T_@{Z5ZAxPBO>bVeW`roqX#noA30Fc
zT5Xvopt@tj-|xFzy61#F<ajihgMAxw9E<PLyzrIx<i%HqNQpScFH-F|y;nF_r+DA3
z*cnkX^O-zY?^HD3W%5@#?;q^h_VHlf{HO`S_w&DcPmnYFH1n^$1oO7L7sdaxpWSGA
z=rwoL&Z?McMj>xz9!*y~a4#`+am5Y4UrXgTSFMoR|9*Ov@?Fs@6Q4d8nDXQHgFlmI
zuqS`o_Tz`a;lDl4^n~TleBUmx;rlXeg=c5kBeJS%4_I!T7aG3uqJ7%bFD^TZtkxvD
z@-eJEpr7gS)9tcbhN|!3`yYj8E4qH()9K&u)E(p=;l0gra>~Z@!iDYcRX$6v+8Ny?
zCi-ymr-T`&ny&6U_IHxZ&A1;rPj>T~_Mhkuvu`e)q-?2nWNJiYi0L|sdsCQfc_O|X
z*KqK=E$)5){N0X&es-+xx0*s4es0eAl3ycrRe?+0KJAVd`-1$ijo0e;J}P)NDdT9e
zoWS}$=bjYb4agStyL&X6b$(G*EfdS^-Ot}Y)%Hz!WZP>Zr(40d;M}>D`Ci}8cUH|c
zKiCuWYWYWhpUO?QtF_myW$>L7S^1OQH}%NRZh=RyOS{9WSov#iobHxPt1i9JD!F8#
zw@dr`EiR(%?Du%*$E?(|xbphF&LMvJ2Nw-@UtJdHa@WuFRen{k*B*XFroI38_B(p*
zHoDHbo|j{5RG?Gj>Sw>UX7JVRu%Bf6>V@;FDSAf??9QI8VJqIFkiNT$h1=nlnL3x+
z&w|+1Y846A=TGz{?y%w$`xaNO^S!=j5xaSI!@5AN<by?;l1bAl?(6z#m+AELzwn*7
z-bwS~m*2i2S_T`7FI`;6lipyl?8c_H@VqcnJFauftKHUk-aefZvi`PD^}ZkbqzvTU
z<$}&%TE3svYL>*R-;ytmXTSaG?i71j^HgfPRp?bi=8NhKhTIccUj&3VxG$E>6Ruks
z$#sC~<qGeAX15$!Uj3<lI!#f-r7$^ca!lb#CI01y|Fe{YWF&tJ3!H!Eg2h&=lqa{E
zZN7{DtGRMeDW+VqQse%W#Ybv>KCQiT<E-|)W2*NVZ!EbW<!I5D(PLP9VfC+|v+7IM
zEqOKffbE8(u`k}*2D`MmEuE<sT_k0(>M~chnBiWxqG?Cj+{~u)?a_ao!)J5ls{+6D
zGR`B5ulzNOuz#5OxJgr&W2STS^!+PtuXk7+YTvp*p1I`AsZXrjQ`qbqH?Lo|Z|Aye
z#(MAaQrPC_y1wLH^i+B0h8w55z077k3zzun`(CN<=iyxk*_G?J&BzPB61!u??u*|I
zOqVS0V(0zUv!rjIxWckp>6&zZ>&*c&>8ICoEo+fJGJ~z(;Yy(SJ?}%QO|$PTY_`xy
zO=Esn;XQLh#{o`mpH(}~_^xi7*`_kFl{4yuj-RAYgURl5C5rEBHAQ7*mmS(Ix$t||
zgD2hnEXAHoljce-SR1T8=ltVFE{2d*OgCjE-W+;A_sdhx<|ZkHAl(^(%bvW|ZY#)7
zvRV6E=a{j{+`sErSA^a;Gm%}+V!xEx5(RUeyw@{*o-l{aNz=W)VRE3M;I}Nd6G0cR
z$$Wa0UG-u1MYgKtCcb|id%swITlq_~Lg~yYuD~qyLxS@h!?J&$m}U4xXtqauujl*k
zTwmX2{x>kS-I1_6Y@2{luDgHgR}u5(Pk)vyTlTtT(<I5;2aj2%Uu`*YK*5e-p<aO_
zQ=7nts8a6f`pIFd@}ri!EI8|x$zoK-@vnB;@zs`Dx18i$ICr-HFTQx9{=)y9aE?t<
zuV-(rKBCcnar;NPKe~V29_?{5S9P#Bc4W1K(7v>yMXy!MHf(l^zp-H7lbh)wTe7x1
zFndzy%sJOo{6eFEcf_H6OSk7o^rvp*dai9G^!mJrrN<KIXU`Ka)|}y~n<>$!<#B&T
zl=zz>eU7geZodD%ruM|P8Kn#clWt56FW=}^>aoQ|=Czua#w7ilx(^E#E3IEXH*bG=
zVtYqps+Q$i<~+Hj#eam7U+Ad&e$tw%@MV)#sx^ZW5BH26FLk&0D7<V;PU86eNn-bT
z`|XRK7T3*~WczK+x%Xo0Kjpr!+Tdo!)~0=VR)vtcoPJKzu{4qGy7w9mo%qP`D6hWq
zq`|rLWlMg3{{3&lt1PQ^%#Sm+PnLeMT6%4yH2+6l)~tUUmtE`TxWeTmJ9}5TzMvN?
zqozRkv#m-B(KBlId@qk&KXrQS`nyx4c4(Hdc~7m(SHHNY*HrlI*W8|cK7Fk79627i
zto*0GbfQJw8KIQf_unf?Z<?FP9K)1xsBhu<GprjN7w&L0nr<BZXg(Kr`TpY$IWdAA
zN)IE8t*&;ae_x(|{pA$JS;jNh1{bcr?5w*kLESPXMSrvA>lIIJ=bY1+dLzbJY}1D?
zZk`*3?-oTYz5Tz@a(>}LkNp*HZhFg;e=fER@j5fhj@{FI!}pRj^99fP#il7tysaCl
z+<PySwXH*aq4_&C71_@_uDJxiy{Z1*B)47XhwY}=8D*Y+Ij0^!dziP^joT<}#ml@r
z({*cW%)+MZIUuiB_3z$f&LeNW-uU(L{kBVa$L^mkk3P4(^rXK}DuY&&(xUeA-`wvb
z|2m&O67X~4wrH>O$5M7>Z%dnCsv9VFu3!f5Hs|$UuR3>VdbqY{uJw+1qQaIDb|jv$
zxF;ZJm1&5HZLayluVvqkZd&Z^!M|^|fXDXE*$z6^l3oiI?wK@W<;}qK*-xK8Rg!;n
z<xNJGZ1EunGph%tnE`r@VO4IsvYxzTUKm_zBtGXd(^=d1Za>eYU-}dBT=<Fa?{go5
z4Qi_X3n=&&RA^geNBs3xNjz%Ct9a8(@|CqXQ<=+mF?rElRUc3Pce8MP_U>b_e3X^q
zufMGJOVhq*Z#m6)>YaRgz*>{;S6vQg{_@<_bW*>c;p`t>_sPIJwr$^ezks<SEcg1h
zu^GP+_3FKLsL}2H-$#+3csQS~`Fd_<)0smbcc$p6{YhB=N<yOjTGD+3x8_CJv$veM
zAgFv&>FM<oK|2%PI!$7I^V8eq?#x?zeo9*(Jp7ZbGORjg&*XYfInF~of2Jm_S#&s~
zd(mde6ZNZaIv+3T(0_MJOki`-^%u$!n@l+z+@9^RH#u2w*WLMMe_8s2@TFImJLo1d
z)gILKbxe|e`seBGE9OxO1=jZj8jth^zV5xSantM^cExk4?q7u8oZL7=`e*2^S)X_R
zOP(XaxYSgtX>#l;z1W7k4;9aUTBI8x{Bh4xz5bui)GXC`mFz8DmaASlP(3w%0{h90
z)eaWFZ>~GLDR1KMw+Ds8pME&BY;Ng)cXhRUM;dJlE`)t{U4L-B!2PERVHe!jxh=c5
z&F=F1+5;IMcbJE8#YAL?Y;e7q%KW?7b^)i=2GPu%uo+J-?ysoT`z+(K^xq*y)i~?3
zQQfOqxGzfh7>PG1Z@<4JaBJdX7vIYYCrw^$30_;6wrI0U>!oW#T6d?v^jC`RcwDoh
zo9D<D^Ezj*t<P<2<{OCW*js*j^G58|fsNA4JMO&yeN*e0lunyX_BT&w^B=wIG+h3!
z`2LdFl3_vf8(wYxb(>mB*W6~k`md46E#hCPns4i2sm=weD?V?lJkgleZn{+??;qcr
zquI7TsmZfuIEx8hadOR)t@+3OaKVf@|79ynrS6%$QGdb4w%(QZs^xmdFLo<uJyma%
z6hC0gVw9NkaN!$|WgAyJ<fU(Z+beO>+T)wq6q^z^S+-x^25eGhx+hiF$|)G%d(7tZ
zzVyxYRjx-)b|~Ec*}39}|I)5EZu6yIH&hkvc(Hsx565>V&h-=SzGKa*yHs;oa;JyS
zwft`Z3<WR4Hbnnha?Q2bjy0;vWZ6W{Lkt`DmI_WzJlSwfb;FTAH=h3J{dJ`0@QyPz
zwO=PxfBm{$kz>X6H>^t+w3)y7nC&`sugP;I-H3C!{M<DGDq^B_g(q+A6L`j!*s$-)
z*2g)%Z<(7)1dJEk?q>Dhd`~5(c`^IORz`iUt-1E6CcY_fKBZfGx%`&+l$puXn_DJa
zxtyhZ%eFTAmFLwz9X1o0q<-%e`X<)qdHDSU?Y>Qw|9p5iNMzTDes8_ZaQKNbx3b))
z7kga~|96dg*uSjPaa!97@tTunLYYT1vd+f!unB}clB=3~E>?Wm3*Uu>qNnW7WnS6#
z)#ulw`V}G3n-=9rnkQyWzZX(B!zgyG(G06SQTH^wr?geeg(mL1f9^(yate1!PnnjF
zV}+ol#Jgzkob&qM&sraTSypyvf6SK3^f`;#kDXmEqOY-i&#~gK->#fb%m|TnJ}A4J
zyXC?K?ZyjJ?{d2*3jB%E+*?sK;pFT-%{X?OYL%}t(#=|@Gu0=~^J9C!?x%Zt<-CKx
zLT-xRzYxWpyY8Aq&!^z~t6Nzn*{E!IxMPv;deMI`M6SGPd(4rwW|yJu9xjtxAJ5Be
zuP%33V)*l@RV5$q440(h*9-p3Pf+ajnRar0<ebAcyi+XBIoy7(y5q6%-k77S9tip!
zabNMK&^SiHpW8(}{OQ@|+xl--xn@Q$jk$K3>Fv+`xq@7RAC^ljJa*j4=lccs2h|f3
zxf&#&_eQkXPkHt!w*KyxxWWux{e}zbza?Xmy0*zp`Zi&GaM^bLWdRZ2HSK~vOWp1I
zoo09Vg^H)HHA{TO#>TJj`+`1I-er32eLrMn_4DtM%B)RqAJl~?oqNC{^{FrEm7>Fo
z@~zvpvpLVn|5bhM=Mv6clg;;@m!3A`+w?sTn2$VO<M~K=W|7zu@#zz^^%<`15?^;`
z*Z%rf*LR6CRLhz>sH!uVWSu>|z~|fI`jwY&*H$IE_1<;wNcLMT(!Do5egCQ-=Q~!4
zC{I#2_x{0_>f5s{f_}Sx+<jBKmRnCUQ0MJG$5pGpTuN)7$#IV9>+jnFl6lAe-B@LP
zw1TfvtK-s*H@8{*C4GyJug|#h`}LnAmkP=z_HGCW+B<*Qj?QBbeQPd!O0PRrx3o*e
zIH=pDhHuiV4`Hh{8R|uUEq%|lW=~sjKJy1&d)u`ccfTIYJe0A|uS%tLKEstjhMz%(
zmiN}Y(aXs{@V}gsL)axHeA9*S)U@@j#-VqgpZt}(U3&U-^(Lcr$7jA^xoQ`dKcjT=
zZ|$xQ&2zW<js<LvUz&X)_Z!R64lxnl_vw~liL86qNoF0ZZn?E)_L|q*eTubDL^+nT
z*zDXV^>dGt-PbiAEcQS85GT;G{@{|Jpy%GV`83sxWyAz;*k5sf-?>O9v}dAwp2K=w
z6?=hu3V}uex1IFFwzS7-1~;5{Uw0_4#qF!g7oTr3!gKHD)~w6t|HM~+=hC6_d7Xbt
zYA!fz4{17cdY081;e7$jUOPOW<sXmhf1=r-;qjZ<e9fVPrK@Ht&HQ*`&BrCrYxTq&
z<kz%(-aGr^`zPnCb2?%S5-%*Bk+S6Ck|nZSR?|-jRXjI~*qhAG80ElLVXB(QU^CBa
zP4&Wms~Q}iO58A(+Ii&uqt%bg>b2wFr0fh`Akkm*Lr&}HrQUr-3s=m4!>SYUUhSdQ
z0jYf(P2?Yao9@CR|Kr(_KlM9gynjsN{(jjfsH(?<+k(4pPasRvk7K2;c;EPMd6si^
z){C!&{VP&MpRC-S<;rAr;t;>-vHpu%e^2MmYj-RW`B-o>Tdb{(ktciol7qG`OXUUU
z7hex=*t*nvhhTEW%q=lX4U4z07u(7AZPWa2Et4s(S7!FVE)%&ZlUOkQYT5nz3#(RU
z#mR2G+U^^<Vfl}rUs-+6r{uD6Zg}T0ap6J7^YQog?wX-r!=AT9fBEs4UlE2?QtUew
z;-7u&e5bkNT-*zHSM!*4N=KSR4&S@+%%(nJDdV+;>(@(t-dM8eT0d*kk6CGRq{JMb
z73waS)FEuUTsr7lX2HHlwpROVo1blDb~Ddzyq#7TcDTCpocQ{utNGg<_g^zVKC{oS
z|H{6T%MU1J$vUg}XwS$fx#IRBDZFE8KNs(R6=9FQut<T=86g)R%lm3QZIOBW&@q4O
zhS}~DN;$eKHvV4v$;kAk-;D{@YjfIb4G){UcbaOwy1z_Z-*lpbTC@1?Gz<MT+}bPH
zn;sw7eOq5)d77jRWAe{!vn5V{>bZSWEX|vp!A?VZo2J{YGXI}v9j)s6EA1Av@Z~0#
z_bz0PI<sSyVCc@0U$?J*UFv;z(wsZXC!I8C+u^yPdhzG!4{b{ix=p+wxn248pVY^q
zwdJ!}(%1Jn%=3v_&>|=)bEe_KM$2gN({>YBJtF->e{PMr;@M*R_D5-fXH~~^=Cp?!
zKYSLraqj<{nP2!OPvyTW_VmWO*5WtCtJO2=?mXGmn_MUBT=h_Wa?G!fyAC|;3S^MZ
z-BdO0TWys3a|g*)*F8SYUfL=$Lt*3RfBB0US1&%Qe8*$f!#ekf(7oa-?f0!-%#nJu
zQH68H|KASDB7)BY7C+J0WNJE{$@PcN_xZgC8IJ8V;I3%qdd~e>XSMgj?)BGCa@wvq
zyYum$&(r1opMPkW@>c&yuX@qi&v7c=Eg#((Rj*E&FXrW_+x+y)=bR8X(~n1c&Ul8&
zeqO2mV57vzeUpy23U2Bv()wG}lhb)R@bg2(DNEn&oKbju^VORdtTrSGZTejsF-256
zy)C|s*V^V<#~r~Z9eLBaQ@re@m&Bg=&#^P&!NG+G4lTHSETj0bfBVH5$J-Av?~ig>
z^fz$98Nt)bq)v5g<`KVm{M($={HGDgtF-fHtp0xO%b}mwVlN)-s(&aE{OQcT+*9l+
zFZ`Mh28P}W7fg$_w%@y^`<k;&>g~etvq$WH9GM|k%X4^-gO794dM}-~diB2|RMs5V
z{@TmZYUZr&mytiO$nxE1v%R{L%X4aHcC_=((Mk6$vzu}Js_=*NA8Qu8ShXNO=<p@B
zk{A0gq(2If>6e(=-YGtvRW+-c;ey6GE!R?^N3}6B{xg@hNWAg9vm>PG+vI2G+G4eK
ztMI6>S1w{$ZKFT6!t0&4t-Fx6!%ntG%gS4H-n!0fyX4xMy~*@kS&*FKtn8dE1tybT
zFFw_0p%A%2`2S?zL%*NgERJ?+JjBj!cCOCxqFBMQFA{YQLBG}}=wykkEsnT(=6ieP
z4Htc-+Y#5b-bsIWH07z+<ozp55)L@sNeTVG!1LGV{_Ex!IGKxcg<~e`2zR?R9Ok^&
zcOXjSRPiGLHFhsW*Be@_JoVE%4d10Me8pFBC}J_wJ82V<?z)=SJX7i~iHLAItzon^
zdJ)KIHtDmyyZJNeS7tmc3oYH#Cob@MoPO9=oTbFLIVpDA2h}z6BfUOsELg+$qQ@#+
zHQFFj*}$!Z#iI1ggX<?*|5zBWKUFLER6KBJW?AgHQ|3ym@27PZ9$dJmOh77ZI$L<y
z8_u7L_}b<^<&Lbi$~hHmA5!CKrV{>1Wf@=ZbFMvxBHuYnL>-sDRd;!%lm5@%MT@J$
z<Fe*0b)Arct(^*q3QiWY{Z}3_UmS9I?Ya$brT$kPx_8@c_q3aavFd-7<}1%z6<_pk
zy;attungnhHw24T)|S-VF56<X<=pGL^Vi-ma7nA~yybK*>E-9tncU5JZ`xLDl347O
zrNnLUJY$z@UAfeu`u!6V9h|pE*P3@JO%Rqp$vJ<<k(UVz9Bm!<X2#4kI_0@XEC0Zy
zu;bjqS-D*iC2A)Fs$F;fIB<A|SI=VOjdf|RVIqsPC$cwB6f#~ReKWl8h~Z32ug+}`
zmwosj?k>z;pFZ(WMDDVxCsy@mcV;>MYN-FWvDKKNQu^8cn2YlzV|RwW5nSz+k~8u6
z)>k|1UO4RwTz>5Pj7uBrz4rIiE?c)OrsU=76+U{Lzd2VpRoWbGf6`^QmR<NM^Wo3}
z=Oxu8ZwfP`_1B0fW{A9zS(CA3M({y_X`6Z1u>5=AQTR#h+s{M(>%OvopZM<kTMeD7
zj*m?{FYXV!=<Y678n$fB{3$p11J-EmXHewIPvBW|W1*E=*}Br*+BVNV%iTU<-{a`z
z8umlK)V_PB?d|7FHU-$(Xsoo=JalF2ik8oN?ie3r)tI1gUGV(nw@0ibd?&Mbm%VJb
zz4hJmibv6Dh9z@nF+ZGJ_3;El=AXR>mj2sys?RG#L-gN|2D_AsdB@l;B~<Ue+5GJ=
zM?s~YsXl|Qj?keZA9ll6`ttVo^|UhVqRiR8YSm7Uc8!jYm)XZ-6X<BP<a*#L=8W8z
zcMtq8;MLOH@M-;j{!^-}b&`c1%@Qanh-;GVRsCN4=W}`8(H+|DA2*&@I;%){YFBf}
zT>d@DhJ`tAzZIDuzw!RU!sm->=a*lIe?NQU)hToSRvGNuaFSE&rrP``>Rt7PF~vM)
zCVS2EceM8UJ<KUhjXXHDc}rdD{%;4J!*BBF7o83dczHu|<HFgTXFV<^2(Fe^o|*2P
zTBFOf>_Wp=h4VheQw#+%ZvSHOu3NR+pz+F#Kks+sJN0;dYjFuTd9pU>j>CI*tz#3m
z#_Y^~^m*?8&pd1GxVzXmBCTsUOx+9jYw-5o)46cPA#I8~|L%!N+q*TM1>W9gE#k03
z>g9yTzLHnx6&0RatRwI;QSr>LcWIp*FN>Q38m63jz~05yBanPW#iYc~>Ay=wp7Qr5
zaf^3e|JmH8`ZwAB?J83W-1@fKVDEwtb-PmH4n3U{^>Fjwgy7ULrLFqHmOJv7_kVrL
zQS|4@)dcaU3Fp<@rw6D@?wX^(Jf&{u?Wad0g<WSq+5chIVh@D_wln{HoojHU=!U$C
zV*2LqJ05&zpRd)nFSf;h&N8_zTOIWL>u>){s~6YTkXW;$EVm(q`FKlc+Yg<V3;Q+X
z*u!<VrW-_rYBq7lB!@e$Dalu}X_i{X7Hn*1+{nsT5T@doA6d2Z>*70Av-bp~Kb`rc
zNXE>qhQH};d&=*R8|8eCaGP?icrO&VrR&avec3U>yX*ZH9_=pa>abXOeMbo6*}lbQ
z1xt(8)h>U~%WN#x`Mvu8MZP~hp3in@)jg9~AN<esm-C8^VV2cwma2-T%O+ai7rgxF
zN73gKik^O+eCLHF`10E0ZeG-wB)$Ck<WJs_{(7O3A`e@Wp3F~_Ddv~|adU}D&ZI9h
z<`>0O$UoGqtz?ihW9Igb-o7!eY5k?xU%S_QTWPy*N>%H+R-t!I`^z#m2uAL``O?o=
z^^X%rN#Kq{Pd(oLUe5e!!^_iu<Fd}p`tj!W%pK7u&R+P)#oJJG@OlAP)tRrq^JaQZ
zysgApe6u8XhvVC8PiA*lwf@qc$8N&DR<hinr7_#&+f?zE&NT)N4L(=z+J1T=_WSsz
zg&+QIzpz#8Tx}2YRNnn3%pa`f&@xsOt^EHjcYT2Vq-iYCwmtW}Hy$_g{9d}hhwE0(
zJWr>#44pS0LQA#n?RbKWO4*kE4S4WkHs{ZLWz~68PklMNi}SD73=j7wE*)R1a{K1R
z+Vn0;co3M`<LLi%ZhG&c2XFUX+M(dhuvhk%;;q?nb`H~0SR+<yui&`JzGtG<BYo|-
zuM4g{uWoz0p?2LCi6!FGxK-xcIf=bnbSr88eaE@?x%gYee!b?KbATnr^{I%%-)C+=
zA6f1FP?@S%GH;D{+md^)_jlSRA9&`@cQ@v#_b16WGljd8+t)O5&R6}`VHnxqvhZQ^
zxz(ZXbFQjxtL*yqFsVXK;*iwoZ7wR!#kx0_uFDG2FMZs-+f?c|f7pbV)7M{k_s}Hi
z)4SRE4>#`PioW^Ppk3t3DW`{uyEokQG4-5M724M3BQQnfQt8&I55E+xx63nJFxgXR
z?_$pNa+l9Yd~I}lXl=d0w}ol$r3Y=fht7P7RlinMF>l9X^CM?98MyL~Fx9+}*erh6
zcB|3@rj%AO)k6vrcfPG&n_=83AS-%hkJh!%sVmlB;t&w_p1prT{>A#>Lp#1EH-%rd
z5kIZz?=yF|o#Wz0Ikloszb}8Ex~TeAtbJ<KYX9z4T&9zhwmbU<?n+#n_2J6{9$v>U
zKDoNv7Hw%uTNTQ^X<^;kgBzXYIBxYyY+rV<v_RsxvzVpOnaIiJ8z)=6YYTYZU7e_%
zRKD_X;N?c<X}t@ZuGhQw?m9iw<{78|dfoDaLiK;k+<upbum0Q2X6Co=;FNAPrHM!O
zRd2k~Vp&>TV(0PuP27zdiSj94&n<Ms7nbHdlPRkUSS1s-C0b}kz?+{Me@&{TbJrv<
z`gf!4=>J;`JKB`r<mewU>kl?Gzj;Qh`;m;;{t)&MF9U<?t(_MwUM4ynJEeIk!6dfB
z(EP%>ulEirRA+cGuU+^(d!^GnquWOgM|u0|`*t6_JyG-U0a3eG;n$fnIM01HYOEGI
zlR16s0sk1U4qn?yY)>-{^v)bKe4m{tv+?<~2_c)#8@3tx#OchQ-J6wnIjJd7`{_0=
zNt+o=llHQ@Zr})e-4&RkGsSCe&E4G(rznMZDE<qt<xdLm-Xi^DN7fmA@hs+(m8qP3
zGqjg*h(x^n|L^ZH{a2@%XSY0Jvs)_o`u+dMJfFS=O64v(zQ4>&L{L|t?(nQV`;3o%
zc@SXS%`CmI$LxZ&tM;;ap|vU1PkE>9&VFQba=x^1ymqFM|E}nD^F$rBS(cbM@-11d
z*xuVWN$l}5F8$xd=Z~*$+F3hw&7lfA_f>EARH|~GH#}BwE6U-Oz}t=M=5C&_mh11t
z1g}e<M7}#G*|N^R`~9(J&m9(L>!Te${Q?|Y9<EMfcwFBY+rLb^r~1hswn9A*ozoJ}
zPyJI=uFGpXkRQ9{#<cq?=dNA3&-quIgY#a&UD1GJ65LDQPVp(QjgCJeqqgaEuFh9U
zY4+1?q2B*CC#_dt{I$RQYP0-hnSg`Y%iDH7xUIE9{=u30eP0@y{wge*vocfbd4K3-
z!^RoHZ-q_o3T_eJ+@@H$_v)Kq{*_K|!vgj6RvJwbNPFeH*LSw9%HHe!mvwaK=9{0~
z_b^n=qkH2d{#`rQouBz#ZO-qX{_%NRC%;nIymprNi4}Y+dW`)3iBEs%d|`HGQHwxS
zzo+QWqml9*4>-!+-VC4P`ZVsV=kM=*jFa6Wn#_Vf9G3aGrR<VS%$%+1C4Kul3RBOw
z9RI{*7akUQFiPz6)6d_s|G6496uj6sFOErT0&~}=_(-P5g~8W$v&EhGZoRxd!XT01
z-=oL-c!hHxUu>VS<dJ~BLyDfuqJVQ1%-h={|9*0OT~cyKJNCx0s6#7!`S--6zjX2m
z{<%h{S-`C#Zo8bqy};rPTFot&SG>xU`^(pLUZ?zUON*kDN=CuMg5r}a!;4PnHMP%)
zey%FCPk-wDO_Pr&UA(+?!o76a%0qTqB|3FoOxNDY>1+`%f4uw|M<d^vy*r8xe$6tE
zsd}hx);V$7-(dcL{uO6k|IQLW<UZj$-`DrktlJ&$MCUkiRL<2{Au!pt(5-yh7lyF&
zwE?l!k^FisA+gFPTzB-Zr}h5q7w@w={`kjb^<VZ4S;mK1zV2MUZ!y#9NoM8yIV)=C
zHac9Jo~Rb_%$3ppyPe$0*@|wLL_8$^eOxwq;`5N!M@268{OT4z44?WZ*<JCEQ|{y)
zduF+wZ)&RF)5+Gs`uc^!3b%`U&at&0ncgchWtF_)Y@dyP*KE^Lke;6v|5_)6cZtgX
zRht8AZdgT3uVN3V+G)aHq&=%HM0d^uF_oWuHWAap+qO$Cx+_!i^#6kAJ%&#=MD;wI
ztH3`wE^O7o?vqZX3^F?JI^*qkPAOv%TVm_={@E#!HH!|fJHPpf#<pu0(x>-K-o1Ot
z*UJ|^9zR*8^wwZ|+?)&6Pn0?@F@2L2wVQT`cbQ_45})8+@3{vz<>}sEGEG-*-YZo(
z-uJ5oC94C4eFDRjqHfqOR6Jhx-t(*Z8!q=<1%)EYxpSVZ`MvVQ)!QFxUkScuvb@M}
zY1+LYLkAUphaa0v`;*<~#3?fd-d$OEeb0?=NmZ<#-1X@OO`Oa<>HAmz{$d`tBJ1GG
z-2n%;TZuj>Kb)5OSSO;m;`36LS*H(0vS_Sno$s2!E>|xc#3Jvo`M@Ft(PO^9m}I_H
zZkXbA)Kuo&>e|M??B7-Xz5UW;azEW*GsBA`@BYmXWv`Lu)n1?=Ss-1o<xA|t*Rxsz
z;udR7v@VyDUlcuk^3+?qZ5`A*4zAv{_Ti?g&L<jOm5=<DLOx7=Ws-DlCqvnn{+@@j
zd&MSP{Jx(*qN`}zW5>vAEH_k6t=+ff*?c{r<z;G;J9f`Xu|EIiTF}hLwcczOUca-}
zY+`Fz&=oy1`}HNWy&992zb~9~OZA15Sy_7Y!W|<2W1hA6hv)X$+ke~ZV<kWL_+B<G
zmJ_L0b6uPcJ{DnN?G5#8nGxsJ@oa^N&Tr$R9Ba8|&7Gikp!kwYeC*l}{i*gpc+3(_
zbxjW~OE}uP<NNE*x$~lAW!Q~m-_2b8;%1HTadyeBxpu!YSBq7*zMS>R*E+qe!+o!0
z_X&w**$g?X;)4IDOzt~&IOFi{(`r4R=DGRb^_8CZbbrxbrc)33-;{~l)(FfsdsubK
zPNmyR_*$1>>DKu|Zueh$p7T9t6F70>+!CjYXC|qo@uVlmiUmD4+^_!Q&<CYFp$kXy
z?jK+Ba@KCIcL%S&J!sNtnEC3Yy7I3pDc8Qbes*4V_v8oj>PY)@lLRb0q+{c&O@-W5
z?z~|2xD-Blk?hBuklFJVb!7%l?3;1#e1So1);+7&A(xVP+1GKIE!-~BBXD?8;VU<O
z*Blv@;Ih~2BPPE*t{Zox_rN8lUFvJL2whYx-6)e&|8waot^I}vGS)<&_)~S=ZAPWY
zTUF+{w|12YRCH`G`yuTn^w#Z<&7Hc>3PSQ<mxy<)Ej63#db7&mn}?QYpv<{n6)emL
zKk{?zvfa$E;Z;UN=LCb?xgR2I(@LDQ_05bW4=(PRkab+^wQ$}4y9F=9?&ka2$~OI5
z<NaW#_<!T{!!6Q*od;Gj{|ZySZ#3oTM~&ueE`Q1F>I?lo63>nvn_GTE>idTI@oy&o
zI}@|&fz_@V9S_!ixLqcltdy#JsD68uaaYJC`EI^?ji;TvRUXdVxk|uH>xOmMJkL#0
zcE9gb`UD@AOq!zq`~mw``w7K5mvlRsB41u>oVdSk-hKP|8_wTUXJgJxD*Jn4;@|A4
z9K}aI?yam<U-XzEd*Qy-TU8q>!=9&opZE7K)AD$qa|_RWkdpFSoo(y*gkSa4{W;68
zv`=8v?X#aRvs`Gac1Yw5fl}W78-MHA_ViSj7d$!qU5x#K?wsYXB$c1sUX<&7GcIe^
z6u+g*Yf2<$I<r1V@1L$@Cod`etZlXJWFZTV9ZV;Z=FYI$x?<v*s^EN<Z4MWwgkHGw
zBUb9Fc=}7eQ;#MIzG=EDdhFBN_v=>asomrh;|gdBth#3;vy<n6`KzK|XO%zw3)ypz
z`}t<YOB=7<J;6OIX4S7*myN9#%US<(zHL+Y<(j0&k-DSiX2pMm6SmlXs6NvoJatCi
zgR=_9R1Zl1VXo9uzQ;N>@nYM{#D|A%PjBX86l$7O7`cP>vqJg%UGGvY{-l=$y0+Yn
zI%sRryTM}mHHpUwCM(K6z3pw>9N6;6<Z_hZ>_y^ryB4y9*|jc1I=o&XHO(kZK|w*m
z&^*;3X}R93px)pQbDbBw(Oms+d6|ulPU_|^j!?zdS;s<ZLIpC7pV`_jdS0<gC?~U}
zJ1cMd%odx|Ni8}57H_QI_xvM!!=2+3<*iS&c!>l}EL>OpU|!>m)YUAP&PvV`dlVQH
z)1!V-+x%~$=Z<52+bxzU{9@#fD)bjvdOpIPJ)I@8@%lfrRF(fLUO)Nze(Rf^rT+8Q
zN9<shh`XWK{*RBpWn#y1!&#>ie|-Lu&Yf^VsbBY<T9ux^n}Yh^3ykZGmK244{Wj(2
zdbh%)O=Y4lXFPsY_;l^j)MZZfmG3XjX?U4+^HV~m(qD;rTssZwB2RpDP`FdF;A@BF
zp<S}KeqK158GFKQ!ILYw(+@A2boShlM^nogW|rO9zjeFAp7kZucn_pY_2}={kK4b#
z{(sF@zJ^o(Ov9ABe<x1uPul!w<B7|RlP+m4wq2ywv%lv2jk~UHOfjk-v<$=4vPA2=
zey+V}RHI^a&R6f<r)7`US9C8FRZ8!8Wwho-fb@(ml|9X(E4<})PflEPJ??x>(CstF
zW|ThCH1o`TaD7(n>$kg0IbZTSZ7{J@UZTBvLF{$+2e<9I{Z%i-{^cupn%1*?&&%cK
zW%c)$95>?ItIhKIS*|0$dG4PDo2Ja1SIe9e{eQAoL(ttNFaAXNMJDN7xxH)i$u8D@
zf%n~Wei-T-vB}!`*D+RC2y)&scXr$TXp?KA-sD~9o$A_q?i9YfG%+sXm*#>7?ODfP
z2e<9tns)Z)wu2(lxtm_p$x3U~w6+WS+3cTSeIjYcx+7oTR{tq!Shr+x!uhC;Cs-^r
z1!EpIeqLzmE>`Vr+-#QnsoqUDsbPtt@fY^*nlUOtJ-T~cCjXsvs=BJwWW{Ek@PwOt
zAMF&9{kzBWtA*g}TgTSPY2<EKcxAmk&F{gP?yortPG%baKFZDN&(HsC{;*u~B!}Co
z@E7lG>h3(_**CQ~`(E(!v&x&C@^@}C3VVI=c(+vs%h%FXbxre>id+|W%zQnE{~NRY
zw6`j^V^&02zFt=LhUbs<6rQc_QEDp>JUZ)p<6arl#Fi9A^UcdP$o@`V{&ZJfDBqo*
z9s9mLfBoDe{ZqcZ-yuGkeNDU17ED<BmHXnN_WFvQAI~nitAFEy^b+1npZK1YHmXcC
zHMt?4ddMi{c{}UmnVnjX@^gjG^0Kj-cDyLMx4A5Hv*2}wAbB@AMW2<{Tfg#`X?%KL
z`qpc@P#U}E=2N=Im=ZIMG?L}tm_Ep=*r**TY<=cj#ccPxlQX`h-|?=9cAT>&?xv3H
zdAarK&--F*B+k!uuIp)%cRFyIBVOUIqLP1Z$~|+tD~~25Cv9=eG33fw?3w?sdVY~y
zL3C;0;z>(dXC15AH`~|cez7L=W6S+qKKd~Vy7Q;qW$gQ=J}11e(qhrCre*o}+%1=#
zJvO5_?cx;ILz`81onON};rn&2$651ie7@b3D_WJjY~QBWzy6h+Oz_=os;|?a<*>8<
zw%_&tyHi+oZ#{9>Pxx;a@T$vkqsJ=Ix9fH$&G27)eOIyRl1ZT%7beZu2}&tg9w`-f
zXxHiR((4JD!pWbtjmoFU95Z5E)odBT`}s%exxl3ib|#m@6-t7yUd>%`X#WSL|B91N
zZ|*nhJbQ?B@x~ti>`tCXW^+8n{}t*rToJqyJXzo!^PN=x%vn(<VyEReKc2SZ@$b87
zzqB8;w4L~_?HsX9sphGfO=0#2@$RY28>QDpub9;?AOBc(%g-6F=D&*NYYcoZTffA&
zXkJ1(!}hM<uKT%U1m-VKJvyV1ec!K~^&h&HuK#ts>ILJhD2eITI))XczdtMPkDbYq
z9=nK3c$t;*mi{ER5*xN<J$=`wa36Sd=y9yv$>2NY8e!~lg{$_@+Sz|$^TKU^Z@f1&
z*p}~ieW~;$jbH2c&-@cJF=MaM$vuGwH66mAZFC5{?K^GL^*KHq|36E92<*MF^g<#3
z=F?w3eF&Gj#~vh5{^X0r&V9>mmUweM_+{s8>2t8syy~1u?}a2D>zfBPP5b*l+7+E&
z_Oey&j_TDJyRI=uzIi>VW*yUk2MLQFXR6wN5LzF&tuf&=r)Af-l~d2AX#IIJ&x!s2
zotNu5C#K%emA>m?)UwsPME(PtvP_g@<DHg$p$DJyu3$(#;&=Apx6NmC?=bT$|1W)B
zk#p+LrjY&DG@4ELU*s%OkL{DF{uQZP;kGtXl%a6XF~Jir%`dO35_uWFY39kJEbq76
zZCU>>j!pCGJl2l)dX^etzon*aHnU_s^~CU`n&>=-=`GDcdrYn8|IuBsQa;BptM}i^
z7s|}}az*o3I_wE~wM+k@goVMhZ-EP)j__{j-|HOGzhe1pz4@k5-9jt&?K<$i-15LO
zqZ?1p8fLE$R5EPn$}U{>zHv8$PhZ!X+(nz7?A-rKXj0GmD>*d>6>MxhCh&V+NxTza
zrJ7_|v-gs7@`8m@MvKcd%h^@9lH9Cvz9vdf5IwB7sm|o@q?clAO%|F}_H33qE63tA
zDLXkTTkJ}>=7Y5YPW&u>E9b{{1x?+#nfs(}9D{5(gKUe9*L$zM&C>$%JVLkiG#r!P
z$E@Y@==-yirZVw7*%^|;(-~L$*i?w~wm)C)EgyY~QRI7R7TfoUXQwdMR#<qaa-NeC
zYT~T=WNb9g`9GJx>&G{i#ao_Cw@z@_BeS$fa_jBx6&5U!H`w~`zezph=daW9KsROE
z3NgvGJ7(Lxc8Sa_*7SX-`88`|%;g<#ZUnxa9^QIE(c0<OtvNZx=Ttgg#&yij+t}Vz
zv8Vp)pNBK1e|h@SrB;92Cij^sj9E&Jb2pW~7Te8G_?lnpRN0aiz2d0v&lcZk@^ISj
zpAZq4rl}URYtCAIVWu}K)8<_beKp}ghy9oJyX4q2wwx3@`aAwYuFsDPvdhj)7M4-U
zf40-}@6;pl*@E)l|Gm@++<xL^y1C!=8A+S=7%u#$>pNv<r<>u&JqyE}N}I#tCN*u+
zvU>bO+eczDufPqln9rxK=G;EJmQ9c2$R+CpH)iwQzhf@;e2CKU`V+u&;Pe$~##z~-
zKkHgg-*|K)WA*Xv^_!X|KF(&ZYxtribNGXR$^N3uxnEqinH=a$->}9!b?5OGu}L-y
zE-1$d>3@!%r)p?4*KT&~T9(F?H&X*UGnBbbZkwFrk!CD>GicH6o%SNFt5*5GGJ5xb
zPj`aksq1f)_#QVngnX&@s0(<#-(l~=2ODNEvlYZm=F}J8e_*18yWz!%H787(|C?@J
zzjc3klGv2Rmo?|c%iPv&)74$sp8n4677u%u7K>WPmMx4Ldlsz;Kfm|KCM|W>or+5~
zu2ovR#CCc2bB%fR&Hq`KU)-?$&n2(_(RD0qUTNhl7JkHc>73Su+5-0smmYJ+g54$4
zs=tR`OLkm-vMOlG@r3!A^DQlTSm$WCsKv-mIdSgSnWG=)6>sy`IK!wOHIvmfI%(0*
zJ7JQkV(;f<uknaKz0e}0X=!c3v!{=Cs>(IZ5@0&v95l&K(LjY&Q0x7r#3k+~e{TJp
zbE0PLLjBS?!ZX!o9^Se=enx0#yE;S3D^_KOYZo`&-jprGEOq<Y!#R${@}2Ap&pG@(
z^=a>(<whsIN>w`^kA3f4uzhCGnpJVf6GhvZQmi+!nV(*!X1#FUMtRqjyLe_~O*{B9
zeX7~(#&h{o&+AvzE&1_rLEV=P?=rPhEn<~qlxAvc?7ra07wb7~{;_kpN9CUAeAAOT
znHKCT`0}pNTUDO-8ozJ(n6X(k{(k6F`qzcu^|?}~f=17cR_Cgv3l<&Kzai-SBjJy|
z`Xin->5?AWt#Q}WS|;&-)LpfY$J0sWY|8v&`3lc&3;YS@StC$jIsZx0@&6sI-tVq1
z^5{^HUv*^VrK?9}&Ze-R?pptC)~8j~5AW=~c{sZAz}J8|OLjba9+CESUY^wb_0vB+
z>8v=}>%GYCuI!(lGn>Bdm^X)amabrX=`mJTPQ#Ah4c_Y_W_2&r58D4U$tCw)dcyqQ
z_uf4-G2po0mKUB9<~P$V`h@!1P#d<{{SS&nST?LR6SzAkU*g%Fb5~|1R#}?;Ror^T
zGr>HFt3%Z;X@AtGyXUrRx#vZ^{V+v_>EGqMimxng6wO|efBx0=NxzkTo>^F>^J50{
zi*0k;g?p!_EIM=HSYN8u!4s2yUU~1aD<ro5%=T~Pu{V27o2<$LR;f<DStXzC$Z;?t
z^2ODY#akSWaxb|_g#FNO-}>Onl2i9A53_E&p4fF}O`x~Vq@QoIH)vf_6kl-B`(?=H
zCERWyOgSH0SXJa|&iq`tBh2NcH|tmX*ql<$*Omv05(~>Rd$vqj{r2UK80Ry|zxTiI
zuF1N(BFf2Ze;LP-qp92_!m6)t=l3nRamj7=SE&opK9|lIhI9KHi54FGFoEfpSOhOa
zhA4ll-Kx9(n>FKn7oHJ4-t)9mw?Kyb)6dP(`R5;1y>`CyXPw7gX^jTa&)nG)o7=X(
z{#U-{AbVWPmx(FsR$O`h-p-59^q5xtnexTq@AEbbt`}I`nr0sR@y@wTDjIbcxmUKR
z8=W@Vnz?E5nU-m{?)+{F&|8$g%!bW@t=w?hRBh*}+ya08vpL^7mHJFONItjp*MY{h
z(*&2VFWgaQd^zv;)_eT-Jrv(8TlJ{!XZrNI2`hXyZ@#>J9@FAeUR(B9y}o14@uJ^k
zMRKX4-eNoRL$QX>GkU)ly%#Nbu_r^PHr8$HmQQMv!n~3uR2{2aV5Y2cG>gBwPB^Y)
za~@xP+qUT0wOTVoyA+mfesWv4WB&==evOuSz5S_=`Bff0+1};S)N1*E(}%K;)l;_3
z)Kz`H>k2FPuOM-aBhyXJKHX`jaKcV=!WK)0%@qk!EPZ!=7znNWd-aKLDa-0ZH$FW0
zEhwIL%=~koS+1;(Oj&qapZz*j*~@v0#iQfdg4Zu))bk2GxBJaziL3JKR!-*qVtdrO
z*`;Brzy|ZBr*C#-^0!1}&N|+;<*na4X`RF~&omXT9X@b*^2+|*@7@GQdt_Zou3WU?
z+P-wHn7$i4PYYiZo-H^Oka}`P`t6?!YvVm`&6xf^a_^5oGyZRS(+(}vSI~5EztHA)
zVu8AkSkIa*|NIk|6mDV9s*>qW(zH!UE<5wq|I@K8RcYoK&N)YB>`E41)_+ww(P-15
zkLjOJe?G8hkuvjTmE-MOSIuOXTfL2;a>f&V{iJQrQoER&54dp6*j2T@pYzz#zQ((T
zO=q5(hZ$wduk#ICv86EU_^BgC5}T{q>a7HWcP)F!&~)+sjp+;jJAZ9GuH)a&7V$2o
z>*JvkrF;?hiC&il%D*39p_*KCIBV~V1V{JHLgCLsjZ-K6Gn*D9a?X=&=7RHS-><Cl
zVviE775V3rn|)(lP(bqetI`QMJFa}+sQbR{lCtbynT|OIF<+GSrO9aXh=|7XOZmJ0
zI<)+ea9YMx{utJe>^*kw=O1;rH0Z9|?)Yj=MWmX5Y>z>}Ro9F||2dpI)3Wn;6M4#2
z{$7=x<ahPt1bORn$JaAk4A<P4adOwvk{`m(4-R*){jldk_S(zIdyZI{KEI?Fzu9AH
z<%|2S$^UZr57vEBF21v{UNf&~!G@ok#Uv(uFBPA<O^maNt8C5|E1k$&JJqKt+H8~m
zF=vM7zu7U1WyG$p-=+3ZNN!G>`CM)t_o+%w#{+bpN~=m1d-{eY-OSmvns?qDsdo>b
zxvlf^5HRjDJX7@X*xuXq0z2NNo#vIfzgIqBk2TBk+h29(aUFT2^ZC3=M4Y8kgt3`g
z@1dDn7G6yibM|{>9x-V`dCB}!w;7H!*#+*+={$etj)ndUE#GaElZzN;eOkKj$-K^<
z1MNbZJ@z^A=Os+<ZQl7gDX>*zQB!>~%cRq@m&$fBJy~EeyP5BCHt&;@(-LK(5Ba*a
zb^U2jIWPU(bjtV7yiu%IQc6`t0vLtZ-~NzYVkTL}#(Cmwj{Es3!B6!Zw@Ed3t|*>+
zg5#eFr(jIn)7Q@va+d$Rn8jykUbVnNbBj2mQtHz$7TheGL^Br2n&#=NF8;l#!rJKb
zg^a~qZ#Wz_@x9+>ci}3#jClH5+l$NBY}R^oq<b&#PI*C@nM`&(_j2|XUCG-q)A#V?
z>U^#8h8p4KB8Nbh1|!=U2LleyuPApt+v&jZ#CW#+mW~Ixj8QMU&Kv(Y^DD?G`Lb|w
zX3k>Ak2V1zF6o-32Tuj6PTR}7OJ1agUCNQ;8}D~+Va~ez>Ae5<tYm+=>)D@0j*mr-
zgayqKI@*_f)*`vt<iqd2Nn)+fpC#0!9G=VZDaTEG-QSC{r<<}J+*iysVc%GNXzgl$
z*_FTl+WEX)*%oIR&3kFN!PPMDpI3LaT9pLk$ef6~DrW5xqO{5Bt)0=o|HczeN8k6K
z^W~WA9ov6PFL$aR*x`9L?n>*SZr04eihDQhrWNIDg>ifA46<9SKPh55??Wwl#=gnt
zkIO_fpL~1q@r5R{S<-q!f6JdtH@Sac`I%Gaw5pi6?=TwLuA3D9&pf%uSa-i%bf^5G
ztmw0gwH?&LpM@)*UApDSudVJI)X!CYI`S^O)-_EhNkwF(sqL1?V#nMa?G78W?j0&v
zH~9)L_e00W^S{~X?n~M5ZpqRGj6z(-3_`o_tkragZ2fG0aQA=JgN>#JYtsaF)!VKz
z{`zw3`G&JwCkYnWY&=oGa%jaeS;q6`?v)PxFY=Fh?EiAjaKDs%#nCCIQ&^X?pZl_U
zWwNyaoBpOX-wj{1#eQerefR9aKdNC0MqVcjS^W)*^KWhLKm5pDHGuWW%qzYN{$IbB
zI3;>p^5mHu=jN?iD;#39EXF^6FZ1vJ2lk48x%y|PXkT}#1z&Pl?3Tcbrq=QK8J$b+
zu`3)r5nkXun_;o5$n3Sx-x$w7-O+rAt14`(kynSiB=@PAyv}KP8AadT&S;TOaNWIe
zt3yVQV2;r1KM8+aCfJCnKK%B<@57Xw>*=32)vjS({AE%6I-A&DUjtXmSqDFeZM^i_
zSIXn-i&a9$)_i;K_;L1sqqyG;9(~qw#-HAYoK?zu;5hSg<M*mCG4Gla`Rk8Ao6}}|
ztl{4DqaA-QP5otXZC=9Zx@~*x1YJ`!89HiWt7r7ap57q$UQs<h+}~^4TZ2u%nDltv
zc5hQOF!9j->m{*blFzz?hUsgMg>N*Us8G1DZ>jCNM5E_Zwr0M`vz+&^y};|vl4mXr
z8ULkE&1($Sx&36zIoCf=UuHAtwH$i7WAEh2pZBVp=dYNXA3Jj{^OjZ8|CY?Hp8c5b
ze#H5hlin_QDJSn$Ica{i)#hIGXu6^I!nHlR+pbydy;jD2iOq7M(MSER^P<m+rI}d*
zPM%LW$jN>ot(v{$#g)}ly59KqXMB4$MRc?JjTX_$qqF6;>S_)cI`V&Ei@vWryX1`f
z9)}5=uX0Il7C-;7%<6{L3YRycV#1qp8zq!(w}{vT2khCSC;#SndeMIFAD8Xj6WR>s
z##%Z|4%K;buS0aAU79~%h{qi%?+>PT)UE1IUbtHu7v>Wns^}Wh^Hj^Wq|;)azx9fU
z%~t}|&TKxbDzcC5{YUYu;VwM$xHTfhf-{5W`~AIoe`WD)*Uqp@slh#tncM!w?p^I7
z_93%3XN{~;NRH+d@33XMH~!>iYiQqRTg7X*=xfo(1v(wmzRysZB)DU3m&5+)rq&%=
z?bR+T^f%wE*kk0re5w8E6xn~DimR3^Q#0c1SQ4kcgWt30q9jXlNXj158AaNUr%bhq
zD1K&s`A$aOL-XhVZbf-Ml{~WF_Kj(%;MVj@rs}ajKQM_exc>Xf<%OFy9_nm-5thYs
zr`T~qR!rm7*P53MC1T|7vM$cxcX;b~mM?QonS4TitaRt*JqBIVkE~`CTe3m3;ugox
z<eNXbZr>H>+ue3?&91+VyK1K$Ik#M@bzg4Fws|S9gslv3AN5I(*um0x_Wun@4o4d{
zyMTn`Pl+9W=6qRt?g;zKMTVzh*4=-z-^Q-6)IBX!+hlS(ACozk$3)I*b&-4Z3#Okx
z8$0zRbLmS{Ue8ly#dAM@Sug!b-c8)<>B{YAYPMGKrfw12Q(Kmi<FdlA;_QU|7G=*P
zBDn8Pn!d<n*L^?tRnqc1mAh5<GcTX2xPO}Ryk`b$&HwZr{mruK-D1_sxf?DlnOF8q
z=!d+{Vkd@--3*gd&GY{ozn1rl@|$v+x8P03^Hbsrd04aUTP{fMTe$jEy+C#64v%Qz
z-JQ;k*Y<9SeLH2D+LAk`_solUG%aQOv4_po*W2@*Wmv6N>qqRI@W#yWqs`=lGbEPj
zU0J7d@7%q-+ssQBrBtz(iweHz3rkW;IkiFI$$`H&miMjES$f&KeICQ6IY&KwMJ`RB
zJ?+Y$XVYV*Hq;*7mA-sq=bLP?sO3Dn)1IoIyuemj`gM``_nMt*hmTxZ#@6<)Y3dA<
z3APhL%r&CE=%y;U2Y55Hh%kTvYiL!JjO6Fp%<CB#K$x3>lOZ)ZJv1+~L@zZZEi{Cc
zfw}7R<8+O!`hOWBWL7e~no=UA_aSY?F2)emYu64W-f^kan#I59LD9#VnOeWxuWr>8
zn7G&Y<dl*(M`pMgP1OBVV{(IWBVz}n;NyoGb9NtQ^qekY+vBxM?|7g?^P;IG3l4hB
zSkqml^T$z#-76rJPsQ@nXPGy}?7dB*31&+QuP<h_To<J@eU)a6i_2vtu4zlG*R1GL
z;ZSl|r8G;xQ0P}x!29);55F#u`ts4ICv%rv-|LGLLUd<q#2n6*TITpLW~z(WmR+$%
zt;N$#1E(LkVHBCVTAV#_GkdFb!!oZV56*RFE;*cEKJM^Z>Lqe6*5Kx}*iEfcnV|<C
z6$I|!VDn)uUg+^^S;0BWW}n&<+s;hN<c%;}xPwD?k!H%2gN>V0#NujCZ0iV<ma4hn
zqruOp;BbYTDS4*WMHvIhmL8YWECHUE8#@<Cv1(<mTGqChp?Qhe4ZVpuk2YLo;+Yh+
zAkjI&WPwMNLdrJptri{zf`^>LRwnFH=9rmvFhD@+c)`gnx{C|61BFZ3UAAQfO30XW
zda4!&SSUPH5}DQVecSFut+TZmYM4CA?&>LRDwrJQa46OwZ*>Zv|Kn}mTO$l6HMcQ;
zxR)og?x2qn|INl@kxEj`ZrL+lU%R`h`R%=3?{lvwbmoL@O__bjvf2CYHlOAIi{_rg
zVmFPrnw!0xj~HDv5D+YNTxu8cD&g*~GI5`27hfHAGF!>@oM+pcPYDx3womX~rS#tM
z+9r>~&jJo^WA#ZpxI=CV<C{gi+Cf71?(WuJI_1xgo$Y${>q;~@+u6B8SL=kV4O%&=
zb(O3Mm(-;l$BcNgb9P_xxhl2c)FCsTrEvx~4lN2T>dcvWrflVHR^`AAIUPCOhg<gS
z+@s?eeKv%5aew+DF%JV#PP3iH{*n)WXQv(8*5$k`Y_cay^O{(W`@hrU+D!Bquf2GO
z2GZsQpOR4g^FA%Eqbc=7(v44-UrjqHk+oGfWr~mS=lW!>gk*`34Lymxp@%mdouSBL
z!WZ4skY>m#aB0U;gAT(G-qR_o%w}3l_PDy?R8`^6jhD3!+nI1kh%_C`{L0iAxT9H(
zv2&J&nxPt(^MM5d3I->6PFQGM;c8>rc)&F$DtCr%bz@s&h7Y?$@Y4d9D~yip8XR3K
zu3BVwNtyfhXfj^e;l`X5!(4T}c~aOC4ms}c*XwOpoL;53de@p)n^v7(wczxsd8b!t
zoZ7htgzi4<5O|c~Fe^Xb<H`<>tUC--k`K;U@v2GXMWxD#i3;vbGA~V+6i!JoT(-RM
z{AY{a>^UN)V*Fi(j((3NgrBe!)wL8Hn*U$p@AfO2!EtfR^5tuP$9<j?>?Nr>ML*W=
zX3#0g)E>cO{c_3feT7b($}RkfErLc%1d3{Yeth`<_v`;Z3U)pA2&gLQms@E4{@wYP
z)w|Zuz5B4{(^2;O_Ak%hH-Ex@Kj-Gg#PT;cHq5m8-t%9B^TfWtZ#MTczqJy$%b8m9
z<>l??-_>Ww-;uEW)h&JZZe)>)#ly?>n|>-US5c@g5sOM!^z!^t{o_JVhtunT<H~&#
z^lSI;-h2C!mw(lg=Mz_a@d&B9-+Mv+_hTFWrBn2#YAo#&x$nR8jnHC2UA5H*52(DJ
z*ihsn{xu??pH(fgf7VJDF_CvdD@A$S93QS*^{~U>WL3hH6y5|&?w(0H?O#}g7!J(h
ziD6K9ASZ56U?>#95z+gSfh|;Un!-Xy(?xBK-cc9aJw4<P6p6_gE_+_7uyU5tnS)Y2
z-d%df8)GM_hW5VRC}TNWA#}o1hjVj+j&VHP|GWC`nY;VWa&aD;wy|U4fp>bEobN4{
zT0T!{3$l9ibA~2oyTLKO|NsC0e-8)$|NZ^_{r&y@_5c6<1<4@r_v&3GFW)&$m?EI^
zbWZU(%ja`~y)>6j3G$On>@nQ6d-v|$)+PV{Ybw{2%N;MA_wwEOmDWqASe2H)vP&&#
zfA{Y8-P`xxym|9R@X0<XIJe*Xaj=y9KFjAd+;8>l`#!HPSFZ_j+x`2)-VWtHi$@%b
zTlk$g6k9&rUD&#;r{Gue_w9S~%lEP0ul^)E?Y+U1Ns1kl3OJs>j@>ooom-%Yq5F@2
z52`=do>cW>+O+@sz1wvkkA9!0a<To=^M!$5<XwXgy|YxG^Y_f3(=rc#zfPY%so{<A
zreL8@f9t+XaoPX=S^K03>ywZ7-JS4n%9|-IHG=;RxAQ*!|NpzQXT|B~{TJ?AmzBu9
zvhe#}US4m07@V)$Y*mY&oH%>uFVDkoP+)ea?fu%v7Iy0FZ7wS|AKTj_>7uNpq~tki
z3A3_zf`NpLKwn$qI`@at!VL_E4<;yxaIhRoFp%J2VQOp$&=BC^<~TS(gM*8;(ZN7M
z!Xxk#d)TdCZ*%Wn+n!&&eU{;ao3=u&-*0@|VywGF^wOiP6Q-?uyCvh+Ec>N$yE2$_
zQ`S0kXFj~;!+kmImg-uguXXPhMsG5`aqRk;^V)WK9~Ljv*uGQt_D!#Ci&~>^9MjEt
z{cWr0Bcc41{FvWbs~_HR-?CrV?!kkzHBUW?-bl-LYyZ4Z{qOdjU2pThmTfz~UG7d#
zQfvGF1Jwde4?<h69N=*L9i9IA_SUPq--1tx<@e_LZp~?Uk-OpV+uOg^-u`th_x{^d
zK7r#!zBx~rD>5>(x94`V{u6DC-ZJ&=kB0@flWmg?`__Vd_xIYiI_u~v?pwQ3PiLo#
z%UGq_q|2pk<GRmhA!EOOqU0Zmb(_xLKc-wV`}U1#Ec;*R=x|RG?A>Oy^V+SnlEcql
zPf+B!JE3(An+QwA%}oV|Iy1Cq>#ooJ#q&B#Wx*DwZw5PzYB~HDrDh$C>2ThvEoJ%m
z9s64G&G8+%h21y!k~I4HSIldj{Df!YA+y$9-xUp=LL=Pl*j6|zwq!fFc1{;<oxmR*
zeKSYkzrwf76J{l^L#pN18{RaF-sWN`IyrZ3?#Wl~y)X8ktj_r(^)>0c^j?#-e^<17
zcFWJ-o3VfX87mj3Y|g(8I+yI4`4;emq?}qZS-x;V@!W<f#%DR7yh$}peEYj&OQG&E
z+sj_J)TV@5)Er!`z4oW;lPHnIBLC(6{+g=#B`-Fe5wv*5RbHg9K=;|77wh<>q(1yv
zeRI<V4pSzcdg&MOFIrW;m~0VU!RB0i#b?hN!PfYawrIbAR{w8j8*Tq8a;>Pi_@am<
z<Gfsu$%C!?70!NGG9~A0#;?T}`?kKGa{T?S1BZ0o-V|6ruzHkxe%m7nZ+`&=ySsbN
zGaviPwUI|-;hIglJdSDW8Nw|WJ5{pG+Tf6%YA1E?e7?@HDX-KkUN5Y6t^Z=XeeLpZ
zitigA&)l%)ZNAZp8TWUzZE3LbsadZ+HRtQ?yN`79=Eob)c#$vqd*9QzH`5P^mH6<M
zX7C8|tnj^^5t+~vaht0!_Hul){QPYf``DXBr9E$YoMx)J7~L(SA2)H!vByigr0?-`
z>DuPj-FbNXd$aZ3jFn~|8Vz`CZETZIRL$h$wb5u`+*-Kzpp%opa<0~A7TP7Mx5N5A
zF4KE@YW<|7Y2tNX8&BFPSO#abOk4fho=s8m7EeG=@q4!YKZ53`7G%e1mrH-{P|d5m
znfIX3xs>_Um+%;A9>JsnjTc|7-ZIBDpVZ_i(u(A`F=OqaU58(9+x}Q=+c~R>!i|RJ
z+2JCe(vmCq;zS;tRel^?xXbpQOWwxl6KCIADCWuUQtpU0&J@mJ`T9Tb)9(d}>LQz-
ziXJPubt_@gEgP9Bi+=Z2x;9KU+Lcq@uw3=cu08qZP1+mhZ`Ix5d-p-SO81BLvUv;c
zFIe<q|AT37b*%60W@fgQl1$&zc;%Vkw?CcI#il>CU-=97L>y*n{`!?~qd?08fkO>K
z{5z+%TK+#0{m^%gWxL3W7mL<xcn~M|V5uon*KvEZFWt*yn&X#-`hL4DBjHx6>L+|U
z^>b~LQE%lDt*w*Wmj?=)EoZcB|8c*fwLh5g@;S+MCG}ripS;!iJo`^x^p=^YJ}R7B
zwd_aboh8PC_P50{{9f&=e^f7Uzqw8ANWc!I#Y<Dy{;j{@!kwm-m*sD~BJTL7A6;{T
zJzjSHdUe%lTUO=9{<*UZ<(u~;1k_h-Jiq#y?k&5<gC0@O{pL9y4F10+`1ZZzbV2Tm
z9n7cLvQ9`Xo7=YRjN4D<e*MiGGIQpxc%9_*`tlz^JK-n(y`k%j^mp?#hA^6+nda~0
zFeB#W`M0a~T$`CA^>V6t%Srja?{m-G3UYWXX~QNW@x*0Hfzgw54TrKOCN5M8dZu&6
zpzN<8%jOTQE!*!2o;=`wCOSK$MZPROCHPu_?CO)!TI@+hhKlL?-TWnNS3c$3T`v58
z!=2a3DwR5Y=kADA`*Z%_eHQF+szGZTPjU0Yeh)Q;Zx*adyt}wBbb72&unA7}Rk&kl
ze856>^V8LnwGJDlO@5<(so`k%-oq_(UaZhcl9N@O!Po29Cvw)#&Hh@E$_;*-;?Ck3
z0m9}drY<yL&I+}^!TPR5MWKkX<Gb3`jOE!Z_OqTCOKkirk-ogfZTHRn$5$E5_TK;V
ziP?mjGHZ9H_x-g8x17A8YP@+$ioEi=L@~{~@&0X*Z3>JR0$2`s9p`*|PC=DtzYR0P
z0iIjO?yx>OvFzZd#cy_J%SfNM&`RC0e2#B+<JPS!Xa6V)b5_(nwzPrGy*Z~`<wDe&
zT3*Me!fPsLz1Uar`%%uJy(v1oGDMAz9BT-g82Um_?Qyv<`}~vu84Y)}NUzR0rK+ll
zde?fcak~aQ*`l}hTv<_dPp)Tg%MbP4#>*-KScI4!Itp^Eb3N~)cB^599Md^RbLkBq
zgn!Jxdn@hfl|_#yg;?+6I4gRwUQIhWE8`U(^ITD;tr`sBOs~p?H%z+U%Uw95VPdoI
zkJFKLZf95fOH7-{Z=y2IM&Bhvazl`Z_4Q*hzmr-w)Fm#yu+V||)td5$PIq}<B&Y54
z*soUQApXILad$-RSAC7ly5jQS*F{e6W`9x->}q-96mkAd=^o+iOCk^3`wG~6KWs^e
z;yyaz&y{nBABnIEuALB3_u{8zTRxkjWd_?O2VaG~Wg@;Dtz6QN7FC%m|NHQp@%dJX
zZyUa+Z)oIW`(xPrsp8Ml>1Hg4n){q?EVF6QW}I`9)!=`@fA`OvM@~emta4XXo{+yh
z+{eTD3yac`Hx*@nMbcFc&0D<3KB=6uK&~;&?O}`|<MqOW?7td!KAe2rS3}daN6RV0
zO7ZuP3+<B_f;t&RA1vga`OczFOt;f|;<aUKm>#Y;aZrA9{5+XIb;hP#N?vPRZsoY8
zU+Uk;(W16&zhCK-l<m8JbKG@bck+E`<VP{CswVIDyP9<q1^;iobf9&H)!#1Dt0#J2
z3cAMk*$F)=NDw);Ty78JIpZI^Z4ut;i@C$U&k*2YTidhsau$c4cDy*-c@rW3gjm_?
zCD$!KX08{yEw|^5*ySru`}_6#7SEj+v7WE}ljhN6PalP=`xxGu&B(iO`{L<|{5N|d
z1rBBNBpyC<LCDZ*hvT(>3(H&$gJ!VUs3sicSmRkd<;~1L%j7QK5n8^I)#0x4o^`yT
zTl~@_@@L$#))4S)FwmS(vfx$B$EC9*?`OX(JiIsSiedNuzGzwLoK=Pljr&5SW~+*J
zo2{&zv)JWn!=byI<NulNPOjCL)w#IJ->`UIh5GEbe_E~m_e}0Bt2;PXtu%cHZ|aK~
z+()80+aHHl%sBS{WzfZBmpD0@r3|P4YxZ7Rp!jtTNB73!Kdw4!<(=fu7d>6UusY5x
zaU!=wNW>Wh=Ibo0j>&!9Q~99&$6afNYXKWr4$gO&zxdQd)A+-G1U_=>W;<OL`5pbD
z=-z@82{xu(*YXYOgkN@uvgM~8o2peeUGlw`fr!8}^A+B8Q(BV#8y3axd=PW+gYT|1
zp4-B`3EUg_1Rc7z2KvuBe~t5Tl^v6V-GdncN)wFcn><*PJXegRU@3Ee@WIW;`xE|1
z6}%7s&e1TfQS9RDH`9LHn&7hNi_3)Qom+Id_^!_qdA@PqhRV*e1=`y@*n5}c&%d&V
z>B$9saXZbgljFYesx_Q&u3hs+)?K*a)cj9-R$DVPE57B=+Wlq1*=IFhLszXkkW|uO
z;B3!*a6{FU`kHH#jNdqW@%1nL>}MK~xt&qEgeR-0ru=+d`);Lry<4^4_WxYiH#^|=
zqtiN@B)r#_ADpLiW$LmpUdFTAT|B-#yrtrDS?1riP^;@2_j~uwoHVoILGQ6ebFVHd
zJXP~*UAW;j8)d;X_B`cXN=@;PE`D*?5*St)AFgcVx8mmZvQ?hNvzDG){$s|Tf)0P?
zT{(VlIa}JRkH7hUFSY04<Rkw+nhHhl%l$FmU#;oo?MeTC842gi*Zr|yfAYZ}xrgiP
zj0D%*pT_d$x>5c=lSTFQr+t2Yx4X9bl5yw}g=n6pqXs`DSo$3|NU-!bCh+J=-u>al
zvqs<#$GkZO-7%pXI;&MvBE;;gxE$u*Ti7Mu_L5OjS;e&U&*HFVPO*%S?>UmyXZKBP
z%(Gy9-)E(=D}CAKOZD^GHh$}^mpbxngHW^HeEr)j7X<=-{y)tm`8ryVyFDS&DS;(f
zf|-GzQ%d5Xs&Lr4iuT&IJC-c3TekMgyozxDXM234Q&V*NKf0b~{JFNmCS~bV+pBRA
z=hE{o@tk8>o+oymNm<KW!BZsWlIf94fm0TBRY*S;?R#!HiNjZQ-xJMhZtoz^Gr}#p
zZ|*o=V7&XDV}ZC2!^)e<pE-5IBl331H5h)9@a&4z$P!<x!?>>CuC&73>;x{sh8^b{
z8>+Xul`fKgrmwrB@6!8kagDD}_Hdo~e2L|TWQ*Xtw2<rCTlym|h`2KAKK}OkQq=ri
zFIfe2uI!N7B*7`VI#YC8fC?j*ThUi0ku|TAsz0O(RWz|~?dSchVDxg+&XmW!qLMq$
z+zL6gMLsw~lk?*2WVK9Atwl=<7h1Z{{#!QDz5KMx)f1UTmo_IaNWSB`MQYuLDjvbF
z&HMcLCwb2od$A|~8=sp^|Mt!K+FfV%J~(6%7V=W1@^V$UZ$i*wUU$9=T-sNfP0LGE
znpCWmr!PEnronEOqsB$yexYNEm&{ugug)ny@UbrF(51eY%U%l2sk1uu@KWhN`GVBa
z-6{uXPq+X1VA(`-{trK11upL~TKcj~`E$@?<xp;~<VLl~<RiLUZ5l!|yFdImb~-xp
zV{Arb)>7xn_AHjOakokz-<<A~JAH3&)yq=Lhkiv9XC!#3c9%{Stdy;MH>1m2^6l)0
z+LO*V9l2)~FuAMt%fGX;to21N@B49lYwVJk&$FEuPFmJ|JN3D}&;HIoZsJ~->tFv`
z5zqK(b|YVXW1Q))b=pNDyH>Y|b%%Amxa9xv(c3wz7k=J8f4ZSk31f&oLzT-4cIgCP
zu9t@w_9Yr5u$L{#-Eu~8c8*f;Dz-%$s}5{oXkc(<urgLU#LUUN^p!Y|44;XJ#s-E3
zjtq?~91JfOIJ)p&2{`d^MXMEu5SJp$*%^fvJsHC6&nr)~B<9!1wk&Wk*5%;(>eIq5
z<kmBTmy3N-qsG!M0Vk7V->i7qH5w8cnp#<y#Y|KpI0POPgo?7XYBIC&a*Ft<Xz}oH
z^YEl_1U5|xYD<t{W77)V`p{9PrTuVYmq1(N0taI!4oN2-4lWaIQ$EvGtGWb0V*vtA
zE-o%ECdXP<ty;y^>XfLtbV?9-6aq|y!htJ?VhdCQh$RRIy@tmml6zpp?QOYW1Rm<x
zzX}A-oxuX81i5ltl(?<ku+V7nkq$+v<ll&Kk^lc!gY=PsrNDMWT!4i{wt^TodrS5T
z=OBB}pkxbXSph~xro#f0&fNLHD9pfk(7`}}hmEySp}|3c!$N?Ag^7_#OHqM?g~?HX
ziRGa{%Mp9k+JoisA4M&GoZk0)(fj@Xf8YQ6@BMzhnonQf*L=+0|8Hx4{om8^ywmD_
zd&mF(_kREP-|_$Vy+7!`@7t=1snweKs`^itzFAr+x_4!K<;2>Tr|<uMHNF0C_WgfW
zGrnAn|M&0vzJGu3*T4V1pU>{&*Yet*rt$y3Zm<3OG@jji-`~^gfB*Zw|Nq_f|NnhI
zz#sqrlz9F3+ws3YU9bPUHsR&;{cnE1|Nk$0|Nr0bf6Kjj^7{UdXYcpDc^&`%Tljt%
zo!__2_y7O;{@=gv`@esE&o003>+JYH^VaYG`&$3+uk{C2<Lf_u-~apX{rbD#_y4c`
zaBzBccARSdsnu^>D@ChU-t*Fb>K*qfzUFcH{y(Mh^}nyjb4~mEYxchXzuwn>|GoeJ
zuJ_IU`~LRo|GRg6-`}tL^<S?aT)MvY<L~|d@4c`8`+ML2x(^S%_kTV8{^zgL`~O|d
z|1ah9>8pPI|K0Kb|GuyN|2v*t{^zgqeP6b&|Nkp`|G%f}nZ57-dwRX@|M&af|6Z^E
zzxzRZeEres`@g?kU-$F+{%^S(-kgsA^Y?xI``7pX|NUNfvF7LI_luO?Ypy!|`<WTf
zbFF{9#kv!V)AtqYZFzC0?Y>p`#j<sO-_Nz?oyYxj_H(nP&$WKuEnfSq_<8;Bm=iyC
z>fW~x4{TWHTd=@-DQoPB{mtus9)17kUO6Ppst>Z?|2Iv4|MS=Re}Apt|0=uS=JowQ
z?%uDz4~mcXb@o4=WZ(bs>HEH4ujBvT+P+^-r}pXe{r_u0QF;IO-}}w{HGgB{|D6l3
z|C<~C|5y0IN%{Xjec$){@B8}i-}nEv{c!kt{pZ&Ef4^O?{kt{3UOVF3YyDsUe((Q(
z_x-<rzxVOm{nTn!y!Ls+yVo214*!a8`zn5|R`i;0#QW|I?+*T2e{dJ~wR+BLvJwA9
zBf<|<<uP76E&8YAFZZ6z2BzQKHGix2{V{s~dhMOn=e<8`Rcgki=AT-<;IekZ2Zlup
zlp4Z%awLk&9vo+8h!KpC%(iNCc5s_9eWJ6%C6?RyZ}`jpM|?Uz&$7U9d!uPpt@@2t
zlSX}WH+SWBA=N9aD;?viChfRlq@Xo%)z0%9J9WP;k#aX!TXrYL{Mhfi`(DhLxFqAJ
zyVcxDm)h>>M1E_y#($YF{_VMUs*R6R7#f1sGPE5%YbY}-d{??BGqb><V}A{z*~Q-$
zpS^ii?|_hy!t$dmQ?jgX?*0GxM#f2ojFc%pnjD4f8NcMazuw!YllK07nc;?iUMvjL
z7>vDVB%gV)<7MD-b;c&cl|SoPc6jcWdZrp2cV663)mL$g)??+>Ro4$xOkK*mx%q>%
ztA%oHsp#83F3aPV2(z>YR$n{oHm9Ed^2PocSvkVLPG_5V&5@KScUN%S$A4il@2S_p
zH&#SAz1<!vKFfcrPu5?RP<y?%HzykJ+jQry?v#KG^;?EL3pVUhP|sY>GV$@;)ytZ+
zWi{56)}7;+fAQQ@KXLU#sfVta@_qYl(wtP-;cD|DKK-_6`nx|O0`3c#{3j=w=l))$
zynmwmlDP@DjwY15Y`@)Stdv}9e!${m@`TUF6E^4vNZw}qTytgBuY0bZre-Dk?yS*o
zx%4akQ>ou)@z-Zg?a?pM4?4A0skEVG<@@8QTaw;iYiw9>UApp3cx}$>z2}r!o4?6y
zH}3yG-^<d%;oiQPk^g45n7Vy?W$oU7@MG>}spm^R`pj};%DpAg%(On&bNZazg(|n+
zr*x+klutM#n(?hJYReYAiD5@LVz0Zli7g6IySOUH>gnXQtBPiL+`Oumv6XRw$|iZ=
zM_LR$Nsn9R74H1$_h@Ei^z5<+LC!oUmaKc#ZrGcD-#vx3+vL`*49N`>z7=T-Sg&SY
ztib=lo$0J+*{z4wb9Z|kx$sA2t5&*S7t2|_{Pxq!4}CkMSRkJE;!5^rk2?>I7FN!%
zH9g^EFELZ(+Wf$Yr|PPi)AR%L<<#?%x^-{g&b)swsrvS`wYPI~?=Q>!JuUZkbWZ;M
z-0InHZ<mR@G|G`<xVAr#{le3kMOtrJLKz$x92wxXAu2=FbCL?#NC0;|mxXE#4jv=^
zs*G@5$_TH^$!6ep0(<k{2u22>AODXh7&pl)&ahv+zy9~X*WcgYuVWRyzrXhX*Wcgs
z_t*X9a@$}3^Xu#F@AuXIey6>o_W#@4+w=F;{Qmd4{KL1ux4*~lumAt;_4V}$Uw%)I
z-(UCp-R<q~_ch)Ae(!zno_6yp<@XPJ@13Zw`Th)S0QJnjzpwW9v(ww(@2_LeC)T-l
zqbnDif0DcR0_?QK`EieLUk5qs+u7;)5B|RXp1-g5@3*_#^Y1sFg!q>p&aD<)|HyXF
zMd?cZ{4Z|z9`81<|Ni!NIXGCgXZ-m0_V@bx`|E#yeI0J_>+k9H@%wAR(g)xE&cDB}
z_VZIv3^Z?le}CWqUw?mZkKbR%y7>P7Kfk`-F2BF8_PgkvegD6`y=@-9ulD~}>x$pR
z8h7uM^xlczKe**T0XePqv(){4b>AT|&-^}qfBomTkQBk^vk&4PP%0qNxp#}LLy{S8
zd1`RVIRsBK{M28n5j!i$>W9vAk2}hmnZc9hF!tOpkUTb{jA1`R6T_q>pKiA$`grFB
zX{M>C!WxyL!5ueMIy*W#B$O86Pw+^xSel_Q^H8PySe`>B0gKOB_C2=Xo4Dvmp^W<@
z51HeIGRGB<7CvaSudECA38+~%``@D1x7Ao!6-1P|I9kso2Dk)!B{eqQHh8*Z2XC;S
ztD{JTyyv7v6GeJWmoD_Y7_pf}#e2dcS#~)&4#lM+D-R^D5b;QOaAKi_%n}tDFU_SQ
zK_=HMM1rPFQ1M)nvBpp*$mE)Yo{*Rz)4GnM&0O7Fyquif9UR;poMIX#Dl7~N1_vCO
z88}%_HZUGyVsUL?b!i5bewt|BBB@CH_5c6>e2@VKJcq#u(xdRXy)8EyWDrOSWXV)W
z-z4wwl<kS9rY4^%_6pQE*Xr8x2o!XTr~wM?iJ%CQR7&qe(F$?`ijnO(D-O5WpFAhU
zEGyJBVd9AyCQ3p4!VL!+8WTQ%Cm@?06eJwjni?Gx1bF0{7??l|4h}Zw?OYx5JyBYv
zf#G+rEQ@^=G=0~~?%l7J>6HeC-@f7t5-8g^d)KQ)dT)bv@4Dg|dn?quY-RVZTTAn@
zf~W7=;(hl@s<=s_@T`k1dM_@m+qKd=_QqAc(%|X4K&CBQw`)cB?kj8cN&}~FyXqVJ
zYSB87X;+r&y$!N1z3Li!YiS<Hv@NUivVz4+w|d{ba#XK0bNa58tIe_wshKS1^u4kq
z7G&CrwXq;at?b@?#WxmY+RErykfS!u20033+DdPbX>V3_gB%4iEi)Ws+N-STyH<MV
zzPhAW8XO*bqt!RVO?cJ<ZipABgG_rBG=1Ajh!>N#gG{>>YF!HP;+r6d7sJFsj=FkO
z?-kgKxmO@wys<R)708QwHP>qk*D?3T>r9Q$c`m;COLykqq_uyOs`f`5jqmv^o*g@B
z)ivMPQ=v0USI!0n9g*hxLV`}b91?Wf9DkkhioF$j-1CFPL;LU+9Yfxw>W&WLX*z<O
z3mvqIgpU5~xqR!u0+&;DN9L-y2pQR2{LdEnbDdMwx<3Zf7F3@}`L}J^^Jg0;2j+6k
z{XKb6j==wuE4Vhk`#4EsSD40)<lT#6YAvL~oYzlFIVJIq(L%)3R{IOXl?%)Z+Uyv%
zer;H`=kt!a{kJRsoJ(G?PkhVSZxyU>rt)YAJ=K%jt<|$jviPa-Exmv;KBh3IN$HP5
z7J7xW%B|v(-C-jx#4hS5v*m%5BE$694+4JAgf1*t@$B=9jxBqSYkV%5;B5W%)9b3&
ztBcHXue90ZZsxr6CM9p%laRB!rPJSPZ1w6D2#uCF#K@CWW5ifw75MLyg1hAPHvPSv
z3wA}<@bolFSDv5w&GKa5xA!|MHK#<FE>O7OSP<g5T6d0t1G9zw+)pi@`xqSr@5+2O
zwB+sBzrgT|noyol{y~oz;f9ZlM<(?7Es3c4I{RiEzs@`FA6razT7Ek_W9D1u@SImt
zNxBKn{HN@i84t?#s%NO0C*SR#!G0&K+?Z$2J#}sU<EJfHCY<K@Z?|e;=@RKjCa-Qj
z;PKs6x{Bwo-O?u#zpl0P<}y9l%+PjCb!X86d8>+dPg>0usW(iMYlx~^pm2HFT))@)
z9t$juFD&a|60VG``qTILnauXjKOXiyWARGM<jGnm7|pnQccy;HbuSBtz=m0jB1{=Q
z$4j2cGuHAx(>LbyslJiWtkGkfD(oAPC!J$rY=3O|&4v3Mdi+(-e~CHvqyM>4PrZ$P
zG)Gid<gvSLQM)d&OxEBnZ(wHMcXsO1lxG`X7fCA~(c6CNp7Zsqoa^>n>Nq-GW?5s%
zv)OO6q-Ss_{<|3xG53(c_VsZRiNX`}?F(%kWxJLL2Cm^}E)C~oY*bJbY2ytH<CwDN
z)TF!bCAQxT*;zK9=fm>MBkt)>ME9huUg%%+g-bBqt};uZ<fi0XHX+-}_G{-^w|uyg
zX<#ey;(47w$<(dlpYk#{N%$4ayqDkqlhIoB#_L4G3;h2Uv_(HV=vndk!Isoh8yrhg
zw*84{=W74+VuypA>5hwAx16%he%%z<S2ulm&-3}IwVB^OD?Ki-X-($sQz<xdU*ebB
zjoFE^w<FU8TJzX{v^H;bI<@$@bazle;01B7!s=GWPR#@X<{8=zhW}o_Sbx4w_NV##
zt><sc74uA4exSPgctuyS)N`*)85Z8}cgk-}^a}Fba$?u%&VO8y*MFJF)bc*zbpP(V
zH=FMsx4Xb3pSv9XCZ=ZsrB3fUx1?kD&(Z_SY!h~WVg4q@^3BURq1`2+y@aLAmFc#Z
zcS62Tf`1j0bqkZVS8zhO)&}2QOx1^(CiAs!DO-8=(u673^!Cm)ky&|aX>@m!Q~&ZM
zAE&oDN9`;rei`7UJ^h{@uWzPn*(DE&LYb0_3r-t8HL`TSA(T8vU^e%$h%PbL#%e{;
zwc$CJ9_cSr;qyGxswJqVsUhoTs=(RCpv7sdIYrJ*aso$N!>UOPj+P8sOszAjf6ZoY
zx_;KD?;u0*^5Z@879>cxUQArY7I1LF0;R+t){BW(*d`=QV$c*4@K|@y^}&S7zC2@r
znx}1Q8zn5Zm&|zBDZ=9%V&#+Spe4byO2sEAz^W(9LCA+`f)`WM6N42TB1X?&=Cd`e
zkF%FyV?N_2Z*14pWGLp^9w_P1<jCaO>M5$!9_Z`Pq{zI~!(l;8e|LlPGugY(8lH&m
znc8ynz|BjCd)${K^enoRD8f7`L0~~p;v}b&iBmWyC3q|d5fYekc(Kxg2|JhXw^WEf
zYEi(WW?)@=<_8DYF%1z}w_FBJHBJ#u<<Jf}H&aH=HkP1?4vj^OdQ5yX%S&c6f0`O2
z*m<;N^U`+7evg9^PD>B3U{g7$;-H$S(t7EzhOo*(0fkT@4Gne&R|myn|N3HweY2iE
zmhed^`!(aEph!=khE>lk1|c6I4I#Hx0=7L_OhP=IOOzU!o-)O-$QZqUVaC2*MThO&
zG`X}rO03BOQ~4H4O<<YKFqLbvh!@{tp9w6^OiL#<ERc~CWo$jOUA^(@^(*UtR@@Lv
zo~64(&iS^jsDb#ql?^)!<};mP{VtNAw`)1W=R+V-JzG}>r|H#a8(fN$^_kkL-rcuc
zVX#b5%(BObQILmGQ%EgTNY>4ekyDLPR8&o~Q^swk=mZAFz$RTLu9>&@Gx59Z=;d1%
zd-h1vyz?*EB+f1fkWE!-?K`a@EOSyIKsGg~^<1h}^8vvC4pw6qheegub0!PFdRv|}
zgD2tJ&oeJNJ(mSu+0&DCU<wcCsz9~17h>E}4|u3?wn;IzK1uUo5%YZZF{D_zZ}Yta
z2lj0;cX*KY;L5qwW6FL;Zh_B@rZT!4aRw|m^7MRebc(^<NQL1Q$BvVhUP?*^$8(-!
zZK>FDa@)jwtsa+KlX?IA$v=30e+|3+f76H0>-V+JuTTH+bN%~=&-c6h`E%L)<L7u0
z`~P2J|NNQWGr#_qS>3<x$Is{Q>!1H$=+kHa`zOxVPyF*oxZ>02c*UpB<L{q3U!VSS
z=f3y*p4OJuwbn`go%Z+JKe0c$JnJspfA{`*{Kx%T`<?dt>_1(1_n%ljH(U6J^5^e=
zum7=rQvAaEYwj2AU$>vNzWbo|kK13r{|x^V{&f2V{nhtxt-pN#(EZkfqJQ81sr{q<
zFZ#3gtLZPd-&p^>{9*pd2VDQkzS%7`pT1Y=^69PNE>%0<=uV2ut9Jc#dd2n=_dms(
z?swYXQ<uFzw%+@n*gr9drJv(}f-UvgUkbLAyEz<U>5ui#z?N=`zYekV*X_SxOP_{A
zEPeg{5yaA#r#{XiVGO@&czA^th^kmN&#lutROxl<j*4^WOwPj$#~DsBcurE`6jJe+
zpy1fV!a1o00sbI$9bn9QjHV~PhUs>ElISK)12w9NZWJTw=H&p76@c32L>u8ntm^;&
z|KH!1dpqy;wp`CiDkpPqZ_`y$Qc`l7q~ZxOqe+3INrB^C(L#kCr(1<~1PCa2PI{sz
z?)3qxuMDZzFdLie91*}1-lPZVLh>MiP$W9cK=u>pNT6BOK_1`;WQ&Q2&?#}{6k<^<
z-*GrsN|0+xD+{+0%XL|E4bdGDDhoBeCMd8lG736zFfr9#Pqow&<MNGidS}GJ#3Z8P
zC^RFqNr!t5ha(4@f`WpI=cGGNojCvf|NkEpDxkRVo}}VgbbDLwWRRcG0zgv(0YFj{
z6hKLw6ApgD5;HOl4}07U>yF7QOp^a|1j{)p7zHpeYY2-pFbc9TFfcH%v2d_3fLRP|
zY%B~6ENpyi3=Dis5*iEw5*8OM_VaU|<GZ*zIe(9Zapad*61R?d-M10`o}4E1JZ3BZ
z-_>Skx*2jrsy4l87swI$wZ-ArS>rbgX6jUN<qEm`q<HVzo>nAp?XsTl<i4*w)&|Pm
z^Q@k^G8l8!Mk&;Oo+*;|$n<FPKZAcYzvs9AKd&s?wNH3HZ?Mjc6CS^BU0dC9E|_JL
zm%@#$dhZ;ZQ=Cnnc${QjX){5Uf61kK2R3f8?<K-fXGOF)ZhC$-S)e>6K)IktZb8Ug
zYdsD54+~@U&2Hv1NDB#{Y}S7D<>1d;PP;WbZbbAcRp^<0yme%?i?T_@q_Sx>JC-cz
ze3rQ4$EqW2_chMtrLWuHuasU;9{l%Y`>W|rXJ76~TyePbc-}(33a20X1>YCy$?AP@
zw}`*7<^5dUd0jtW*312$znoJvZGuA*@2(dH&jM^jjjtT_zj3U>E~q-g@rdXvr9aJj
zK@*c!Xg}cJmC*3N@|XSjmbMma%MLNWEzu5H(f@C3lX$*Ol{4?P{m!*_Uu-kFnY+1B
zbK;MiDSW%<FX<JK6LH)n+<!uFcF$S1AUCFe%rdiL`HC)Y_E*~V{)vL+nK#=uZ$EqI
zj+yzko43xqH!GWc`_8d<WzzM_w}yVt+cxvNubbh~JMYZCZ4{Qiee=zmwCvrt7rN!{
z@$=j8|M&U-vU#F)Y!1wtTw5wmJaBT<<>%RP^Y*#-+b%q9xUui#T$lD0N&D{FWiXa+
zzasq3@3rfl*g5HUe4AsQyqj+KG><J#B;!NV!B!@zc?JcVES7O6CO`I$x77PI{c+M<
zOTB+*3pc)(5r2BV@M3#k_iP0#y+@Y{zkmM!KljJ~_y7Ml|NH-Y=lz3s|G%%VfB66T
z{{Or+oA1ud-Twdg-}kbAK(n9B|Mu^`|NhY3|KI=bFZloc{(tE|KmWh4X93OP>~H;j
z{{H{;hyVZo{xARI_x=C>_|DzSE&KibZ~43ZE&sp&p1=R#-Mjz6D*pf1|MCC*zdH8s
z|M&mD-@5<)|9|-(-u<`#ANXVb{lEWs-_`&BzrVG-?Dp;7-+u4^f4}Yj`*;7$KfM1x
z|G(g$-S_MNgH_yb`~Uy_zxoIN>;Lbz-tqhQ-~Zx&>i>V=-&+6w{@?xwx9_}3v!DO}
zfBpIo&;S4b?YQIr-M{~Y-u<utZ{2MF|L?!J1OLDO|Nr>I{{O%J%D?;nzq-85{{R2~
z@(2F@|NH&)hn(#jXWsu`_y2$5kKOnG{{yM`Zr#5B|NZ~`5B~lC_ubp#`~Sc1CI7s8
z|Gk*=-~YY;`yc-Q`~Us_5AXlqzi+(f=Gwd4-v9r5_dol;Ki_{BGw%QYAEe^n|L^}l
z{QrOVyd-Glygftt|K0cF4}pUG`GfEKci-p!@&Et-dY=3<W!B$+*8Tq;&-eZR-23_u
z|Nj48pZ?+V{`>DiD*oB?{r|py{{O@O|JK(Z2YK&5<DUQa@7KvEIKD~gi+9#gD!%cx
zuIkLr>Y#VWWNKC^#hu{{@^eY*`@b-NU3ldVSCMBwR$FDoD}9w#s_MLQPhh9_lDh79
zr$6S49*yIhy;J{FQ5pZ#*Lv*h!=IE|HqY&tdHUkbGjqK2pUJ#Cv-7|4^B))H%yut+
zH~rb2x>?0_i`|MJ?>4&B-}>{+!!IxYzqil#syXog=l}0Z;+a7;7=KbP<Nw{i?@!;)
z_~85h|MDGA8UMZiUoKwHdEo#5fBOZ!+5g=CU$6d)wc-E$|Nn&|^Y~WFw0Ij8ez@an
zWBcUK|Nq)znNEeZ7H{LtU%YszQ1o?~?D`oCjvh6?p7-3WYlp%tC*DP0cXIvyqSvt^
zkN1!4n=JF`3<sus{cFJecFy%?hl!tiW6w{NkZ_U7c^Gp+pLt_kq=oq6{|h($n-lW6
z*7^4Qrd_{J9$Rc3C3UiayIzL>uKnNh!v8Dh8K~?js>t};bf?LFQ|b3fx>56TUe4RZ
zdSR-r)V7?L|BVdZ{yMPbCG+K)7gcWD2GPZg-~Io_Tzk#DY%TwsZFUA0y|)66r^s6U
zD_<eB_B~UQy<lgLimK7X2`#QEX_F>Q^>I-X5}kbFgwH!aA?~)B9!}?Gy?#7VVRC23
znwp6|MM=|U%=AgJ+7O%c=enf*-mBBz{o<2Qo{?2rcT*?Qr2dig+}&rtaUMSvI!k!w
z8J#6c_b%?do#St?CuZqW>y`_ZiP6QcfAZ*De$9J(ZBl7n&a_<y^^bbz@ATbQ?NPe1
zH$;EMlBgqotBz_cjrtO{>gcMaQ6c(Q7l{Y!ZZp36C?q&G_G;Po(CE-xt5%2YUV1C<
zZPx10yKC>|ZS|X(;-@mbd~0av*0A!ew^Q$ao3`y$)ZJI#rtQ9U`&w3c_3G%@yv*Fy
zyTjgQ<!@cH`>j^)?yaluzS}y<c(O;>m9W#>UhTb_cXe9y*4ufy<*Rq!TKhU{clGLZ
zxw)ZR*WAs0Tef@4*4VeRwr$&W_3gW`?OR`ac_|48M_#?1wZ3$9=<T&{vv#{izk8Rr
zwRZ2-xV772wqA`}TUEY2D)epH^lj1ESKoaLdwnbV?W<MVot{Th63ch5j(wMvzcs41
zX3}v+m%R*JMJha^3zkn}K7YUL|NhO#Gv2FudanDns+0Ku!yblB431AzCiR;db-K+s
zRDC<v^3lHCk8O*~11?YWPEu@PEmu8SD4VYQ>+}bWCJmda+q~M%KR(}|P+XL^TU-B~
zvE|aJvRwC4Yn`1JPqfNRd*UJJ+ZB-{xb8!}iFeVG4mHn7GMbMpQ!Hg>wrDgRe!Oh;
zr8~=ZntT@YHeotk9=^_7QS<RcPmM!|@03RN3Hv4<Wl^`N`TlgD$@RcQvmj3kCdpSt
zbDGL()0PPJY$&*xYaVfW^F;4Qf-?7JzdP0SIV7l;nQ^P_>`$?~*Z5YNJ-s<4{qi>5
zcV)Tfr@g;5Z|&J_HqrBZZ=0oF-*$KFs@vPLSFRJ?I<d<`&8f5FgolfkkXUD@hghGR
zvQ%$JXGh1;bQ2@aP63gB_xQMT?{8bQ?&|*dFUSA=_`kdChN5*=b=ir&+kw_^49cTQ
z*X}Y~k?nl@!YX6gjYpI^4%J`ZdMfa7o3c>1K;8K}fospsH4mLywRP1hztE+tj6*|L
zXDyp`_RN_bxtV!xeu6tYLR?&ulnT%Ds=E5Ss~H}xy&hW%Vsw3&z|lEj!gA%w97k)f
z^Uizs9|hb8^Zx$&`}bE}?f!l7_t)RQfB*gU|L?DVfBpUY>)&5N;{U&g|Ns5>>i6*b
z@pu3K{`>3StKYx>?ux!WPxtoJ^wY(u<@0pUPfM@fmILB~1E>;2zP&jm_k7rgce8iB
zzq|e4hWPp$>;M11zq`2C=TO&%53lBVPn~Ridsz-!?(I#`sZ#g6X~Hs~cH$%z%X7g+
zQk`r@76t(f3<nzz-(}U<aC$+c;soWhtpbmhs(k(bGQ)G?{cXOvKkawuS{8b2x^u`W
zY4y6>+Dkn^Ez@lv0^GAWnJaU=@bS0L|NqaH?ku?e)GcPx7n|+6-jh`1x8>eG7RY?Z
z>vqQfewQ7wc`8m<g!67}KR;RihcOH5r~kRTb3sj8-=NzH&4ST7PF$|hlWvP-YcFV!
za!qhjp1k7GzSnxa(JQ8$uVcO}<-%~}fW$Gwrw2^TL>QQu85lS?I7Aq@B$WKv7}(g@
zIJksl1Xx(SbX0f@WDFRzJD3`FUt;bm-LSH5|5=_R?|;p?p*O9zB}dF+gY~%x=3tfo
zowLq9VK0$hxY}{CI<wKHHF7^2kD0w}e|aW|rz*%YV(-Ua$Ku<jFospj<rXz8YJ0JG
zYlUsXx6}hVt6xrKh!oqc&bVPtZR+;DM$D@+LJX~rE<e$8B<Q8e*-w>zf;_1SmB+u%
zUN4|C??%?Ab18<kMh2&|s#LgVocD;#;+z`9n)KxTeU^o1oib8NR`b4yZWGwTe@pB6
zWF{&7Y5PAt*rO;pncL39E0$m8-^4~o;oHmPyJlQD8fo@i?Dn3P4HjlaKi@db_jp~q
z^xnjypNsb@>6?GAYE}7cIXi80jMt30bEDk<ac`c!EMBO9!PDSa@s$#vm$Ta5$cNjV
zIuo<v3p4-DATC}xk%ouyF7t|imF}}~<k5QfkZs<N#UCcj+3{p|M8hMtKabVUpNQhT
zaQq&7)Z#50T!gOHMl;wP>~>^4<R6pH_}_nv!t8xdT5qgN(|Wj}@@14d&)xg~Gq2vA
zCh+gI(Yuppsx9n)vT3aJRAcaX|4K$7GQ#$2*{{_T_<!E>vrn^mGyitp?sHL-?{mHM
zm3(5r{`lthqRnhI^Uv)4x0%g)W(4QP-RIt?{hW0mj{RrlPiK=;DbCH_UtK0zZEMoH
zcKse}yZlU{w8TojVuM>3&#FrFO;vnwXK!oZa)qT61F!G5`0zw};x@bZ*pxR%#0$19
zJ-xX#bNO#6hWjfrC0-xC`!IUB_toerdCW;wBF6vhj`fLr`Io%>!D_uLku^aAT3W8f
zcQlfdrnax~Vq{R6^1$k+K=rl7vEsq$!c#cIrn=o;XeyvLP3v<0I&+)j>*E%ssP5ZU
z&*<y3pYeLx@$^a8mh#^U+H>I0<7j3{-<RKC$Xm+IUXY-$FIV}y=%HfwK;h|HIdcM+
z?bxv0<W6+$wJU}W``w;RuG;g$Wa^WDtiR$UXRfGw+G;TA&${PPKc}6TyXE)V`jw~c
zHcoatQ*ge%@c-?ptIxc-GMC3<%J18%>~AA%1;1>r+^sPyrD&?I(ETjmpBL7xaQ>g~
z@+<jvOmc^svj2z6ch5@PpKda5iQ_Td<02OiUU)y1-ScLt`t_}{pTa(zw7xiP#TnVN
zvX?y{rU>(B9S*WNxYo_l@%xQ6(`r&0dTPI~Um(9v>)!l757=I;)8&4$KZ|pc=mNI$
z@ybp)SN)v-CVsjQ+ud=Z{^@j&uob~J_1DEdh8~u9+P>PYJa@A4@6Z<$*Gyop{J+oT
z(dua)r}(8NpS#83_3V1H*Dj{|r$4pxJJ-&tTWMdDY?Ym9c5}VfoD|jx=Qdi*Td`wq
zrQXR*lN2BB4%V5EWxBjKO7;nI+*vX`{@a!NujI6jUUjLua^yyKn2X!(%s*1O3a@2Y
z7aOmcGQG~QNO1qmvqqMYms2aYO<!`(Q_}mP1G{hO;YV|g{J++-GA*=j)G4VytA3ct
zxG~YXtWCz5&2IM8HLS-xZ%R(eko=&NbXjgj$jb5)=T;ur+#2ni;KF?FUPI>Fe22U9
z6gBTN`K{oJU|%r#y|Kt9eXd!@(mh!A&G~l4?a@5lje4B(6HBd}15VGKIxXahxQkwz
zixPi^(n_CA8k6czM1Py2yTCw!>(aNA3r+T`JUC!j{NMVs&n#c-)g1XBB9h+LM6EHl
z=ndNRWTIqq$DDa}o(s16?Q9K<_VZ6XQ}Auo%B7h_w<bFKUzp8&_4XbYe-9ze7k6j8
zD^^-z_H?GG#M52d?1Cc}rv{nve7(5M&g8anaVz(-wvw%eV)tI2{J<J@^iZ^f&c`pO
z{646bhBi*!u#;u-qQI+qd;U&yD>@~n*wFo;=<&I`ze5gevSpQK=XzGOH|wy+!E^IH
zHXewQzxj{<?3&2nR<S?QL95p%m+!9M_OL7Ev{b^)b?3|b7_{?QZ<H(&iGHMM&98j)
z(0Q?AVFwSgSk6`CSG`eEW2&Hj=7jfrwiMSBIcwS%N(Da3>^qPgSL}DS)a%#V`8V1c
z<#H!JIDO$(!;jwvhn?NBvnOQVN$86>6(YO5ve?K<_SBoMvx+PCa-S7B=+kvbA+9<|
z+jy6`z*6SC13q=~`&NDq^|L$`r0{j8YvAvLO3#<QFN@q(%Vp@iVxLBN`Hnf$&U36}
zVG>&UkaeZ%lrv$<6RfI^v?VDmJ)C?gS2rZhaqG-WjJtlGk+S}{WUs$ff>>2m@ZuTE
z=3SC%D5|O!U->iSfR=ATZK?arjcZmHOfx%ib>G7E(f6iKiB`)fyBjhguI9zVaH|iE
zbHjEP$eH-Ak==9bypE`(_o@&z=7uxNzd!3SO?8~k;C5-dx$|7#+T_bDsk!MTr_b(o
z+v~}!{6qMb@blR8C#6oO?s$G(knF7eO342IlGmbptQ{*{!p`r%bB;A(O759iTR(Yr
zGcfkv5>L7)`-J~{AoG$~O+&XQvrM@+C0~1_zr8extLd!QlHCE<=kZR@{rKiVbm@)g
zn4gWN;Ssj0qJO3w;NGxKIlp`IBg6R;Ty3i?z0$;<3hKON(=_$Xaf|zAFQ>+KG1hhY
zWZ}IBRTu5zG31d8p0+lK*~~KZq{eg}z3RxMob?alMU$2t4_$oIi)-ei^*qd6dlw3=
z^|>qX=5&-X^NqG^?7LbRW!!_(Qf5Z%=J~&=ae1t2a;;E|O0Gpt%`Lxw5sUx*+?(;K
zknLY>pCjXjrCgDV*vsW5+hP}otKN9|s$iXt_3L!s<IGa8IR$b8{%IMA8H$Rpt<I9Q
zt78+M@_1@S&CE+4PX2dq7z=pjY;E{5uTk{j;zjR&FaCX8a)I#PlTF7~^gndJ^w<A@
zitM2R+t;-`8ySCIw?5+W>;6J6f3-h9RnD~c_V*^wvv-#c;8=Oq?dgGy?=;uzzS{12
zHY)S7=i<=J<(|!8V(Fzit9d3mQ`?rGj{NoW=&qVuJ+iDO?{n(T%?!F;@UL>S^SnFT
z<Q3ND{7-SV*lk=;JnLA;ACBi7hSyG>+pzh&#Y}FVcauu)8U4>+`6<TC)7)XrZ1J1x
z`F?x<d!Rnawe`rZ!-hK!+!0-H#o$k|(B1vvYbV!lsyAw4_<J|<c(bO@WY&pXD=q5_
z*logYPBNc1MRLQlm94iQtXdqDtE{Rwm4C0(s+|j~S$qnYZREJzT*C73ab>$<gyZ{;
zShj<$i~*wNmaV^hj>Kyo?76Yb>7x4kC2M<%d|q$2UEccG{Zr~&r&zn!E{mEoD;wg@
z`W#g4Io_C^c<9c_%4a`xcS!~;kmi#uSnYh}mGjRoj|I*Fd>4BE^(-;g>6vpTv(xWu
z5ue@^wmaIdG>>)PF)cGQTqiEGE37m(N$XvdKl6qK(>uO>Sf4HubSgLUMnQbRi)hPl
zNvX>WgEyP)%lIOCL-VC%%yU2EN&3HEtM<-)=37=}bi&Zu^7D-wEjzA@Xl;3Sc1?_s
z<I)rNF0*X%J~&rKLPsK+Q@m2oaC%9<+s^2JBH~k8j|S>8iyE=>IHe0duF~9b<5qL^
z!-xB(&9<!3xmr`(lwxF9&&)UDPVAk=)7{}IO7EX8H2O5jAbrN_Pqt4hZ=O`v4)`$L
z(o)^pti=4$_eC3XCC>{<wO@KZ<+xeOCbq4vS-GVdo1bs1l}lgz!S%|DV`hslD&|f6
zQIM4+ZMOSUs8LQ%=uJ0i8`l49-ph||o0`Ti-+1ZDx>IKTM|G3@rM72n>fKshwYsBj
zT2^ke&$UJC&i5`qwk>lp|9KC;#Fe+qT2E>^&9N+eYBO)ujkdF43tVE>?p437byzJW
zU-#v-+uII3VVO96>Mh%?Z(m0po^krnnoqfpMYbHc*f5oU&Eqewo8Bs3>+T7>I&T^C
zHb$S`52`a_y;g1KO}x^0JMa_NN~LXzF2zD`BoALLIkK%`L$1%YMBxW&4bdvMxt`a0
zu-<mq_b=C3;%h?LLu;p3t8y14-wXL%Jwq!;`q@PuGvn>M4rXmj)G8@ryx_+-t@xMk
zwzrFur2G4B$!+nv&ER(SPUvF(Ric}FA02C4bNeRCs>RzFTXYQS4j!HwmOa~HgSq?3
zZ@22AFXi1{@J`2L%2MySW+%*YzdS5_to!6w&TaRj=Jp4+T;JGt^I55J<K<ePvMrgn
zv-0nFw3_SA`H?VV_OyjL3(_y$J+iIs?ZsDN8mZ;C%x*A8=T7{;>*S`~<dDGTgYswg
znaS=y!x(<lY=eNQ#MK_j@_Rp0uZ!L=>krVk{rIbTR?>yc)a2QZKA7lvJ^Ub&740*n
zz2!AW#nP@DZL@6lM0_<nQ<62?W1@cZ55BS!qFZ?tPOX1)OYowv6Ib-6-s4A|3m3AL
z9f;k^t8imsA`5Fsj_o#1j^BqeLz61Gw&`ZvYdx}v!)kk1&gr*#d+O{f`i$77`ffV=
zFiCNr1h4gybvM{7p7s37zA>>pRa(8UeVM)7gpZ}Sml?lfx~%B*F2{4*)p<82OuhZ0
z@58|*fw%3L?s(i?5Lk6P@wUkFw2$+We)MVOZVvc#VB)I!%ze$dY4;DApAg@FTkf{Q
zp`7%#O}X!0{S4y$?)h`e+z-<gXWaa|cZv7gEo+nS-YIk7-)FXVm-cd@yxemB!?(Hk
z=K404yf9B!)O;n$5c|0La_8)eVrS<^FKLM1-D8{Uw%L-+^=ay{jp;Lw-%c=JlbXBk
zVNQ(9wW-@u?>O}t&v4j&ny09zIOQ4d;hIyv=NHY)7irlzKWvHRjAftq`&I@B+5FFW
z@viKmWYTiZz_{J-T6=xBZRQPbm@HlII@|NAQs~>;ZsjTWi*{N{$Q#IWh0RKRpSgeD
z(luND|0&|Wc6rIyD>sWboefx;YsI~6&WVXNty)>q&*t)LKD4`WqHoh#g9JtAj@+|)
z+jDoMGUvH-KW#FXc<T@>yF@kN4a+q)J&UL%$8Nt{d-dkFYZlz|{IxvK%~rj;eZ$!;
z&iogDZm(OvXIrZK*+);U3;i!7Oh~Hv^8Jbri}$MS4^Jh}|G@cEa-WZ0l)gol=Z90f
zlce9wTp#A6toH3|&SQ^voy8ya&D=gAm;3hGRJ#dN^E-Q_oC0=8JG>LvdE2_V(#7~~
zFHhRFnHoNVD<7=7;TDm%aAG$5te?+1-?g^$<{JLm5`WvRX)otX9TwZN+uI&5ke*j9
zcdMr|=}XZAgL&(3?sSTNxa;AW63H_&{BB<R__oxH)#1_g?rjs-&TL*P=5qR)aLxKp
z7IT}PW}lK=W%yO>-`a_Hj`{ih2FLd#OZQqQ$Mksf!kcEd-@WNkh+E?ur23obce$b3
z{Osx~<B$J(XXW0!C2{_n;hxgvxrXjGHrxRhl`Cd`ooVf;df4@;Ox(V`bK4Bx)V^5%
z^ZD)aUAOOlaj(lPyS*gl%S&B{AF+o{Ew?<zqxAVv>=~U%&)@po&-Rt=pKsW0=AE>;
zPpU~hZ1c9w!f$0)*nNuXT({GGLz~P(t5UA)Y0ZD5=C1NhEaC|=os$&(Fe{*K&#$?u
z>lS)MyxKA)QApO@xHR#V-SnV-eYH(#@lr8S()<qXAOEVyy_}&HlG{3Wv-amVUA@Vg
z6JA6<sy30?%)HgGtF+%mOO!z)FWT+$yEB)fHkoxU{yy*Y?FZX;ZacL>u=to%jd`?Z
z(`z{$*6InHF1>qRpXcHI?rOK;hLbawoy@CvRa#j!tN5Gwj~H{CFS8zh%BuX9dUo4~
zJb$}I2d^D^{bPfy!=Hkz3mex|3(6<*2Zi0L|Myhv<@z;8`86|+)mhs~eb{j0=g${O
zxqYj4nmxY1tZo1P^5?h8=IgBeeMxfZZH3~OWlz3HH*i*)*L~or)+vs8p#0|d6#v_t
z|0R{C6kmC?I8EZ;mgrn3ha<Y*l0ENc<!v|hjz1kR`@roxcPqpk?DVfMx+N#y8>b@P
z?4$Me?p%hNvu~^aO<cg3c+~U62^F)=vvvja6t_*=p?Tlr=N#Kc^TSHFx3);KvE6CE
zD)nV&{rxY;u7_<s8+nQGLTk$LlUp@)PAi*rcBI~T{jfG>+WOyCMV&i}KO|S0{n)Tg
z`Ex>fI`^6bYoCidWOPrHVQc4$vox}O*73>b(;lvGF*R?d2;ZEwk8N&si`(IA&!XC<
z8TGvX`mo~er%CCyZ8!fPH0i49E7{F)C;vdi%>OHA-L_mU(t1+*_O0#JRo3d?p6$)*
z4d1&%<Lb?K58pmmkTGBDUjF50`{H+5zD#X;&V2in<G0hD-)G!ToWKxTZL#>XVe;X%
z6YOo7v^W>DGDt|y=(xJdx#HlJGkbYopZ@l<_0&<jW5OxHWj-qWJ2UsGpH+|kSgy<x
zw@pR!Mt{9)fLROY<9U`GdbhJrm%Mdo`}Q<%+b**iJ<q-LyU&X&iexovXFq*cn3KbE
zq<gEX+~(r;hHyvzZK8J;s#}WA*lP4YSao~1{gd^lE?)m>u>7*z<i2N?SJP|$UG-x=
z->{X#qbp-usa0gtO}_(cWsB4$9$9~7nCIp`k^5GXn~nZnW{x+ps@KwbG>r^YR35#m
zbJw%c-8S=eQ{3*|QjJV*AN@DvP2T-rwyM3XVVO)^%sjSdbMBqZyqRyb_Vc%g)xB^1
zl@~k8?c`<KufF&3v`n{Og&wB4O@E)q=Xq?7SYA|DxanKGLw5PaDbw708TroCIj-KF
zs<iI?q5F5lHeHX7{`c(mqwmtS7lq!JvmG~@U31Rw!O0B^TAjPNp3L87f0*&+w#1)H
z*Ka6No$7meR>D5-mF(9(#oFA|4%IdO?-2Xz%emPSYMZaWH9l5qEPi+vpLAHN$eOjL
zKCOJYE;bEITCOSioms-%bG$5s>xb_B>fh=`cfUuhdvhq_a^c}sGnk^cwynHyxMPKp
zL$FJ>p!vB;%r<Jl&QY6nA`S#BbJ^~7^o^ITQ|+P|VNwC>dLMay^|?Rk_?ePamphg!
zzjhTzONG6g-Td0DyShEO$EHVp9<SGwL;Ag^GQ2{5sBe|&>Qn2gZg-8h*7H7ms`gNb
zO4&-^9g=$IW!4$3s_>RoI$wIbSF2KEW0m+Hw&|~rB__>%fAGENWu|T3Tej+0_ZBC8
z*E_na_;p&B-mXW<D%@E$v4<ub8oKRIx@xsObn(;u^OaWpe9m!duiVz>j{8Hs#r#eQ
zd3(F=Kf1s6{+T$}<;CfL=I!NF&Hhte+hI{Qx2<pL?`Mzho%wVx;o{XzFHFvL-rX(Y
zy`$&`-%*FDYlN>XW;j)PhF7e>JIKPoH?1ddHv1hFZKc$5*A-TcI%gS}7&kKrb|*@-
zB}$m_9M<V9Sr?*cdCUT`{6kQ<hw~Uqa+Be~f)*<tXP(c~ay)~kX#D*1?ON_)Pbrh_
zg0qhQ5qk+<mknB-eWIfy^Yd+`T=V_9Dkslw^L*UY1zNJeVP(e4oWA+*q3AA#Ml0QR
znTn!Y;EDZ>Q@J!nE~#p&D9H%+cNF9#ft=Bncwvd#?@212n{IDAx-Iv!7<dTR6GG-{
zLm3b*2Fd9-NyYOLcv~rG!3=203wVWyQZ8i4h$m>d!ekZCOLv0&ioGpeU7&!0x!FKM
z?8uoH|8s&}ZiRVN%~nckY~bjez-KmJ@?Sf9w2)HVRX!QX$Ba!c4myhP@$rec@NlSd
zBqS7UNQek<n52^VY+8{b0~42q1RD=0lZ1qbL>mJmGn2AHLqk&{10ypFQ=@|dXgesJ
zx?ynmhjz4D(l&j~+X<Q+EKG`wjS39{2M#nC7%O|O(ih=sby^sp0jKt}M>{RavMidT
z!rPrVW9I*Du1hZJZacQ^?3w!8N>f!lqu6#zyq%T60$LFR#vng~#=ya0O^5*<f5#uZ
zFxe+W840r=%c>BVK@h1W#ufz+4y3o)vqdW!ZIy}43py!O_}~B|BfAI>b6vuW=u?^9
zogEPi5;|tg#0|jn7{n5Uf&=Duut%ezse}}Yse8%_6CZm<UdGGPCM+Dzjsne-47aRe
z7HMGO;9}t6U=v{B5E9_y04-P%5K?kcW8qPAQ{duYVG!aH;t+^?C(nE|&`j8=@=v|d
zjE_&}teWyW)cxOqm7AVu*r@z7l1edrJi+`=-~=t3`@g!<7fLRDe){s0j}6-=#fUi1
zFkd2ae%|qykD2mRKWeu9;|c28u-@ZX%pNB}U4{kAzMp)xq^NJov5R|5u3Wy!v&eGM
zr(fQ#9LkPfHc!8c{n~pW(%E0e+%{v~%wNA&&P!+6_cifV?xh3Uo7ZN2pAzsPb8p>V
zpRl0dFvl&X9MKc*Gd?n2>yxs1qgd<I9hUL`+*iwru`I7UcJPsWxAm6jJJMx^zmMy`
z^F6%d?;DBl%Tn*u**?B^#3avtePRCAUg>kC(?0J`+;e>QwHx;~Tq}$*w@!{Y9&_x|
zxqP?eH;;KwN1gk9uOjz$RBXxGu=P=Ax9v6dwXXVHaeDSL%k3}S^5gPre;eH2dMxK|
zdVjLj<D1u{-dM~n)cG!ZIc9QYyL8{%d-*>3rLT={TOTW(`_eamZu+ciyYAiWEzFI6
zwdvZn@@na|pZ8?){<lsx?Jk{e+@IN#uA5i+&19MB+>gCa_3q1F+qG@$yUlAK_FRv;
zZFhNVoB7=De-owBcISOq_IuxU-^a1%&s?v%R@#<5=dbU=X`8k#%PTXSV;Y%l^*t)D
zcv*3ndH(C#!)uON{(G}5b6w`_tNZUu9ouro{O!i7@70HIo!dX{W0`SW_RQN>*Cmd<
zxb}HkzQp5*%aZr2?tEL5SW|v;)3>^*zL~z;bFw2|SA5=juY6gi+H1LdtJA;lnf!fq
zHdi-4>iM2!v-eo8F<kSyCS7{5;q;x$Qs=(U$=~q&<1wSV<!eiIpT*|QST=Ki<leVy
zFZ=x7v1xVI`q=!aw^7;F`R32I&fA!q?UUY@XL0X$o8g<Ma}N3*ufA`!tazT`?5DS1
z&pKCf&AiRhw><Xs+iN?nZ`oG3E_v@?-s{o#wp{zZeCv$YyH3u2wqxn4(Coijvyvju
zM;!a~?!8-b>~o#N_m5Rw%Ub*PPUeh*(dTd9vst^@*SzZUkJGo8Rj$iT`(2kEbJ_6z
zw&NLRAND5i{yyW_tTUE#3-!L+Uashlz8)DH>3jQB+_zT-mp2^y`Ztd^`)=CoYy0lq
zoSV4!`I*}l_aj$FuC3mi$&+1`{PnbXyW!fGJ>|N2mG4ZpZSF6f`?eu_p7_@}oEH-x
zUuel_Q@`9&ak+Jtz=L%=)mr#wCkihTh>N$Jn$G^`H?vEXFjI{F59|3h-+pTzQBh}^
z`frBtqQ{3`wiqO;mpaw7a$74dDEaBfF(F$fY30thGu2(%`<ORwiB)Qkn6*9pM@z&8
z-dd+62jcA&cfGtZUmz`9D4;_;nnOg1MUS<0$A!a7Bn)3#8D@t0tP-ERNpkX|V@ozC
zU;1IV(mvVh^{g|dJ+Eh;w7b3Q*yeR#zZpjrN1wiNt@hqKw%2m`YYtamk2scf=W9=9
z#AE5x(f2LZZ1gd&t*$&>-5$9v_i)x@bMbTkK2#;|&imiXw1M|~(VB1-`vX(u6K?3v
z+dTL3oBII_Kkvn@V_p^aDemQ7_I1Y>uMT=y8`vG&zkMHHW>q+^-v>t4y9a{YmDnTi
zF1@6GvN&jK_pK?{EzT_D&x`kr^v-B~x2S^qF6&3Gt*(!`GP)m$WQi@(y&~qMt#D`O
z#%BT-qJ3H3P5tG2xODq5g+<C=uh=B76L_#pPe_q3cGcU{4^sm^l$K=~sn)!_EuSFk
z(NKHn9v7>%%JY-!47Mrn?h;CfJ3jG*N<YIItwUjD^*7~iJ}qrqxR+Jl!{F1Vk8$c>
zBTavmXKOUgYc+~K5GZ!i`l|E!L*~1_KAfGr%u6l7>Q&~BCl93k{brX7r#~;t)iBw{
zo_+QBrDeBIZr&X~Q_L!NZ;fwN#-{j-%YJuW-Wfl6!RG$_dHY;9EZ^sPUOnOCzBwFa
zt4#8(jHN{srsd33dXT7+d3S;PWsmzx(!Td6J!(mLb#k@zP4{z#;pYSAeP*8K@$1>G
zoL^<0A+73)aS{ca*I(Jcg<Vl_gRZY`l;i80`&Pc#ak^{KHm2bANI@<hf$M8B{3_WM
z4<1u}zDDDG*!{?f>BnD2PCTpjKhiLNJ@Z<PNivDeTnjX#K1L)NMFskX*XG79iZjTb
z<Ro~s&83|G%Vwcihbd0m{@P49^XyK_`?{I8S^qPivyr~}@cuQQg(3BU%3U8n_!anH
z?{#uIdgtKl>NBQWbuyWHGLuZ}&-^mF)|t*yy^7()9?_={GUKl=3^{)NuYmTvSXTxY
zjSeN2;{rYtsy1>dc^#4o-f%~$aLq}{FG9aO+{`TQhLx4>o%&?;zWFwC<^O$3x4(?`
zh}(Yq<;RHcr?n=ApUv8IFX&O|Zh!kUrI@Ol8E@o#Igf^>eoAU$>$)kvH8q2A(oCgx
zyQkaNtw{PBeMhk3P9F20JM~$bOST!=&y#s9otS;_r^LTCrn%YG^0lIzOGO>eEjoJU
zqplEd49oBCAfxYxJyMccswG3y+~!Ywut@Mt_LNh1uDdB!EAM!`Vv=NPAItVWGp&99
z+77;n`nE<UnyX!aXHkk4V}ql}bDLJd*{_(c-+!#~NjhWpsnAbJUFPpXUY)mbUG(_h
zl{e>WQk|w-UR62IQ(d=Y()RV;8!kp3_YqlnrdcxXc-DtAqI&`p>}M}sdFJw#hLSa}
zJ6~+oS{10=*|h$|++Ricej$27o;8Xh5fg7o39h_ms?SumW_cgi<&DR$HVSKQPhT{3
zr+QqGo_^)sk}r22WCh<o^y&RJ9hM{RT{>EG)+le_xH0Lrux0Ac@Z0_Al0n|z!YtOL
zr#|&q$@uAZ%fFV&TMOr3k~6Aa6ZY-Z-BaBgWv6Q{H!O~gaSP#NTUWm5c3|$wj{9HP
zO|8=By}Cb1?WC>4RI@ty_jCIno$-HvFmcxBEg}1@B-HXZzkkO2Nc4n7deA31t%Wlr
z7}}Rf_LnaH=D6v@S8l7Q8(J-vx3;Yho3MS;J>@OoMyr1AP;Ik&w!SIOcG8L$+!`Ae
z2Gs6(eUEJeW5$8N11HRqzh2%h92PV&|IL9P(tq3+{=0Ek#x-mGCVrjX-F-^$UOx>#
zes`sV{k0j>c@v___J^+TPpbFoaTCwIUupdB+a1OW%+hSj&pf@`J6FQ7Sv;vk_;}3=
zqupU0e41^_-+%spb!S>&R_+@n=NEssbKRc4!Q`td*8=UP2hV1OGQQf_r*&oCH-*_I
z9ee(8-rcUClC|Z!N!S9`M3ocE9`FAcd3(y^fa0FD`8AUsrh5xlE?96^yK~7_#|0{m
zRTsXvRBno$5YZd5^XJ2oU)wfY|BqIfx?pWu>cNZlPoAZ3e!1A-2lMOBCD~;w=1F^c
zOB@$+&^w_}Wj?iW@ukW;8`CyPi+tJ5rMU8>z>bF(?%Qg`znVIIx8aW^-_2xdtNg>x
ztkw8;=-h{bN|UJDcW=(9F%eatVy4)t@@PrDRK9%kA-$hd8JRSgnLL<SCNQKpFnBPj
zoI9|if6uNpth{!Hj`M;F!`$`aIax1Sd$o8LJPBRsy3yjRlG~%Ac=4mVH!t(xJe9Rx
zo3Cy{?FYV~$El{Q95>7~<}<a<tvR=%Z{H1<Q(w2wd)&8buVa_!%HTx_OTHgFuEnPK
z;^`*oJ5R2zGW;dcGIc{#h3v$T3q6My?>ON;Q%@`Pu7<$I8JxGJ!(B~$1SeatDi?M&
ztm|Su5}E0o;q`iIw2)?k;`+r~|7Cuht1_k1bazQ^oaN;Fs|)|fK4CF>HC2DM&Bewo
zTrM{)rm}ZW7h@E+iWB*Hq2tEsrow|3Gw;Uq-&)Y`c%VIW-s>1MJ_Zqvc-iLJ2U{OK
zf4aHw%^Y!t@(P|=O$$^Oi3mKpHA_EtN7j=NL%(@nADt+7RNmZJA9=>3J@cXAtj^b2
zc3f()fmSmYn(tMu7q)(ut#&$R-JG>odH27Oca{Cs;FK3<sBI`=w*9rkKDWug@9YT*
z5z1*?`fh0qAJ?<fFI!hkV!1o*DwER9Q$KA#DYVT@)%*7Ug34UxB{%ugw+N<wNE1@$
zxvf6GVPpQC`;vURx{rlq{6w;OuITjq_Q;=lN|vRdHG5L<vuWRKeYpRnB^y`Vneo%8
zjEUjpvM;*-HU)QPyj8iqck*Lp2Tg^#?Z@2sUuo4{e4P81udC|TixQ^Ek$F!H_4dEi
zG3<IE=9<g)F<0~TgpV_#4A=uMoIEcOqNCEu`=B=CZ^k@>U(VcVg4@d~4%@R$b~v0X
z_`UVf#e-j4ES>GyT@2f~mxn8c2uGzUalO`?C*ry2)ArOg6ZbD}TXaLfuJV<Qi0jPR
zi({n?^)?xG+f1FisbfwepC9`No)>)~FRpJpx_If+l3!(3lX^W*9G`ydf!XABEr)Vk
zKL0CcyFC9I^V5amb5%{H%nh$P<uv#?2QNtMYG0>zymry8j{F6ak6K(6S-IA@_VxVz
z6N~SaIQ&ZSTqN$c&D=1>c+29HnKxgoUdYktzILN5hs;c|%?9q7j5G9NXEwyJJo(vh
zY?j-FXL0S~B6}408ocfcrexZP<Q2~rJ6H1AaD&Wh-l@H}_@2$-6ySJoA-F*(T_`B(
zbm#7!ySj|$E)mmdf7zY1*rCnPy@#z!oP~YONe?xr?IOFIr}chp7wNexKRt9}0{7dA
z7OPu?T6OzQMt!`=X*Ds>Ytst9RDY$Hr_LtNV%;8kKy`-dGuLEkp0v<E63sqOv?ovA
zDCO{5*{SO&LqJwY!ILeUi^RSOl)CLrx7opSdfwL7r1@&RU+gXNl^qWRH7+c<C9z1>
zdc&f?_3p|xi{D?&T4=m-ZqFg>XCbmtOSbV{68%~=YqR!wo!qS}ld@7hUAB589y#^Q
z@zp9<?F_MWffH_J8<f9I^OfWbFJ5pi{q2m0?a!tKvlw#5o{4gD^v#^i<aO?V{l4?7
zR^+v;jIg^B|FHgO`rj2gUh_jIq<zZf`4Oa}DWtvq`I&_(WwGHk`uDEAnWGdjYi1`$
zf>`k7hhJOVb=vPob^Z{n^ShSD$C+)wQ!v4{psjrRf%LVdHqZAaOCM+nT{!*qsp7Aa
z4|~ivhLrIL*T=2PaXWB*vG(30kA5zkcI)JlT!U|~-FsJLn!Yhewflenh+7)tg~F2V
zALkRMP5JY44qr%0#+8+Rvp2CZeY1Cev~^|DF^`(S$p1_V*UrD(e00`ij`Mk5#+o;N
z)t#C6<ocY|Tb2Kp`=v`in37*|;N-md$6oH))jK)4y-T_yq=~~qXa0{bj{`bXt<>Ls
zTiKy|FXZD_$HO8n+KvpOr+sQF6GbkreQBc{qr}dYnA~wgq0sB0$CKnDFOx6p<&TAK
z)Iajm-ovAL!({bfIi8IVoOlk|OgzSyRATtG;jD_x8M!^xk8F?q5K-Fid85C7&ouU=
z24>s!%Q)oy->U6gaAoS2Nc|%&9JeCa3KlP@NWaX~`u!+(Ggn@-&<);&zarYNyCnbi
z_{nqlhOgb?WtQ7LwI(<Fi~DU_AbULL)1#`y^ts{uGm|fuZ)y8AlTGC4k~{fLXQo6i
ziJ5ZmQsbu|UuttV<>tILf4cJPl26|!oX`~tf7~Uf7#S+MS3uryOGHW6p(84%zTOdK
zz7)XbEk7YTkblOZirv0S`iy<?TbyLw1pA)|3LBqXlOq==Wi`{lv;Xvr6t!h5ihu6-
z;^LBYDqMWMr%Ddf>=nwgyr=G+nAp)#W8;#hX4E~m-QC+=Na^BpiHS3hguIf8=bJmD
z<HT`qpCGl#H!3TSee*5(ptx<;2`2VRo-HnEX-1vB(~mp*gLH6Ap5YUcB_qo>cV<Tf
zGxOzLz4dcs?unPP8dUDN>BpMz^uRWubKirSea+sOx${bRZi&7t^h7nW+*<p<<mTID
z+6vmd+s+0u<W4R<{$tx(KJR->;(CgmLX~@jesB4z?k3Lud{^WD9U@8G^Y!OcFzhOh
z;TD~s-dYk+f2W_p{dh|LrgE>0&;REzUtu`Q(7~`taAI#qj!TNN(x*@Q|54EU8M1wb
zt5$V%9I@IQwOK1IH0^5S+)(j5Vp!ld!(%H}DJcm#b<C6$oLGM~_cogthuN_WtJIVP
zoz9%$5L~E!gM*E&$IvZQRB)nz)0s0J9e-BmNgrf0>pF6RujL>U=&ZU`tF)AqloVS8
zlG4)LTwI>~4=ugD?O+=tBMSqIfCGa=!$F@JZ+GyrH9I<9Wtu3Ql%6tCQzIbsI7cYA
zi(kjwiLP$@79_AT1uuVv0IRH4Ek;Fo+uv@xyX|(_?Y!H$yK}d{-6jh;(*k}}9+<n>
zY*p6cRoIDDFO(K_cjzhY>Of~G8LG5M2s!a^Dz>!<I6HMHDju02kmSJu7e2ybXzX~#
zN5EM4$Qdk5V?=yN3*PKF;ii)RyZ-;~t84R0S4ZD{6}ElX)wOw7ufsrieW?6Z$xx}Q
zhN~9;T5)d0r4@aFvRB=&E?_fV;mDg6&@6QY#I!1Xb!BDna=*D&rLRI_5g^pPGz10V
z)@T~+;;UwQOd>SY#l?xk&{$dN(|Ro>CH9}qe;ofQ)K9QKA^(K`6NLK|0nmm6|NghP
z_w7eX1h3JQ5aRC7-T(L7?fm_@>|LHKwyd;g{4C4FsBnNmgN5NZpGX4}69<EY2!{j%
z2M3P;0}uGh9SIwTgcb``9Uc`P1`P=X29b(4Yw8co3yFQ<7ObP<<zCKxY}?^;=Zua_
zG-k^VX#BH*m3e=`$xTv9m(Es;x+r#L9z0O?qlov&{_2vc5$>DLHf@~$;i*)YefvGF
z4ShKmS+kiX|KHS`cWqryp3}ux-)s7%_BTS=gxeZd>RcDe-(M-YRyR_Bd+qtp@f@3F
zZarR;9$L4|=+6ItGo0Rgre@rn{QdKdg<8j?zY7??I<9rdw#6g-(jxD=wbP&Lm6?jN
z8%PvBl&&p((=_FDLjP6axP=QccFUEide%)mHl?H9!Z7;5$`wn_>fUgg^_#=}iEM}e
zhS@KiKl)a<J?x$^)8~7{<QLu>CeGOYu|dLM&rH2e$<`AcS6kOBi8Alk(UV)LvPR^Y
zZiMA6#t7p#ueKPm1g%J#amB+l!rbNN0<%Wd4F(3%AFV!kKUwr>;?Y*+%N$b=TJUPQ
z?dQnqaTN>gagYj=n5?y0=CzoH_?5F8c*OLcD8}U1bVknkVYRW~gwVo82HPE613q|)
zPK-)SjC5tuh&5!J>y;;S^2O=2or`x~v|D7pu5Qj*=Z;Ty2M<hZ`1pU@)a8cL-CysE
zdLEP()}K08cw*Dv?6X33n{F*J(2<z3>d5H|*NW})R^GlI8WxheV24uoD!$FNM$3<M
z#c1Ah<a=pbv#jV&wwuO;nW22FGAqP&Cf;eA{ybz7i`cf+)2!R>71$k6eIxkflT*;c
z`Ks;*+H18w&G{^-ar4^l7@Jq-XERRzh))yR%sS!W{vxL%mRju^|F0S|oePva@?$@r
zVDY&wrDOlU9+^=b)@Jx`y|SCk@}dKVqRf&L1dH}JJxDDOZJ+q&3cFqN>XI2xmdkyR
zh&%c~#k%y+`3G8j>Ni(1SG9%j6i`!@llXVRc>O77?|rA5XI6(>x?PL4I&)|DD~nC<
zJ{3H>7kap{bZWcM_f=d>6NA<U|2#2GI5n3$Uum_R`!{CZiv6kw1x=2fjLs_!c+|9@
zuzbH#m2=g?k3ZM2s!7C_{x0Y~Y|Alc!M*&c7Z1O!I`e!vn@`(|kDHH$>b*03u%r0D
zx10Zp>Wy>yO<g{zd_Gukc;3%28Q#s&R&2MnpPI4b&LzW3`>q~3@pKyd<kxG>e6DS_
zk+_pTHDTtItevjzr;bhhW5;-9oekf+aHai>C$~-BknOgx%H-2qYvsB%Dc4;Bt5l30
zTQ7#Nzi4dQQ0`W@CgFOI)7OlY+2wA3B95->Z2Fe*q_zCm--xs8I-A~=FZumX<@VQ2
z*^3rbi$t!qR<;k@knN`UOIEhH{!*Fk8!wi#nfxnc_0_h_Qe<N43a?}oUs5En*QH#v
z_(d6~8OLKYIgMiGOPqfN?s<L`(#m+t^=85;TPHzTKmSdemhbEi)2w)DP|B?@>ze!E
z>G2I~1AUJ$iJfYV_4D0(<7vPe?%h_cR*UaWUbT6P6wkC-pB~34IbCLX%<xsKx%2<V
zI-8X9Uq2k(aZaC){fcb6=B_M}EgMz^I5@9%apYYq)V+9R>&2A7w+4YzPRZ{U4#|kR
zu%q?UG{MdPvj2Y6EDq(rmwLn0S-WhrS<4oatnS8BX}JO+FEykOiEf^HSRrpomxKcI
z#iMQg(N}gI*l=1$%wpS_w@pcN1y{(%%uNV&bCOzjD$vt;HTTjj5o;IENsBi3dN}9R
z@uR_kC)1c`mRym|dl$P@Bc#mt;-ovLj~_4&>o=Vktb69lx*0PnmzF+EzsA*59=vK@
zgto)7RgzPFr=NRwAVJ_q`IS3Q4QI2S<x4HEobs~qeEqF>+cal~m_5(*dT+`YSjX;O
zeLP2iMd<pSrG~eotCQzjFY_|_aOqg&`gNvV3%1l<4G(Qi^1J2wDts%Sps-NmM!xom
zE7|;XLYfUv_*E)Dd-JuuzxXPT`1A165S86(Cj#F+eRxK4HGAucm8MR<o>^wvN1kkc
zR#EgX%iVEiY4^OUFuAn8tyNcA?yNG{9Hx5g<oauZ`kS>la%L?$$DnM=Zu)&ga);^R
z^BGfRRRdS^+Z@YtjCj#?OJTtaE+?6OF^)W|m}?p@yuT{gYKG35+IL}!yKmm9Nrver
zr)<8fObZombNn9OZnmb6Y0m8(PX5_#P9MCiuk@^5+^~1i|0j>LJYK|FR{UB~CX$gN
zf9hF#Nax`>E;F8lZ*?;?*vS6#)vACgzM)pXcAdH)_S&O?A@%C61FTnNd-8&I9@5#e
zyM;ID4ln<ad5tB9;#u;S*l>z&*~uEcWLLkUM{qQ|ye`)dS#j1sX`k-;T%08Q??v^b
zL#s8et?Evg-h4Ai{$)qwn}FUHm;QLQj=JCt%0f+PnG=$g8uBtPBnvt0et98TxHC`l
z#zdh52KGM|`@eiD`NBt8rq=dhzn`iO+jNeNGJYo0=Q$)y*VsKxv^__R*|1c+SNpx{
zquDK5i<jpG?s>LWrEYSB>9;F7UDx(ZchlDlZPV}EoUy;~gqxv2@OF=w&tXD#o-4Sj
zv&4>g-}8PveTvpZ@3T!AC!;lMW(MT`@Luz0X3Gknu$Dbr4{}9q;%-{k>ozg6RO?U`
z%LmuAn9>PXU)r;!1n~yiU1|H>nwPjH|KRVMJF)B=YwmX0DqUrGs=PNiCPVb-E<TG}
zv*&(Q%)X&}!|e%|likUahQ3E8$42PP4CnW()NhRsTID)_3-`lG@0NZ{^_u!(a#>=`
z&p4_1Dl3d?gr=$fKCCQ#RAJ7o)(@vP2mKIQ=vCsm+F)Icey_h$NT*)5=%J~{RX_S3
zT6I|cvCbxYlTCpvZYx72!gozSrY^Y3W%^dGhhEPo{YXo?`eNlqg_z11q1(nQlQwbn
z9$P8$sO@`z?iV(x1#e4rIW0|=Hfk7LIjAoccy98YuM0(zce5V0U6SV<ykGjIc$1y?
z9+?(~uR;r!_3F1vIE1!pSZ5nge((00H*@C9Yzx7(D~BR3N5qz#TrbgeW~F%QyzXP>
zoJ*aiN4Y*eRebV?+QM5eRz@etaIXy8|6_Mo_5aq4_UzT^nTpEGQ{9s$=zP%;D=zt3
zd$}`UYr}KL_XVpOu3oO}?aHnWPI%gTMdq-Y%$EtWtB*K1Z9M3B((3@@lMgzdlSLod
zId93``eKz*`{IW6DutRBES7s-uUL9##UYI~9;)k@_$=F{ZreuxjH{aX`R)GPNzd)0
ztcCtX?6~slN`WHdET6e<%im6juPB<_^}DfkqwMT84jVRfb~8<=_Q`k9S@z~~P5f=i
zV5a{3$q5GfyXM|ibu`E?(Y~+Rlo)rUV)Ola>vwgDAu4}#7Vn><?(tvnpYQ?uHMX;l
zd7aVqDAv50a&SM-e*2xjT~n7l-2VQ5)Bo+MrP3=iKYf3_ukr`ev?R~SS<l$s@jq;R
zv2m{2q6zQ+ZIs~h{an3L$B5<m?Tp!>y!|uEO(s12cil&9(&^AEpYxjwchuW#HCqsy
z{&P!Aho+Uw`WTJirt9nXUs-j)Pr-aU$D1YH5_8wz?A%}a*qG~X$-+9FpT?)+Po7n0
zd~9q|FSLC9&9{#=-Y$uj-@fO3&@ZoiJ6^#p0)Nlm+4N?E^~Eox^(l+XbG?4n?pFGK
z@BjY)-1!NP4Bt=xK6bCL=z3i8`nk*G*i81E{#W+#qW#Vv=O@~IH+JFq?dluL-Nw#s
zvHDL)-R!F~b3Q72))>5cFaOs*b)}g4pU|LBJkyV`hde*pYn`|A(J@h(=_~BNU+s7Q
zp|hy2ZT1d<{yHBe*X2&rmj{~7Ny}Ro@rZkU@T}I>zo)PCrY@A*dff1)!L_jd)i0jh
zpH{G=e$&<c`BBpoID1x~F#4OE<jfx-Bd2%V>D&Y_5!vv98(c~kd9LyMUXplT=4@<n
zZ0Fqz9({#*<{3?gXGF_eJv2CWw%yM{ruh3pVZNhx?p$E$Equ34U90H9Kkix^@tU&z
z|Ca4+tX#+X+MjLzX&t@kN)sxB{3m`$)O@u>>(P3%m!&*spFiQrH)&~!vT!V&y#CJ}
z`>>Gg-9G8dW*NBnmUfysU7I{5_DlUYj*AC=PI*3=dD4r&M;o2LEw`U^S||PQzPmj&
z=@J{YSsyLA?fI!cN?G6|yW-}n?FVkWa!lCvNx>kx_KH{4#FH!PR+_3?Ts`zid2vaq
z>BF$F`x}ZrwiKQEVbmMlxt`&SfvED4zEx^s30cgqG#ie|3%RZC=URNVdD6vKj)`es
z7aByLou^_O{P}*{`Oo32)`&VDo|sk8bV|EtvxM9>E|UU=S%qu3xi_fhPEo2hKR-)G
z`~br)hCK|okNDm}pxj1_LTPsw3niff7nK5+7G)(F!G|3l2LvY`5EQhWV2=g<KbHG=
zTjA{;xp&O+q__9phH!&aS56A?T%{5^Ny~HU5-$k1$T^R@X!eO*mF>QH(nZk|ZoA|u
z@60W_J>m8;&sj@QP*4DeqasVIfQS=1!>Of%Lr_pj$;G9kLx7P*$p{NnI<3F|&nE~W
z|78B3^71q{mnZu{yDC*QTLd0$dz^cEfr|GgBxI!Fskfu|HZp3ut>$}41&&$;k|r(j
z3=$AcOLKAgcYB)3_Fff970-z(k`s75*i@J&F`jHlaWGPloRA_gA%(+Z68KCSr;Y|j
z&phFx=##n1+gXd0w{z}X`()e1+e|xU1SR`A&InE{a&h5%!jA>+#|YIOxp%f<geoYI
zWp>V#42;g3-Q>xomN^L-i8v{?U<9G_%5$Kxi0!(b>v9+8UY&MP<F?(S!`nn}Ps_Ot
zi7Jqxu$aqCLj!JVk01U2Q2&qpU-$n%^8fn(v)6w>LI01-*Z=tlC)UUBulxJ!>+9?5
z<M;ow|1kgmpXc`ff1a=Z_j&&RKlVSGQIS1(9g)(%j*gBW*n$AO&<aX`M@`aPWCR7>
zU7D1Y7~Nf-b#PcJ8TECzbos3iIrZOJu8D(*L83`=gPceMD<d<5f`9=7BZC413yZ@9
zg9A<~Cl4koDl#xMGdDT#*}swh)h{b;C~=gx!hJ1w;%QkYuYXIpTf)?~PJDLgL6P)p
zMl<eRJ6aedHNRy%zGcz*G*8EEanzO?8-*IzITNZfEi5Jr83z0pHQeo2<1PQj>p;V=
z@S`q)`l8uO)iQJaY98%B6)<gzcY9j<=2a1{*`@Ab3s!QtWqO2ebea0f$#20LzNJnJ
zqkgzP&~kNKd2-|0PKAUZr880QS0(nStW)y(xMPW-s)d%rN}bRs8^a7wgWw6FhqZK9
z@$YQ#Qx((~RNNkWv7>R3qT1HhcK4|1LdvbHigLK(TqRceu|6{N;C4JOxsFTKF+_&T
zda2gzLyKy>UQ02)o1VII^Y6I3J0>c!F)N0sCK&b3p5-WY<(T}I^##vMqIq~P8O@$n
zy#Cz|N8zise7jT=CLQ}}E+c#8nEb8hZ}O{RS$H!dU+k`2UU$bw*zghCY$4@^SGHuF
zIHFq0>O5a(su26s6+&7eaZfb@?kar@nAGibUOKF)YeJAs*rjzlG&H?J^SfL3Uzs@P
z&PSi!c~_P<_kGE{vP1URI^mmTD{lUF-Ey1l4VOUzd+((9CFRv8*6HplulV_<d*ki?
zH&P28@$I#V-?KAzYAmDjn+1{|U8A<Q-`u+G^`^zjf=`lW#7b|v_UY+5aphz32befk
zEJ*eD(2sk&GAX>F(JDjdv(nt#&Sk2no_*QY)$&o}<H~O}Jr}ApCS}$O+`m%NV^uV_
z$2QTg)&636q4OQ_i;Fg|=1_U2y01jV;dvj|nnm?b`s6|a8>(zV8w&#))C{;1jFv14
z**<&8wpXnCg$`Lid(8E8!j3!dC%B1hu3I12b7IwT)l=?q9}A{FIT0?WH$md$s^h9t
z+;xIi9bLt^I&#j3<j*_x_uh!8J7oB0idJ8=-qgc$YFZY5S@~u?uUq@0ua4ZwUtRiA
zyB98*+Wm<AYPW)~SC=xs%oR5`m22*2R6>sOtn8BBrLyc!oq&J2Va=pC?WP*;ZvB%{
ziZjXsYlK6!oBoI$Hh*cPIHP>xk4bUf46|kw{XST+&-H_E$y$z2J300($!<-!cl5*L
zlC>O{qILGETx(0X=lW=N$XdaSq*HI*k5q~|=U>{YG-LUqACuNeH~kcA1aT+4SNbt2
zO1h~sylsQ`N++$D7qd<z&*aH4&u|z0$8g$KiE-tLQ_U7U2iRxoUYkD8^73Dg7tiV*
z9hz5S{Jx3FW<l&e{qGZd&A)YeZ@={Mu8M%<j&R$jGmgkw`byhPy!O^%-#fDw4bISw
zOhNhCen+;?dr@@y!-C%|2YYK*tymI&d+O!j8R|-RrL<1+I!}o<ER|gGxKyROJhZIf
z@Y<za9=Y3NmcQMZwDRqd6Gwxuyxnl^y?)@)vn&_v)>-YdDtzg*Dr@!<(`11a-4XvX
zCeL{KtubO>*8|yA+AO6zmjr!bapXQGucj+~Ga;5SqWjy@2XbC(WFHDG_2LokN@~7$
zM04}}j?X$x?*gg>mrPf2T_`LOBym4!VU!2+EAE8Z8Sz4@y8~Q9HvT<-uzLSgp}W1w
zI^T~R=>4hn-^jHlZ_d8;8ivolJuW&NX>YK->EGsc3nI@JPySJs(AnB_Eqg9Ik3soE
zXO3^($_`?_hh{%Iy5CN@wV;-_|3VboxrCRiXSA*<DoC5QZhAz9CiC-afv&qJT|Lxw
z-s6J2I=B1T4Zogl-*MyIChzd+(_M;9RpQ>PjMD3yvTxlEwmAVYjI5Gc%N}`r+jCGa
zyx>dF&y%gMCm!_RVcQZ{DrS6P9k>7eH<GK~PBM3X!<AvArDyc;$;bO0+izdJA$oDa
z6z3CDR;zCn)Yl7154YGFQl47({Y_2G&bs+oM{cyHm-T7{eO{A%>gv_=9US63o3$@^
zXrAotTzy<qIWBfZTDXJp(tVP`>*NA^Ds~3+#x|yTt&ERJd9o+^{XeC+yhTThrq}<k
zK6U+jN_f-D|8x1K_KUZy=VIR!W)*g{?^}@nq3J!xH@5AWywUB%>I&hnv3EKulS(qG
zM43BxHU~4!+vxAEG&f8`qvcr$>*bYPDvJtEURspgvf!_<&sU%FRfj%?u)5A$<#8$4
z@a7l4AB*EB9f}US@5OWP(|K`C_mrm}rH)SAaHZH~+KTA9b(3U%o;vI*q8$0Pp!3rS
zZJU^-GC#Z8xkZ$9CI4L1-}{9*Fi+!XLD~wT-X-m<haN9lsxd*geqHI}YhGK*ax7P!
zT72t_2AgZ}%2^vvN9xKgWve(EaN@AG)O(?&AwHA*v-*7f)_z%N<Sm!>+HGNJR_=|W
z>0Ry{C$7|4wQSL*<jISJY`WS$IxU<sJ>Jaj-;!DLtdtG~NZu0Ak}*+hTR2zNK6J{;
zsFKRUwZ0eEZVr)olf@7;eT9$fAs(><v)uly(OI)$Puur`MFv@)UbZ_opY2ZG60}#v
zc1ulR&%TK1RW4!t$Fg+pO1W377BqHzW%@Pc^9G?W+?-nl{k>N7cor2#*31^rPL_%k
zY0X-^%HYo8GqVKxs;4$q-Hx_>!XhHaCwywMqJoS>EO+LFBE>g7uH47u&2*(-D#Wrz
zbbmkkK*Dc@Y@+2-uO8vkj~1N^o_WC_c$=<3=gb68jrC5Yhg??2icc!uV0EFb{gta(
zT98cg?IgiJcRWQ?yN~w7zX~;6ee{FS$)hc|It`ZBHU;#C>oi8OCv_@@Xv~?`7#rj8
zId59A=Zu$3H)eLouWl8c9_lIKCvt37<}W?j4HbniUoW-aey1$#;uWVC5nb0DKTLCK
zdQrd=`!+E~@87BamrA`3Gq2@p;B)g9d+8C<-NX5hwL|ELr2h)>uA7aYjw@~wmF@qq
zt>Hqyw03h=!IC$IhU%eF+PsoGV-r+z!agv_T1{GDEs=Y@_gBdUN&fa{ET@E0x#aaE
zd8hbJ(Uhtyk_z}{aXE2C!j;;GqOo@$uH2X1di~a{!|Q+faV_8alE;7R+>k%lZpq4B
zEsM6u$@J6TvS-HvK2@pb>3hD+c$}oR(SB~LUiFL{Q(yS~crkYgd-;_}kv*Bs(HaQ~
zRh5}cI}a<_|F2FG*{@#tXYctNDO$U{cZnLmoK}2kZSl9v%&XsgRS)t%;4_tVkUF)e
z#i*sC>+0n5+)v-eD0zGj-Z5d?`;3&NyoD|zHVx-GI4#afZ=F5M!d;`Z&q_L=+`|3)
zt1fjNEygq2yOu7rmRZSi?=i<$r7+)BWef6R0#*yOX3f5S>vGwBKj9xNJEvJhI0xKK
zDsRr3E&t2M-mSWp|N7l3KbK|vt2oyDIWFQ7=;)KbxroO!@9=w;qVST}mMX!w_D!z$
zEc^R}GxIK=6mwCx*Pg{mx+#Wd|ExRT`s#t&8t(_ZLRrd7D%f(FK4i#7#GVe=HJ|-z
z^VRuh<{tYQ5PPR3)^m@e)7u8Cr8aAMY;THoO=3K{_;lLU6T$%|yKaWITPV6-JX?G9
zgwO_wU2np9Eg6qCA8z?<=ECtLM&i~_y(az6t%?)M6Mv|LMzd6kb;nIIRRmRNYN28*
zKgC4Pr}<w#p)e!(VaEB@9Q$0Zw<OecKbTw+Dez=BN0sNbHiuo^4<~Pl5_}SQqheC8
zhB>RtimhrbANKNGc@tiG;>!9tFA`VGIk9oYrx_+e3x6n78ESYsZp>&rxvMF5l~`+A
zL&bp=IVq0ztVfq{?TpGXl5Rbqc5q4Bjpxlu1y@dM%a?I3jygPTca)STZ$c|eqll$s
zj_f(X_?HtxWW<|7H%45YxLQWM#kH{F>cn;bzA9+fEtLt9I@Fq|tG{2Q%Ijb&XQO73
zNrZ09;{UG{SK8>Y>`vPFN?^5teoI%<hga)1-<`<R)64KH{{uJAf~B&OQOlOh;c&2F
z+{Un-;Q)ioB<CWJERQ0ODvx6mrYL#}o;tiO_jcJ0GglY2+bOeiCg*PQ-FDLKCYUoD
zxsL&2doJt<aM4f_5$xh{ZBcR(1aVsqJKkQFdwE;t?Jc>t%yMUM^Sxcxe4A}MZ=UoW
zvmLnww;yafm<tiO{lIJoZ(cK;+Q$Fx-~H{iw^7jBU2AW{(YD&?%-h=>CV2#TfN;<R
zm5ECxEK%@O)SU3||NgiC=Kuer|NndZrT@3{tc_J%Q)Vv_nE3yB{lDk`|AI&mga7~k
zKmY&kfAfF$|J(o1|NH;zKRYW7wEnMmadA2FzXJ?De7Kz#4LW@iI#c)W|9>>M)!tr*
za2v#tWmd*>XP)y(UuJxH=F2lBX<+V|0uR2Eip)>iP@zhz$i&G?K|wAaT_-#QIfGpM
zx;#7u6*ZNVT~#~;C$ioYONpM4>$nY_5$qF$0WX2~58VECzwGwR#0g59Hh6aB-rn|i
zfA03%J93kCbaZ+)ZrEUO?9ib@65QO}Fo9N-?GapoE*(lrB7%!LI9yvalw1S_yEs5X
zP9lOHT%KH-piMCU*l%A9Gd7;2q;$t@lGOj^w;8KvN_q+k=1F_<DkwQkTC&@DrqTyc
zsDU;<<lWwuYi$eyp#2x%O)x39x8;J4L|wAm`R3h)J_omP-hL*q%{OuO|HdUJ>hmTq
z`62)B{;s#LwynH9FVDK<_O7?@w(W#6bInl`5IjZAeR0MmUCqk);>^x-J|$_3jD_dU
zgbE$zJ;{5Lm$~caik8#=g=K{p6%I%oo)MUp!YjhS%q76YprBwN!N9;EA)w)*AR(b3
zkszU9nOIm+V4)yk;h`ZRVl?NEo#u(X@86ZywusCxxBDpW@wfVWQLRhYz4Ct_#DDDF
zD}V60ab08e`rm>-|2z_}`M%4J>Ae0w*7Eh=ls_!{qxP4#w#R*jo{L7TkF#`N6l?vC
z)CcTdXXN*;Iki3Y|Hh^I8bKE${%FO|2!1*<%TAzd;cdq=t?!sOa?j#Vip!Aih&)(h
z@XqP>mw?6fn{PdvRB+nf+t_f?`=TdTd_ttR`5oTsm?G=hShMX<UpHTA<K~`XQ5Hja
zuB(mfo=Qs9pZPyG{PP3X&WhJx?7trC47o3?{8Vense<Y4?gc-Eea>Bb`9GySu0-VQ
z@??|x1{3+tOEp}VYgm{6)Vq~qeTSid#b-`K?T-f^=lyt8-1XQkkagzW#GDA0lxzuB
zE_q8P9>0t~d(Zvf`}}S2tCqsh3$fS#eS7?Irts2J3t4NA33beB5YNy#dfoTOW0Nhd
zW{Q_zE_O8B{$+cG!ms~&oZPz~>dE&xY<EuB=)b%;UG}Kj)1zs(^JncowR(;9tz8$h
zrq_B$mToC^y{h-+TF~vB=(DTtzR`8v8W}4cT7Gl)l<dW;&Ae`H%G12s7xs3|w$%Bd
zTC>+~ip-o{yW03tdX1!%y@k|%-QN6p$NYmIXNNzYzV>5b>XYz)92tGR{pZ@IpFi{^
zw)et=2kB}mmwhk&c%0<(|8(z*_b-0SCwy_+y1r!YrL0cQ1xHO%x=+j(a?J2LazQwK
z=L?(o&4+J2I{*9l-5ViCUV6_8>{)Kl&TaX)+2Vhmgx6BOzv9Ply-B}swkMhGlhb@t
zS*-)DErsHRTc!oYU%2sDQP}z84Liw=d>i!AuYNdMpRwek(uyrNmusCUxahI;L_*l-
zuLg04HZ4-=@6vw~a7rX_?YiUs9c#|;*4rG~w9R8fisy-+M+&Znc{;b`)=riU`rIKH
z_V~Y@Vb_8G)80tTo_?n9L1XYo#!IFT=Ps&Od(|6UlH)8_nenB|SxGl<LBxdrT-Rr6
z&1JZH;{0B=*1q|ZyW1S3tTsgd{9G`R<+(Ha+4;u`M64StGoJ|AEO{ulM&bYD4Srkw
z7wYrQ>-5&z!Ec?}wkyG*F4MNdrlg3|?oyF>h|qV>6+WlJAGY$Hd*CNDhktI09q(by
zI@Tu_?|4^CblV_STXW^bq6oFn<sV!pAAi8+d8d8emicXuMQWIgF1}E$ap;a-;aRJ`
z&`NV&lla_*l^0Wd_!btho_-|vaAwBbh6yj;%FYp7t5<k_!9y`ow$k~J?9`tcD@6Ue
z$G&~>ROa`bMmri$snkzs7VMh(?`_4xhlT}?O5YdqpY?le;A-FKlTpQKci~A`NWlM-
zEBt2pKb+2Y{sF7dA^$T13&YBj=RKQy$k%1Bp<PM^ThxRHbuSifzAWMQ(OrRiW?YlF
z$sJMAC-wflOU{|T{*hA3+v?1a@;)z9cX7kRHO|U$h6~rf-EqSBxymQ5RSO)7WS{j4
zKX>OpS*#%5H^ZJQ_1wdsHBS3hOt9el^px}S!sa72GrHB!u%A_W7LYejp}xc;#(n39
zBYy%tlK7q+;e77gc&2dq^M%T)It%wa30Yy9rXgi{@zB*8mqUks<Z1jms&#Mjnw`@*
zE-LK1+_h!CV@ON=h7WF>0_`8<#Qf?6I6qpfO<`{^^Acc_xVG+fpi*D1rthgWi{I{l
z?&f6}Z0N+ewkY-B+v^+d^ZNaW&Tzif8y6)Oe0VD#_v*t>f2V&`oNi^j$e*ujg@5yl
zCDsDbbC;SGZe6-vvnl(bRA0l{#^9Q3YyR)jQHXi`)rrwu;ek;{)nknglShf0nfFaP
z+VYTx_4~CQ5~{IJ9`VU6oavF@<CkzoOrnqH?o5|e3cdMlt+yBJ#d!<w-jS-a<=MQJ
zvZrA?KiWL5m&)*K+x#eOXQ9=p{TGVe61Q(!y2WDtSLZ3l{tMUc*_vZ9_qlVl@#PI`
z??mOz;rlW9M9<_8>+Wc+mU=wV<xP^f;5~s`)2<av5Bq4iyQ@7=R3UdxiA1<yQ&#rl
zC%Y?JJ&dF6dcu3YY<_gOf|c{qJEPTh-Jw$!%-ULbF?P)%mds_ntIa=(E}y0*aX{x|
z%s!^8uO<m^UUGnsEob_r<`dJ@raxfz&2wcfoZoVK$xo5G7Y}&uDLnjp!SmbYfSyC)
zE@E?cwwPy_rA_{#`KwyOk^QgHuH^1jN4QzV*ZjPxoy7h<sD0bLPI29PZKplob3Mwq
zZRXpap)d8~;rVRYEj!aAi+*y(sQ(q_e{S^L$aK-&6$?y0t}@LyE1TT-D|Uy?%k>I=
z#<iD1I-WgZ-ur0xwa(c4^WJ{gaxSKL+xgu$|LX|HI9P8!{C%M|pTU}pX;0^tiLQ_+
zwf}zoT*YkuoiR1Z=`X^jPdB(<H1T279Z{=g2OD`exBEFZvhTSvbAIB2V}HY5@?5mZ
zc<@8m>a%9g6N`iI=PaD5$}9KZDBW)BBCpA+uP=W%tr#izPI%ju`JG3_{N;<(o=Y!!
zE-hE96S0gp_~`8ms^#AT3WPT-ogX6q_t(OQjdPZ6lxJyuvEUlZLYe(eM{}>b-|T(9
zT$e{B;PhcT4rK<0o5y_YJ0%VpWNBy_-*NEkVSHrST=8YYrzSy{Hl-WPulD5L>5N&v
z@c5q-(njT+F(<2{d*(O_oP5YsEWJh~-y!(!t}hi^_s(lEv0jiOEpbjXJMF;Z7}@wo
zJG`D=W!OLE`Xoo`!njR_Q%+46teWaC+I;NE`o4@go}#z7#5tKaA9>i(aawJTuq<cc
zZ=Y)#wOmrSHs)rYOySjJWsmCEn7Qn~ig6I57{{Y2UZ3XF9GRy4XHiA9_D&zuHOyAC
zV(#x@@^5&`5qfn?it6Hvtkw?2VYgDRyOau^tMfA2mOk~$G7ct&$SHGtZ`^bhVB8g!
zBJg7(SD5U{XV*Qi_+Nabb8=7T4ttB~$~o(0ICqq5-i&2T+u$SVcXs;vtv@z4@E!RW
zV`cTOuV_K?j#d8@+O32x<u`t^6Bd4(y*x*K=SnLfI{~XO_ItBcc2z$q<(H6^VsP@E
zlx4DPGy9==Ya6EdOfs|H7t^7~&3JXXN~#r?7k`W2RmS<Mov-9>pJR4vXFITD(h`Z@
z8BZHGI%_vnPFixt?dapi8w>3c)IEciDgXH3P!b?p;W8=XU7?7LlFh@<UoHvqE?2hv
zt(g<fZM?-_IB#;BrdJtn#e%Fib3Ck;Ron;_v<ST}tHfy(niAgoAS714UFASXV{B~W
zv{T0>ChV7bQ?+5ns(xw3oxYhHUpJ<P)`~Pg$?`hAj(u9-*Pm>Yx;1%s&x`Q6+Rif}
zW|_&&uL*{s^6mCTGcP4vZ$7%>*BtJXw;A7>8a)rmUUk~y%k=KEJ5GgO^;1~&X7b@4
z+nZU%o!>thZs?hQ$S!(A?UR$XveB}Nha6ngZ-zH<*mq~C{V#G-YRhI;zjCqm(L}C}
z%WF~&?^)8(G~cUbK3C-m^XcmQo3@HtbIy1j=+M}4tpCr>6^CD+*ra;FE6u~lE5NbQ
z;;H+wnk$OlDn}j_sCK)meVr1Z(DYeZU%hn4t=&1C5hr<!CJSY02(Ww0CJDCQGD@^4
zy_~-DiQUH)^G}6n-xM&>T+qR!c&)!@=St`B7Wv;^{EDt#zAPbu97jL)8tZW_4DWFg
z%JC9yJiCei?5sd`4#@*O(;99_Ot`~(bL06;V}q*(^O_?ZxgJ@utUBM~a5&+Y?TP+*
zd8Kz(h?x4U;&?8`$2`+ebfd4ftVai@f~wo%keLaGw1a>3NTq&JeQ<oc{4@dGGQYd)
zE`&MT=*wLE*tA-wVqbC9oz{0o3xXdCw20nFJ@CAFv3XI#bj5kheG@|#>N4Bh->I;a
zX}8peEd>(<dG2mHa`~L+8<8ES8vMAI+XXvRJv}~Q%aOhay@<|>r#LpAYg26v^s4ze
zu~RcZucvy!YBA3#Ynq&v@b6%`adeIrM_sFbs*vXHth1~s#;-Xx9m;rOH`TMHOv|+0
zqurNtv6kwZnlGnI!UWwkAE&zMyCv={e8mvYRF&G!&ET)FeU{{%O{X%qnEzO^MnjKB
z_K;Vz+nY5^i^QL-P_wwOWY_#z&g~0cDYb3jTBO?H^w>Qj_wnS2ukDRH6EC?uSk5Nw
zH0k0moijnl)&?{^K6lWe>)q)Gfi9Z)y5C~8I2Z+*n&0{@UASLQA!5t?sXj6%Zz<*P
z<l^E|yZDiD^IVnwi`foEyM9V8=n!hmHhZAw{-VYwl2KA3Hr`J0&tuLVf-}q*idv2x
zw-K1ocya3q;X{7M%rl-YR(D^~!4}1MDe=_(S1vbQ9G$I8qD~45TzxL;pywtP<;N%M
zcXNKj7Oy@=%g(7A-3=t>i;1$_s>tq``8#K#!iKxiYA3dEck3y=wKY9(B(@+>;L@wL
z!YcQccd<2!&6~w>F6d_DW~CpIYT;!uQ4dcapQp3;)WZ#;@iit#CWPDZt^N2k*?C&j
z(~B4S)|Hv0ozRw7*L_jMUp(o@mo2X<UjEVMG+KFWYVYJ5zk?<iY}NOi(ei&f8|TZ{
zUWXk2M0<8jxOF|n=hz>w_Ws~i8qa=wUXgO@`-?!$1y!c9R@<_@wNv^+#I^Rzbuf0X
zQP7Y5tQNO7CA-Y_(T=bEVhd(CY};$i@%opo&AT+yH>=|BFqZ6nQQ+@tYPhSj)Zw>T
z`<kGYS0w)KVtPMi?MkN=uWnt|kls*l`CzlL=Tk+6-%C4VBv_YSUbsg1wz}o@WnN1k
zy*Mtg*eEx5>akTyuIE)_I9F~dXPGs*p65*PuHYM8E5tvxvYk8NI_XZotcktsVUu?(
zkCsmKu5fUV2;G(9d%53@E4}q`)|B;bKeVm0IJ%{tbsY>hiJMlj<;OIcT@#)PF|ule
zc0?ze2s};ol0DhBPmld<k^aSNVvFB@)pmLu;Oyt9zfEM<jq|RDl@~hj29&*DnJlx{
zD@!0$x_8rKUF~$=h+PY&tE3&e=iRO2mDgx+jek$e1J}HR`Z=b%ZrpcuS6|p76;Sqg
zWwFd&?<){ps@Cql5haS#b@ogOI=Y8@df$_KD>lbCE!)&{aiU0_-f2~xNvl0&JAXUA
zFMT^Vb=?}Zf)tL>`wXW_eFW7%oKwqXGW&7E<9~B#%s(UjjjLt34DZ<TbiVPm7VeV`
z5)o@Z`8JqQhw(MTUxxY?B_%~gK|w{ug&#lcUbQLie9rASDgMav@~+vlPFl|FC{L@O
z>U({6s|E)Lr&xg2#3PH7ehN5*bo93|xn7D;layc)n7TqB&q`}*?=hy-PahR$27G)W
z;NT*Vt23SN^irWjfrAW-XLk4)u!y+KVv!Kx);QBQ_p}ce)69~^AHOKOX(&nY@84a0
zHqE)WeN7avs)mW&y7#{~U+;Eudi=2JcD>7zs}(1-rs^r_DQWna8OeB<Sy>nv=uGE3
zwPfXi&DT9`s&DRFye)InE53%qu~Q>wtKD`vF>i8bMf$h!)LR`LxwCw@8TiE9W#mPr
z6qfGFOWzq%<M)j7VpX}>;a~BoX0h6dJ41A)a~&yK8KF1zTS%Ia(zkaxX%YWduFAU2
zy3j`|%Syu-eBy!(qnkj;ycr8l9BrCh@hz(%#wErj<YjvO>Q!$_KqD8B;goAvlsARU
z$Vdn{u_8xie$JwgRcY1n8GGj4ytglA-R)g^J1cH)%l)k~Z|@zg#j)E{+vl`Y*lKG%
zK3#TZ-{v^WmuKdzo1VMr`<%&VU5@NF-u!+28J*p{KVE~^2n1a^?Kd@kTlVuObN7m=
z$elB?wqLSDeCpru{fBOsrKTw<-Mc$;=HLCf(=+)MEIQNm=O5V|ep_p|>Z<*#E`2SI
zv9r)i+g5vfZ|<(zH_7uS&+Mp3FRPz=^X+Sy>HUw3W2E{{ySv6smEzwXzvwb+Cc8fW
z#dl#P;hMKi#0_m%ta6p+Tx#TaQQ*Lex%Z;lzRs1JbhGejY)$p@X@57CX72v=<yU~%
z`!jF!w)e75mG%mDe01@_eWQgYH&#?cXiVkg%iR4S@Swp$lNSk)b5v$<v>q_<DfUx)
zH1}qF>h@{;Ud~fInGZBJ9dS;&IU^)Pf`Lz<C=GHH%k6D%i}_O11TU6{r+xb$7W#af
z&w0x!GhJQo$IiU@KRR@7u1-te=hWjpYqPHXyq;^Fn!VX=(_68~h=?oO-cGLgR&+YW
z@f_$@PH-%tX?<haZnU}M#cplm-}S3kZSLKB?=CCv1U8N)K7;A4{hY$xvm1qtB5aJT
zwA7@S&m3)frld4+L1U8}V{l`kVBrM=4k3#G9UnUt5hjL$4K*GnIzC($Aqg^OW-2T)
zDpEW$T0Al;!Wu&So~$QbnOihAyKZ^E>de3UYhImm%eidVjwG-yx0)|7tvlm>=*++S
zBd^Zw<;cA3IMek@?99L0S8d+7^}OP#9KpA{wKo4ZU%jjNw%K!m)NLK#VyE8xKRfj9
z&5m5=l`kxh&y4K&vD?^q_y35{%-y-HEt%&n&z*5Pa)0j3n}3h5^17WW;ejTSJCn`B
zwfbCPnwZkRcW2JLvkwcsmFL>(zj=+T^6rHuW=k_)+jRG>a9J<6iIG8Jf`Evz1VexX
z-^s-~Tw5ksvZnBhFtGBAaY=|tX^2RPDac513GfLi2?+5B@CZrq@bQSSv@HIh5!1G)
z(6CIi^M@h(rJoTjFJCEH+B&JsJA3;0i_ay~W-WQWD^o_%>~X70?k6_E&|;}c9&V3b
zwyQ0Dedw2&Zi@G=m^lC5b)M=hr5_ILzaZ~;`owik@2b{iJCt%l56nEegman0`m7t1
zc6cTE`mfY{*V7x+VSZoB;-Nv;-xQm@@*KZ^1Z8yktSi_Qyn0sj=0%QtUni-}6y3Wk
zJ^0W<*PyT7zQvg-B|a0s+U*kaF!G)<D{ZP)p`cgBB-4z8&d)eMGn60c<)7ub=)wd=
zCuc_Y6Us%GCx!dZP7*8K^v6hRqu1QnMZwE<W`0>R*S$}zU8HdHpQ5BacGAsv*KYWi
zQ~caf#a}R><;3gu<qj1*C)rFNe=M@zEjRaX{Oiebi`FKDS!8Ae$b8LMG1v0{VF}+=
z*)8|4y<d9tYsbqZ%bS}*`renDtE_unXfMV3^a}Uo)QwYeDm9LO-+gOMUUs7W?xv#f
z^<R%Y`Rc{=J^OfecApjN`<W;GSZ|a%9GL5TP;67qizunJPUr1jw{3~pzhlFsuYKH^
zvHN#SnDjOOj?}Yx{MK8}FL6C!B=}ES{HWrdkJcs5+0PbUns)j9-o~TFfotRTExvR0
z^!BdUy(O#9fEai8mW0n-JwLxIX78WXAgNP#-bNP|nQhwkaT;Io>S>Y5l7CXvQ~bAD
zo>SK@ZwX@LTF%T{y4A{arK|h;k9(v2#naAPux%}!z?!BkTCnVpda_LGh7~pzfpt84
z+7BAC-D^82$aMdaLrcSV3yVVsqGe>1Kln<>B!95w>k;@M$7a@LK2O=nKAFk&$-isD
zkww~ZHMYA?+<#pY6BPF3jcDP`&XQ$YU4%Nm=rpjf1g4zR7Tf+t{*&L7{8vfd1`|#*
zW~!;Uu)3Z7`25DIuD;pJ7oG6e-O^h5cA0iti`&_U$6ss9UWr`G<{)@F{bfR!F#oNM
zHT?hEuRfmlU$Szd?auf5`HoE+eXhL>F7i!2!`$t-{5f;7?Pl+J_G#}vO+RG)JE?Q6
z&F9PV3jG-tStczfbtDCQyS}_%*PU?S{zi$X&FOa?X9n!N5UOL6etdP)sn5qQDQr}X
zP~7wHq>hZ=<oK@#H`no=o<1XT2ivTvi{8I6c*NAT!MCR1$PZ4L=4?X)*|7I>Ezhf@
zu8(uxzH<9c1DmI<A&1ioCZ-$BelbNg&hzesIR}_TTJ^7e$osMWQN7sDNerK5wN^bA
z?ke6N9B;)R7x#L#=2yFFI~DHsbGO25ca_E_-aPx~9shnCZ+DyK>$TsHcrL#9b4|I5
z_4cWHY|A`PzG;jKJ$*Xv5x=COmDEqWmrtTOJ?A>{D6?J@GK}kAIwj@SSBWDV4?LM<
zXcB2yeax-k35%GeXX7D>Q}0f5=xjdq$>y|i&-BMC^R8@<-J&DI=Qi6Vf8GB~2K{>d
zJfl@7#cKDuPCF3$Sz@}+RXaAj2QjBNpO)QXX(GFC!H2y!la*b%D-0$Cp1u9z!;GbO
z5+9%FvXHLOf4_D2wk78#UptT>{`=BFLl3WtgPRkZT+iOoX6%}~T~ncgRsW!-ab>Yd
z-o5S#-t|*9rsu8Xj_GiyIuP^V<wSpW<B012o7=wR)s{$qYg{&kfA_WgH1FfA=iQew
z&v(A_`R|mJ4<3)^)o-=@+u^<NfxoVm-PD7TSr^yswpV-T`;@J@c!_7;XXnpHFD$?P
zvG%8s(KAu=lbr(1KkwLZP062Opr&f|f5Ee55%KO))dCCRT(aHIJhv)UoP6-a&2^g%
z-`)68Qf1O`^LMjQ`FiDfPd=QT{p7H6_LGbiUn>2BG_x5quJk@R+tW2=oBSG62E|22
zFC-nU{)I}5@O?2ZF!)hj^hokV>Z<!pd570<weG*Hx0vtkwpW+7S<g$;2xc*?T3_%a
zaN48WtVh2`@_moG_klOmQ2WXWUYQ1Y-~4TfN6b&lF623QqH@lXP1BYXPP%21{&7>V
zxWB?Zy~-U`v9f0@k`_)ou;;R$k92w9jVlX}*1t|$c~yb)=>L|PDf{hYcRg6X&i9nq
z!)Y~^1$>+N95c+mW&HdUxJO3e;pAci?T$0OjV`SFZv2?_*5p(7zi2OxSyv<cUbGs;
zF1REx*^yON%74W*E2Ds|jyr9>bWYeTHFwI1UAo`@9W(wOI+G#bewm}(v+m>*fochp
zjy=A;vMkN+nS5%cRcvH%+(O~}*fnYAr!1JL7F@sL&9j#Bu&E8}b?>;;)|Kh6?GqDI
ziJ5q3o?1=P<&tN7XKx4uHuIGGH0<BTx-Izn$puY$$+w>v99?BO*IzvD-m8{lWw**o
zp9XN>o8KGOwX0sr(NK-=Qc+o7>5eeo+49>hYA3g;_WkzPK5o3&;&$kR0L~v5cbq)S
zl2O{Vb4&iM+<!L7H&4mA?RRdR$+7bs$C34hFQ=Wg-O*KX^Ciz=rv?Ap<gX;`JJ(jU
z{H<4#^p+orUgm8JQZ>I+<}R)+`FVbiM!NHz6Tg2wy1nq-+-AmB!Nsa;8t-WerAo<K
z{EFK5<EiS>3;vvYuUWn1RVa*1J8}I?-`p1*SME%0__`@lQ{Le4nVYlESm;brYvR^w
zU%=)XdRc30m6L_;Jf8;sW1X|NwZEvCcvkqU#^wWODz#2#=eIe_ofP}ndVKz#JGX1^
zNq$dy`?h6b`licmbDi~8tl%@K*ktW_$+DnH?L4C_f6?<E%XZHIHOmPPHkLh-e4fDl
ztDV_j?wsHG9Kq7_E&f%TzwUkUY-iq-%!IPpzdje4eZJuK&LyHW=g*6in=IBz8n2IG
z-lw5?GNtD9u1zT~y^LnY8?C#!sbrJSv8t1+Vr7=Z{?3kl8hAD7SngIX4~fdpMgQ3R
z&h{$ZdLi?VXLZu6j5R-2$jLA-xO(x%hgn*WFE{%9jZkG?_g%-hbM15IPXAqprTqn$
zFX~(=y}{2YUgS--*s@dea|3SQS}-du=ZB7EeZ{-v6lUd+rFE_IQiFe}ur(&P&s+3b
z)3kY!YNOA7wVK>D^C#r2iddIu>URG0;(H2_EYFNR=JkF0-ZR<o)zW3194Ak=y_R&b
zPD;vn$1ikg;_<TFvL!}#JNA6l+FXD0YjarIdZDllA@zs+&!;LG1|Pgw^~5}W^W()F
zLQ)g#Ll?DctJT_68L~!xD_$5Ay^Aq7``YVwO>Sp@yHq$8U+rFb>w^6MSzaZ9haxr3
zoY^iKYrQ<+nq;in^+#!y*M936zGYs&I?iYB`uflm=EUc>rxbO+_HuVR&&l6o6K(K5
z`quPT+dyWWwTo2RcL{en&tU#0uy9|HuKE7TKaGCn#Tz$HK6RIEzGaQ<SI1H<*6h|A
zt+%U|zB-_7`r7)X`sFK^4qS=3ni=Ts-s%0y;XI3w=*eA;>Ec%!L}Pqf?>alyFLBl|
zC~$u5ZsZhPxOwl4;|uTo^qH_=CgbZ2g#~N4g5<*2XfD{U=)dCn9+^Z>=J4|y5(1ua
zMabCw5#{=6cm8?6neW%16&TEBJX-SYnZyAuy?*<;Ln0}q7dL$Q@@UVjb@maKb&J2x
z5oGsq_S>A)(${}{#yN||6Z-9Zlk0ff8tw{4$SDQ+=%l<cv9DdQ<JZ2ui<o&-_3l((
zy(QgYxw#>u{JX@y?M*dJmg({~%SHE>f2w~HANV}!h1A=I`wu*y{K+d^=<q_r*XnPT
zKbyuw1HKDBX}sBX<xQtWGaPJeY_!;vw5~l+J$T{Nj=Nzy4$W-6t0$(>^=W(kbf0K#
zIjwXTj{}o=I2ZhV_p{<>^h#llmM51lo)J2*S!XGW^U~Z#*V_*Y!dI?q`2UE1wg+27
zbK6`sfd?N{+j%%Fa$ZhpWNhWNj$vzTN)CB&bsmd^Q|{J$_NWKVjgFIYI4+!;EAX-X
zEK_rvtnlG>S*F9&<}x3eHdna0T~@f+<dw?9T=pCL{$Km_q2yiTs-~-Q3EG<{JIT*|
zw{Lz<`TqSm<-6zS<rmlA{a2@d^ITniWd8pBdF8vGpE5}(a$?O{6Zq<Jd!l`1_N2{W
z2RvDuL#O+lVPls%(6Ev7M_t;yOTXryObIakJ7cQ(nV{wnW@WKc4B=)P!mU1W4UHCm
zCSRS>I^lTN^+)xgNs^O0GTqrfOtM?^%-Sr${D}K%u2Wya&I-3oJEWy{!{x)O9kEw;
zdw#aKaK`H8T@CFi_q$Jf?(Wxm@OQ63nwq`$$1_`Qzw0j#uAKY(`~QcJ^(8nrw0});
zX<Q-L?o_^Ip=9Jao!ys;)y2+LzH|KT!PB0;oo}(`qD$R3G}x8fs{c6YPjUAybd&tv
zwAeb%{O^?`8S_Fc&osW4@o;i8;hE`_8N1B*;;a>~%1*Xe<safdE74#bwzSbHUb8U!
zbeik7(3O{OT;F)@Y(=_+lrw95#)RFM{~dikwMIbkuGeAJ^y7aTvv{XVsdPVU7P!OD
z@-mFA#Lww^vcr!3O9kw%T70}H%W$^p){VGKYbR4P?OW9)At}+8w>Q+>|NCwC+wZse
zS(&?-MWoreuko`o_jflkHS@%8%@R3g93B1GKrBSJC*yQffKW4Ant=cAWB2~=5dCtR
z)jacB&Zk(h$1l3ASa(VPw`6hu6XpBkq3-^<^B&E)v)Met(_iFujHBCUhq}-wp55$8
zDaw-1n9bLnGh4{>AZ#_`Z)d)2*?m$PM^6baJ@R+zO17HEN=A(0k1hA<U8zi%)2Fa_
z(}m!R-ZF*{UEVTHocHE_G2a5tHQq91B0T9<cl|>n5AIub@p@+K>QY578#UE)#;hjB
z=UujVEfUEP_7baC*4^@AkHlHwCI2<%n)`e{@KSuix#lY`Y=aUq3JeNLS`NE!3u~}Y
za7b)iuwa9Lboh_o$?rZ2)d`Euld}l@@uE;)`|u4p_tpZ5z_RQ=_b;8#Gl*T@$ay|U
zXz!7f|8fa-ER+15r}=)`xO3559p|kl&ZZ_83US`c{v_1DhkLEr8aAFg8ZW)~%I!`_
zyEkKJc(c6J<@pDG=Q*t~H@>nxG%f$$+mgSHtO4b1zdk<q;<7RPx#<q$lQ-A=+OAsX
zdW3R6w>$fQ?V7{6<@fI1)syCExoM=$FUs(z-9gQ^_*reWYjVGG=x1&jK3}DYnj4#g
ze*`amAzbbmn(xE!VK;Y&8Kaz<>h0yq{1;!i9q>4}HYdl7W#%(qn|8@}6|-EN*Xiyn
zJ9REH=7q9p#KtYcPZ$ne{g%<kJm;jTU#I8=4Siwdu(#8l7YlFTQ#sXjME3NZ>kghL
zooDJXZ~Ru2bp6JpbGls%(~o2dMWt0rSQ`aAdM8}!sn}J=miTseSom_my6RtxRy|!|
z@$OTi({1*+gPM0`r)b~Lzw}W$Nb=Q`4KeGoOnT#70)kR5E<XNT+x~Cd>KX6;S~Zut
z^72@_&O5X{idoq|db(r|<8H2V#kcN%7hwnpT@$AF$YRmjlY0KSY1giq@k+n#n94Ry
zJL*J7`eP<jmdDJSTrVt>YW|UxoaFWT<JV>DLhrTzkIQ{^CG5ca8Q%n*?ODy%y-YO_
z(ePo5W!jLHe^&hTZ2k{oYjwVT3l3k!#g-g#ef!rw#%@3MrrfS`1<K5;W-ZX+N-GTi
z_m=0ReD-ACR2fziMw?Yjh0Oj;aJ5^(e5l=jp?8-M|H7h>#W^b&7=nZ)b_hSZksh4E
zTRJakInT9Z;q6n|FG^i%j^mAZ*shpfe89=1_UP>wOAT({3-~oPhR2M{cg}?i8i6vM
zUX^b|9T;y(F5zVQur5UG$&5R4_uY*RXI!seKKtyXpU(UncT~5@+<V>dTWmw>_L;1&
z?1Jo<zL)D_SQfqGoJdgZl7;Kip6#soxT{SjuK9OlNcQrV&o?&Tn0;$m`>8prW*>B&
zzOA5QZK8@`-od@!AJ16NnYP9F>p=&b^7YaZ%ikUlSjv-IDD}UPqrrne!G|gIRfF%U
zr;aZC2evS}Jg9d!W4t@j!^<k$$ug(Iee(GnE0a{y_@Ez81$_6pFnrSC6=^cwe|CSc
zgro2^t*e{U`}-~h9y-V6-F<SsaOB0^?gvhXpVOP}yZu*LN}>M3TVivpt3STGms0DY
zvu)BVy?%i^6^pzAP0sT8>K)h1*?BkWufdJj;MMD7G*WY&V{cn9#&O*?P@a^o)Tx<!
z`rNkI6OKW5(zGWnI=MCIUfZMm*GfGzn6?+rNKxhY?2L{+#=A@Tj@-$Q^NxgmQnd{W
z66mOSyN9d6AW!{<#=+M|KM1DJ%R7EkWbOH{vp#!#TGy>Gw_?L$P1}<$!i}YyA3xdu
zg5g8XqCel8<}C2q_1Q)AzSd2Lzv1NpK8vP&?Xd_rYQAaWr}v$nSsQ;jBpBuITB!VJ
zZWLSK3Pbmp6-EtSy_S#WYB8^vGDG>^3nPZ9a>YlibGiE5GvnMp{Zc8hb69d*+H_`|
zMeBL7k8VDETrnCCcAD99Utly`?V-xAn7v16W#vEhIa=ZQ%5UvhM18__Hz@k%d!4=Q
z5cH?s!)5m~i^J@b8MPdLEDYvXW#E2$<+VoBmicp+ILNk$eVy=@tMjUd3iHhqUy~eI
zDmi8|$nP^d!g2O!K+e1)_T3+jCrO*N^ICIAKRM1PtW~|iQ`pI6YVC|QLGE2CvFa8N
z_otdqvUnw{D)Pc9>(~7!Ho7)V{Jd;;4Od?JT~sn-ZBxxmo_D&ES!Ic0y_G&~v)^uc
zRgwH`ty-b=Zpr7>GR-IJf2kjxXI|KnR?d25`F-<7$0Z++u*$5qW&Y-+=~$l1`S+6Q
ztFS2-bYmC2>k|6C)cZ>RRMjar=Bc*bn6fO%DBiU#cwQ8fJ4eObH>!J6)&&YQXDodD
zFGO_HS$o0t(oA<X?wQ<Vc^tf!eU6{kt`$0y(w2st@|Vv(y#1L*jrn<=&f9w~79C~3
zQGX!hxxu%BB?b+>-%dVBso(vbbIL15^S?ohB!6|NS6UV9|FHSlmZ+`HI~f%w@lJRY
z#?aLu{jKnC+Wb`CBpylbqkXF~Y}xz8XN0p}WLW?7?1szku53)tJ#5?idZk~vR{gvp
zcX0jZXh$cNkIxD}926ExyAhTAOm1B^v*WfIy8_(yzQ27ysZ%o6p|flMqk{?G6KsFf
zJzc5cbjxG!mkY9=#jR?B_olvZP76}^J@mt(Mj=&B`GD5V?Fq}4GI{Qv{@Frb%`w`q
zgSVeAv{j<IL6c_|pH^RE^K!naS^*U?vEN$K4WAf_OTPGaIW|wR&T37+c2`qVEcZ;c
zPQxYl`*-_qTJUyRcm~_AKSfS02I|YS0{8oAuDs4TztKtJiO=H$W>3ClZH+PuSlv`x
zygjk*)AI*{%YyvG4m{k~wBmV@ec-&*1v(RLZ|wd4_-i`bvZG&jHqQTjGh+Ga=;htp
z{lfC+u6euq%%;rZtrC78+E@A5o!Va3+aj&Fy2t<iYcZ~$u}7LZ<+kb8D&!~atUqz<
zTUbxmOM%8+ot1aCO=b>F`So(4>|(u3y{}IEwNeOMR@kuk{7;TBlkD)f&4x=me;klf
z;Yd&vXAsw@yu*4?Al~_Oq}$=hT{o@fimf|-Dd~M=<COk$ZHxQFKWe@@_afjW<B1oK
zUS%)QTyd+C_x*n>ZqG!!TdLZRxBYjhX7DIIWY%0S`d;UP%f<Q^``wOOet*B=yTHVs
zil1z@pJ0(*Fjb;Poi|3lO-8i&>Y9ZW*8+5AM{E=;bI_Tr&}Jy`Q9CIr=T85l@TE^Q
z10Q(J`DK{@BQ8;YU6q*f(SPUsQ#Lyud7c!0{jso^XK8R6_ryOs^JK#d+I`~1Hfi!D
z@4X@%dFI3OZ>k*c3w|tq+RC{~X@l<F^Qo`jv}t?1@N?Ht%X}>3`;<i|CZVBY17p3h
zuw3fHS>H~-ikC_fo!**Iy0>|f)Jm@T{+AmfSmGYEa9BO?YreD6X6v2Z(Pu61eP31Z
zvEqSqFiYQ*mz>J_msGl$)1$fHUhfu}DsVdgS+SbkySO@q%i6Cq&Az;;Sh{J+&11~_
znN}ETF9>K|ZWXelsJf<T<p&N^za5i`KRWWvU$i;UH(`Ipw+DS}M|_!|xjdc0;o5Fy
z8T0O!xlEbxSC><>-w0^tZ<-xZDfA`Z;)HJY%&F!#9oOtSUAFI^;r)Xv3yc{HFFlwk
zW9(&leyQrKs|jm-UWT}>&-c4I(_{OW5~FzY+{xOF$3yS&_B`EuDOklI)_Wt5@SmJd
z6JLE#y!!9kt)H$+ebwGy9g=Oo-zs#~TvzQada@ycF~FOd1$2TB2Lo$pRaD?{)5XCI
z3=Dk?3=BLBoD8YS>7jX<C3=~8$wi?dtPIRmryr+tpFDH+jCX&zr;cY&`Dy*G?`ORA
zyw3Wb)%HA7c>1KizPGN?6=QRwAPqm=Q$A--uJO`2dFK4)O<p=)=T7K&hZ>lK8w40I
zCn+3a>kX`sxRf!0n*rtu2CyrXcH8{k$;!Y0!rVx%C`w6$J3?ct{@;W;ky>2_F3&f7
zP9F+R@SZtf>|*C4UBNa_@wDexp1Z{ht9WjUd$dSiGEs72@Uxdaw8HU7DBr@CPpjS9
zD>fx+toVNVoK8>F%EFx$Y1y|K9x&Wz*qq|O{c^77Ij+RbrL}jOc3-*k=)p5}yXcSV
zJl9LNTySTa>NM@1f^5E?bMO0Y>fL2gYq=L6G@7yQONr;2f<0mCTc<uWjx=?TKPtQ|
zFUt7s9VYYboBI1t@3QNDUX;9R0{=;NmPdNACzk&%SnPfN%e76ny*X}i-_#Yne$&ZG
z?ETF?)fMKiOAN0`xasD(>qbrKe1Bq<=gNvacdbo7s*cQaEnp5l)Wfx1{T2(;5{W0L
zA3iN(o~Z9}FeTun`E;iXSw38bS~uqDY@4u4c!uPewA;ZPy|%Zm)kas_-Fhi@C*?@C
zmrdANq07hpYwx6#P5i;zwLXdcMbnGPJMG>VhED!{v3Nt)q?mc9d-wf4@=fUf#7C}H
zGAo!bc}c&t-jG$Ku;1YR$8S6@j3Zn>O>xlr(>6<NU)PeJ#Vd~mxy;<3Df8mBlgA>V
z>ynjkR;779m#^3^d%EY5ZA8PAJ-L@%?lp70+>)>2Qasl{CYif##<Se&eNtI<W`8$v
z^e$W6y3Kd@s+SXuF2+Zgdd45U_^eiOE%U)pp1<s-yX_NC1UBDFzw)KWDW?62wEq5`
zZ*D)DyGQ!T?f5HyN?fG(^nb3gxl^4Gs>q&yT<;d+ya=7z;7>^#A86HypKi}uf09-A
z<o<QV?OdW(dMi8Eip^f%xmJAD(a#0JjFYQAeqHRtXry&ZrQXkkgJVLHaH`ab3gx@k
z=4Dymz2#EqF)j4{!ez&z?NY2-%IcF(?mxHL<J{q<=PQ0bc<W(&ELHA<Vpd)aJ3IFW
zg)F`wag+8wal3bI>xHtrMYkIxS(fuYYvX#qrMfuCKr8f1U2$mf;qZ;kvy_*4Z$4<Z
zA**lQo2C;hK1-MyW>{SRdi9*8WM|=uo0W3Y+4@)CF1Vbx{pj)2o8Os6n3~pzT3(mf
z^LWzjcc0yMF%>VKv~b?{weDB*ZvW(cdv57QkwPE#*<y(?RlCF@{T%xuEf+2nkP3cw
zJ8ssycd^`=u^hdIqL$5^3#VHJ`)^e@zp}O?Im{#5)$N|!OpXK6SrcyLa~_}lKc``P
zyP>RQW2M59(uby*XL!#E-}=^deQA~6w<_;#W>e$VMr~EP@$$rbiA28&zI%7y{wO?i
z%5|xVwbZNCPnWiaXKnXb<ij#Qb6>%YkKba-q$Yow$)l$H;9#zMnfMG&J7?QvWz9bi
zzd!be{lkL0+l6LG=QGAm=bgsdaA1Yw+@Mn$#>PrsT$5B@COFMpwCa_RLsKAMivpjJ
zK$3)FhlG*}lT*h64Z&E2Wgqr43SX(1{4h%*cET5{nb$YG6}a{-i(7~9lIX=47ABhj
zm6ivRVn^qxm7Lqf_iV}Thcf1o`(xcICR<%sY`k;m-_sMvC#N;2@`p>kj4OI?VVLUr
zY7<AMQt#ZG<|R|6>debks!&{cLn-Ox&5Ju`EiPK=Gq*BEi^)Xa^!kJM{~cCLmgAJ!
zH(NtS+*GGb&)d}F{uiFYhoaL;4r$COPgosS?Eh!J^XeV%)SFiw{JZ#6>lLkSpRX=I
znwISF!FZ#skDB_ol~wQOB&$pc)4Bd*g1p@2mX3%iKi591XDEDI?Q?O>mswK`*RmZ@
zn)CkS!GA*TYtQ~*GFdD9;QY*sItFY9Z>cVO<hiYhDLF54$trfwec#`m-QnHH@Y;C=
z-}&vumE!Xc%55|Bcb+nV?aaBhJ)z&9>DB#t&)umew*G>kVe%dCYaRu{EYqF(HY}Rj
zJMBmG{P}PFRBZ1?FQ3o#J>tpICLY&SrJgL@B4-ZFS|itMcjbcBIhEU+6ECIRyuR)9
zgQ{g^6Bx=xwa%;&ihiit60xRmap=`&@fX5x9)0t`B02M^$AR7Ik!QkApPMV%d;UJ_
z?l>`x<hEqKB?(nc9FGdp`eXuTxrL%tILn^28CEheFwAFVU=TnQ_3=e1iF#SZ`FZg2
zzIRH#zmlQAvHQO@)l{ZuBz>R1f$P1Ep*m-ip2l>E&6>BF_P*X0b368F&;7r*V&6OX
z9j#?P^XJ*i%QF+_e>-5;+oHGB=z_5E%A%YZBE5Vzp*ywe)uwA7ea4-9__e&iHvc3M
z)<Bl~lIf2=UeHRBn^VNs$!ww$5*!-z=-{qXqB~Zp&6y<B-6OOkW#*&{OI1&=_~)>+
zaK|^3X_F4?hVCl6y(3jsO~~uKXSL#+X!pX;4Ew}-gfm!=7w(old}MB0c!F)a`rO4k
zgtt80WL>sw*6dfYIV*zKR<Z{2Bwom5n`=;zlRbIwgk4!@dXzW21h+m~EVjalL+zP>
z`Nb)cJ7$U<5=ofL>Z{-MuVTx}0=3UF+>cyBOuME8oPDNrl<D)-G%0~ZDSt~}PP2+*
zPo2G{PU5<tzcMKHM$y(=4XgZ?@kfNpUEtSc{lPsm`0ZnjNpm(|dVgxoiIoPo_S?Ll
z$IHIY)PJ+(qGbv^+|B<c>Q$M(QQiBQh4=U0du#uGO1rRm`?Y;>oSQwI?{AKNcs<#1
z?c=hFCNt|NlXE03rb-|5S;g^f&gpRD6;%&reRA6Cw@Z81ljO3V!zVWU_fAZSJ7!Td
zE$5B7YHwH7x`+E8Z1qV9DZlur{PorK3k<K>CpLWcTD33Mc7Ct2cEOI4|FUllTwe5W
za(!bKzf)pW?ldbzKl4~x&!lRHr%fM9*tga?OxK(x8FuMa&)b}&@}8$9_UTUY7791i
z)S55;^Ukw2t+O}XSz&HkXFoM&{yx!v$DWE5|F+xEeRH|$Qv-+R87*qN9~eIVa@xJ%
ze&GDev5T!k-MPPK{h9NIZFg{az?0xr4(AT&h#XxqD`WFLzwb8p-`oC2ORwfTPx-1d
zGcXkCF)$!EP0CZ!;7Rpv<lDU420VZEFW>0eJ>{?2+FZupo0+6HJijkHSIu=|Qny;j
z)QQVB=ydJ5%w8H)_ujs~w*KeFp8FxXuloG2lzu#Z(<S|G(zcULeY+N~ne}nc%M9UH
zo8A>}Wz1Jv^Ka+bMU2NdcAVJ3!~D<AeAfbj4>MDvT%r_a_~cCRoa9#GI6E$2?ge4N
zi>d-%$~<NkZDALg7qfHfK9crX?sn&SGH=nB<zgShyUiBfw)}nTsQ9sbqtE?6Bx)z$
z(6f7H_usU;|EIbU_u+c0CSHz7s}jV$a-SX0ZaNZ|v5Eg_v{=T(R-PxK*&CeL4p?t|
zx$s43sE6mDTUJY0oNHBsnilO7({u^+?VWOL{c?9Nffy0b#V<-tJvp0pty&`Es2dy9
zw_uu)rh9<*>?z7Cmiu`bT)C$Hzj@&_C(VNa;fqBSD%J-D$leWHp!_E0;$4OEMJ(z+
z);(M2JI%f4ahHSSM)UOx|8m`)yNXM$E!?F*+RWnIoTVi@F6d9_PuQCD$nIotjoa=w
zKCQE78LfIG{jmIZkk6jYlV`hpF+IMe+-|eACAYjHyUDxSc|W@r&YUgb^Fz(h;rSU3
z?#*k9#d3C)o=-Z$?X+alyIGyq^EMo3+4X2!r+U0)?Pn{WhqHcKF8rBN{JdvH`-Fve
zH4Z=QIi`G?!~D15{^T+SiHFBtd{E=won~@rC3CO4aLbJ8KmI(OcsOuZN9y#8r_9~s
z4peUZ9GH0T*)PFz>)$!a#`k<m&z|x99=ujTEGE5tzjUgM;HPN2d0Q+0`0QP;@ik|m
z`UNMGN0MK4l$+Jsw@Lqcw$L;3?(a9dR+*Xaz9Uu9F;nvPj{R)=-&#7p`zL+-^BSYC
zXP(y>_1@VlBjr4QcY1lbOm%wd_3BW0OVdr=;&+e49?1Un%ws{tT!!3|?F;km-u);G
zF7(r#%EA4vFwDhxnqGPhztiI@=FZAFMQ>_K4fzjQe)jmT?*7>4^s(JVJ3ibzcI^GR
zNeYK*8O~)?moMGel3sQq{89On&i;tIWm~O#*6sJTXL{$TcI?)~4HpC!e)rDn?&e9H
z?x@4_CU}3%PZtyScG-q${CS(#?Z5U;zf#iO*KmpBWqDYeAJX&4jk#J}!@$6>gMop8
z7f})D6<6k!WWci)_lLAJ!Ni1w2MGy0YrCqfD&LuDD)=*Xv`Sh?8hxJB-K72bOYr2&
z&Yz9Ezg+qp<m4P7IiuUp-tW5IOTkwUS5}{UUh{!-u0ikQ=Z8(t{hVj{b3&)$f!Py1
zTO_Bi+{2@Afa3^nuYy>ZwS{6?LqUVNwzLboa<lvdKE~JV3<2JZOd`yPzEbD>OP|j%
zGBA8#VPIfq;AH>-Mg|6khDH!8CAmPaA~z>AgcH(f0(G2_O<)PFin^s*^j@2hfkB&z
zfq@Ujgg=Z7u)bG(aY<>CUK!Y+%F~b2K^WbjCuZ4M=8OysaZC&hf+z;XFryokkpgiP
z$Q%$xH>*oyPCG9X0|N(=Ss)KJG@fQbm{pKil$Z<mAjljLMmNhlA#j?kB?E)Q4p5{*
zf(T-k06W|)z2c0-f>fOT19eMR3YX{Bxic~(xHB?vLH!3YC(!|6PDLKr5Ku^iFv2mA
zUZsrW=h@8b85lsA8^sWT4n|mL>!pGUavX66atdk>8R8{IaICwUGr>)P^p~+3191#$
z7Z+j-#7C!*i~)Cev6=$OG^nj7h$#@qY_o+s2B|HD$0*c_4cVwP2e?ss;A#$!DX6&^
zVhY4t`<)S{K=U+;Ijn3Tbvz6_44@>N@502O$KYy`Yiep?;A0pS84&D|<dfl6nC6{h
c;pLiXn37oRpXL+jl9FN;mG2UkS7>4j06Itm+W-In

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.tcl b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.tcl
new file mode 100644
index 0000000..b33ead2
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.tcl
@@ -0,0 +1,110 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+set TIME_start [clock seconds] 
+namespace eval ::optrace {
+  variable script "/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.tcl"
+  variable category "vivado_synth"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+OPTRACE "synth_1" START { ROLLUP_AUTO }
+OPTRACE "Creating in-memory project" START { }
+create_project -in_memory -part xc7a12ticsg325-1L
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_property webtalk.parent_dir /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/wt [current_project]
+set_property parent.project_path /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language Verilog [current_project]
+set_property ip_output_repo /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.cache/ip [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+OPTRACE "Creating in-memory project" END { }
+OPTRACE "Adding files" START { }
+read_vhdl -library xil_defaultlib {
+  /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd
+  /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd
+  /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd
+}
+OPTRACE "Adding files" END { }
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+set_param ips.enableIPCacheLiteLoad 1
+
+read_checkpoint -auto_incremental -incremental /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp
+close [open __synthesis_is_running__ w]
+
+OPTRACE "synth_design" START { }
+synth_design -top ecgUnit -part xc7a12ticsg325-1L
+OPTRACE "synth_design" END { }
+if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
+ send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
+}
+
+
+OPTRACE "write_checkpoint" START { CHECKPOINT }
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef ecgUnit.dcp
+OPTRACE "write_checkpoint" END { }
+OPTRACE "synth reports" START { REPORT }
+generate_parallel_reports -reports { "report_utilization -file ecgUnit_utilization_synth.rpt -pb ecgUnit_utilization_synth.pb"  } 
+OPTRACE "synth reports" END { }
+file delete __synthesis_is_running__
+close [open __synthesis_is_complete__ w]
+OPTRACE "synth_1" END { }
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds
new file mode 100644
index 0000000..f40943f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds
@@ -0,0 +1,281 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Mar 26 10:39:18 2025
+# Process ID: 57918
+# Current directory: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1
+# Command line: vivado -log ecgUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl
+# Log file: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds
+# Journal file: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou
+# Running On        :fl-tp-br-635
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.085 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :16873 MB
+#-----------------------------------------------------------
+source ecgUnit.tcl -notrace
+create_project: Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 1573.871 ; gain = 145.809 ; free physical = 6575 ; free virtual = 15594
+Command: read_checkpoint -auto_incremental -incremental /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
+Command: synth_design -top ecgUnit -part xc7a12ticsg325-1L
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a12ti'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a12ti'
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 58119
+---------------------------------------------------------------------------------
+Starting Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2006.461 ; gain = 419.684 ; free physical = 5784 ; free virtual = 14790
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'ecgUnit' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd:44]
+INFO: [Synth 8-638] synthesizing module 'fsm' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd:48]
+INFO: [Synth 8-256] done synthesizing module 'fsm' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd:48]
+INFO: [Synth 8-638] synthesizing module 'OperativeUnit' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd:57]
+INFO: [Synth 8-256] done synthesizing module 'OperativeUnit' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd:57]
+INFO: [Synth 8-256] done synthesizing module 'ecgUnit' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd:44]
+---------------------------------------------------------------------------------
+Finished Synthesize : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 2085.430 ; gain = 498.652 ; free physical = 5690 ; free virtual = 14703
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 2100.273 ; gain = 513.496 ; free physical = 5682 ; free virtual = 14800
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a12ticsg325-1L
+INFO: [Device 21-403] Loading part xc7a12ticsg325-1L
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 2108.277 ; gain = 521.500 ; free physical = 5678 ; free virtual = 14796
+---------------------------------------------------------------------------------
+INFO: [Synth 8-802] inferred FSM for state register 'SR_present_reg' in module 'fsm'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+             wait_sample |                      00000000001 |                             0000
+                   store |                      00000000010 |                             0001
+       processing_loop_1 |                      00000000100 |                             0010
+                output_1 |                      00000001000 |                             0011
+       processing_loop_2 |                      00000010000 |                             0100
+                output_2 |                      00000100000 |                             0101
+      processing_loop_2b |                      00001000000 |                             0110
+               output_2b |                      00010000000 |                             0111
+       processing_loop_3 |                      00100000000 |                             1000
+                  output |                      01000000000 |                             1001
+         wait_end_sample |                      10000000000 |                             1010
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'SR_present_reg' using encoding 'one-hot' in module 'fsm'
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2133.199 ; gain = 546.422 ; free physical = 5456 ; free virtual = 14942
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input   11 Bit       Adders := 1     
+	   2 Input    7 Bit       Adders := 1     
++---Registers : 
+	               29 Bit    Registers := 1     
+	               11 Bit    Registers := 111   
+	                7 Bit    Registers := 1     
++---Muxes : 
+	   2 Input   29 Bit        Muxes := 1     
+	  11 Input   11 Bit        Muxes := 1     
+	   2 Input   11 Bit        Muxes := 8     
+	   3 Input   11 Bit        Muxes := 1     
+	   3 Input   10 Bit        Muxes := 1     
+	   2 Input   10 Bit        Muxes := 1     
+	   2 Input    7 Bit        Muxes := 5     
+	   4 Input    7 Bit        Muxes := 1     
+	  10 Input    2 Bit        Muxes := 1     
+	  10 Input    1 Bit        Muxes := 5     
+	   2 Input    1 Bit        Muxes := 5     
+	   4 Input    1 Bit        Muxes := 1     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+INFO: [Device 21-9227] Part: xc7a12ticsg325-1L does not have CEAM library.
+Part Resources:
+DSPs: 40 (col length:40)
+BRAMs: 40 (col length: RAMB18 40 RAMB36 20)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+DSP Report: Generating DSP OpUnit/SC_addResult, operation Mode is: C+A*B.
+DSP Report: operator OpUnit/SC_addResult is absorbed into DSP OpUnit/SC_addResult.
+DSP Report: operator OpUnit/SC_MultResult is absorbed into DSP OpUnit/SC_addResult.
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5010 ; free virtual = 14754
+---------------------------------------------------------------------------------
+ Sort Area is  OpUnit/SC_addResult_0 : 0 0 : 1007 1007 : Used 1 time 0
+---------------------------------------------------------------------------------
+Start ROM, RAM, DSP, Shift Register and Retiming Reporting
+---------------------------------------------------------------------------------
+
+DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name   | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|OperativeUnit | C+A*B       | 11     | 11     | 29     | -      | 29     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
+---------------------------------------------------------------------------------
+Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5002 ; free virtual = 14753
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5002 ; free virtual = 14753
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5691 ; free virtual = 14730
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5683 ; free virtual = 14729
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5672 ; free virtual = 14747
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5670 ; free virtual = 14748
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+DSP Final Report (the ' indicates corresponding REG is set)
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name   | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|OperativeUnit | C+A*B       | 30     | 18     | 48     | -      | 29     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+--------+------+
+|      |Cell    |Count |
++------+--------+------+
+|1     |BUFG    |     1|
+|2     |CARRY4  |     3|
+|3     |DSP48E1 |     1|
+|4     |LUT2    |    12|
+|5     |LUT3    |    18|
+|6     |LUT4    |    75|
+|7     |LUT5    |    45|
+|8     |LUT6    |   390|
+|9     |MUXF7   |    88|
+|10    |MUXF8   |    33|
+|11    |FDCE    |  1248|
+|12    |FDPE    |     1|
+|13    |FDRE    |    22|
+|14    |IBUF    |    14|
+|15    |OBUF    |    11|
++------+--------+------+
+
+Report Instance Areas: 
++------+----------------+--------------+------+
+|      |Instance        |Module        |Cells |
++------+----------------+--------------+------+
+|1     |top             |              |  1962|
+|2     |  OpUnit        |OperativeUnit |  1862|
+|3     |  controlUnit_1 |fsm           |    74|
++------+----------------+--------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+Synthesis Optimization Complete : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.770 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2263.977 ; gain = 0.000 ; free physical = 5705 ; free virtual = 15014
+INFO: [Netlist 29-17] Analyzing 125 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'ecgUnit' is not ideal for floorplanning, since the cellview 'OperativeUnit' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2351.609 ; gain = 0.000 ; free physical = 4897 ; free virtual = 15017
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete | Checksum: eb2b3431
+INFO: [Common 17-83] Releasing license: Synthesis
+25 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:26 . Memory (MB): peak = 2351.609 ; gain = 772.770 ; free physical = 4814 ; free virtual = 15018
+INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1899.163; main = 1595.578; forked = 399.589
+INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3323.309; main = 2351.613; forked = 1059.543
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2375.621 ; gain = 0.000 ; free physical = 5110 ; free virtual = 14773
+INFO: [Common 17-1381] The checkpoint '/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.dcp' has been generated.
+INFO: [Vivado 12-24828] Executing command : report_utilization -file ecgUnit_utilization_synth.rpt -pb ecgUnit_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Wed Mar 26 10:40:10 2025...
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.pb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..a4857d630d8a80ba9b918f654f4ac92396068b28
GIT binary patch
literal 276
zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zaX*&t)zz14EVDQ(hC}e-3Yj7#hAi?-gcX_~qIv!oYCMp;wZDfq~(!B!j~o*QHV*
z_F8!ch7*o|<rx^noR2Dmg|<4LRRxL9RbyaS;P_Mx#O^g>U}&(vYsA2y;I!13fkDAx
ztp!NruLT1GgVRw<1_lp@Nmd+Ap>FQZAwfQ8?LeC5+A}aXI6SonvERCY$i1#0kybYl
syVn~;-UX2kOMO7>Pd>cvApx#N@y;HOetxb#YXd=|e*-}l9Ss7p0p%4+Q2+n{

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.rpt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.rpt
new file mode 100644
index 0000000..04298b3
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit_utilization_synth.rpt
@@ -0,0 +1,189 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Wed Mar 26 10:40:10 2025
+| Host         : fl-tp-br-635 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_utilization -file ecgUnit_utilization_synth.rpt -pb ecgUnit_utilization_synth.pb
+| Design       : ecgUnit
+| Device       : xc7a12ticsg325-1L
+| Speed File   : -1L
+| Design State : Synthesized
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs*             |  495 |     0 |          0 |      8000 |  6.19 |
+|   LUT as Logic          |  495 |     0 |          0 |      8000 |  6.19 |
+|   LUT as Memory         |    0 |     0 |          0 |      5000 |  0.00 |
+| Slice Registers         | 1271 |     0 |          0 |     16000 |  7.94 |
+|   Register as Flip Flop | 1271 |     0 |          0 |     16000 |  7.94 |
+|   Register as Latch     |    0 |     0 |          0 |     16000 |  0.00 |
+| F7 Muxes                |   88 |     0 |          0 |      7300 |  1.21 |
+| F8 Muxes                |   33 |     0 |          0 |      3650 |  0.90 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+Warning! LUT value is adjusted to account for LUT combining.
+Warning! For any ECO changes, please run place_design if there are unplaced instances
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 1     |          Yes |           - |          Set |
+| 1248  |          Yes |           - |        Reset |
+| 0     |          Yes |         Set |            - |
+| 22    |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |        20 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |        20 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |        40 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| DSPs           |    1 |     0 |          0 |        40 |  2.50 |
+|   DSP48E1 only |    1 |       |            |           |       |
++----------------+------+-------+------------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |   25 |     0 |          0 |       150 | 16.67 |
+| Bonded IPADs                |    0 |     0 |          0 |        10 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         4 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |         3 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |         3 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        12 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        12 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |         3 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       144 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         2 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        12 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        12 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       150 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       150 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       150 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    1 |     0 |          0 |        32 |  3.13 |
+| BUFIO      |    0 |     0 |          0 |        12 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |         3 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |         3 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |         6 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |        48 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        12 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++----------+------+---------------------+
+| Ref Name | Used | Functional Category |
++----------+------+---------------------+
+| FDCE     | 1248 |        Flop & Latch |
+| LUT6     |  390 |                 LUT |
+| MUXF7    |   88 |               MuxFx |
+| LUT4     |   75 |                 LUT |
+| LUT5     |   45 |                 LUT |
+| MUXF8    |   33 |               MuxFx |
+| FDRE     |   22 |        Flop & Latch |
+| LUT3     |   18 |                 LUT |
+| IBUF     |   14 |                  IO |
+| LUT2     |   12 |                 LUT |
+| OBUF     |   11 |                  IO |
+| CARRY4   |    3 |          CarryLogic |
+| FDPE     |    1 |        Flop & Latch |
+| DSP48E1  |    1 |    Block Arithmetic |
+| BUFG     |    1 |               Clock |
++----------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/gen_run.xml b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/gen_run.xml
new file mode 100644
index 0000000..027388c
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/gen_run.xml
@@ -0,0 +1,62 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="synth_1" LaunchPart="xc7a12ticsg325-1L" LaunchTime="1742981954" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/ecgUnit.dcp">
+  <File Type="VDS-TIMINGSUMMARY" Name="ecgUnit_timing_summary_synth.rpt"/>
+  <File Type="RDS-DCP" Name="ecgUnit.dcp"/>
+  <File Type="RDS-UTIL-PB" Name="ecgUnit_utilization_synth.pb"/>
+  <File Type="RDS-UTIL" Name="ecgUnit_utilization_synth.rpt"/>
+  <File Type="RDS-PROPCONSTRS" Name="ecgUnit_drc_synth.rpt"/>
+  <File Type="RDS-RDS" Name="ecgUnit.vds"/>
+  <File Type="REPORTS-TCL" Name="ecgUnit_reports.tcl"/>
+  <File Type="VDS-TIMING-PB" Name="ecgUnit_timing_summary_synth.pb"/>
+  <File Type="PA-TCL" Name="ecgUnit.tcl"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PSRCDIR/sources_1/new/OperativeUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/fsm.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/ecgUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="ecgUnit"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+    <Filter Type="Utils"/>
+    <File Path="$PSRCDIR/utils_1/imports/synth_1/ecgUnit.dcp">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedInSteps" Val="synth_1"/>
+        <Attr Name="AutoDcp" Val="1"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
+    <Step Id="synth_design"/>
+  </Strategy>
+</GenRun>
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/htr.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/htr.txt
new file mode 100644
index 0000000..3899de3
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/htr.txt
@@ -0,0 +1,10 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+
+vivado -log ecgUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/incr_synth_reason.pb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/incr_synth_reason.pb
new file mode 100644
index 0000000..4cb4ed4
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/incr_synth_reason.pb
@@ -0,0 +1 @@
+�6No compile time benefit to using incremental synthesis
\ No newline at end of file
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/project.wdf b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/project.wdf
new file mode 100644
index 0000000..ef5d0fd
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/project.wdf
@@ -0,0 +1,31 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:33:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3230:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3937636634343539643332333437356662366233333137616537386432376635:506172656e742050412070726f6a656374204944:00
+eof:3609315318
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/rundef.js b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/rundef.js
new file mode 100644
index 0000000..4f52e5b
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/rundef.js
@@ -0,0 +1,41 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;";
+} else {
+  PathVal = "/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/opt/img/Vivado2024.1/Vivado/2024.1/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log ecgUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.bat b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.bat
new file mode 100644
index 0000000..637899f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.bat
@@ -0,0 +1,12 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+rem  Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.log
new file mode 100644
index 0000000..fe19f56
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.log
@@ -0,0 +1,271 @@
+
+*** Running vivado
+    with args -log ecgUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl
+
+
+****** Vivado v2024.1 (64-bit)
+  **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+  **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+  **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+  **** Start of session at: Wed Mar 26 10:39:18 2025
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+    ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+source ecgUnit.tcl -notrace
+create_project: Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 1573.871 ; gain = 145.809 ; free physical = 6575 ; free virtual = 15594
+Command: read_checkpoint -auto_incremental -incremental /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
+Command: synth_design -top ecgUnit -part xc7a12ticsg325-1L
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a12ti'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a12ti'
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 58119
+---------------------------------------------------------------------------------
+Starting Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2006.461 ; gain = 419.684 ; free physical = 5784 ; free virtual = 14790
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'ecgUnit' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd:44]
+INFO: [Synth 8-638] synthesizing module 'fsm' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd:48]
+INFO: [Synth 8-256] done synthesizing module 'fsm' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd:48]
+INFO: [Synth 8-638] synthesizing module 'OperativeUnit' [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd:57]
+INFO: [Synth 8-256] done synthesizing module 'OperativeUnit' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd:57]
+INFO: [Synth 8-256] done synthesizing module 'ecgUnit' (0#1) [/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd:44]
+---------------------------------------------------------------------------------
+Finished Synthesize : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 2085.430 ; gain = 498.652 ; free physical = 5690 ; free virtual = 14703
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 2100.273 ; gain = 513.496 ; free physical = 5682 ; free virtual = 14800
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a12ticsg325-1L
+INFO: [Device 21-403] Loading part xc7a12ticsg325-1L
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 2108.277 ; gain = 521.500 ; free physical = 5678 ; free virtual = 14796
+---------------------------------------------------------------------------------
+INFO: [Synth 8-802] inferred FSM for state register 'SR_present_reg' in module 'fsm'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+             wait_sample |                      00000000001 |                             0000
+                   store |                      00000000010 |                             0001
+       processing_loop_1 |                      00000000100 |                             0010
+                output_1 |                      00000001000 |                             0011
+       processing_loop_2 |                      00000010000 |                             0100
+                output_2 |                      00000100000 |                             0101
+      processing_loop_2b |                      00001000000 |                             0110
+               output_2b |                      00010000000 |                             0111
+       processing_loop_3 |                      00100000000 |                             1000
+                  output |                      01000000000 |                             1001
+         wait_end_sample |                      10000000000 |                             1010
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'SR_present_reg' using encoding 'one-hot' in module 'fsm'
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2133.199 ; gain = 546.422 ; free physical = 5456 ; free virtual = 14942
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input   11 Bit       Adders := 1     
+	   2 Input    7 Bit       Adders := 1     
++---Registers : 
+	               29 Bit    Registers := 1     
+	               11 Bit    Registers := 111   
+	                7 Bit    Registers := 1     
++---Muxes : 
+	   2 Input   29 Bit        Muxes := 1     
+	  11 Input   11 Bit        Muxes := 1     
+	   2 Input   11 Bit        Muxes := 8     
+	   3 Input   11 Bit        Muxes := 1     
+	   3 Input   10 Bit        Muxes := 1     
+	   2 Input   10 Bit        Muxes := 1     
+	   2 Input    7 Bit        Muxes := 5     
+	   4 Input    7 Bit        Muxes := 1     
+	  10 Input    2 Bit        Muxes := 1     
+	  10 Input    1 Bit        Muxes := 5     
+	   2 Input    1 Bit        Muxes := 5     
+	   4 Input    1 Bit        Muxes := 1     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+INFO: [Device 21-9227] Part: xc7a12ticsg325-1L does not have CEAM library.
+Part Resources:
+DSPs: 40 (col length:40)
+BRAMs: 40 (col length: RAMB18 40 RAMB36 20)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+DSP Report: Generating DSP OpUnit/SC_addResult, operation Mode is: C+A*B.
+DSP Report: operator OpUnit/SC_addResult is absorbed into DSP OpUnit/SC_addResult.
+DSP Report: operator OpUnit/SC_MultResult is absorbed into DSP OpUnit/SC_addResult.
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5010 ; free virtual = 14754
+---------------------------------------------------------------------------------
+ Sort Area is  OpUnit/SC_addResult_0 : 0 0 : 1007 1007 : Used 1 time 0
+---------------------------------------------------------------------------------
+Start ROM, RAM, DSP, Shift Register and Retiming Reporting
+---------------------------------------------------------------------------------
+
+DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set)
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name   | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|OperativeUnit | C+A*B       | 11     | 11     | 29     | -      | 29     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
+---------------------------------------------------------------------------------
+Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
+---------------------------------------------------------------------------------
+No constraint files found.
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5002 ; free virtual = 14753
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:18 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5002 ; free virtual = 14753
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5691 ; free virtual = 14730
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5683 ; free virtual = 14729
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5672 ; free virtual = 14747
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5670 ; free virtual = 14748
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+DSP Final Report (the ' indicates corresponding REG is set)
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name   | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|OperativeUnit | C+A*B       | 30     | 18     | 48     | -      | 29     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++--------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+--------+------+
+|      |Cell    |Count |
++------+--------+------+
+|1     |BUFG    |     1|
+|2     |CARRY4  |     3|
+|3     |DSP48E1 |     1|
+|4     |LUT2    |    12|
+|5     |LUT3    |    18|
+|6     |LUT4    |    75|
+|7     |LUT5    |    45|
+|8     |LUT6    |   390|
+|9     |MUXF7   |    88|
+|10    |MUXF8   |    33|
+|11    |FDCE    |  1248|
+|12    |FDPE    |     1|
+|13    |FDRE    |    22|
+|14    |IBUF    |    14|
+|15    |OBUF    |    11|
++------+--------+------+
+
+Report Instance Areas: 
++------+----------------+--------------+------+
+|      |Instance        |Module        |Cells |
++------+----------------+--------------+------+
+|1     |top             |              |  1962|
+|2     |  OpUnit        |OperativeUnit |  1862|
+|3     |  controlUnit_1 |fsm           |    74|
++------+----------------+--------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.762 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+Synthesis Optimization Complete : Time (s): cpu = 00:00:21 ; elapsed = 00:00:23 . Memory (MB): peak = 2263.770 ; gain = 676.984 ; free physical = 5664 ; free virtual = 14746
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2263.977 ; gain = 0.000 ; free physical = 5705 ; free virtual = 15014
+INFO: [Netlist 29-17] Analyzing 125 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+WARNING: [Netlist 29-101] Netlist 'ecgUnit' is not ideal for floorplanning, since the cellview 'OperativeUnit' contains a large number of primitives.  Please consider enabling hierarchy in synthesis if you want to do floorplanning.
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2351.609 ; gain = 0.000 ; free physical = 4897 ; free virtual = 15017
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete | Checksum: eb2b3431
+INFO: [Common 17-83] Releasing license: Synthesis
+25 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:26 . Memory (MB): peak = 2351.609 ; gain = 772.770 ; free physical = 4814 ; free virtual = 15018
+INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1899.163; main = 1595.578; forked = 399.589
+INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3323.309; main = 2351.613; forked = 1059.543
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2375.621 ; gain = 0.000 ; free physical = 5110 ; free virtual = 14773
+INFO: [Common 17-1381] The checkpoint '/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.dcp' has been generated.
+INFO: [Vivado 12-24828] Executing command : report_utilization -file ecgUnit_utilization_synth.rpt -pb ecgUnit_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Wed Mar 26 10:40:10 2025...
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.sh b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.sh
new file mode 100755
index 0000000..6f21c2d
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/runme.sh
@@ -0,0 +1,40 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin
+else
+  PATH=/opt/img/Vivado2024.1/Vitis/2024.1/bin:/opt/img/Vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/opt/img/Vivado2024.1/Vivado/2024.1/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log ecgUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou
new file mode 100644
index 0000000..fa7094d
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou
@@ -0,0 +1,24 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Mar 26 10:39:18 2025
+# Process ID: 57918
+# Current directory: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1
+# Command line: vivado -log ecgUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ecgUnit.tcl
+# Log file: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/ecgUnit.vds
+# Journal file: /homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.jou
+# Running On        :fl-tp-br-635
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz
+# CPU Frequency     :3200.085 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16647 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20942 MB
+# Available Virtual :16873 MB
+#-----------------------------------------------------------
+source ecgUnit.tcl -notrace
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.pb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.runs/synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..83657dc0ae08c8b822d9ab6318c38ca621679de3
GIT binary patch
literal 27387
zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5
zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z
zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp(TQCWB_6tnwlHyS(qCdadNR6
znwaWY7+4yyaj}@0nwuK2bFmtlnp&Dfu^F1{nj0BqFck1q@JwK25Mbi^!pOzroS&PU
zn3rOupjyl&z#_$BXkl)k#aWP(nCF<0nwVm=kCAIPV^L~iN_=ugYI1f#er8^Yf^K4I
zNq&50UUE@tZfaghVvd3?GDklnKR2~lKhel2KPM-%Oy4CxxfG<XSl`#x#o6CazobAn
zH91{3wWKs9Gcm737p@W{8sP64<mnou>+j>^8RqF4q>oKdued0=SiiI+Gp9J-P(L%b
zAit=jSiiV3uOuVh5TqkCFSA51CAlDq-Oxza$imDlgQ0+>f(7nz>!8%c6osPHwA7;1
zyyR2`B;TbK<>!K;JT1RS0ohw%(^HExiv?JvSd0x#O_0N>pOLGFanO0()WXO#gQ0-6
zf)(QN5H8(-oYchPRE5;M;?km2g_4ZaqEv;%qEv;ve1+uvyyB9gL{JPTXC&sOr$T&c
zZe)t&Q*eNqTUuBmo121>keE!3wK&T%%Mw%aOOkVpjJXWK!55#BTAZ1lr=VMsU!ahh
zoDPa61>J(gq7sFQWb;Hrqms<z;&fvpQ(Z%!C{`0)LqlWah_m7n3oc14D#^@CS3ouo
zlysR5O)QbD1nD+5GDOy0&E?=&Qj(fm0M=iUuaKTvqL8SNlbM{FSDdN<itn`4#1c@r
zsH+yMD<tNn=;s$Hq@<Q*CZ{TZguwO~8(6?|DmNErFeuT1k_9L$!aM=aZpJ3&$ku0b
z8Mx<{kY*jL6tl4*qF^9k9ZH<kbA|bV;xxA)GbdG{1XQFYrRJrkWtJ$E<SUdGgTfGN
z^0roRR7fk$$x$du1&1-nZwlp^IXMbRsR~7<d7$KPWNd1z#SMu}a4w8uH!{#QH8C+j
zP8N%pxPCCY<>Z$uWELwFmuHqFXMk)i$yZ28O-n4zDN#rRNv4#hf}E6zRGuUkWtOBC
zWhN@*<(DYrrk3a_c%~^-=9emz=a=TBDCA^jgS8~)l%y6Zlw@QUD<q|6B$j37mllDd
zO(7$(44k+j7L=qG<!0t3mZU0V<`tKuCZ^~p6hN{;acT)D4=AMN=j7y<gB(|oSd^HX
z3Nki1KQApaJw7wHAO}*+m1O4UDO7{XKF_@5B0X@#>jkBP3TP*Y?L`V9Fso|8!DM7)
zi5W~L#^xw7mCxnjTbfgnS&{)NXhDuh%}Y$mNlgJKNpKv%@(m=~5*2b2D>8FSa~1N_
zKqXZ{QGRl2adB#~9>`J?3nL3H*8Ifc%3>pCE=H3mHVa*I15_&$x$J!sOY<P{k&&8H
zkXobwH3ed6L1K9x$R)`cnK>y%sd);JybMzWDH=>HjLe}nK`pUBj($HbWe-%NbHH|{
zrYMwWmSiXdc)BR4g3A~a3nLS#L7*DT)WXov5^5q!`L&Oci%GRufJciJ94baj8MziQ
z!b=2jgrpW{R;4OfDWKQkkjm4*6s0DI3u3R&jSLLT^i0eQ72vhHiJ_&QnT3ghwE}w8
zZfcGYMpW*GCgzp~T*#H~O-3#Wu;W1v1%+^KeoAQ$q<9fvm0~tChDRPJ7dy0wG-_w$
zYNB8DQk-8}l$;8xS@TlM;k9X5Mv4HV5gQkiiHQJ{1)~FF6sv`<nK4R~Um)IhtX#}#
z#kodJj9hhet2a?SmR6jrhwzjIPES2&<Wfz^&r5|=IauONK|{4zS+!Ub6n{phX0VhD
z^&vACqX8%*8V*Xo8kvHcM(`HGQ{p3#pNrSOAhjs5B(p3PRF50=GIDhcR3b%j2_jZa
z&2h%+A2MSV!`q1X9pnLk7S$if3;?8J3E}lYTR@?C8fy*WmYJ7XoRONMKuir{j;#i<
zP{37#SeWXW7#kqfAeI(-W~N5iY7jF^kUDV1f>MJR7^Bo6Ip8{w3swh)a0Tg78-VQN
zs^Efj8cw2Bx*Hg|)-u9_%o)*X2usY#Oi2W{l5p0)SVPYeSLhiU80Z<98zVx`)X-SZ
z#L^6F=vlx66*=@Q3{dM|dWRmp?Q=m*9XecE;P#P%Pkv$wsJRgU>T4zDrGOepAiif_
zT7FS3IMz^No!;)YLUp$i7u?05-k}v{_YlRkZe08@4}z3Knw89krsi5~knWq2Fqa^#
zbB5?)vKblbnizn(nD7da-u}Lhmb<_MF7Vt1^Aw&~!`;@kz*bV>$!ivRM&_XW2_3;Q
zH8RvQHGmgMNW)mBX67KXF-s~-Gf=F+2bky`mN{I;nR#icMMbG83U0x^ke*BlsNYwV
znx0t<>ePXH@d}xF3c0AQZVLk=SgTEdi!V4RzMv?zI5n>%z9==_2-1!NwRSBGKm%y-
zv{HwfX4AM*s9g|aF%J~N@UpoI)dfjh2@04X7#svOm=X#K3Vx~O3a)v{`QWkvLrFkU
zDyUCgj8KAN`@s9Z64n2ST=6KrEKkfVi7!qB_23mSJO@!}00)M+lt2_JfE1#5pMd2M
zZN(+|MX9(<1{n#)h6cElKuiHyZb+Qv&~AKYUV3~^ettnbPH%xNHZU+SG$3rbA-EES
zCp*GE&o3=0C@mpqxuF3umXqmoBV1t$@j1wDf<8BdG#^O!IeyE*b`xPaaXv?na-$@C
zK8M&%*m6?i92(_#Er;4ogyqEf95x;WPwmFIeGapmkmVqC#AP*T-ULw)&*QWlWF{ol
z;Zg!JL_tBp(7=F5pDQSUOSja#6apn2!fwJoM~m};FW`F_x!hAh^%Jy82M-(;gDW)D
zIvp}H3RVhg)?%;cS&TvB*3hw0%!;0qi#<OtRW~EQ1Xky>S?C%Yo0=exYSOz=a|W$}
zxS5e_1ETvC6yl@cUr>^nn^^^J!z%=2fTnkhaJLFAv9t;e4RH3rjE(gSEkSx<twIws
zJrg5%R|~mSXkrTQm?8JTEKQ6+*=Yb<g`hEG`t_GFdoqw77$~Zo^K%RG^HTFl6hMu#
z%wo`VmjWns;1vwLlb0oG3rdko-X*mpF%vZVfa+jSM^V8F)v+3=ngzJ{v~_iL9aB<L
zi;B_Jx}mDo<I>?&P*5;Z@XRYHEdiB$h6+xZAO@%;gc)J0U<l%(+DgbI1#@Ce(nfWY
zFqa_6{Xx*q0)`hnP?Z~S>4Cfeb|926vV>WUWC&JI5H`yY9w4Y@8G?cYCCv~v3lSu!
zW`UiJVwO6pANjd>K|$hMT9Jwo9E9Ql5f~tAphjQ~46G&@8e&fy3M89^E0rNkvH-b|
zm{ev=H8&xdWB^MvAXiZ2JF1z4NH8dFG6nI72`3YHIN>k}l!z6GFv-vWVUiI=;e>1w
zJZpjc0}pYceTOKu6eu(aDV(sIge9ElT}9ZU7740c%JA_i)GA`I)M@k%AT!helH-zr
z)cD|0yP#CatfNA3X>M*}Q6)-gOK+FPaH)VC1zPT<kdmKT3|?OWUM%74>gcPGlbKYM
zSX2pGM!;-oW`=+8l-<Zs*V4!cw8jNKB9@OD65(8-AnO!hVNh(v<q{lFY^7jgprDbQ
zpQDhInwMUZVP#^V$>kK}=!+?%5aj6VWM~1>0Ad-NDHs`O4rmZ!HkjbK0mZL_BEIRJ
zzFbk`UzbZ8k`tVZ@{5ZVobpTaQWA?Q!Ao=;i&7I&x-J76s~%ix0f|M4IXS60@M->H
z1^6<gOh``2O)U{%lVUNkFtUUW7eiL5Sb&y2u~o1^Cd^V$1Hp&O%OyBKAt)8JNYP5c
zJv9$JX`Yv^021~u0L`822Rp|nrlbU=7MJFf=qThv=GF7_6nyhjQWY|btrVQK9krbF
zP{Jq|)t*qUV32_@dmv`y7b#$|0kj?~F{wDeC@D2XAv3QeAD2^5EzCo;FpMjNfQ6uC
zO%OkkX(PSU?+vuh_I^gLJ&4XWF{v15$J-Fw(j!Bh6URno#(L&vpnf*A<85Ycre}#*
zV}#uCHZX+G(ja%d%}q@}>1Dt=-ifHm#gofjAvnLNL;;-hGm90lrObE(1uF#u1rTXy
zU|<d!4>MP=QV0dDyf%caVniK^UWpcC6BxOA8L>>8P$t9$DaL~QeRV)Jk`5@p=qLnd
zWTurUz<N~RQY|PIG!~PW4$bbFdFfc90KR^WR&)38C@%v?0o=Ygt{6}SY^4xTl$w*7
zo0$hHjC~Ue3P3|<kb*-&qc|0`8n`4?At^N{zg$ltBqLQpT_H0sB{Mm(B(+!}IlrhV
zwYVTZ4?JfS<mwK}+r_CR;A$Ce^GvjOX<+241N9`4;sKQl=aImGD#c3aqKTr!!z?t{
zH8OJ5*Z4x_1pN|oQxy~xYCv(U01KQN1xJNo(8|IZ1t%E88OCsdF#=!=M^LcTC^$hV
zX9(p2p&X&KFN7Nap-`MR1OpFc0hU4yq`m_6uE0SF9<u<2DV$+s36`u;&;`>9H41PM
z1CU6K0xAVo22+hLi4u6&;ue|~V2KZv3+Iu*fGWjGVWd11=S^oq&6EEaxqdVH<(H&d
zfpc03Xyva$Vp4t?sNPpdR472oszu;7ghEM1Vu?a=Mt*rQc=0l*Nv!~%_)dhZXihFI
zDguo&7MCQZr-IfAmt-IoFcyQ?Me8X9=jWz^lX-4pC3tmrDtxtbYKlT4sL_)LmM<vE
z%*_NX^ea}#Nd(QKXXb&ehOK0U83?NIGYfJc3#}9LN-`5mQd2-C6oWSzK-{02qL81L
zQ>l=jmz)Z2V89EFHE7v#G9%Xn8WiSku=QR;t~{rAd&mTwR^emkGF(!SiZBFJd87}X
z<~zN^<v3bGUB}3^8qrR~5;_CYEHnT$A2C<ym=5fELVCxQF=`r?=8}Y_;nd`ey!@Q}
z^h#JQ4=SezY$Ar<!Ey{O39n`3T7{H^F#-p73I@&kA$CVX_Eb=*V>6n9K^rx7QB$xe
zmoOv+d-^MQ<`t(FftK8ksI+U38X)Ris*nJ2%SkLLNd<3Qa!O4DExa1BLF9xQL|R-L
z@K(=gD8UPPTqE~xnR$sh3Vx|2Ihn;J3eGvHiFu_3BPxsG8Ri}hoC9ce=W<4_C5XBc
zxy->?YZ`%e6{6RgM#i|-;gB*MXl4mA8?)VK3?4ayced!=;GnmCnA4V!N+KvVFEJN1
z-~}CtPfY>Uq9uuW$*IL7DvMu0OU2t6xwaruF}`3LkW_5}+6RQ0s*Nl`kv3vdHO|##
zsY#`oIp87L(Bjl01&_?sqQs))jDekRrgxq{i<YFfFmi1~PSQBSXh71lIriSUiTQ|1
z&zS8DoTYRC=#Ups;J}-2^iE#%wvWKtU(AXO97F??nz2tonpl7$Y{Zn#)~LNMWiCZX
zYW7IXOUVJXIh;$2OY(CS97{@yGLuS6Mp%pE99r?bm62-`qIkv~NCT3h&EUs;piHWp
z4D=pH`mScTKuysKTyl^Ujiq_$ms&Ei8qlZFlJh1;uJwrIj3b0b)AE2--?-Wu;YFF?
zBf((DKNLe(Z-A<<0ds6PYR0qYvIQ;OP=E}+z}9MjCUuEkn*sL<ba?@MT5nLS&49ZO
zI)4v$-QZZ80e9XI3_Sd6GmOCtEfi`L3?aK9Y7|Uh4Dg~0(6S8**Ji*24_n+q*H8@L
z+6=h!^ilJiIF~3FG^aV`BqnD&<yWK@qiuo0tRJ;u>p!sgNE@X{!PHx$Py@3`ArG_;
zsm3#}7&0`aP(wg3CH4}p7ZOD96=g_4<eZw5qYzr0n4Ss=B6y=8G64(MBF!ZUjdCcZ
z3kxuC=-|-?-NIi3wh6SZ$T`0>uLKe>c(g&Sfl|cV2HAR1;}q)V4q1@|A`QXOfXB8P
zBakwM8fV9#phy!?<^l1I32HM2DO0F%2@Wu^aD{E!Cv2MuM4L}&2-se55E&W~v<<S=
zrN$>T1Z*!vn*~8_W^miU_JXyUn-bJ!4%Y^@7p%>Mur_Eh<P#bKwil$$*wTQYZP1lv
zzM&CrAd5lT6f6k)%@AA;)_}BuECy>cCLC{&6>T+cF3zqXU)Crn7#f)nVH+g6+*|@+
z+CY0C3Hr?t5?yXCK?rR|grnOK5?!87p>9w|DkvD55Vj2xUH&NA2&PGBMuSp#^BcU9
zm*$d$6}7Mu54=toy}0#4EuoFL44~FPDOi~cw-qJ;l?N9w@bVthG;pjdD8P$dP-uZL
zY;h=v4K8_7b8?CyB@Z6cV3xuh0i&VfXk3DBgBD1jvvZ;D08>ymgV`XrDJU3PnnBV8
z9=E~DDcCY>xOVi`f`Xw15vGCDCFpR2<ovvnqWm1tt_INYA8Ez8Fq0u}Q&2F6Bs@HB
zgN6^3f&~+CaXPrh=x}u{Mtgzq=ube+(jHuHh<;caY^5gn+y?`N)S{yNqGBBd1Mt?I
zOwg(7<%vb01s}!W<@bgPFfMpuDcla|8FujE27HDc!Wxtl6oN|gz^5hSI>U~ZGq-rh
zoZ!AW1sQjM`(`5}*E&WVz5$&$kds=1`$$}Jykia;>?OP}#f&)bIB_Y26eZ>r=OluA
z;jlHuRjDZod5{$tT%eOxjZF=-*r5mFN3j~}nwo=7_l9ph{5B|#?w8?`f~^`U&W9XS
zo}3Rlf2t%^Pr-<niyN{$&cHy=zzCho#l>L+n&-DPHwT@9Y@lagU;sME-_+aydXT??
zA=ZQZL%DPv^Ad9^t3V^ns>KSSd6~tTxeBR}bE}KN=b#m(7UU!*gAcL>g(j1sxfVM#
zbU}xm7#f*Iu^L(G8lr6HjNmeYngxy^_(_2ZFh7BIkAY60b`A(tC{9hz&r2x=n{H%*
zFdcMADA;Tx3*>WD{xNdxV}ykj<hT~ldh-&6%#_r`9I%_ya`N+wKz9*<GPsUHaVF@b
ze$bFda%xUaS!QZE_)vDxx%DN9nR&&av)mJl(o+@kN^_G^i$IIs5$o3V6cnIG--C|1
z&rC@zQUIT_4GOXh*jy>-WH?yzD^|#a9yy+vR{}bpJS88rY98SrJuU$@$nos(fJ8bP
zl^^YN+$c6s=o)~MEPSJ&H<xBWQEEYA5y%nH$N+mhCqF$iSs@?kTo+KNG8>y%qU8Y4
zzC(Bp2;|ZXC<UKms#>g&nOBxtRFYbxQLG7aylSyRPJUvFLP2JpMzN+I$T%iT3oYjS
zf)dc##NY#p4WihL4RsAsPjvn;1hN(UZVUtPeHgGT20D=6$k^0S&&<FQB}185Sb}qy
zp{aqPIryl4w3{(<xD>Icd*~i+D=zT4<;;eLhVTe6Qs+|j%g4xj<)8}|N<hUMDEFkM
z=y8F{E<;05LV(9y7?&!z_*QU%T#o?DUZ8z6pldgZOLIY6w!zmAn3@?OU2_2PV``F7
zlCg=gVHBH*uAzxBC{4hxx^U(a3rYo@bq`8n(3=h*MzKmUSr}uz$RLW<&|KF7<y6c%
zE_c;p1<(;N#X6w;9uBQ^!5nAM@F}P&hKYi!V%1^=S8#2u06LVZG_NGJ2;_SK7AYnp
z3uGU#a4{K~f)b$-h=8U<786|)P!PdA;=?7S3fg-HDN9onic6D0XWoM@DyRg73zHG@
z?GHwLTs+{63_UnM3S=JW>_)hG&jv-h7U1GTb|&&YFGl8|xP_!;BQp>io|ZYe*v-w2
z^vun{)q{zJp$RB?gHp2vw$!|UkxNpw7;;ICYBB8QnrPMHSjai4tcFHLCT8%YfEIKh
zn**R%*nnz^fZ$*wTP|zJ#W(q7sh~~M3bqP{7M7NJhGxdr3b~LAa12c?P4!I8Ev!K$
zT6QYv;v8d3OFdHy%P4k3b6q0~V-w`+fI>%da<PX&uG9g!F)TRP$d1bf-Hpb^M#g%^
z29|I)LPFHg7|D%>2BwyJrY6Q%9r<xkBpN9$2~f8pRUtSdu^`pONdeZzLry*h2B^&o
zLr^>#8JnBxnHd>^k_)1FVQ6Ro5->C|H#f$Xel9X{fw!iq7DMXWj6~3N8>x8;>5#4L
z;A{=Ly1>W~o``t3xDZ$B7}asr(Bq8%qS8EEmjIcA>NQY-0xxrCGjfT$R-`7Ef*YNn
zo0mYRBE!l?R#P)$W0aeaBDumL+t=bj7oNb5ThmPg-L3+?rU6+d9-OcBiV8{;bPJMT
zcimu7QIHhH0lK3IbZrN6hKS)3ajk&NOQ{wsB$g<s7VGJOD{f{Z14M4+;9?5{U$bKb
zN*1Xp3ciU&3PxrMh6Yw923Cd!3PuJ-rr=mLGC(=$pO1^nH7`XWC^b31C`Et~058OE
A_y7O^

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/compile.sh b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/compile.sh
new file mode 100755
index 0000000..f83f51f
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/compile.sh
@@ -0,0 +1,24 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : compile.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for compiling the simulation design source files
+#
+# Generated by Vivado on Wed Mar 26 12:01:10 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: compile.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# compile VHDL design sources
+echo "xvhdl --incr --relax -prj tb_ecgUnit_vhdl.prj"
+xvhdl --incr --relax -prj tb_ecgUnit_vhdl.prj 2>&1 | tee compile.log
+
+echo "Waiting for jobs to finish..."
+echo "No pending jobs, compilation finished."
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit.tcl b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit_behav.wdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/ecgUnit_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..4528724ed974bf487eb96ab0e31dd1ba63e2d964
GIT binary patch
literal 18572
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-{z`#3#5Br*WX
zW$0jpu*z8>bh`zFCYLl|U|@h@d}c61CAUNEE4PIRm^(peT9XHEEC*Zhl9_>lAH?DS
z5ey6yiXrp~2n8ph!Nl<04JxF;z>vbgz!2`@q~}_enpXl9hEXu}4p4>%R00}5p23;9
z3L%jJt_p6RKCTJ|28ImIg@0~8PUmJ|U`S_XVDMsKU|?ooSP&fI67S>h?&-|H#J~W?
zppfHaU|>)WRcBC-P-jp#P-jp#RA*3+QfE*PS7%W7QD;#1P-jrrRc8QM?u}$pC}l<|
zFfcH%GB7Oga|{U$a`XW^2js8+|Nqy6ta4;vU=TodbbOerbBKQsSRo_B0<e2P3PFAW
z(ICwr{O|w&|8xKU{|^dvkgr4HgG&-iQo;H_YC(yU4;0#to+0tUj=ljtt_;B;{z0w`
z0YU!GuED{ce(v!;{{8{+h7A6pApxNw@rDouh8QZ0U@D9tDvU5yB*B#=LDeK-C^Cks
zVF(Ag$<@yV<}Q%G%wYlH>F($10`>+m-iL*+r(cMxyDKEv7#J8DVBrgjN>KO~rKV>V
zm!uZCW#*(>n!=3-g%ZeiHfTx#g=`!H131*VKpX}JhX2rz2Bj}_qm1#I1d0cc>BN|1
zgx4gHDIkn)5;pG{8saqyWCS*&G@#yvm4ADo{JT(E4y1{Jf#DgHmIe8afq}smN_Rl%
zOHf({l<OE6V8v@tNQfuYBnSmHhG7Sku^&}EIJ>(zxhohLm@$B|`IrCy|L<U6VEDnz
zz%W6Xfx&{Efx$tTf#HNGCVn8sz|bJYz|f%p!4DJ|7%UVS7#frzSe$`@0fa#%69`K~
zoP<IOGcqvDVP;@p2c-=L1_n?u1Hu!bszA<WWMp6fVMv03Bo&Y}SPq(WKztYmB{vuw
z6igrt;)7@<XtI-l(jakAkgzZ?FbG4FXAYDGRTm&TKxzaS7#P?Y7#P%`a*dF}iy;Ea
z2dM#JP%;K#P(f(Pz`y`9HxZ8-XGqD#kcCH0F9QPuD0o0cImoRbdq5au4+w+OS}4?g
zwNQ6~<UtrD55gcd+ZY%aK($Fb9yPle7#Kiq2ZcW_yY@3MFo4XPjmMm`(70TPN6kY9
z1_mAm28MNb)NnE~Fz_-kFo4n|F86RTGBAJ&;X`=L;bw$Xr)Th};b8>V5)9YysNrK|
zU=U<rV0eH>4L>6TgAfA)!z(;$1Q;Rd?F$|?f{Y9dA`A=+|L~{*<sVT{`d|cC%b+L+
zV^ExfFesiB7#SGEz@m`60}=<Nb&$}9xDBAn5yXUJQ2qghIVc@~)Pb5IpuD34O{1VZ
z1j+|m(6j<lW01d5AEX|XXF#+R0|Nu7vT=o~0o5Q1aT^>#?g8Zs5DgLoxdntl_JJG#
zvJ0diqy{7o5(Cj73{z7Ox4{vl29$R|;`r2n@(w;VAa{WB4k0z5yhBJ0DDMzb1Ijyu
z)PV91AvK`9Lr4uM?+{W0$~%PAfbtF@HK4phNDV0O5K;rmJA~AL@(v+2pu9s!4Jhvr
zQUl66Fg2ib2E(BIpn_C~fW$%SK;;m)&S1CI2bHxTagbV70%}3^3rsC2Z-MGSP<aP7
zivd!;f!Si4^yNSbp?OiAfVqZ9YC&xeWOG60f!qsnA45&HKCFiW;z#2!7gRPdu-oc`
z@(jpmklGjmYBN9zq3(?(ptc4{Ev$(M6#_RALGFdQp&u#%azi`;bLS$dO(39lHIiCT
z-3<ySs1Sx5c0wgUZb%|vE~pa$QVvp^OhD~*kV0sffx2_}-0%oVZ7KnC-y*3^BcS#h
zlG=2rTVQDt<Og_MoXFA#x8<Q~K=F;wy<$*FP#G?R!wq01NO=gPR+fNTSiS(M1+l?q
zK>dK8H(~8;kXm^H<{Cf^0;vVnSx_$6WOQ?#ppqc9iUiD!L{bZ?Q=wd}=4L}BLFOvs
zFc%ajpuAauq!w2h1ykFKq!w2h1yef-NiC?1f^t#Z3sbuQDhYBgt}+Uyb{&#hP#Fc~
zVl@}mj{%vhNgx~!K@9?_1+`V6T&(7vhf0FfYD3d8EUklRP+AAO*KL*l4J5UoHW8GI
z)!esGNszfFQ1^oR1R%w*v;!;8ejuqeC7>3hAA~{e7hLH9Y#dS^0;$E79$;!=^$<uc
zC_Nz87a(_o!U3!{W3xUZI6xqM0bJ<;td`wYpAShbuJiy?D~+TUS9*Y{)j(2<D?Px}
znjxvhl^$SfU69m*(gRo=6yVVQCOjP2ZT0<-)Z$7HVEyd2`VmNKb)j(#H5OjCz|<xn
zsntVElb|#McSF!B{Y)ga`UK3aLsAQBuY>J_Is%p^!AjU|^(TNCkT?dlCGe?TgrwF8
zha133*lqQ<f*BBVjR~kdj-(cphmg}Gs9y{U2e6X#W%{SV42Zeb3=9mSERcRXNEp<A
z2dM>x9Ygvu{W~B*XkQjI$_py5L2WjWFetym)dnune+v?Xs<mf;^rt{-K*AvRz}4Dr
z)Bg_=gsKH~t}3BykT9qZ16M1(L|*_@xIpDy(A*2^3&GWzt=3mUQVZ%=A-fmUCxxp$
zv02{)NiAsX1=$Ut{u*3u%r<>DB(?6)emf|PKyeM~Tf)^wFVPP}QVSY72KgPP7SzXt
zt6jWKKMhGOXjBR$4^s;o7lNyeUZP)uq!u&;2&(I0YC&T)aJ7rq={F*&1r5z1tCfVd
zl|gzLqL=7*AgT31^Bbs-ifnE#l3HKr*bOLsfwBfHUx3YJx77!gk05av2FZiM0+%=_
zEMaOvG)O%tJ>U{2)f`;vL3V*Ks4WemLH2>#%7oN|+y+w*3Kl}@LFU5LgY*(o50eM!
z!xwHKGeMZv?kCngFm)g|fiNf@@wp$Ae?aPB7^EJQ4?yi+m>7r#iG$KHE^%D;#+T$5
zFr+4@hvsFLFgT@VB$j377bWH}B<JUq6y@iDgyRhv(u#8#65~One*s8{!M`B2D6u57
zEEUX4EK1IZM-|G+%u9`rH#KK~Fw9^KLlZ-&kcBCfVQ66lXTg<O8Zs1TWTurcWacFo
z#V4ku6r~myLzNks!L%BgnZd<iT8+#sU}EM5a2Cu~BXgK#M&`y0#ihCNiOI<f#U=Sg
zsqsar#ico*K~e?=2G989oc!c$22YS^Y6*j9d}dxjX-RNmZb42eDlaTCCo_e?KRzup
zrzEu~H3h0B*g3wSC_g#1xHvN}-6cOS)sO*0$Owy25~i3jNGK;iF(nujBw%ykJg^aP
z9#~~&US^3SG{l0P<3S;a!p$rRF3p7-iC{*8#N5EXK(Ju`0r`NzGu{~*DhRI`LS+yl
zMwlW2MVYy&7{bP25&w9&^9>o0I7Y}EV+Q|tWPgEp$we@4fp`#KLG_pBLN)uBmK2nh
zz+;EOKOW*i6mJCw#TOK%7K0``gPr5kN=r(M7(9_(g~TyJmN5pW1Vm_qQVGIUARfe3
zQ2nL3P|cAHo=|td87L0&$4ENpBA~!Qgg7W1jglD96@daRk|8)KJ~=-%EeJZdW{56e
zgdvcGE@BK40Ov;(Q&2>}#-NCR6Ju^^P6@bZ$V&kyTqHg?>H3!DlmtOCUa&JLqr*5s
z@x`E|859rpK~ZXA3M{rk<(+;;er{^9exi|4eojtinZ8SYa%paAUP-aOud9o*zn^|d
zfo^JYx^8MoX-Z~dUWqPTB}g>D-!sV5HAvUr$Hz0w(=|vRo1k8CQF5_<aeir0a%yqB
zp?+R!IY=F-#M3LwNMX>=FDTK^%uUx1%PdPw$u}}EGSM@Ha`d6Rl*E!m{j!Xd9R1>=
zWc}ijlz1Zp0}K7)lEl1}#G(|4IR!=eS^5=8rI|S?#rl?(mX>-3@t%Gm@dhRartt<A
zCI<SMdBr7(IXT7pi8(p(1v!Z&Y57ID#W1@dTJ(vsi+neiq*jz<=F`K)$TpGe-pth0
zR9GOV#OLIvXC}uR8k(7a9G=6VPm{1FU?<i5pqrGRQaKPFLAICz-{h6%rWR!;#}}7?
zQ$FpZ1Jgq4xE?t?Xq=*uynt-$z!ZOJ#krv5$1p&pFSs6owK~BL0grir+P*LhsvAJ~
zGy?-eGXn!dEh7ViIS4Z`Fgyo!7MK|rRx>d$9Ajo+sAOhf=wxAFFlS+4_z%T(tPBhw
z3^EU74y826j1UF}1`q~~5rD=NY(VQV7#JAr7#J8p{cun}7}UQ7^+o*|7#M;W7#M;X
z7#KivB#{gZ450ZDP@fAl?*N)30FAq6GB7ZJ)*0k7FfimZFff2tca<?PFo62$RSXOa
zpm~r6Xc)9GFfg<+FfdGFU|^Wcz`!ttfq`Kv0|Ub}1_p-d3=9l27#J94GB7aAVqjnZ
z&B=r2Iu<Z6Fo5RX7cnp}EM{O}SjND>09shKf`NenG`|6wb6?NEz_5XVfng&91H%>u
z28OK+3=E)oPSBjj9tH*m(At!J3=9mQIZM#o`e6nJh9e9N496K57)~)TFo5C+G@pK+
zfq~%y0|UcF1_p*J3=9lc85kIDFfcIOWME*p#lXODn}LDh4g&)NXpPG~1_p-v(D`%F
z9407?Kz4%WPC@n{n{|nSf#EWenV@-j(7XsXvmY}sFg#&kV0a2zJHx=h@Ekh-{+fY-
z;SB==18CmkJp%*7M+OFlPYetUpBWe!zA`W{d<U&{VPIhR$-uzy8#>qiA2c4t$iM)a
zKLyQ?g62O#^P8agOVIozX#Np2zbFctS7&5k5NBjykYHqBkYr?FkYZ$DkY;3HP-0|Y
zP-bLcP+??XP-SFbP-kRd&}L*{&;g}kP@+P^9H7A|(Bdp8jYAn&mp!zP1LC}Zs{a6`
z(e)wok@YPA4RnFxA4(&u1DOlz!-HrLM%I@A8uVgdV90>d==wlo1t2{jjI2)r+JV)8
z(&+j?W6K~tAdIY!gBv8mz#ssn(e;7HV<1WxkoA3ls{a9{(e=T`bwFB?_1yq1NCBl!
zD2=WU8q6SVDE1uy4eT*6Fr0wW==z|+jG=D@XaEWn4^SFiA2gUT^i2Q_4ubMNlt$MF
z4Q32|70?0x1}KfL4;ow;`Vv3`jG%aj(&+l2!G)pE1GEr^fq@|aN~7z81{a1t1JEEP
z0|SEvlt$MF4K56Q5}*Y$3=9kkP#RqyG`KMIF+c}uIG{ATK4@@Z=z9TG{{c#)>w^Xt
zhQ14+K_E~$38m5XL4ylJA9^`~oKHcDp}_@WqNGpsaspi+G`KMIq33sWeNb;>=tIx9
z==z}E#?XhJZ_)LE#*M+67#L9ehn{cI^?}BavFSt4x9Ivn<H^|cq32t4eW0;rZ2Hji
zExJDBHIm5X5_-Nx*M~fAh^!Ai-=gaSjX7d-Cwjg`*9V$k$EFWG-=gaS&9!6Ghn{cI
z^?~NyvFSt4x9Ivnb0paGq32t4eW3YxZ2HjiExJC?Tr4(yJD>^o0F*}82b!0~rf&f>
z;jVzv==wl&wAl2amlMeKGDtmWz7|A-Fmif9FDKCTf#z;OdO#RiA9{NNT_0#37o-P-
zk@cbHBXoVBIbDz*5JuLAo{!M=f#!EXdO#RiA9_AQ*9V&G1?d4{WPRxQ2wfj&-WQ|?
zgpu{3=Oc7|pgCZW9uP*>hn|nn^?~MtL3%(KSs!{nLe~eH8wTkCVPt*i`3PMfXr36P
z2ZWLJq30uXeV{pGkRA|5)`y;t(Di}lk3o7s7+D{By@IX}G?xt01H#Dq(CZa+eV}<|
zkRA|5)`woNpz8yz(*WrKVPt*i^$NN^Gmr)-M%IVkPDa-UT2l+vhEo4^Kr5;R&;lJ@
zA9ObpSSzx94bXyo1(ZhD2U>><)`V8yc0ddA1yCAYpA}3Kn!W~DK@KgL(e;7)*D$Tf
z?tB1M{{vd!q3Z+n$+78UfM#e7D2=WU)ThU$&jFgD4?t;jeW1QSHhl)rjOhTS(e;7W
z4q(%#0L_>NP#RqyY?~ZNFLJmDKr^NWlt$MFT7Ll21H#DqIG`C*14^UogRKR{&<D+!
z8c-TtA81WBNDm03*ayv+5>OglA873ZNDl}j>-zy!&j8J!==wn8FCaZ2jI0m3oz2Aw
zw4ebb3B|B>_Ht;)@d4ByP-zBsH1#m?QF=54MniysA$&9t22}`v%Cnqugp=Y%%P&M=
zjIu^U04)SSb0la&quc=u0rc|g$7uOAfUz-Z^<WPH(A@7}cjHKMAE^AwMrwb-=4~n%
z-(FbA$e_T(yrHS_|N199Z;mmp2-!N@`;zhLJKB<|&-b+dy22@-;LyOp$i%`yh|w6&
zB<^R#$P6;}Anzdq9@YyY8VZFEPF2Wu3R)PkB^$6Q3vk}#on}#78Mag{-cM%lwSBK`
za`J0yrM?|5ni=)_e$@1{=kGn<_GMqzhdWdIj>k0p-p6v?ne&lH{qg0GF7YJguzlRX
zljOx_sM@^a&U~U=1#<bH&<DJ3M>&;1F5Af4Y#`7U=q!}wb?k(MWV4}>TCxFK-n*1M
z##!#E^_woNzkcg)bo=Z5^Orxo_pNSs>;0HR-$M_5(_2{UWl{ZNzvRATP5&ehyKY6v
kB#$<UNs4VL9g31kC+4WOrAWA02@xGy?5uinGuc)G0P9emJ^%m!

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.log
new file mode 100644
index 0000000..949ad3e
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.log
@@ -0,0 +1,8 @@
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot tb_ecgUnit_behav xil_defaultlib.tb_ecgUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.sh b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.sh
new file mode 100755
index 0000000..b49c091
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/elaborate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : elaborate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for elaborating the compiled design
+#
+# Generated by Vivado on Wed Mar 26 12:01:14 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: elaborate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# elaborate design
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot tb_ecgUnit_behav xil_defaultlib.tb_ecgUnit -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot tb_ecgUnit_behav xil_defaultlib.tb_ecgUnit -log elaborate.log
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000..3a14ee6
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.log
@@ -0,0 +1 @@
+Time resolution is 1 ps
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.sh b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.sh
new file mode 100755
index 0000000..e4d9d5b
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/simulate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : simulate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for simulating the design by launching the simulator
+#
+# Generated by Vivado on Wed Mar 26 11:59:33 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: simulate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# simulate design
+echo "xsim tb_ecgUnit_behav -key {Behavioral:sim_1:Functional:tb_ecgUnit} -tclbatch tb_ecgUnit.tcl -log simulate.log"
+xsim tb_ecgUnit_behav -key {Behavioral:sim_1:Functional:tb_ecgUnit} -tclbatch tb_ecgUnit.tcl -log simulate.log
+
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit.tcl b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_behav.wdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..5d74d2efe9160a948cdb8bef0592b33d61a69b6d
GIT binary patch
literal 34664
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*}4_c%R1Br*WX
zWthMSVb!xj=;O8!nq1O=fq?;r@tMI4mHZC1Z@UvzfB;qQPCx;P(g$uV2kUsr%)lT3
zVsU^728Mtt2z>%V!AT}43ntH?!N8Ehz`zjh;-u$VmYP=rm4{K#;AQZDGCZIX(0K9;
z&dgN^i41U6aP#zWRWL9xWOy1Pdhc;MHv<DhIx_=<7Xt$WGXukd;1HL1AAfgGX9kc9
zKo}H)oD2*M>Y?fk>JjP;>IUiz>W1nJ>QU+p>f!1P>OSfW>K^J0>bmL-Aj`dxObVsU
zC<O)v237`!1%8epp+Sy5U?+k6_5c6>dXQC)4B)^-I66Me)j7mJ2&|BiVFB1ZAcY`*
zfM}3r5dQc7|NpuF|NjStI>^@{@xdjDC8=P2Ahn=G$_EN<N6(P>U`O8oA6JIp5dR=o
zhJYY{XV>6hPe1o~AAkRVctZyN(2#)8ka$Cg0z(WHMlcmd5EVw4Dw5zzlAvmmFccX>
z)i8vE+~n%#0&^G0U*@oY@O1Zcbpd;W81KWv*V8Y=)!h{mYzzzx4Y2S9MWp}(!-AsJ
z^vvRt)FQXcoK#CwxbdJ+0@=>SzyKBlg=`!H131*VKpX}JhX2rz2Bj}_qm042Kn}%b
z5-1)(rW0e55nhu(rhqWIN!Yw+Xo%M+kP+C7(tvswRu1lk^6x@vIglm>28L%)S{CFx
z1_lOODBS_2FF|P;P_AQOfEBYrAt9bnlOPn-7={B-#(q@w;Oy?=<gQ>~V8#H-=3oB*
z|G$HQfnfy;14D&61H%js28I=)3=9bpnD~Gs1H%DX28I9?2;QK=z#yQ?z>uL1!7>aC
z3?S^oz`y{)vJfYska3I*48NEe7&<{|gMop82a2acRe_w%$jHC|!jJ?5Nh%;|upBh$
zfcP*BN+if^Xi$OUOCFl+6rnUo9Y~&?fq?<!1Q0tLnoRAG)PU51k|+p+)WkvxF9uNQ
z4{`@c9)v;iAPiCiO5!XG3=E*U4VRikNXf+ziN`KbaSn1@GSpoldqB|$Dr_Yg7#Kiw
z4g&)N$Pd*>YCzQoC_HtbY8n|B7(lhjVyIe>IUo#jF9?IutR+<K8a!&885kHqYPaH1
z)62lX0ID+fL+u3F1HvGCKo}$!3XN+};R<pWNFIbi@*oUSvyFj)ftP`S;SwHmb~7+A
zfC}L|c+`O0!4Jw;c+{MQ6pjpU@Thsnz`!8Lz`*bmj~Y%!1_mKe`e1~FH^@&Q4Du5Q
zgZ#zC$iN^B@*5sC+>8tiA`A=+;&{~XFfuTRGB7YG;!(rL$iN`Rz`&r5M-4wC1A{mN
z1A{3ZH3Ezb3=#|s4EA``2r@D-fYPKV9yP*@3=C2X3=DyI)F?1AFi1o5HYm(MVFe1q
z58iIKK{PT3<#$k82jv4$J^{ruDF1;<LJ$qg^V$py493v34Kho?+wC?;JuGi1A=w2=
zZy@tGc)Q&O=?CR?kQ+c^AR2^WY6_rgKzSV`j!z9JuM<)O%Ih#Sg$xV~rATfz@OQfn
z%6FjVEQkh$VG{!b!vv@rP%RDe7bty!@-c`8iGj=mVVIji{sQR-sR4=Oax+K`D6bPz
z1Ip|8)PURp%Ik#Gfbu#aHK4psNDU~j6H)`p>x9&R@;V_kpuA2<4JfY@QUl8Cgw%lY
zIw3WnyiQ0BD6bPz1Ip`!)PV9jAvK`9PDl+Xufx=U(jo|h@?8j)IuTUPgYr8=#Uoo#
z{)MRpr7!e47FjK*Jcg+agVs%;Iuxb{uJ$go9jI*pQyWge4W=N4&^k8)&0LV#aC5gY
z+kx5&Amt#xVXN=qYAYVug31$+T96-AaJT_vJ}4a0KnkH{fGPpCc}Qy2(A*1h7sw4@
zbMG?S)gY-=Ctz+rl3Gw37nJ8=;R~Wc=7P*;xXWy}07-2$4s&5~yctPt3<0&rkko?8
zY_LC|VxaT|as$lVTTpRO_=3V3pW3%bYU2sG;Wv`n1OjS7Wd}$($PJ*n0iPQ{Z2*|s
zBm(BDK@9?#3u-e$xghP}ZWeMlfa-gY1V}BY?!l+l0V)Ynn@Ye9-biXe=@ZHYS%u+-
z5U3=`+;nJO0;L&{FsS_uckd)mJ5YZCWC%!Y1_3vefDC}PRYCm_C>Nw1?gp?}#Uopg
z8$lAFIuF!O0gHnKpzQ*X8^B@}k8EN20;E<Bha2E(!3;=y8PpDea=|9U(j-`{;*o71
zm;q4>s<)wBtZElPB|&Zgl~+(MR<+xqk|4F9b`F$_RqaWrBuK3?4!?o(am6FsYe;Hw
zl~FLYkCD{kDx+X(KOm_El~GVGihE&d|3M`|?!{F`!PN4A8IbS=l~GWwSj`nfQmaWI
z92Ah$Y7tPYi=-CRCIxX}X&qEHfzlaBjDg?5&J4_exEIt;#phmcB()|`H-PdE$aAoC
z1~MO1UxXp41=U|5b8)E!m5m@XK;=2E^Z?QiG8dMIKx%QN2bfw|Jp@t<N)I3!77m~`
zJSZH%Y8`y+;-T&Zslk;Vz-lWV+2$ar#g!glYAcb{;z|!NwQWdhais^C+9^nCais^C
z+C@leLFoZ34hnEA<<1%;wYbs)SbxPM+igf{b)o42YAi<Cw+~6J9$NVe8dHGB#TtLR
z<49`t37C5aNiC?)0(KkJ5wJ7~R#NfE_8pi388a}%VJ=un#UoqL_%p~DP<k*TpjH@^
zsG#i}Q2!5~8&r|hg7Of^e2@@G4`_S>6b@iFs0P_-f+V2kS~D;(h_XP&UqJGpx(TEf
zoZnP~?5vQ~f@T*$<u%AWkXn!(aJ5a5cHT&8?HM5bc93}>wV?hRT<td(yI3T(pb_p$
zXk7<V3+e;I)rvaU<sqqcL31x?JOi%Q)yu8{Nv$hrYympf0WufVcZRFI>uom)Nv#_L
z0|T-fK;sf{wefy-OOVup+QJ~egTe?DzM!!OxLRE|yDdm+K~ogSYC&TVaJ4&}?T#R+
z1@-wsV;wMaL1PhcwYqM0mypzghMGZjJxndAKMhyA!`bdWl3LIZFtS?E*aTdyuAAL6
zB(<QiPGt9j+8%JVJDlxaBdPUeU|;~1y`VA=l%`?%0^}x8nF^}cK;keAk_VYhEpbrT
z!^{TJAoD@-j7uDsy`-uK*#*L|`URvP)V9WDK1eMHgWLw9LFz%lNJu?M9ZWq)FCq0X
zd5}JQ;S4epglX-5V%-B%2XYe#gW?gN`$2gVqz;Bb>OuJilvZJ4AQ~hNO82<LaoHPR
zl3&13k`$ksoF1B&S;CN5l$?<nk0bz<h&N<_vKX9FGZM=(^NSL57;-Z6Qsd(-jbRKE
z7{eUOFf=e=NY2kIDay|Q86R)RkXD?_kQfgl{R==s4E_bFMTsSuWvO5u$o_a#A&4cW
zFeA-i3_}w`s4W(zP==v}5u62AW@*S!oROJU!jPGlToj*}l2VjfTntraWCqh}WM&2z
zgK0G~vw(@28^BpGTaC<NmKm8FGZdHR#wR8xGZdHP7p2A*r52awfTnbVo#T^p@{_X}
zf}KHvsU;vrW?n&QNpNCrK~5?fH!LwHGX*4;mYGwMT9lfCP=zW2QRoRZ+!JcHXFRge
zC_IP;|9DhmKvoqL<tL{W7iZ?ByX5Dk8e$3=VG&Bg6f*`1<>V)(1cO2wYz~|UHUiEA
ztIW*HEOCTJMzC`{C~{D^nI*xcxo{&9%t(-!8#s6nELcE+e8Aut?+gxd&v=B_452az
z5hF~IfTGOYR19Hbu!w&=-1&wKNE{<%jxmFOJhHz)yyPO7w?I6Iub}!%bD^63OG^q$
zOBi6W!{8qe@gRz~f`j4<ic*U~O9g_R<I_q@N{bjgkzIwvF+!FxW&ouGL}-I}2v>o4
z5LZF<m*zq>M>2Rq-2rEyILIF<d_iFZ3KvB9f<!=pgC>&1fUXS`Xps!TLGj7?scAvb
znQ23G0V527By<sDkN`NVqnLss0yYLk1e_RiOLI!VrA}T7IN>7k!AaM*G^Zp8lBa{6
zL1hSx6BJ(zN}56OU>_8vCZ@n*8&oPYFz9FG=cX3xCmI>$=j3FT>AU17m*%GCl@#my
zy1F>~`{|by=%yy8>!y~Jrer4OmFU7%f<y!SJ%c=5gLM6Ud_2QEU4!(o3F;LWB^T=#
zXXeHm>gT1F>mv$py|RoH2L1ek68+5Fbp5c*vc!~pBLgE7Jwqr*AIeKfEJ@Ta%Sg%5
zFD^>fFD^-mH!?7=&@V1Y%u7iuN`aVDP?VpgUy)RrnUhkiZ)s_1sb>)H=@$}jU}9hz
zZ(w0!pr4snT#}fRQ>>qulM`Q%lUS0LUzA%6vkRg{pD4S?cXLT<MM-8pJzR`z6Upw)
zOifLN1#(J!PJVi3a=f9TnF+|@ISl$V32Op&Qq2##N%<+21K|;5iz)C;UTJP>QD$;{
zaS1r((>^*dEu@a?k;8+=DGJF8$hOk0^vf?TN=_|?mVnTfDkurku>eMKLRxX|Kw1y3
z%V3RautOl#5qL}q)K`FEs4&AR1_lPu9CZyN1A`d|GeOoi{byoeSjEJ^aFm&Wp@Nx#
zp@W5i!Hk80;U5$?vNAA$FnCc88)D55$b6XDpnfxqPbv-43z}a9&Dnv*WI*#ppuQYv
z9tJcI0vgk?0j;}YU|;~P0|JeMfW{#};|rj%0DlGsh9Cw8hF}H;2GHCiXg&`#KLzT;
zg64fdb2Omw&`bsf2GH7^Tm}Y)d<F)FVg?3=GUzx7XhkPzE}?;efuWg!fuV(gfuW6o
zfngE@1H)tn28JmN3=E)kP}3L~7^X8YFw9_JV3^6kz%Yw}fnhcS1H)Vf1_sbv+Cl~f
zhD8hv44`#A%NQ6KKnqA$FfcHzW?*1g$H2g_o`Hd30|NuYMg|6kEes3{pmjT-x%Qn5
z3=Df17#Kin(DpGfFo5RG4>2$>9A;o(IKsfdaGZew9Dk=77#PklFfg2FU|_hwz`$^k
zfq~%)0|UcV1_p*33=9l685kHq^J$=k8K5;dptWrG7#JAtGcYhbU|?VX&7*_D2xKQ{
z{vKoxvRR<DJ(rQpyvD%5a2<!)j~N&ko-i;lJcX|1dCtJV@QQ(f;WYyTc-;<YuI@bp
z1H(rK28K@z3=E$c7#O}XFfe>)U|{$GUAF_8qx%OMUj>aFGBPlL=HWnd^q@I-&>TBx
z4jnW{4w?f8&2fu@*3W>}$AH$qfY!Hw)~|rpr!X=wC^0fHC^IrJs4y}xs4_Azs53&=
z+30`<5MT)viRJ(esDjoRL1`SykaR$p7N7w`1_lNPD2=WUoe$B)z~BHHJOr&lgVN~g
zKw}~>wa9b;v|}FurP1|)#$J%s!`Km^K?eo~h72f;t`C&&U}}+R570t2kUOC?x<2rD
z7_tT^8$CSH{R10UgDQtp=;4X34;npi^)Lo{c%tirMh{FmoJJ2%bbZk1fvblx(8Cj5
zA2fPk$`LeZuoyIM3#HNZL8Awu9?E(FRsR7>qw9l44^%aRx&RvB1m#&Mjjj)B96|$>
zwF5Lz&A`BL07|3lgBk}_ji45Q7JPx$sX}RVeNf{N8lbEW&|o)c%@mYI*9SEYsv1ER
zKo^iyKxuS+P~#99psWbcfH?yLLjsgW*9SEYsv1E#fENBSFfe#PX>@&1;}9C4EDh+u
zo&l6b*9SEYsv1EFfCm2<7#Jj=G`c>haR?1i)(@z9@Zv$}+!0g?Ou?kV93<iaXuzL=
zf#C&|Mz;?tjHCm?JOLW~2h~GR8eJb$7@`=7L@y`M^EYUo07*HViC#{i>jTXhz!f7g
z(DOUGKG6IDl5zwSno&5QG`c?Yaafo}^n8o14>X1gQ;STa=Ua4rp!E&N>S1j3e2cCR
zwAKNp7MVuRx9Ivn>mHER!`SHg7F{1`P7S6OnMTjI==wnGA&}L>*y#BdT_0#J9HthT
zM$fnC`atvI$m(Hi^n8o14>U&(Q;STa=Ua4rp!srS^)NPizD3sunmdQ7MW)g7ExJC?
zJUX&^7#ls`qU!_Asl(JF(;J`}a|e`0*9V$kM^+DG&wysk1yCAYA84)}rWTn-FDKCJ
zbI`myvU(UBy_`VT2bzP2sYRyI+Y{*eK=bj)>S1j3e1xtKG&c`Zi%g^EBXoVBd3t2^
zFgAKVLe~eHvxligrqS~ex<1hSJ+gWj8$BPP>jTZ@!_*?v==lg;A81}5Sv`!6o{!M=
zf!4{u)FRX9`3PN~8M0y|HhMln*9Y3Dg`^zLM9)X)`Yhlo(HPMB1Xi!0>jSL?N7Di3
zqSq_v`mDgJFbVW}1zjJge~qb&fdRc<LDvWBlVj6|-cCl>2kPTv)7Jp4h-W}6EOdRK
zzCSj770`-m0hC792U@#<O<x1FqM8Av(e=T$#iHx2fL2sLpftKZ(E1N_Js|!KXhrn_
zTA-ur!?nxx2ehD5fEHZn`at^vK<1-k3uuA014^Uo1Fbzl*8}2fKnorVD2=WUH2wlo
zhmIwn1&;=lM%M>glY*`X#OHt(JPJ@6T_0$D3P>FWh88>uP#RqyXp9I$4@dx7@F+lO
zbbX+4C6F=<3@vyBpftKZ&>9vDJq!#CAE4@gKxuS+pfN0L`k>o@U7XMY0M;L05ABFc
zpcORcphYhX3=F$K17Zve4AG#4$DlE!EQl=ypusK(bCetnfe{x1&Fl;ex$F!KIqVD!
zS?ml9vv3Vejku5*7!QMrv7B;*-CUr}u?X%cYcvE#LjWlR9-t4jA<2z0=^p~1_84;c
zr4HIi$H2f~2t8Qh6=*_{{>~b;aWn)5WeAvXFfhn-Ffi2Nnz$U4t{%RQ0!2f1IRirl
zW9qHiE!Ir3$3On8`Em37`Tojz&wnoU@$!~yyEMVaQ~TtT%t<<)lT$or9iO(uGs|;k
zOXaC0Tc*TJoMzLrWNM0v?xd%MlfDSsFW>(D-;UkSOYc3uQ+w|Fyz2KicXl4>?tk{J
z``>rZNd1G4mOt6lc_gTN{?(YLt}#r0xh?_K(oM#@1+UmPJh(HF<?Cz~@pEb!1(pYw
zzUGv=>ss(su}ST`U`+JO*53cy%eE;V?6q+`8OxdX)<57EN0YU>@D$tb2lrB0o~~D}
zc<0yDCEV10UMM8)<I(DUH9J2lHLZ#kvbo#3;MYDDbw2eKl}ZO!nmNAp<~SGQ9q^s6
zshD5rN`2ddLr$NInt2W`J<BQe)~6sfze%lKFvfb`f}1m0%=MHsDtQmCRdjsG&hgI1
zH=yj`C5h+vs#u<yD_7*HH+5AD+Sp84P}Kb3qa;hHzDh-vspHpZPQN?;0iQjaf<I3`
zXtDLWqQzw86}y!W?zH86CGS-5FrtZVxp0it`~`vYADlYN;`>f@$2SYd&6S@G&h>8P
z@XGTl$gXa>R4s64rO*`Hwg>m7bDo;-{^3q2i)g-5MFqd((|RGBxT=r(tw;M+?E^x5
zg>CM(Ecg}8>G#Gj;PYXY<$Y=^{;D<^KNq_4m+|0EM#sOqIrVJ3E;xAh6laz;xk(Gh
zRB}5`7CN|<U(m$5>%lQ`POo{+1+RazEX`Nh@wvKbQ@_9+?=#0Oo`$Pd<S93G-4?RB
z)4SjkJExqyXTVo(7XR~VEAA;<6f!%Wy)QVYYQ}<Z^&IEc?2)-%7Vle-m)-Phw@}Oq
z0TYX+2bZ36O3igGcv{WkyH0t>x9Fxc;U?+-Y8o@68Vd9Fb}jf4#!`OIF`&%8sk&Ww
zihbvUoo0?ly*cILyaRrkv-HcWtoWthw48hTaf_?w3Kkn#9nUHqTv^MJRX^v!8BUhc
z{cZ*ClA3;97l_$0cfmnsmg#9My<$2?EW)p;XKa!@xOKa*$?fh3$BuJ&$+;K2Ud^&}
zH%ob)Q@}T~rt0s)Q|h}O?EI;nFsJLakj)vvkUHsuk8L?u)lGTuawE&t{Z0jsn3`tU
zt67wCJH9nMI8&YDmELZpW0u>^9RK=q>b-Hekig&MEw7kS!gFw{nB(Nn9P9peEV${*
zV*bx1;FDof^ywuAelJZq-|gvJkkk0!-aD43{Av~NGMc)U3)<LBU+{^aQ;wfw)xU`k
zUM^<2x>x9!<wiEgv)6^@-0EBKt(xPUj7z|Fzk+umO~0NC#O#=~;9xJy^nVT)UI;d6
zd#~*&oVCWQK-c?1PIQy>do_(pg@bGT1*h1xJ~+3X<5Zku!F#r*Ps&Zt<<wUEusL{m
zYJ83Qqo@1rPOn$2*kkH=wOr6<tw6|sm4ltr@4c`1x!Pp^&n~4>OUJkKh3D+-UhwWd
z$2nW~0Q;?4elHKTvG8tF+VRD&$?dmb%ug1_$&)$O)iy7<xs=8H8Hd+B&w|(MS(eUM
z-Ld&lmc;TmN=?<#0#oj{KG<2yp>>TzZk|KH&(|#d`f4kF88<E8E*$co`(S4}N0#1x
zt7Dc^6<7RKYcjSLzVesh;LgJwujJhe9{Mz~#Ws1{t7eo)ADn70ERyg^gqwYZ?sm3k
z+6QuC#rQ5%D08!VygPD;F)CGzYl7XBR^}4B<4%m5(l-<|++)=dQK&cNW}mUUwUtTa
zeey$w!|D+l4wf^IJ(Wq=W5&(8Vqaq`vrl=#LWZy3Hy1P<QPdGx@H0}3Z-Uj_R;Dfc
zPak45sukn9@Yj@^{lwkVPK>|$Hx@J;+GAR1$ttnt<RM0{_2~;47Bg|Puh`Sy%H+eB
z_K;!g`3(ULH#2o)7JQTy<C?IitCe|6-BBmTOW!vXG@P5#%9Juaj(d7>!#yb-5rzGn
z-0U-M_q8&K+&_JYv5kFWK*OiykroGjgotqkyxmaH@N%k-$bx^~Vtf;J%x+~e`G4>b
zV^Eaz^x%eXSvoQbn+>^HU+kON%KXGjM`S_00yn#c%uy#sw&fcN8ZK>*)Hv{hON=kz
zCo4DWjGhP!hqv!_L=wK)aI<Hu*~FJGt*}#&n>{1<uoL5@-5U!U&N1qUC{(L(v%fHn
z)NuHhBgSV?ZpqE65qJI&V_P}MWzIS>34dg`Sy!yvqNn$q;WBTehJ)?-LySVZHw832
z`==wLP|Cv1nlb;(Ax5M0jR6hsQgvh$%4NA(U)(==h>=TJjLV>6S}XIEuo(X7!3`Ic
z#P|YkPHJWHDNB6Fu(dW)<G`9@hZv>QHw84L%x-1gqL;Xk;bnHDg~M|`F|G-<6Iz+S
z%u8Iz@U!*x<03wT>4^&&j-HLQI8cx-#uadHb}MrafAT_xtB)fs4t((u;|kc{(aNl{
zE^#5lSw(Jk58DGyjJNzZ7c`t{;?Y~qaQAD3g+rdP7}tgSovq9w-;x$GG&@IHIIKMA
z#OMY}JEvN8L=uWjx!G6TJ>|rh_I+bP!?DRaA_|uE%}2QVRo{DAIb?(4_Z%qX`gBAT
zcB^x<zmPrT#Q5p>rh<l@mm@VCKGulw8NAsX(D3Q3j!Z(0J~!(MyK{#amwgs{Si}_&
z-`&crVwSp)!TVqH`={^w8U9xbuK)2NK48gm6Hpag{pU5a?D3D4`;V$bPEuRBbDr$+
zREs&X$5ZoWdPjLJTk_OORqf;?6VGRMozsr^2YDyyWqQt>GHueyT#seoTKKwCl}%jj
zx8vU`Z1%6W|90>B{iWYxj6Yslz4NX1{8Uw;mH(rPZn`9`{BIMy``4+%;Rk0XbH1A6
z2`WUZt_#KNXayId^As|+e2LPpzxltm-)q)_lT1z7w*^dYH$OP$$fDY>xTDm{@ntv1
zyxnaJ-ZVUT_m}0Vy!wvyTMhk=hH}WAQ(v)1^x$J5$E(a7^W=O3{)RLOiwj-Z)AiuW
zS(dHuRV_YCIL<v{CGq^uDVDF#1Y;tcE+mvUdH)tPvFdto>LiQrIrSaaR5Qwi5B64b
zc-eUsyk>2>q`mg^*5cO!Q(}Dz%FUZTi8lRq7YecIeDJW6Wvae<MUArK)!iKP{5V$G
zyB8>#@;|;3)BWIzQq!&70&{LoUvN&4<+-2AitB1S3M3tyr8)HOI)Y2l^8zN3irt0l
z);eCuQ9RhI<an}{BTv>Z;1^5N^K+^i)tU$I$_q}}Klj1E!z`ldEd0~w_ZMpIpZnmW
z8cXPX#}6g>O|RsYEedTM&*}@#c_nb=pW?xpw>e(@nfKt%WtOkgqWK@kM9f{_*!SQP
zA4~5yr5&FFo79dA#{5=t-0aPf_ordOleH|{kFhMBuT+tDlT~8*n=F=ca}|yKvIqa#
za%#yrefSX3bV|4BxVUi0U%P{sqd8Xn?|X1$GK*>aX1imSTeTeDe&swT!trWP`-3|N
zS-$ou?kHe$Z0_dJtL<NKvEjj~e9l=meg$Wx6Xv9?7Pu49zu?I=mhJa^1AaL)J%7cL
z>aV<`TG8?6YR-4}eF8q(HMRE(hQwt#_k6BMZCVxCwA`Cx)&A}WNB*&x+Itqf5^1^>
zuWV7Q>Nt14&>U&OE7emT9O`D_4ZGEm?fqKFB+94Y>0B0HEtczcju+mjG)c#+YE-fw
zTsvQ2%D&kTcG?S1sh#&APpiG~)Oz27dO^pdv7B=6yaRqdX6g4=S@BD>Y58=)koUrK
zc1&LIY(9sdt#`ohuhPkLjI)KV-0XR9=N!w|Z;CqtlrsvL4ldOfHrX}h!Kr@ES$F&j
z-uAPcO=B^S+s=7RbEe{st(uN6=W@)ews*XFnB$$Cf51PPrr)wnUDt(e_Vh0JB+nsd
z?;G%S>+GaC%U27B+@JB_NFR&oX_n=Dsw@6RHW{Z2U-_waaOZ1|S9iS%9x67m`3uH)
z=^e4?4O8B+NziffTaI;m`xo3)WHGmM4)~<g6n$So<F0bWyXh>c@+v!it2q8lH9Kta
zJzRCg4#tCrnH-;f7qYRNvEbEm&Uy131OC2dQD3LJ;wN`gFu%Z+c|vm{tBQMqpPyxU
zE+%m0_N)ho<XCw5RCavPY;s#J7&B8jqsa8&*7?FFyZav;yU*d3C3tM-SGA@~pE>8<
zp0psR^TE4+EKmE@cGPn@9_8kci}MWlna<Mh&Jz0H>%$k<rdRoAigT0X9M9@<_`UTD
z_<fiqy-#VyWfhBZJIB4+9C~+LE<DI<@~&6R_+oQ#s=3ZF3*T#MJEo~?9LNb5<Gb)f
zfSc7r?&KlHsQ8413@<-NSRA-h9;xB*%}tE&!rsZPOi$ExWET9_;bzrXw}bWFBZkAE
zI;65#jL+cS%vPol`xA#4mzj!j1^l<+X7|_<sp0V18dO~{bF*grZ);^vkxN|2Ft>hV
zLBky`?*dEK7pV~z4vC7~tP*dI9Afm6PguyX_;7@U!_#6=sm#aCzM^(^E7O^E=?@ut
z6}eeG?9LowjQXFlkm2Ri?MI%<B)rQ7mB^gj>@VJ(aAN#ay}6*_-$EUc1ve5NG92C<
zsp0T58dM_7a<i`ZH>;J|M?PsG!`J!^1r0}vq8}D<U8vFKX7_j+q2W+nEyg$DW_K%7
ziQS<?j77&c1~lA@)R9?Gz{$-j@g>5-;bpjvNWvEdZuS*_=C(5VOuH#Hy}034bcDu%
z9A+`T3pb{<GO2t|dC0I<i<{L${`4WnXXjEDGTiKrv~c(yCB`*je`_oA7m)vssz+EH
zD0rD$V9BZhY64U+a<i_;JA8<7S@nj1hF^|4G75z}+^iY%&K+V565klm@Xb_5M!`5?
zA;a9?kroc`3dOiC1T#)AZaAc+Ba&b+zm<8)wxop&i-ow^SI8W2VpMbAT+nc9rH)8K
z86!7)M$Azs#!2Rz3mUHN)e%wnE5Xe^!#iho#mld+?n{d(>^9<Ne{n8(A;V8q9hn9D
zt+`n>-W@;0*yavu2Al*1ogFvpiZW1Ds|KoS+gq7c<Wd(hoE49-aL8Kvw&FI!+tLUN
zhcr+F<;}4}j76eiTo<e-wK9wNfvVcippYvP<1*Mav6VUGUD85^$(-EmD{PNDF{Wwf
z^`EwMNY)kOnh*nO*X`aA(C}rSj!eQk4l%9?wi8>KOKyYOb&}ldFYX_3V(cp4P|)yD
zSx02SA0BRYiS-+p^_DYSHI1-1P@>4qx<WkC!XZgsjO&8UoL1%~ehCX1-WEq%95`)%
z?El`s(vScD5U616ot1lHa;nJj>y}G5Z#uIiEi-bN@9DUCI?KQ1J=0q4e@SJf!lH>6
zxjA*K7j_hINY)vIeen`l{3}Rsjlrp=h=4E`BbAN(LW{$>x>WbhEnYX*cK5vBbDrON
z`*`op`~R(;*PkoBdwtt&_37O1?_Y2Iw4}!4KWm+Z&UEXf7xSI{yT9CV-057rbEosA
zlk<}V<c)4F6#O*dw5<F84O>@f1+eDu9`C<&wf4q#w#l+u*M!=)bX_?iAXT+$Rm}cv
zic@N%Ziz~*yV;cafZa9EY)JugsMhR`(|7-UQIitvyn1UwW$)uC;kB#WHeIWjn>M}g
z%@@aNxzbBc4SQwfnhr5a2j+VxhwK*=5YXzk;@Gh5w)2G<&eOfj6#SEmr?xNHd)Kky
z?q9j4ds8&i^t{3%o-1nXeWY;URK8r(E!E)Om`zsF{#6{eCTw?S6szx>*y3cxJSENd
zvzzI^QURym`wqVi?6?~%_Gl^ZPszm3vo_r5NcgD6t~llX2Z1pDTOFIO`dMBo@GxaI
zdsiml^tzTm@pnV#jt%c_lxT}9p0R(X(DF!J?$D<@i_bKOY}|Fx`NofPjwbQ1`?fJF
ziRsT(^!Pq|;o5+SyYCl#Y-Z^E>$W9emu<>rb4#uT?MkML)?Z$%6l<}mu641}^~EPb
zrhf2P-OQzx|GL^I=ekg(g51;G<)8dIY*r|XIO(>(+Ab_`-dST~+wltHB_7OeCns-K
z+HM%MdX-z2Pi<k2%bV88N~TV^DgXb3Pt0~vTA`Kda^!53fzgR$l}`>_>)z<TO|XY&
zLLZmMIjd7&HO>Zwo?6^-d;Sr(CVNY5DKn>rwuMU9Jwy~zU5?z1G+vxNKc2_7@!lz(
zB;h~m^{X45j&ntC_W0#reQUPbqr#<qoffe__v;9CcT8<P?q{-|Z}s)G3D-hzizsVO
zH@^7nf1tyz(=C%y7A$(0$ys4=YOWZUt(>)~uF|`+w)b|dl5AY$xtTX)aZ2-_R}*~q
zs})GSp72M@tZGR{Q1*&dEvBArt=8_F#JaEE3gDGGzEv$Mv^Qn#Bz>3F>-S5&V0^`I
z&9UL@?)C-6FLR6)*6zP&#_yOozxhS=2}$)EjEq|RGdiYMeO2Xm{437RXr^y-@zl}j
zp|8WF12>(UE!T9fo{vc<#{Bph*W!q(b52F9;dLJbuH^IG?|a-m+wJ1=f2;kviaa&y
z-Y?SrI8UOLRbjD~`8=6kDTOHM_$rRs>SvAJPTtD9|5EYIzUK-pf3^g_Y4~#T9h-FE
zwoA%#hfbyQIjxvmuxe(v*ZTN0CA~F2r?hX_d)|@buJN<zofT1^X6L$`?8@a&e7flJ
znT8tO{ZAF&*ll-kds!!(7WvG3;_mw{tFF`?PI^--lRjBidydG<UsGMRG7L_cDjD%k
zFglcU=D$SGl6!h8pB!vXxj#5`ruCvpfRWV?mZMLFCkT8D-leLLEG3eeToLd;;E9=A
znn!5ou@7eK0r!NYC$hCnRx&jTnW=QW!zCc}R7c0^`zf<UYZBhRzmdFO+DCMy`&$uP
zts+~mzpK0|MG9;Gy}Wo-_|M76iyH))On5|hx-DYRR94EnC*6}_RyWamdCgikwvC+s
zF8@2=urPV<`^_DPJ|1{i61U`W`4uNE&+E}yN^(>0PjEGA%hR{bTkv4Rk0`dx*rn@}
zRGt>8?fP8uX;DU$ljj>bk<7)vI^;!mUKV2Y@a^u1o_&5x?}aU2CeH4CEwdu%rpui=
z%M|Z-nKF?_@3`zcenfUbbM=xFTg6reT~o<gZaZtMhfMV3%Ig-Bqntxk*PL9|b2lEG
zbfmVguFsIUKI=t@>7=cPa=lZ2rig1#`EJ$mPCdSUnl0CYk9&GoY+oyH%QE+A`fSFR
zUaxaM3W$80(Xo8vkN)-rHD@iu^zQMlI$yz&C4Z}9l4+mSbsi7FXlWUi8<l6BFT9TQ
z2un{qJ7Z$E;FNN!j(w$js^Uz%oIVyU&X=8kyhESAYHmH(+NBp)FfNMmK36UfCckAu
z%=xeWwk>BL>l-j#(zxZ8_gSFq{x-qh*KfZHh>7VM7YCkQ`rEeUskqOP*3kM-0@uQ)
ztckf2n{myy<*lvRqLjOrr_E-p+&8aO;OzB_+HV3o&hBTr^jGc7rjodc`|p1U3JlHK
zKQZ;{tnEQP8D@8Hy$GC`=eo(Gb+OWYj@Kbmjg%U1XE#lJVlC+|*Wv!N<latg7r85f
zTn|6(wpLTfGgDuXo0on^LapQj>jDpEHl=jex@3#CRYJWBV^q$`cl37bEeKlTmcsB}
z^zq^vWf>=CmEH|IEXoqMq^a^V?@Hcmrx5FUsr!PC>#L3ZKO85%pXjn+)e?8H>GHi`
zttL4wdoTAZ-REcz2))G7y?VF0Nq5}iS(@>0`*|j%x~{Q%zG%nMH~sAwXWv?-^N4Tq
zg39*JijeHxofRRwr@H=)nfsS5NxdXK^VCsc*{s;Ts)mpLJnAny)Xrpdt$oqM%!d<8
zG(IhFby=|L$(9W}{=H94vfp{y<<Swn!%-PJJ8G7km}qgi|D#3T#XFZj=1h)$eAL-|
z^|ihfU9scWQu<8KEx8sD+WB*VUBIDhwTmOWk7V^qU$i&r%l0i<C3P|?J$%Eo*clVz
zw`uL-*db<_p<Z*?IlwYQ`Bm=PnpVjP>vkNw%(v*7><598V`0&Zl~TK3+p_#z*8IZ$
z$p0?_Q=Y|TK3kMBwO6j`)^0wg9G}=^_9guyuXR*bZ~olXu3*1Ahh<6N7hdM|T@zZk
z!kuypdrG=G%hFoonY*vE^|$^B<4@d}thjUDY3}u%IV~|g8+M&^+%miNiDJ&Vh-|hc
z6V|569Xcn>x9Ggi-}|q+y`TAA6jM3=U$)~CX9Z*G&1#X)pbq-aniz0DeA<$o+m`q&
znt1xo^G_Ae?D+X7duu1EtX1*8bZ65OBTrq;$tig=J-kzbqKYIvyHmWPJi9JgzTdas
zep_+e^V)5nZ+<_Ys&`-O<E6`Yl6t4#KkcPr^ndFUqs}8j|HDf2fBApmvMA?w{Ck{3
z?+mAu-uADj<3H>_ud&weLWOga_H6-^yWJ0NO=LMM&0>B|QRAlSj#6gFm%BON*?0wf
zvuvt9o!4A=YQ5VB1^0kL?Iw3_PAz%A4^LE@R;3Ht?Cx3ctCU6koze<RMT@UGj&D=9
z9koagQ(du}@!(Ef&R6;hI|?Km4-0eZZRL=P_bPZA)wHZ!Af~!w!B1lr^J!X1b0)18
zxD(aCprrA^xpyq7{Hi<3nH+x_bI8Rw1$>lha(8Yz#V=q}IcveH?T0PShpDaD$9J&v
zEN9j`uYxQ?$G27oXI|!f73Wj%F01L+cHx*E^A|h}W|=<ihTLP5xXuS&(-yp3#&W$(
zF=JoHgJT<6RQ;8Alqx#DT+Q+Bj$1&PPr-Z7rce4pHW7vF>vY$-e#j7RI%O?rvr-`B
zug1a6!JMn=XFfPGmBqB)q2QHW)2;pL7N40M?_TMXcz$OV%U5%?9UGV(5C7%Vt8H2E
zQIjQnpUZ_WTus@`P0zjy-T5Kwc=9=C-rh9zp5Q9pChPZV8oOl=?ycoKb)7?Qj!(cx
zn<n?^!Xf|c4o<G-SoLq(gPU^}{90Xp%tGCW#q_>wK~{9rt!m*pmR$?psdJv2>m9J)
zyWpW)Q(L)k%r9QY$Im&|?a31Fxm;q}l>J^MW1r~3UL(ho${cyMO$)x<WGUb07VxdA
zsk&TnN`1?NgPU1IC#&{+&IoNf^<JT3ld9uYTh4j1ZUKKEv#6g_S@AQpDY&-jmc63I
zX9LH(_JVVwtHOJN>qVQmUkjV)2*liKUhr`tOSqleg)cl!+3|`Qb-V|A<%OrzOn7ju
zXTg_AyA$VF=PPLJ);qZOEa$2BZXXg19gjZdl$+}pu+#a&ldPsy_DU6H_Ksieh2~s%
zC$aq5Yfitlz5%~$S<<~&O8J#6%4Hn?Ugpq?ak=n8tI6A5CBsH-$0zotW%@!f)pzA$
ztmC^M9INK^dgoK{+M(&vae+ITLR0oOJh(TN<!QcZMS;BIQFRWvy$%6Cw{jbtn{3Lt
z>KW&}w+;b+8JdLMg|GbKIk@sOXI6dpgEP7;rS*OVd0qkkE1S5r-*gsAt#d4RY0J{P
zU3teQdB@9A2e<kQo7ne1IA+f2b<d~ZbvnyZdG#Hi&6_rz)<0tL-H7F>xl+XjUB{!f
z9CEU*0Y8IT{LiVb_{H0lZY~reFF2>7XTh_0PCwo7V-{QQ`xK-(H|>fRo^!i<!9PJ3
zaVZww^J+W3lr|l^E*$ey)A6!9$GX3*3o48Bdx{_XvZxlbY`1d=s8T#QmtSa#ZRdk~
zGg+SMD_2}lUs0icaB(}Q*8iCgPF|``p0g@nrDB_%<5yh{KOKb?k*XG@N{(;!1?KFW
zvf$l!j&pY%1O7WUai143i7ArrDc1D8P!Zdt{a!s|m-NA{&K$Gk{R<v{W?}V+J9LOK
z>VE1%hL?)m>@WVzYGq29pZ1W!_HFn40LD++n+qBa-qI0SutSoYU1Dm4hQrSUF+Ky!
zK2W#(_#wtLP+#&_d4z?-qcSnB3x7mGgQZ8E7;km{EwE%ivF3;q<F5SlhYUM?BQ+fA
z)5Z7#B91sQwjBozF{ejr9QeT`#uxB%V?e{pwK_5jzIlsr8PqqmGMoH6>BKlm``!85
zY#DodTbY)aCq87DD;ufdu<g(x#wz#C0S$jv>c}J%*m1LJ)PY8C^AZ;_JdNK_&~S1}
zD^mz)q&rPprs1@v!{4<!G71|Fxmh#v&L3h7`n@@z;ahv8g~KxuF|G@_n+qE5h=NAH
zjk(!1?wodFZ0p}#&~WK~q{e}YpImy+8K!RE5YX__O-E)ySjs|%&$SU24v(F6L=-BG
zxY;vmC$=&z(NBNKFn4x@hQl{GF}@4cJ*`Ynp6kdYY`D|dIelLEKef<?N3V2b61FIE
zvuflYKE$Y2y)mHS)kGbcglnLggW?DahefBI7-yMpC}_BHS4U*QUkz^d6E?@47<Zl4
zJM>gWVY?JJ>x<{0Aq{OYE`yj8hZw!uHwQF)^wg1AP$b69IwS7bA;zrh8v`1C&ef4w
zV3@d&;beNGg+unt<^oGrkM*YxF<MD)2xxdSO-E+I_J&rbC;Z6|8JdM7H5@h`J;dlX
zeN#Zgr>#0N2}SInG3FzO7}G$r5Wj-h9~bdWh-htPGP$1okYObgH*3cGbB7p>+BXF>
zyyFCo&a-i|zKB0`h>`31hJc2L6Iz+4$R#Xfc)UE)!r^Flp(X2#b!QGSX1(4J(C{-&
zM`poBZEjW%y|jl6Ypu9hJ?5V~#AqeHIiTUqRUMfH<<{J+C+?p=#K`8qF`(g*Q2T=-
zK7**vR;DSNbz~AYX>zl!cz5U!V_J8FhQr?oF}?{Fy{$|p`Y8_?R<4fFaQJN|#y3H7
zb3ntp$vQF*o^Jko|MY$a(Lm2%pt?3&;xn`Cv5!0RH_A+3;<-u1cao)lkaDV!Zl~pv
zr5lbvH0-}T=lJ79uPD!F6V#TgW=@I{a?Y|$35xPkOPtcP#5E}9YH987zwtTOzy7R`
zf3vSne|mN1|0AdUi)U|*|EZ@v@x<TblTND%dH;>R8vnE*Jno-(OvLmB52vwAFH_2h
zQQT3);&}No=ek&j3xBejq_+!9+0*~v+GCcb@hUqeuS%M;>9xR}+f57p^s(@NQ(Up5
z<H1Ftrc=)aZFY7oc-8RW=3bVo^2!z;nH<kf6-$^CoGpCiUeALwd@QBk)hxo5SL~nh
z;LuJM-t{UwN|YTRS97ehak^0ASCHGvZ0PrSD@R_AQ$SI5Q*^b^lsJ!q=P6B_o(tT0
zEi`3+<AZ~DSw!X4D!#}#K7Gn}$in}c%8Ffr2RFavST&u~PsS(Uvr1ENy6}~Ka~_=e
z%2N7X$>O_!<KJ{nJr(|A7QELLc5G2}e4NX<?v2}p62^mDg*j$z=g5ok3fSad@S3mb
z62H)$%C-e>jM@|CRIe7Ea=+n$W8;EH>sb2v6js#89GtwFV^v-6gPWx+SL?kB9x*n}
zGHwd?)<0sgHQv7<t+r{`dqs=w+>U?$a_Gr8U-;nD<SpBD?7C3QPfo|nzd6_aow?v=
zP<zrG>GjGQJLL|pEf=1mCwS+lh~v%0obPJe7W{E#;a{h?qC)!MVnfHL_JTH*oeN%N
zXUAAaPhaqBH;3OFpMcMkS(f*ythlRUQLN~AH(zkh?x_p@)v}1sQ^@$Cba3f)nYKcy
z_09#V{ufHzo3iICfLjomEUM?#cYO72x@0bV=O?S<P0fRQ#W_x`-^20PCSvx2N6%RL
z%M@1pDQr?VZCbTl$i{m5f?wtwes^2~K0jw!E~mQUZ+Vlkwp?G~t2B;txn2SF)lJ;h
zf+jH@1usRKjy)HM`Dx{NS(;;AFUKr<pMuBvEUMG(nI7M%5_Wvq%lU54`~`31SibYA
zY1~(+C@^z8s?8x6>kk^O^_Npx@hiM3{iL#?-&b>?IVS|K)W{!Pd6zRw-lO1|OVh4+
z1&eY?$G>(5Tdg^z>^ury>a+Atx8!;pQ_1XjnU`Z-uJeVO@FwZdrb)+z@BFfHeCf^c
zu4ejzH*Z<K%PDC5H#yk6wEvie=w+7vYw9ch#5Jk!76`dJ|G`Z|maF#e1&@-NX5Ck`
zFjZOcSGvjAU+_w(?BhAny$k+Tv50R|%ZO0g@g=kAn7eSyPaVh0-5l#`XD|4f%VJ*V
z8}P}qDY`S+!0%-!=es?<3*LmWd@obe*sp)ES(#I7p5uoXPEDt5o7A@ph5QvgxLKWJ
z)&I#4j%Xb(%Kf~SW%)Lh6@L|*j76JvwF}R&p1a`RPZsfYY8e&A2babRnamT4`N{5h
zd20V*i|hBCF4Pn^Nz1Ef?BqYV_ArN+zT%F{Y8us|2lvkAICbCq!-K%4uJgh+Q6DRM
zf`6W6@i$Xl5v5YGP2BOTGpC=9+KQj4O~K(p;HjBvj#vL0AKaO<;NK_pggM;Vf+lxn
zJh;TpA@$y|;Hf~<GTx@_c%_Uw)`PwB0#o+PesJwQC)b3!zE<XvxLT!W+74yDVtf})
zZwzSoQwFLjKm(+5M-DN%fhI3MiAP!-cw!{R74X-Nn|;RJlTM7UgvIzKR7`7SGMR46
zIlZ{yiWI1p@B@u4Piti=u?LNiaz|)5{I3w>3&_|Q(C`p6?)t@6jLTr(+*an0y5mla
z%RsZ2w^mE-n8S4DGH7}kG%KpJp`hWKd!&ZLF9tEb3zpMbnNrRtJ!IJ19jW2)&q|EX
zKw?us!=wC2ivuq-#kc~#o$Q=G|Nq~aLJ6CsK(pU-Tba*nOIyhBwI4M0U8f_mATs?S
z!%E{w4Ts;Wbz~HZb-7tz{F~9r{N!EwLWaNUkrob#D}xIxStZt;I>hJ|DaK`Rud9_g
z#O{m}<FenI3L0(&>WC!Nv2n9!)U>uTsjN?Y$goy^V?e`~8LiAE|4ukDZqnaa&~Q(y
zyYe=}&(cVX0}0+@Tmg4FTbX;bbVL@^uyC`_m~+mFah3Rnf`*${bwn1_adWeK+&S*V
zI15y-U+LBnSuod1bb4{a87m!;1-n_f*-yMZ;l%js_lAOoLrS3P_0S<kujd;B8a{^V
z$Sg2QSjcd>I?}@7ts$soGOv}{BrK}ww5CH*t{C5iTRp8zOUe@-GR)lzYMC56#8?Fm
zxfn4%gB_DvnMCqa9x^Ph1~r-l#rO<nZVqU8#kKrl5uZVHcPrBsP@AUnZKQ@nvA-DK
zgs2lvjJMQ5Q_Pk+A`5P(K4jP_4T{q|F}{F`8Ldn_^OGMkEPd*C{HaL79yM<E6*)(o
z7?<7NSkMqPvz6%#f6_yS-pLUf4xe(w_%7_4)5^4DJ*Z)_8`LmS1T{>iwK6@K>>G2A
zku7^eK*OUpP@6=Tn^oieu|te%pyczFMU2lNdt*SuucbON3K!ECGMu%JuyA<gD8_Z6
z4m8a&&60U~aKpP-Ix-5|8M#?sh(}l)(6)c{-|ipl;l!EOK_lUrE22XMj$gJ^5?{4r
z`j3UbmY!mr*cI9tvf_5T$l7aKW?Q#yo$a<JYW3ACywTH-WtnSBIeOb`xUKl@Bp~>;
z+wtJ81{TMowmTlSvALF{otyjn-pRQ;zu&WaH~G&$<InRg)zwcM|9XChD}MUCv-_X<
ztPEN7WTV!<?;$l;RK*#N6hGgn(;f3Sk=Zh%E?M#VywZ8IE-;puN1fpPXELEV<Lu8W
zr{}Z8&!>w#t__N3cwyiu7@~DqAbEAN_&J5p(3qu0v7vziQRNR}UT@oQYe`L0O4o{G
zZ)CJjm^m2*K9&BM8T-31f61o!dD(jW6S|6{eU8j6uYI<qe~FUW<-fT+zFTfse`3m(
zWbt(3^3rqsmJq<PNK1leoj}*`<?U{h*1ednV0ege%PQf(Z4*y&a`jHA*l||cb9JEX
zzB@0TUQia=mC?;PNyGn#-idD>mM)<$CLX!Ce4*g`{`XC4(vA*ltT){>npbf3POz}c
zW6YOd>DC{3Ib!`bh31N&6R)I2ToTWjeoGPbl@K<&A13I!wGKq|?<lyVm@nJ&YR)nR
zo`dywr%I~Se%;p6@oKYuL35+~shMgXY_5SPRZql;i8QU?n%;Wk>rw8VFAoK)35d@z
zTGD!KS<y`A!n(A17AEtxrdo=mtMO*a70=lcwQ^O$oGWe3*M2`;!}{og)O)#;le5ou
zYTcJAYrGcod%e-MV@HyD*(SzJI=<zYRp8W3*DO~F+I%jUc9QjPEE|(~m)OfdhO4bs
zhYYXG+aO?4XD+bgn&k8qTQ^)kS0;MVs4RY?z?bjQYgCs^mD1ZF&|~(3qdWAsSktX7
z-dCe`=u55EVOc9~;*z`5FST>h1c5Ktr8#11ZeCL83ch%bWkoKZpy<No9REtzMT@yC
z<;bqhRy4PHX{6dU|NO<dVnrX>*$x$ddhxW%siwYaYu|>b*N)sf&rN4LRPL<4CLlZU
z_1{*lMaSCwBTl&1OPp}5dFHiN?CidM&h8Owe$H%-d44D~%juSME%(kJN3Y7LKJSfB
zUDLuRoHE~hOQ=g|$-M<4F>fbth$`B2QQz^?tJAZmR7#~v&z!U11jqc7Up1!}-)>#M
zZ;GYp^Zjm{A~TNI72j&lZ*jY7Q_pj8gXO8Md0#vt*&HWLXqx0b!B#?~X~ie@W;>5N
zbvh=GW<S~uPCxPLi*l5wI0}hKDHeWjf3x95;hJ04U%0Z*a|!jIT<~JL!foYJrBzP-
zD(~h?NqzkHLoZ{K;HN(OCXl9(RyPluJ8ybDOsjgTj#VvAH|m&w_r#)pQJ2IYi@xQH
zC0b=E%)7{QPBOmh^wo2Lg05GurwSf_@VwJqq($+^`k!uWp7CqnJGrd8DZj61Zq3rI
z_ADnCXlU(Zxp}(DNg(v$rU@3W)@qiYVtLdQ+_oj)@1B@v0dwZhROkEnFMRq(8#TQX
zXS{>0_r*MOkXbzQ!EW`Mr5>MVaJ&9i2z{zA;^i5;e?`w$!@`W-?@OPY-1^KVG^LmA
zq15&%o5ebgO7SY~RPir+-mGxhbh$vN=JmJndtUpP+UyOFKP;`&o$z5%U%|G;n%7qa
z?x?x?lkL!V>D{_4z4D<hr*GKgtPSH9+%jF7V}F0eHKz-|Biv^c8a%ezD)!AZDqW%A
zs<|%9*5+%PoGW#&M<gj`)MqIC3HfsCtD}=&tAG8SIg8gjxww8P{FS+<+*x3%(wv8p
zuX&&BvNm-&AMm0+Ptn}wpPQ<pee~jJ-6xOAOIixI#_K+jD$WbaPCUPMtyt09=^-w?
zr3;s;s(k<ZldZ_$POhT(-zCatB+lPkaBb1os&fuI;*Q^0<8Eng^Fqw6=-!V|wf71q
z>_ReDcdU94u&}3sZH`db<K&a~SxzoIG<!;*RI0S%Tonz2L$~j_dheYWG+!>L@L%?l
z_lv8xpP%#Xq4dJQqt(X+cyDiy_^lzsBe*96R3d?j6*-=#0-^sBF0gmLu5Wj%39b=W
zakFSR*VU<Un<I4sORJm5oi+ER-bAi*X4oIN>zZ;ZC`s9<)eEOoFYyBxNN?MnlylDC
zXYn<2y7$q2LT*b?$Eph)ox9`vdAa2muiF@x|0?&P%wA5Fb@hvyG<f2#h@}cHTYTf8
ztk$9x7bj~iT9FYiw$b&^l26N<PRQ52H~ssq@bjhcw{HXjYrnOtKV+8E{Ox?<N$Nt6
zJ)jh&1Wr*q>VK$hi?4vDDT5iYdc_*&&Yux(f7lf?^Y{_gnU$Gt->03H=_)q<-1Ycb
z)jpqRhJ|V658|IrvUw)9KxCy>J@<!(4{P5pm}5G{zr#6u&tp~pvd7C*b*|qjU!_%W
zR+{b6f3KZ<M<2~fn-VkW_@-y6i?mYL+Py#bz{7$6-=XWR+jUsxHop&AT(E8LQn99k
zam)|n1O9Cj2zh>Q?bSu8J=dc+Uagnr*zaulK+aatA$wZihKTgVtqZoFcldGr>dV<z
zqjm%>UTyNE{P}9Jre9~aO%hoA;q$eL-`P5XKMFHeEpPXjCXxAdhpuBt*N5B_a@;$g
zotJv_y!iK)-&0!tta+JdC@}9WGxyGi8^5pSG<=#duQldtAoD}(9q)CYylT(6nl<tF
zGb8Su-!8Kq`rrFho_puNJGoi9I%YLDoYqx6D6{3do;|rWru-~l-z4Xw>y5&k+BrAz
zi;65?b;r5&m`n7=?b>VH_|>N?SXNX{HY#q)QC=b(x=!%qt@^9dnl;BAW(F6&%U03+
zC{^>&czNxQNhYfjw-`q#8a6MMJPRqT3?dbOsh*JInJNlOTvnZnTNetxJ9(d_Q;=^#
z@)5@Ere(k7f4^1jge`psZPWv?VH?0<8=fl|-`@Bu{raoS@sD$_Ynx6IyW0~2S|zUH
zZLW55(hL{xlwB8vW_oz9^vrx-Vzu^nX?fK15@XM4pbq_77w@E^j82~r?-ZrL7rW+N
z|1JOF@Xvo6Vyk1$%kNu%{`q#%Z!t3}er3w+e`tKaU*AK8>;Kj#S)E7r*Q=S>ys*Fc
z|G?7498&s<J2v$!cxlRVJx?`bU(<tQJqw;_b<e9jnSOuqRyoI)XF1=!@dzm6Z>nY&
znqoic!NH`aF4-pcaN&@Btq)GxvV_X3Rcw2p(i2=O+ax?&@XDQe4?;Q@eEY|e-lwo)
zcgKS}K~2BH1!H#1T<~zlgG=(9QueL|PhGormhI9!xYb<9<o?_T$J#l)<opU&dj|Zf
zX|j%2)u<LexOcwLl==w|4o+qfy>2D>*ydKtf}(j3PUf+M+Pi#sW6<;}Ud5tN&++Vf
zfjPS-F8Jopan6VH)xWt9?#%Kw@cY}#sh8t?p&-1;TV5q2MtMh(jpOCboa<_5F8ImI
zVqWJH@QJZ0x?Wjh?=ek@=YDMq-dtn(e$OqSPQHn~T`0t+@4>~HEK~Qpe0WmTv`V=t
z{kw3;f9->vSED7CKWk%IzD;Sx->@d*YT+w?B@XUnbNqXmQ!mEt!UwA+Z+oST8u^1$
z_Y0W#&g&{%w|B~dpVL^(?>Pk&={H5ot7_Ep9Gn}>c`DASV7-69zp^Iw??NFpJr6Ff
zTAn!Pl)hrcCRWF*=E63&Coia)`ryb#7E^yki>-4Od^6`bcgHQ@`*fD)a;hu#pL!|r
z+-cT=htF81-*dT8!QZ4Uub5G#a&YTp&ROLgc{OtvJely|nm&h@y;FgEdcvGduZ8a1
z?pg4MkA>fhMU-EmqJ+iq>12+1I;tyvnKq@H3y1u-JlNUJk)?L-h{f`2YAgPFH5uO)
zyi%)vaOYo+SMq)ZiHeSgKXdAR<&e7XQt&jL#aCWs$2b3`XW4U)ZtV>hm~v;@gKO3t
zUf~??YPuG@>16qSPF15`^<c9!r`A2+4;fwoKjm5cuh~dH4ym;~xH*?&)&98;j_iZ1
zjbmxLmD^+-E^y^9-@%=`IbPX26g=c?YRleYcy8%*L6dv49(YY$@X`*vHtvr_lXSY^
zls$7ET-(m+b>Fk#xj9SfbC&O6_Uw<R-0ygBFpNdCT(M${x#QD*VVg{W5ZmSlH&a=z
z?sqMC#Md-yznaBY3&*$5=XDpJ%W()WcQ43GZ~9d&7-P}0;GrSQbU)>c8qR}L^My?I
z&V6vJWx>y67V|J`{>M{d=0CWW&EfUlrQrEqmQ;SV9pxsDKgA9<KV=bJuUb(e?f5ia
z(57<Af>*`oj$53+#Bw#>w_uS&z-L>Q<$OxuwQ=4Yui{(^-bpq6a&F?*=8&>;DmW>g
zFz487p_och$IG%D>-NrG@RO0n{1uC;xbU4_6Bc~A%2K}1Kj52OQ#HTfl=uxI;K7!p
zX%8-zu}r=1`XR->Y1Mqiift1X{9<KMU#GO<r)^Vka?`DP1&hxjj(5f5PHf%j%lRtb
zyCAW=sZF%Wd%jvmjncuXpE+j5c^16g&2sj=+K!LaO_ThE?s%0N_`USyc(<o<0mIF^
z5f%>l@nT#P?0Z_7c|Z$*juuB)fR;vc1;~Mhn`3oE7W}dn<1?skYGt|-Ul91{<@1~N
zM$9T^X$u+7Uf*2MaAh5+yUr-aH(@1cSu<#n)4Loot_%C;v@(n2B`jn(Y#nLg@N&D3
zNP<oJLx!o(^$(xbJW!%6#urd6%gs9D{h>pQQt#6jGMoghF@F77M?|4kjhp>N31|sj
zl^EZJ-Oa5`Pu{0LWN1DPS~pyIy0Dnf;8sH`)0FtrhZxnYHwQFi&1_{p!<V>_;cIfF
zg~Ou~F|G@HrnE9IS(m(!;jR3pf`&7-IwA{xr;G7T@Q&-59o+EmmyXPW4XWI%5}@Vr
zUhk6^GCXdMgs!2xmGqEdYj>o^fj?28<$a9YtRDAHA7YHEPg=<EGF1QISxblKM?q`o
zSh?9>h(>5Q)cK3?8CZ0*GKusjJ!Dv{sw1*sPfshe4`_k))#ylz139eRtSin(SU4n2
z^)4*tx)9Uf%DluZeIdhJ*+>hAG=4Fz3$_zlnV<BfEM)k5R7YmPg~WvnhgXBbO$;>5
z(ca1&BA2$1;WF#=htFgdY~$l*odFsHPp%f@nxM0>pyAp-P_VIZv%k<uTF7v7DQLu5
zl$-U${WFIc*^<S$KAc&)=1)W=leT}tQ_eLujZ@V>)E6@SXL3t)eo(>q_FA-uNTAH|
zkC*@Jx6PCYOA2>iqq0%xaFB}S)ReGA5hs(x-PWkQRO*z7eG-xs(Ro*Rckc~XrKXM!
zj-&}43QA2K9IHCxl$5GEJ2-CLE!_53(C*te`whiE&)mFu^ZmI^tEbrCxqf}IbamTz
z<<*NmB!}GDcj@8YClx<-$NK)e^Wl`dqFmv-Pc4GyPG5AKm|8IFfz*nOR)5A153E^=
ztp0ZM>a~`3)z4x$VJ%ws^aDr3*(WXxn|`@7Z<sxq#o^mpLxwe6$C)O4R$y)TIw^$V
z)4U$$gy5-c4tt#+FBTB_e%heby+DIQX5+)ey`ScbR@cA#)mahuJK2h1^)eoYqJ6$h
z33ts{8}{4}X1r12!>*uxlPTf5ix|UA$(syo^v*LVq(9SPxMp6=pfSbLn(Mzw(kHGz
z&Km`u)#=&Yyj02X#%><7L)lXshA{olj3-uVGbH>?v}363ZDq*Wr^leM+O){|_}xkG
z)+aG+;-AK_!7GZz!Ovfl;dKeG!@bs{3^|*oGfgO6%+ql9Pbx#oeQoxH&?rWSbISj%
zrB<&CUw26IUbh#6*+MObP4~i?H|*ZY*6>DuHDkoqHLMEH({&lLg_#ueH}W<3ez#)S
zq?W^wusvUy;bx>6!|H=o3`Nh(7&h$N&DpSLk}g9|n-t@TRX4Z}y!))iuqk^x<A$o;
zvJG?E<}$3YH)o!3eGhlT*?uvGO}C;MZ}?^~EBt<u#}JnJuivx4dcx)1;^{BW{AG9&
zo6DXsIi2Ceu40CUyN{e1Qg+KStT|=Pc%rgQqT%Z-J%&&BWEc~I>v<a1_}^uC^X>(s
z!tdXO3}L_hm?k(cXN)MH%c!9LRfb{q=DiFlzOR`R${*M;+}tV77;$$Wi^Dl5_J*&A
z>li+rVq>^rvzN&s?5rsR-@kw(c7I<aMtU%6DzGkT5ShRf<iJG?=l{Y3@=v7q@K!Ls
zowh$)Bv9bExv^&0Q8PA|9Puq10(N+9nAp&JLnT2shKt#(C@SlrC}X{7-jyRils|fC
zpJ;5FTHy4rA^VEo`rr$Xqz=yfp7-tD+kH2;H$A;QM|#Kk?`LbC|Gu}*bNYMrnz>QY
z2K%i`{MGL-ouKkZw?aQqb%x6EkgF+~+mdhVoKroiD5ku~^~NoI#k35TCZni>t0gY5
zHYj*-MJ2s!D)}Ab9OC#}C+=6OZP&z^4lHM-6wj!!zI5Bsx1z}{;*Q<-h9VE4m&fjZ
zyl`dx<#h^^MK->R<$sjg@$<IUqn4Ek?>CEoT=nnI;Z3~1uC0-pvqC9Zu(0A}^9sSg
zJ$WG$&Y0dS6Z~#ImqENfLgn_M4m~q{kETsW?y$bReaO^B^p2QV`FdNUN|n!z=?4Yb
z<z%io%`;#9rt7u#>>~@mFARJ-$s%B;uJixs&8ODw*tY3rVavgXdmPWD7A`RI=Qw=%
ztd{koQ#)s0TRCrcW_OkSv0BAze44A5-F?NcIiL4Zzn;0&MbX{Lv(h)M2sUT`T0HC8
z*~Z}Phm$xSNAo`0@OOFA%)>|0@?GctTK4Ru)~u}JKf#`TY>Ia`7l-t5CA|L8_PI`#
z{~J@p&R6as8$bIwPmr&8qwBHzO8hkep7K2%{s%MSohQiEK6-uBIeoQM(=}WBMQPgc
zCM<I&`|sVGvF^{ZwgvVd6y><vxo6L7>QRUd7fAU1Yue^hQ;cTw%=Hy76s|k+!g$Z;
zGm)VRufDoF&xpP8ZH>|U=B2#6iNAL@y|7<zeEu)1VD<LD9lO4MH)%P%vFSyj&HqEU
zyWRY+@9AJaeJ`71I^Vu8qDx8^?p>t#=G`wr)7O8m3Gju-S5|+#`D2x0PW>&z)lYa+
z%4b?lJj{71UaKa=CG_{C=Z!xv|9n|CyYfEgr0>0!OWGDI+~s)fB5L4dcB1tAiFK0J
zKhy=o^^QpV_}zK*XJquw8Hf1}1%6#OMWFp`_6yVPc@xv6Sv(!%Om?!oJUBtXB~-en
z(DBhh>FXUE)AO5-aNK<M>5u<}ycX{ct&EnVi+5f>S7=r%!O*u^XqJ@VlaqlHZlr&n
z>^&iGev87Y1+`+!#n-wUCe67$dqJeKjaV(qOSc^@pp-W!ck1CuwawR#a~bt(zFk>s
i@yJ$9K)8eR2ul)^VdD%39|b(z1NHt7^vy25YXkt*SaSjZ

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_vhdl.prj b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_vhdl.prj
new file mode 100644
index 0000000..02a4b77
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/tb_ecgUnit_vhdl.prj
@@ -0,0 +1,9 @@
+# compile vhdl design source files
+vhdl xil_defaultlib  \
+"../../../../ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd" \
+"../../../../ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd" \
+"../../../../ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd" \
+"../../../../ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd" \
+
+# Do not sort compile order
+nosort
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xelab.pb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xelab.pb
new file mode 100644
index 0000000000000000000000000000000000000000..f69ce9b22f2398d00c579c1790c97f66ae5631ac
GIT binary patch
literal 846
zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv
z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC
zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e
z-^}Eqd<B=(vdrYvVmziGJFk_AizlcwFE2AM-3sPuV`F1v$6jFMI?Je^Ur?f-nVYT;
z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|(
zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEKtzZ
zEzV0UD9*?)Q7B1@Pfbn_&C4u_PfE>5EJM?#hmcp$&B;$!0J$N*D6u3}55$8+l!=KY
za!5FFiH8<v=A|pB7Aq9zB$lNrlw=g8CZ<3Vg0Z<da+ol4F<L-Pu{1_CMJBi;v8V)O
zP;p6ONoF$K?#%o=aGEkUF+ws5YKo}^vMJ77vd;Or1v#lDsVNFLj4`r6PMQ#7j4jQO
zjmhWo^vhRp&PdElPgTgwQ}A%{(Nplr%*zJ3uAnHjEHl5fIHyt}JvA>C6gVjg`AJy{
zX_+~x#R?_)3du#Oi6yBD*{MZ&sW}3yQp~277U(WFF*XAEpS6M&lHB>YxLos66oOKd
J^NUgh7y(ZJ`e^_F

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/Compile_Options.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/Compile_Options.txt
new file mode 100644
index 0000000..170a0b6
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "ecgUnit_behav" "xil_defaultlib.ecgUnit" -log "elaborate.log" 
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/TempBreakPointFile.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_0.lnx64.o b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..7f0ba0fe4a592d2f563ec5aa077be6b612c8bbcb
GIT binary patch
literal 25976
zcmb<-^>JfjWMqH=Mg}_u1P><4!0;mp!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_0T~Po6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py
zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I
z$DEuP__sMZc=WOy;NRxu=)w52tA%l41_MKflhfe|6R^vFA|UUaiO}x|HovRIVhUK$
z@i4?=P%;!A-~k?;mpwcGbUP)u8h&d%uoo1R{GKn4J7s|4uhS`~GppdZQwf;!9_;;2
z7ZnzdP6*qx^XNX1lt<@rkIq+MX_xNm1lQL8uKb?geLBB`g*-Z)D?B=n`*gnZ>HGv1
z@$9_o+5EQQcyj{-1300$bnb3pU|?|R+}y#yz;L{|2P*E-4OZgO**t}Tfx)A@86@q|
z**u4VfuS2L*4aD(#O_|ez`y{G((V}y3=Ebh>Xcv3Vt_?x_hgVX;{}LfSftd~cywn6
zbXR9|7w2>bmvnc7Oai+P!t6Yu?VQ2C-noQ-n+q$0EC04VEORqKuE?(F-VJhj_hyiz
zyC;KO3(*I5j5gTK{OiHKaOtRFW#|TrcSD`V-<tFP|9_wE<O<JjR|d~+Uk;B>X9tf?
zZx4^;*$@xj2OH<nc@!LO&pbMBfd#s2R9L_a7kJRV@Mu250Z&=6ka$Lo-|nmgSHrih
zZyotP-a;eJvGW)r4v!mtLyExe?1a|0j(b1}!G+)R>Ho7nozKB`dUQG$fSvQtr}Gh5
zq%*tXxN{9yW#?j0fE;fI1<3#Z|NkEY#}q@ib3(7j|JDO8{GKm5yFuY}92^u7J*z>H
zdb}AFVIVyo-Jo>R42mj5ysrSoE?5j2>)mVMF}(yF)BOEE!P(swWGEzogX48K$hz*$
zph)eW46>uU8<cFoE{8BXPiTYVh<`oU4d7^I`Depgp3lGSfM+sGyAR`!{|`L6vkSVb
zE4qtox+jCY2QdJg1ht(j_}7E|e9VQFf$6n7#QF(DYhivZ0@4BrEk+O%jJv_6cXvZP
zSR&Is8RThD`UUv_mVWvBL_q1NH@l)?Hz?UK@VCrkU|>Kbs^%@AOw-v7ip*{>r?Ytj
zC_K9lz{79{0|UcrWw5OXqI)t(0mwd(a+rPf&glN??gq(20|dlG1PK2&?~D!~P|^p9
zcXxyAu{=?_)Tg_;0O3M-vInIek51HN{|}bzVX55(o)WR9dW8FrIXN<d%Ga(Ii)A>=
zzhIBXH=twzE&>1f^j0|-zBT-|3sj!@bp8i>%7(Et*rPK>CBUQGIiTA+qKk#2qeTUj
zqC9#-R03cHoDFlS7DyR~;WwDhE`|;l6%UXq4!9~N2mUEXUo#oL?R??Ucm!m1G^jR+
zJv;%CUp*S%R4_6yK-|=wmC)<@zq1LH>JT}&2^81In?R`>l;S&Af!uSv36z&Wxu~-V
zWb*MQP)dQwgEG|dCQw=e%R`E8)MESyN)h1F4K9rxd)*mbTmSC_nZoaR!m;`PKNo)g
z7o9vR9?36Z#fL{{GboFK3x;Q~O2DHRoG@HEcY`ATI5_EnOmXR44N3>cn?WfO<d`mS
zQQ6T9N^ZxSPk_{b(kD1ebvB;?*9BLgbwTrwe;%DaDjfWMPnj4PdOa9ByDxwh9D!<U
zJ;2{_j|o)sr>F?{^ya8Uz)}P#aw0&40RJ|yT^%l<IL!{|24|e^&7i>So(u}Y?rxBA
z;MnhO1~Ik4B^m#EaBTxFB05}D0)0Ba!%D%978Ov4dvs@KbngbSx;KNuzI!q##IYC$
zQo_H!86*oau0>@gr~m_*2&qFIJCA#GuLcEcH#h*hH*W!j%4ATeVKERC#{BESVG6Eo
zKputo)}wQdiUA`71I*VgDg~g#1*-MP@_36%AtM8WPv>`!-YH;%!QliB%+5I~AP&MN
z4Tw!-hlwV_CXm4(49Zj3LcVj33Mh?3N_|l7=*AJD;J5{q`XEIpQQD&NfB{^!V{tFo
zYFJ{x5_=CJu?GqhkTbx_J1`;@lE@*(ba#W2w&jTuqwdMz<b9zNoYr6^Eq|Za-~az#
ziyUtTrEpO4J`R=#v3$BWgHnb^w~q>kM>nHKw<mJ*0hGPa%h7MJaunWt=(Yhz7J~=4
zBmxyUs4WOYc?4=7LW?1%9K(~XZ}~l5b~=HYgdn$cW>p+_ssUwWXuATfRN2P_3RL9A
zVR4RYZyuv->o>>!AOl?aJ^wm3|N94WHiHYl-wV&=_edoRq*gfY(}`5FOa{drN<$H3
zkW1%ka4`tZr631&d*^6-XVjE*R~K{_mpFF*anwBH*?FxyxW<P$Il$HMfa6Y(GH_%;
zN&%N{=ZtReoX)?RXOYv72lHx>Gmw=#=V&`;^ae1t9ss+W-{XQu=X;;dXJEs8IzRbz
zgIhx$-Ao?cUdXWnaw2-{U~Ak#Y9CPSU}@Y<n1EDY1h{q{ckDdp(fQe<^RHv)S>N6z
zwjAuBJo?<F^AOlUtq1s9CW7Ljcdv#s0|Qvhqj#@C2!eee62X2Dk6<rIfU<o$byR$M
z*JiMROn&dtdC#TulS}7Mh*_P7J-S&`JbL$ND1dE#=F|BLEaTIOrqrkNxku+cn6yXZ
zQILTiNUBg9voAq03o64M!-4}mIzM~vvu0pm;ACK609CZm&ILHu3L0!Um`lYx{~trh
z9BY2S0&3hidG^*Z8GZx1$fLJf!|<EoCBu_oZp(oZN6+SC%m_^$-OU0l3=G}f5-bc1
zpz0oMh)3`43(O1*9*u`juz)J(dk}ZVfgK2CLt5S-*G`xKcdsiJ_cq^P23hcc8B{K_
zaCkKT;3@a<=;Z}@)+3pv(Sz~C{|BDEb<8j~cY~Y1-QA#U5Ar8}>sm$z2G8bWEC_cW
zYwloWU|@VH&BVaq*;{9aLoXA5YX?NH{mUE(gX`r)5Tmz_7oq0{sJiI}m531gvOy}E
zkMW_~$NX|NL`DQ%h6Q43^D*(4o**T?by5i91sFjAAi>DM05M(}q@wwlG`b;dFMS{~
zis&-zFO48FDlb9Ccs3u?Ls!D}k{_bP@Z~*FtGKt$1mS`PunRyz0dWB+AA2?*GetLq
z=jADo-sWT0ufsii>(mh@cz{g^fcv2rqD}+d1kRVB^2)RM7#q3_!|OuN=3|cN!o07e
zJe!XRpbIm-cJ^#OCW|i2`dY`c`ItGnF!yUw&*o!V=)xQxy}LO;DY)@)0V_sAhNN8(
zi75X)8sC824=G<f_f5h`&&Qe#*ccd?JbHI;09E+Cn<dyl2G^)mcyw-20hOHKoaWhE
z#|RC)W6c3j9WNj{ETB3vJUUBM3Si1%S@u|S0aUpH69a=s@8$@o@(7Uf1ekJIZaLOG
z0jfL#qPzmCTmht91E!n>?wAcw<r5&vXF!z;fRszXl(WK>Uw|q<0a3mKs@wsj+ykbZ
z4X*qHR5=5v_~_ky1FGBrq}&3goE@%QfSrMX$)|U>1w{D|aC!S5q&NVkm;<WVqccZ^
zgMWML`b-9fw9aM&c2MB#E&yv>Ey2#funSW8+NgMRzJqDzglaz4900Lt@eF2AgL$<D
zxa5BBgHXy1RqD}=RA6<naQr)A!_D6=!~!b44qz#^_@MeA34__A8<Z5fQIi5cTn#9)
zz-kmcx=U2x(IWs?019ug0tb)+Xb3kS6GS9!k8T%GKs0R3WMFt1$P6z3MBw^C(F@iu
z0MZYSP*J!7Pz-?;7=RQ&<D~hR7^VfAK^A-f6|l|6Bwt<zl`g$?GH`=HsTyoh2FM_I
zI+leiz~NUpxB?u0mB)0-R*+LtK~CwdQ-bTq;a6q20vvu-!L(pI$O0CS1<l9QUp@yF
zZoPF{aDzZu671IqkYC}MP#dlQhhKHz3UK&U7t<*_K~AZJgth@(KMubd!WH1~s}ZIJ
zyFnH}s}YnM0F)b<eLDYx{21`E2oxH<brx_NaQM*@t^kK0tuURi7vzMgkWjXT>&M|o
zSml1K8HXS3F)i4i$-wa1+_SgN32r*51Yq{*d=Ag^&BvTEH6BD1FOUMI8(g4xG#&xf
z3y5w5xGCh>TjvHhA5t%1%l__gHK07h1S%p>^Na^v0Vtt?6`&?GPq+e5B!Cs579d`5
z1)x9#D?lv(yx|H!u>@9tT*CC$`M?!`LK&<8xrFGg^Mxw_r4uGtI)N3neo$#x@pKq_
zKthx^AM-~9J*;pBM-BFX2368X7}nnG{O7Shl!1YP3*1D87~Q+=C}_~ScQ>er-zCZd
zZP9!5?tTHPD;f`j8tq_lk4}_!Ee`Xyp_=d5)%GiwfuW;WfCn`6*!C$GRQ)$|KzOep
zJO&8wRxU_M-y^VK_YZEc$`2r_ceethS#pD$fnk>-sJDXCF%uB&Ymk3;qq@hlyNxps
zZ16FNrN1GTGJ^%X5AcBHc7Ukf-4PH=7w|AJ>=MIcDRTIN`mLzpD+n^WcXt6V1H-OL
z21NKyfS4Wu6UP(2p!u(m-~i9g?;f4MJ@=i5`w2F9=-KU_;n?jD?&t8geuI=0uojg^
z@8+&NP`$T*0v`hdI4+@azaP|J@$B7R!N<U0cxfjn_FWARKqcY5qORusMGOp%9qkW<
zKw}`y+lv?&JUiQOKzQpRybBQC{34K&?&V;?{u4rAl?Omn?|ueWkR!IQ5CU5Uibc3(
zsGY3F!v{e6d-pR4L&MCY^B&Yy`z=7GG#-BeF$EN$u7(GEI{&>ihScq_rkiJPJ!)T$
zzeN$E0@hmd>~04QDLQudgN9KcE&bb&po6v0K(WcNFOGqM0X*<)c+zo4ECU0B<4({p
zFxW+o-Scm-Ffe#B&%eOJz+m_vp%|>jaR*2<l6ucxNGx!HVjt=&-`?erh?ozGh+W(a
z3=BI#@?ejFy2N0cJe!ZPBT50!?sm{<l4Ey2sNd(&EyCg1IUN+g{M$n~IMN(Dr_bPH
zVCZt;;BxF}pT)<(@RAu)LBSe`p55)Bxi!b`ehzpq1zM5Ant_J@!6P(quY){|<Q3oU
z<s7UG436FN1z5pe2el}{ilJTy@sZSfcDu;%d3Ls+10{!U78%cO9~lnMPUKYL+q)c+
zO6G%72_z&yIS(TwxKKjEfeqx0eh*Od84?npBnJ-(P%?yu#3>;LhL@lTA<y1ASQFN>
zyWN5jY=8qiB%oP{2U<!)(g7%6gZ&3e2cU5VB+of^&-VbOOy>CxkidW|h6V<RkEGrc
zn!58K<q9~4eS4RKQ+MxtQ0j*G9wd+9dtL&*2jv^M?>U6w>3~ZZob^Bn3~nZ5d?E%k
z)c~%fk@&DN3($0MFld0K^S|f5#~6i=2htdeIm#Hz@n!`P(6|M-?~wqCoyNl)A`A?>
zKqD_6o%g^K9AI1V4Z`rZfU+s-kOyd>y1N@RGzrSLrMjNIb+C+&X=(3fxTWB5_Ux^L
z^{G6%n^%Cl>Y(l^*r<5V-a2?5>D_Kw%)rpS8{GS2-rd0j?KkZNC+!`e3;{N=<v<C@
z39yXg(cKIhy6Wx*wNILDIO1yaJe!Yky<}u$U;z91uxD=_tS98zyWN^tOAI`F>tMYj
zbW50OOF$z7FF~^lp3TR2UY7j(|KGE>4%XT6Y&>pD$R3~0e=z@mtb%oe(5+&qGxKad
zCh<}TWJB{Yp_iN>MsJ-oG`pay=c+aIY(6IQn#B{X=Y$kY-7Fmc4|IYP%*%6s|Nr-F
zJ|_2a<KO@PQR{O~MwIpox=pclmY$8r><Rl5GT_&8poH^S^9d0K2Ikl75C&+h;sL1l
z-gtO}2&grH+3G>A@44{1hY{=^N7CF=APRL4hyiks1f(ezAxhXi-1yzY0CtZvY3`8_
zgSrRA0J$ds;vNPu!tUY0?;cLDdt6C#&jFZwKn#$3Iw0;@L4<qwP~GF%yUm@D<**!K
zkCND2x=lEct9#f=Bj;Y0ZH~PxZr$CWc7X?TGpJqg65M%fJmyKrE}u?V!o;wwL>sw2
z#<UJp341U%gR11$nuh=PfhrtuZH1{6R8x5{H-l;_k8Tl0kIu;%;tUM@+d~*R(mE#>
zh=VE&My`(LB5`oZ4IT_^JRBg-zyPk4&|9kD`oXjFyC<l@4H{MjSq&`;JbSl!7lSI-
z{h%_`lX*YBGSt?yyB$<;I(GMiO3rQ>lo0N`366<gmf5{5&W_#vKS0en=JpTF3=A(>
zzyko=d?~XERH}o64BaXNa1*axgN1?NC1^I*v+<ZeL8}mPiRvv-$|=z?{109m1-1@7
zh8(;5Gaxo5urM&Z*1{K?j@|tWAZllTnv~rljGmp-B_v?c>DW14K>`w=jvehv5}+pd
z{u!W_O5<?`3221+bp8WZOW>*)G=CHZTKxbThX>dC|2+1C*hsP3-P`~gAZBi^08yPT
zDhVFPT~snaDm-?8nirtSGmlOem4fc>6(A+d-3vfer;kd7$MF{Mz%N*FXNwAGpa8TI
zLcp_k+X_q23=(AO#<TNScaBPgM{kKrfX8tb6;V)2X$Pn^2s50+qw_g9{J`nLvzyJc
z+mFMev-yMss9fs40P<|N8=psK^EHr2@9qO2H#8pJ0P;7;AXv8oIz8#pcm(9nXva9{
zLMdn;7c$-*0typQy8|-X?Xh1FwJ7oF-3xMlck>01EzHd)KvbuTN(LxsKqWbY2a4+}
zpy413@>g$&ii8JfmJm|^x^$PQBzSbTsDRq$9^Eb~5ugd(1dq-h70^f&NCxE6?q&s0
zql&p1GOFI9q5v8)Ki;AOnq8Lxb!GN|Ob3ORPv;Wwlssq}8Z@1OWSK|jQJ3yLDxltg
zNADJuA0YP}cTwR08Q{~Iq9WkYTcg5(2xyOPR|XI8vb5#~NpMJafT(U)0guk+2@s~J
zM`!a?5VLpp3s5*T9?p<tVAut64J^<R%XXminT<z4p$i%_igS!djsbA_0#1qlJ@<*i
zLmk%nMQ(puqO?CfyW7)>K`v|#l>&_|v?oG%0T5nbF-WjKvY3J4bp`$w=todsqD;Cy
z05=;!a}?laV;bs&n`d`>GsG^a&2<nS)aE>h&1GQ0ZVxH28y!GY?`{E5lr|pLkV1qP
zB6E87*1@{39^K6nOrV)E(8LGW4gRR}NFLqIA6P*Oet=@2TZF@-yM%+oqjNIYqR!1=
zYr8`@1Uxz?gWToO?ZN?yH;{{87XSbMA8hSmP<a3ufbeL11Ddgc#e@=OOrX!7^0!Dr
zdY$mGi0<Y9u%kiKFCa(rw>E)`Zun>e$Xje6SA&vvLk))zGk+^6e<QX3_k&XAK2XPE
z7bt1(1eFYq;9>`98Xm<Qk6srJc2Ieg;IRXw8dOAqxEY>%KunM+pp;&4+(iY{r(l4V
zN83Re8n$N3v-3Dgc?2rIK$_4?A$E_>W(H{n21oww?i^{I%^V<hmpfNSGnX{DIt5Q?
z^=^IvieQlKAm1@Si=fx#NHI)?Kf6Of{+tZ5AJhTlPwSivvcJoPLlD3Hp1pNQy_XH(
zINHGknSw112lZYMeNK<=W>Am2yBpTw_UN3fAq}o^I6w^u18Go&!@<?jYy|P~0Z98y
zKpI-#z={Bm#v`Bv1<&%JC>=ly?W-~{Rs}IIRtPXk^RRPFU}RvhU|?VXB{`7OK7a@(
zJ^?2_2`4@UCq4~FK7(eq9@bu#KIVQVrh9xEU||Wc5)K9i4+aK?2#_8I28P?9{-Pt2
zUMD^SM?MQjK8I%Z9=2ZAK9+vwHYVnyj36-sCnTdB`8YtOG6MqxXk8e{xR0Q=3SqNu
zGvP1`<R`FS7#J8p>){#TW;ya{xbq!gY=%0AsT$-*1+aew5bn?c`GtXjp%>JZhwBBq
z8RRE-z75PMo+@O)?hsJ;fU_I}1H%lEnV4pwhffo(@Nr>aU^oIYgMoqJ4JeQZn#FVp
zo2v{M7#Mg!;mg3luoPsD6Q6)1pM)cyLNjw86VpTxQ^1Lj!<A3L1;*B3U|?{7>e&XO
zQS>mcVuC4TU|>jLU|`4rsbOGXSjY|<PsB(Q;21zo6cTLMT<XeqfRQ;0S9qu}Ffd$!
z+5;N*XFzcilPAncAa#GB>Y6b9TZXJog&CxPfgv44p_&(stj-6j4xhcCxG#aKs|2MZ
zaHav}D@Rb8GiYY*0p}{_NEQ$ung+oEZ{UI|0?wnLVg}@_I*?x=W`W`iYz9*(D8oWy
zjp;Rv<H)DLzyS6?)E(U*15o`{kL)iMkUK%^UkIr4AyQol5$Zr`YYtRhJScsmxQ{uQ
z5#~S!1_qE?&|osidFP;Noj~#m&ERlgKEMd`I|C@4e}S3{>Oeuu07pIrcfJiwAoXob
zOkemE9Kkvuc^|YdM}rk)Is-!)$b95H=E!H@&bNRWR31XhvN%Ri5r-%f!G@TC(m&LU
zI*=LYarGB$AvmHHnDSs822lQ90@arZien7BH!vdE9ms^mZivgVlg5k;3@i*E|6`M7
zW&l};DZ|VF4L~HRe@qMvLJUj{3VaYja2dkDz;FpFegP^DE+-fm7?wfBCkR5+gUbX4
z1_pZ;h&c|D5OHu`Vqjpn#S9T&02K%4Sq27%bx?5wDTsP-9%W!)Xo89_fQrM+34@A*
zS_>c@;5^8{z@P;cZ;%IxGBAMi69WUoXQ(?Flpx~ZyaHNd4Hch&CO#V~uAq#nej(If
z8_>i}pyCdo49UR208Z-+3=HR??pdG;F$bKk85kHAL&Xc!A>!aP%)r1<4i#?zWd;Ul
zI%QyB@P>*rXhGD2(;fo@gFIBcK^r0tPFoBN3~Qk7nE+~~!RjXl28LFszZgJeH>?f>
zl?hPw5K|Z!VD+mUR2<HSD%c6N7u1yl3CV#7ka<vX(AsQ}5KKG~Dh^s!1`-zr5ey6r
zrciNE_X#8fZfAg&VnM}0!*w8WaGQaFf#ELH{h;Y}kT}eojZksW*b7J;+%8~XU;wSP
z761vL;p+?x4A{h%Gh!FF#v$&(gk8M~4snosvDv#3hxsKq+<6X%_*@+3fQl+?_I|*j
zJ_3jM6&&KA6_wb`XT+ht6^D2y4u7r0A<mB@oV{4E$IDY@?Bbv{B{uhiI*QoDd2qNV
z7l(K)G#?p&7sEo^c%X6;nl3>lu^|Hk!yiz8?*IS)Ape4-(8WPBJ}_}mkp)w~1r)&y
zpgMqoK^MuK<4EE*NaDAU#C4Fw-yn&DmL$W>?}1h!=<Yd!LtGfzCIP7j6^Sr&U~Mpv
zII{V=Na{gF8B9H>e1Q2ESv(g>J#siyAc-S~18A5XW)5;VEJRX|91fe2#F5?U268w9
z1Gq*7DS^2&7b*^-kmGS3NB|ly$l?!ii0_BC5kS(&>bclJu7|`ga(GH3i6gsH8A%*D
z+_Z6sPeBp~wQ*qn+KnWxfRs+oA&HwJi9bXVM^>K?t#3g7LXNL$Byr^U>P8Yrj<4-V
z;>huJ21y(_943J}pIE|wCz3d*e+={QLnLwJ@aG22nM2J%R&RtPj;y{LhxjfeaZr;6
zX75)dab$B;KtnZ9dy&-}BZ*5Rg?}=VII=nOafqKo5=S=w0g^bf`9aY31SlSn(_u1_
zICA*sAc-TV!x9|gN0G#l)5%37apZLJ1W6n@od|*oXlS@0rxP_Kab$N^BZ(U!h36_9
z;wO;Ak<IylB#s<DjL>o)6mH1sxsb$>!$$~*cqEdz6_Pu1k;FkwL|D42#39~_Lwq6*
z@wqs}cOr=!Be~}?k~p$|pCE~Yn#3@7enJvQRxbhVZ-BxL*?e;(aXln^196C_;t(%E
z5;sFKrwvIQ+5DYI;-EGI%>B=i#F5pr@<YmPP&EmvFJR+zl2CDwzmV12;SdkSA>N5Y
zd>sz)6F9_Q;1K79Hh$3Esg6V33x{|X4)J~*;%jh-U&JB)8izO&v|ozuen}kS1~|ms
zafrv^5N|>fM@}c(k;IYX{T`Ayvig@u;>hZGg&^q)<S%6P5=i36>TQt3k=45+i6g7e
zMiNI>Uxp-(tbP`fII{YsNaD!qPa=sUtG|LIj;#I%k~p$@W>AL;TFxV@S49#>R&RhL
zj;uZjN!%Q%9LPlyM^@j9B#vzU3?y-6^?RV=uyO!2eh7-e3s7-TJR+-qi6o9}{ud;1
zWc6Iof(2v_vU*V@ab)${NaD!qEs?~L)raB`&qfkQHm3|p9NC;6BynW*vyjA*)$hk4
zeica^*_;PR;>hNFLlQ?;&j^}Q!;*f)k;IYJt0IXbo9~E2JRC_J*_<RKab$BUki?PI
zw;_omt6z;n{2-DzvN>mv#F5Q;gd~ov{w<O?vU+CF8U$##A*&Ze5=U0Ai$mNQNgUZ6
zKO}Kvb5fARk<}L=i6g6@h(mk>4)J41;>hM-L=s0f|2dL4vih$`;>hX+#X-3q(vL$H
zS4R>@Hpd7_99g{wk~p&ZFeGtg_4zo&8<E73&FM!HM>gjOk~nfXc^*j|S^Wznab)v9
zBZ(uc=afK%53+g@BynW*T1eu^>P?Zvk=1)6i6g5ILlQ?;pMxZhtiBvc99exYk~p&Z
z8A#&D>enHOBdgzuB#x~9ERr~~`Wr~%$m;(fi6h4s7j*m`R9_&g*F_RXHa{Fm99exn
zk~ng^eIk-Lvc21p#F5RB19d*3<q5KScO-FS^^=jrk=1WU5=T~l9!VV8ogb0Jk<F0<
zb$X%hK{m%4hj=iOII{T#NaB`A?TTt7ab)xFB8elbe~l!Ltey=t7y)%Bvb}~#;>hY9
zki?PuJHbfe$m+{*h|fS0NA545z#)DINgUaoOrT{|Q1>JIR{%*I**$?c#7l69Peu|)
zcIROn;ylm^3sAm5c8?U2II?@9afsL95MO{Kj_jTbIK-bIi6e&_7j%9L-JKFh;>hmI
zL=s2#*K8zlWc6Edh~GyNN6s&=ki?PA`H3WsoZfgqgM-j`M^>+eL)-^R9NFG*BynVO
zN^ywKLlW0V%8zT2#F5Rpg+rVfv<D38eq?imki?PAal#><ha`?{PA!r+vN>yUh+n}W
zE)E(*gSr#hoG>JDWP96@#F5MY<w)Yl<~&0ZM>a<sIzI|ZpUCEzBZ(uMQ-&mtY|e5d
zab$CjBZ(uM!v-33gZdZQ9CIXbWOKri#F5QuKoUnbXC;z2^7!g|BynW*5}-jYsC$sr
zJL3?ajU<ko&UYY*BfIkvk~p%xTxy7R6tca(NaD!qOOeEp)$c|UM^^t7NgP?dAZ$Jx
zv`-f)e`O(wBm1ilNgUZ<pOC~sQ{k|2HYE@T8lIpvATaS*BynW(Q;@_#Nd~5V5t2Bv
zz3-63HIdvO4dOuU1$iB2PB)S`vU@Hei6gt`7Lqu!dmiBsmj(^yLhVI%rzsBc930}+
zNaD!la37L5via+Ah_izRsiE#c_LmTnII=m$IK-FY5Z{6%j_l6kNaD!mzr-QV0~+*)
zx)WKwA(A+9{o8~j4oZ5k_+5b{jvT)aki?P0;W?5xa{PWl5=VBABxo`N>P}?$Bp`_+
zo1cv&j%-d34)Lo<;>hMeN(ab1CTL7*E~ub}x&tH!5(D8YP;uzA7<k?jBo5lD15yW_
z?tqK)K<6)^!?AGjwNP`Q!!U61Cs1){Hw7*(1RaltHXGsMwNP<rwG0>Uf{H_nVYv7y
zs5mrR!^L6a-Oy-(i+_cx2gNnWe;~htuqo6-pt(_G@nEPps7^r^KMEBG%}F7Pr$EI)
zYtfL!o1o&Lbz;cku<>!&cp=CwAbcLG-Up-@Iv))ZKM5Tl3_uePf(CpDn)r68IBcCS
z$P5rZ0u_%zQ||>G4@y82Uk?=rtq%oBfy@BmJy7uskRXyfouK0*IcVa`q2dK-;%A}a
zp!F~yDUjJ93>zP*00|<QKLt9zfSyjE${5i71zUdv+K~=Y12P+gVe5~+fCQ1uhpjV$
zt#bmY1!35_sv77z3Xm8G!`5M)Kof_p2N3`%LNXt={>}wW9Jc<h08JdW{_X~vIBfkL
z=#(aq7eLY=3|oJv0h*LTvKO}g4(4x=S`db<zk{u>0EvMxZ2jF4G;?6<?_f&|L25x5
zw*C&Z9Szw%u=RHV(DDGJ7KCBz?<&y5Ve9We`^S*YfvvwggQgy~{_Y2wIBfl$4z%0?
z*$Kk1^>?8CgUIH?*5844h9Qf?*55H?W@pA17nBxfR59eH<|Y?Zf<-b@Q&Z#fN^?_-
zGLz$rOH$&CGt=`@Q{r<=b4oG`av+MzGE#Eli<2`_Q%ZAE<4cMX^NJIbOEUBGz^W5-
z;)`?g%Ng{FQgagZN>VFIKo%6ImVhmQYbz+qPfjf^1`C1>Of5^zD}k9%kXV$Mn_7}u
zgpkikEG~&JOUx-v#jdEhAin^tIt9i68=IVwnw%Y9l$e*E8lRsQpP84ES`iObiA_Oq
zPG)i{NC7BRav>%r=47VlF_h#N<m8m)LhPu_OU%toM)5qzG_WLy5uaF8lvo*`oS$2e
zSd<!{T3DKx!=RU!Uy`cl?iZ?CT#}fajZh5ndvan<P7+KU95-MUDWF&avv9>uQEG8!
zRVr8s7Q5kc#U;5V@%ec<nR%(u*h$TZPXh%sLTgcGab{k6d}>NM#5`P9X6BW|C#Ix;
zHR4hOlGiIPDJn@!V$dtD%mvfnR8f?ll30?+0M=L#Z<1(`mX?}q8DCtQ6mQ9pT9KMu
zT9O)Xj9?mB!qi$Ony022CMSW_S|HRSm_`;@)tV#JBA7<zSk;=r)tVrf#%5U6nj+L9
zn8v19)tVsGBACV|Sk)RM)FPP1##q%FA=Dz6#zx@C0VfD}uEUa9(?I#EIJE?n@(kiZ
ziNz4BC58w~5KLo3GAv<0c7*{}%M1{f!4;q+6GK?T2UNIGfHRmFU{wx^DF&c3Wf&M3
z4nxafm?X4XgmJ-pG(cj|<z#SiSi9*Zv|S66fHsF<Tn5l}9B5X6<q|-PW*8V4Dxl+P
zpk-H}@*dW{g|$O#nHWHuO2O?yutq4M18Q_LFff4c27rozD0I6jm>_dJAUR}w1KQAf
z0kRad&KcU~Mz_C|5n?~GUJ!c))P4{f8H2(Kna>JwKdhMmmxAdB?QDe$GB7Z}?1zit
taQ}g5hzgj&pzs4Ny@Tn8g&#;B>P3hKC^-SzfCg<e1_^=O4GKGS{Q!3fpSA!1

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.c b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.c
new file mode 100644
index 0000000..ce5caa5
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.c
@@ -0,0 +1,127 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_27(char*, char *);
+IKI_DLLESPEC extern void execute_28(char*, char *);
+IKI_DLLESPEC extern void execute_29(char*, char *);
+IKI_DLLESPEC extern void execute_31(char*, char *);
+IKI_DLLESPEC extern void execute_32(char*, char *);
+IKI_DLLESPEC extern void execute_33(char*, char *);
+IKI_DLLESPEC extern void execute_34(char*, char *);
+IKI_DLLESPEC extern void execute_35(char*, char *);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+funcp funcTab[21] = {(funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback};
+const int NumRelocateId= 21;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/ecgUnit_behav/xsim.reloc",  (void **)funcTab, 21);
+	iki_vhdl_file_variable_register(dp + 13456);
+	iki_vhdl_file_variable_register(dp + 13512);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/ecgUnit_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/ecgUnit_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/ecgUnit_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/ecgUnit_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/ecgUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.lnx64.o b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..c8f78529e2164a6232c22a14900416b99935c5e9
GIT binary patch
literal 5376
zcmb<-^>JfjWMqH=Mg}_u1P><4z|bIoU^{@B4h%vJf()Pe<$eWwbpGBc%D}+j(G4OQ
zJbFz*DqesHkKWxAOc)qo>=Q6{^kI-rkLEWVD5{%}aA1|#0Wu3>2uw+6Fi3ajZ;#He
zI~f=l8g_w{Kn?TgwFU7Y8am%~-iNS|MUmJD!$X6!7y=wY#xXd8MLoKCK?cD*)(!R;
zis{Wq6!xbuKz#_-1F@_52uF16VTOw0%v`;c%p(2N<n++I%#!$|)QrS3eXwv*YEFJK
z$an?@yy}X}N-7IdAqv47ahR7}R92i)np*%;iBDZ_YA!=&ab|9AE<<iH0|Ns%(m<Mq
zGIn=%wo=dtO)AYRDOE5u)-%>K&^0RsbB*eZO!N#)G@-&^U$QVTFo2RYD+2?AyI&{+
z69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{zS%GL*K7nSY5<U(Fh#m`&
z7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4zfng<xcI6XjV{+t^Xl8cd
zQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWE(^oQ|JY=ivE*znutHEMfEjRt
zAIxF{lgI=lT-X@ckp*G?Wng8{Koge(^H~{S{s(hm;lRMkPyrKw(q0S<3_?im1cxyL
z1K3<pd_k4LDLV!R20;c;=tG27Kqv+`X#7ICa4HywcsbNwn0?^*V_;wa<qvH3HbT{d
z%mWz?j#mZ-hAtfDufrjJ1Zqwh$PidMU|?W4i9`Ke9O6ujkn{{S22LsB5O=~M9)&|Z
z2Zwkw4)N)Xpm;%~5>Va(Icg~m^&4=A@5Uj142Sqd9O8Fyh(E_6{t1WpUmW5bOxVL;
z7>BqV4slH!;wCu6?Qw{E;SdkSA)bIkJR66284mFl9OB>#F5XZtnL*FT*#JZsf(RoJ
zVGJTnK!hnnyt|LTlcP_(znfdIYe;;Eqmz$oJVRP(UUEoc5<_NoW<0poOe{$SbILMO
za^ll6b5i5W5{ohulX6nyi&E1wi%U|A!1BeZdBvF}nN_I_#hJOKIWTR-$r-6Br8%kb
z1x5MEsl~;q#qo(H@g<qLsqs~*MfqT@sTHZor6ov87;+Oc^T1LWsfh*gnR%HdnTa_d
zvq8=(PA!QCRk|seMe#ZL$%!SI`FZh~Y4Js=xrqe@sVQKMAVtMx@u2D);_8CLl8pGg
z#9WAPK+>Q}JRVewV<;%j%ylZtOi53TPfslgPe}?d%7l3{xhOTUBsD%IwKy{!;zW>9
zMal6cMVW~?@yYq6c_k1BKtlnh)UPx*2-FHmEJ^iDVSstr$Q;46Krk)gOk+a?(+I&d
zMlekfOj88Y48cTLVT`cC7-5Bp0bH#K!Zs6xZ6*lYOc1u2AZ#-+V<;&~%qvbz2FHZ~
z130mOg+S>kKD8`0uOvA!CnqT}IU7_qfiSGB*J5B`;AUW8`12nE#6gk_44`%b1E`Gv
z6Nj}0K;;_`l6qJ@2oeW1Az|uGpyr^9yF$g87#L8@2i1olDUf<loeVQ44kU=hJ+Ss9
zNWCDEdvc-bLE=J4;*+4_AbUY_F!N_3iGx}PF!6a%agbV2TL2~wt9L=-p!Ot8d_7b>
z$b3+10w%r%Dh^UFhUA{zP;roYQ0)Oze*h{DQV)s?nD{9qaZuX~CjJO24vI%moWjIk
zB8l@M+4})WoD)g>J5(Ix9#AU-X3ig|ILJMqwgOC?3)BE(U|;}=OCgyff+Q}DBn~wO
z+~SdiaTpljEgFzENE-?w3Gxew1rnDBF`yXacMuaKt^i^{F^mloSA>dzC|LRgi7SBw
zpx6LPgT!HN8jujEtqP(+;wm5p6hr!g3}E-GLd8Io2Z&&Rh^s-_APUwF1ev1_5`f|e
zC=C*axeX+g03x8~XhPW_3f680sRzX|NDPF*ogb)rZIA#I!`hi3^*T^75Y+%8pz3v@
zY!KA}BB0`WP&SB~03x8``cO8AngJr9;;=9T2`vB-P;o;j8$`k41(dEp7$gS5HXs6;
zuR-Fl_Gk#2IIP{7gC-7ZM>6OYSLT)^CNbz0mlQ$h3>d2@H78N8B(<W1K`$k-B#}Wc
zskoRyuP7hH0Vy}svq0q<!nh22V7*|4MX5Oqddc~@xv6<240?I_C8>JuexbU>C7{w2
z!cWgD)hj5<FGwvasf21x&4^Dc0@Zg=cT-A%!Vwgwpt1$oELggf2T3AHpm7;Mc@dOX
zVd`N@1we&A0|P?=C=wVL7(it&NH3@i0I7lHH&EIJiG%6{kT?v-g9Kq5BpO{9loml|
zlB*w-ra)#IA=v?9CV&JP7#Lvb5+nw~AiF>`RGI<gZx9<@{|}HL0|Nu3e+be6!BAmv
zeg}#8L(?`im_R~c%mNhvg)LYBN}%&&p!(7MuK?8#t5=}f89?z3V}s~$Xju#L2Z#^D
z4WLSwfq?<$4^aGp{0_n(HL&<ggW8XrXF>8Op!S2}2gHYAbpPi=^~2<0^cSdp7#~K%
z^uze|3=H7DG`jL1PyuxR!rTwjKOJg6R5?tTK>`|}u<{2cfvz7^|AXQLJ^U&_0mi_<
z04sk%Tu_k0^uxjr<R5gqL3Ztc+P?v0IJD0Ss#nqVgT$apLE7Lr1FCQ#n&BY(;EKR~
Ze@J@?Sq#L!0dg<{1H(cP2MMF=2LR}O<PZP=

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.dbg b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..75ac4a00c95afedaff7686ec2ea87130935d243a
GIT binary patch
literal 13024
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|?0`*Hf0|NsB*U|?YQ!OXxgL79QUf}MfEL70Kz
zgeWF{AjZJZAjQDYp#Z@T6c`vR6d4#Alp$E0fq?;p-53}cKv<dq69_XhFw9|QU|?s1
z$Z<0;Fo5tx2$zA8fq{XM5rP@OJO+mU|Ns97NrUAW5PXmr2(y9+C}v_{U;tqd7ep(8
z%wu3+kbu%4ab^Yv1{MYe24Se$94Kvoq(*>&fq|WYfk6!_*T}%Y0MZu$<%7%tVUXKE
zn3I8l!4hh2A|5r)3=9k)_h#Wy)62lX01BQGsGT5tKp12X2y-zoFoZ(gSBpo@HU<U;
z4h9B>c06i!GcYiK+ztwVkpDpTfH24&5C++`pMik^M9;=!&RJ+YF2tkeAp-*g4+8_k
zIy`DP85tOO85kHqX%d%vxEL82_!t-%4&gC}n~{NmpMinl3?4N+j0_B*c)o^54Id)|
zgCGL~!vj2O_!$`(gcukYUg1$Azz9iiU+}0AWMp6vVPIhRher)4|A>Op2O}gsg5nB<
zL2(7bpm<VXWMB{j2}1J<NF0>bK|&wmHaLQ4WDH7=pfCre1CTmUxPkJH4m6E|@(?H=
zXhG8oNR2`MMtzWaP@VzNQVa|X<_ruBu23}!3=9kkaT^>#?g8Zs5DgLoxdntl_JQ00
zvJ0diqy{7o5(Cj73{z7Ox4{vl29$R|;`r2n@(w;VAa{WB4k0z5yhBJ0DDMzb1Ijyu
z)PV91AvK`9Lr4uM?+{W0$~%PAfbtF@HK4phNDV0O5K;rmJA~AL@(v+2pu9s!4Jhvr
zQUl66Fg2ib2E(BIpn_CCfW$%SK;;mqUSeRk)d!WeAaRgdRRU^3<qk|OC~tx4Ku~!H
zHj4pLzJb|doAl*C3ZZ#Xoq)N9NNP2pWirfMka-~Yg51YYldbQBq&6CdxuCKE<Tp^B
z0VxORjUk{m1Edh@-dF-^Ymn5&5m4KYq&A*_+PO$-69}kXjieS-cZ0$SDg<tOfWizG
z4m+U|pm0bcVD1SdwaEn3UPn@!LO|^!B(<po)V@Vhn?^wGHzc*`(D;L;9gu(F;cy~L
zAKEknx3xg=jW5i^ppu|6Tn2|5z)Fzv5J;^o0kyDv0a6QMgUx{Y0X=WZK_x+I<q4Q;
zfTR{wXF<7OlhMs}f=YtSRU}|;B$8TCoeJe*H8&e72{Kn1hq<6Q0p-mKB(=E8D45z-
zB(=E8D45zwNNPc46qJkNUYObiP)U$`ag|Xpwd;`7g32f;7pu8DppqbSH3@{nAtbe+
zwhEMs)!g$?NszhPXlWgk=0Ry4>|VE3`Zti&g4#q-E>?5jLM1`wnn2wP>T7@$!_p3{
zEct<?)|7x+kbV#bwO??h2e5HSc?hHyS9*Y{h1EkKwV?EXTn~ZV4GIUa+KkQmjNkx)
z^aXIG2e4XpTYWwxwYbs)OszDMT3qP?rd9(<Ew1zcQ)`B#7FT+JsdYh83rY`QaZrFm
z+tBcEV7JxxLsE+?J%IJI+v-OksnvzXG1ORi-2zjafTUIrElq;b4BQPttMoIG)any3
zw+=}ysJ#xh59$b5nglChx7D8jW<cT?)Rw@fb`g?VBOGo3D`B_Q-wI|x%rz#U_BfJS
zP#!`~lc0VvC>+2_(wFI<1~VY$S~D;(h_XQX?I2-L{~e?j6m|^h%k=Mn1fhLdTLuOO
zP<ah%vw?&``4z4<aEbm~kRVj8Jp-ga1yTbN2Dt~W)^?lze~=(lEvR!<31x$XL46pw
zTInVF0-(YLD(`~kUQk~MuGVa|z7mpJP`?V<y`VlRT<wX?`X)$fL1Qn-ZUFVy;A&&G
z>ANARb%(YQL16@nYf#@3t~Pp!ei)Kk4>Yx)J|<l4;&u9INNPQyVFoi7G%f^J8@)uo
z1WBzI0|Nu7u7{}wjn%-_E?%eKh@{pVO|2xftqju35WPgd14*q9ntMTgRAh5|k<|J^
z$8JFB3zRir`2uV%yRAN`d<2QZFi0Mh4seNs!V;zyM1$0W(gQAWQq94o9%L5?gWA#{
z8e|`+txQNg$Zat7pkN`S9%L>|JxDJh^)PvmK78Q@G82Sp?S5k215*cb69|Li5uf`(
z`3Iy9hC%8<`2^JNg^7V^kT@vK;}XYZZ+uC90YhqXdT3r|34>E=Mq*iJeo<l$Lvnsz
zNl|_dNI2e*A+0!<Au%3A`WJwN82k%TixNvR%TmF-#G>SkcvPXB%)He2cvEu*2*V7<
zFf=iQ3R##!8HN@{a28ydr6EIcMrK+GLuOubQG8-bN>OTYF;tn68BD8@nHgLRrq#&I
z0w!i|0B6B$H8O`;W@K*6P+XcDpO~D?P+XE<lp0@@T3ng~8YE?4VDOAj&dE>CX7B`w
zrj{^x#%Jagl$Hc1<`(3nqVmELb23vH{NvLyb4pT+Qd6L6f}P_Fit>|Fi;FY!(p~cN
zQVkg}gp9BVC1HvggM@PO6H|ggK>{`h&I20(=Ydsb=4F;RLPIRrIUW>(DBR4F;L=>U
zkqBlaNX!lF3j_=1ACM0iJma0Ap@Q(5Ayft-VuUFYP?VXQiXm(a7V(dVJKvB2iDQJ!
zF=p_ONA?$pms|w%7KjJ&6;yv|E>yFBX-Pq82|RWf{No`WMDbQ|P<%mAYH?~_3CQ1R
zr6r|B44%lYLgE-9%NT=G0wT0QsRZFF5D(%isQ%JisOCrpPpCWK3={|XV<a7P5m4YD
zLL3y1MoA3lia>!D$q*bApPZkX7L=NvSzMA@WQZ<cgdvcGE@BK40Ov;(Q&2>}#-NCR
z6Ju^^P6@bZ$V&kyTqHg?>H3!DlmtOCUa&JLqr*5s@x`E|859rpK~ZXA3M{rk<(+;;
zer{^9exi|4eojtinZ8SYa%paAUP-aOud9o*zn^|dfo^JYx^8MoX-Z~dUWqPTB}g>D
z-!sV5HAvUr$Hz0w(=|vRo1k8CQF5_<aeir0a%yqBp?+R!IY=F-#M3LwNMX>=FDTK^
z%uUx1%PdPw$u}}EGSM@Ha`d6Rl*E!m{j!Xd9R1>=Wc}ijlz1Zp0}K7)lEl1}#G(|4
zIR!=eS^5=8rI|S?#rl?(mX>-3@t%Gm@dhRartt<ACI<SMdBr7(IXT7pi8(p(1v!Z&
zY57ID#W1@dTJ(vsi+neiq*jz<=F`K)$TpGe-pth0R9GOV#OLIvXC}uR8k(7a9G=6V
zPm{1FU?<i5pqrGRQaKPFLAICz-{h6%rWR!;#}}7?Q$FpZ1Jgq4xE?t?Xq=*uynt-$
zz!ZOJ#krv5$1p&pFSs6owK~BL0grir+P*LhsvAJ~Gy?-eGXn!dEh7ViIS4Z`Fgyo!
z7MK|rRx>d$9Ajo+sAOhf=wxAFFlS+4_z%T(tPBhw3^EU74y826j1UF}1`q~~5rD=N
zY#10AKx{kc{3fU$4C>#4`l9{}3=BaG3=F~0Ir&Hi1_sdl2&m5mns)%r5rD?sGZ`2d
zK<f;085kJy85kIf85kJK7#J8p{q!mZ1_sbPNCPwsS{N7@+87uZCNVHDOlDwUn8Luo
zFqMIUVHyJi!*m7)h8YYD3^N%R7-lgrFo5RdL314o7#J8pbMK277#J2aFfc4*U|?9z
zz`(GAfq?-uzX6(aU(dk6uz`VrVIu<r!xjbxhOG<?44`>V(458|1_p+`3=9nW7#J8p
zbC#gF^}`Gd3`ZCk7>+YAFq~pwU;xDtXg>Ws0|Uba1_p+U3=9lc7#J9?GB7aQU|?Xl
z$-uyHi-CdRHUk609R>!5y9^8r_ZS!$?nCF#L35a(c_fgXpt)0!J;-KVVqjpnjASNg
zULG_rg3av53=9lU7#J9yGB7YaV_;x-4xN90&A`C$hJk?rH1F}Afq~&80|Ub+1_p-D
z3=9lk85kJ8gVwq*FfjaNU|{$SoofdzE&;7M0nML+=0`#EpP>0o(EKH6eiAhQ2%29M
z1<k87GBAiUGB8LmGB8LoGB8LnGB8LpGB7AHGB7AJGBBtxGBBtzGBBt!GB9W}GBD_X
z(l97dp<xct;1mM`g8-Dqp$x3c9@@tNab7^xe}K~H`jGj^`WAo&x<K&{rIFQv%mww~
zK{N;>>q`I)dND9CWI$<jeW0-dkRA|5)+Yh&z-mBgbbX+)Wsn{aM%Kr{4H98s5P;I?
z`oQBc5G4%A`aVF_|A5ly`e5TaAg##yZh#h~fYK+FM%M=oW{@@%`woBx_81r#PC#jN
zeb8XW(6<6K00oK%D2=WU8q65_CV&P9L3tlaqw9kPGlsqj=m38Mlt$MF4K56Q37`Q+
zP`pEFbbZj^!qDddS_s3yzz_hX(e*)t3qzj)XpoYDfx!Ywqw9kP7lu9w(1IDzS`#RZ
zt`8bq82T8X12r5_8eJbWxG?m+fU5rhrP1|4g9}671<)W6sGNk-==z|+g`p3<oIuW}
zAjQz&0x?n2Cwe)7t`8bq82ZrjJGwrow=wjg=Ua4rP;X=CL(jM9`at8xU`-4RDE>pw
zx9IvnW60R_q32t4eW3AVZ2HjiExJC?*fKVK==m01AMzSW<Z=l;-=gb79ydhRhn{cI
z^?}A5vAGjH-=gaS&97tAhn{cI^?~NvvFSt4x9Ivn^X}O6q32t4eV{oKZ2HjiExJC?
zd^|RN==m01A80NXo4y^;gnIx=qw53B%VN{F0Ge=DKxuS+pgCG>`q0Y><a!yT9yDJI
zqCprry`Yy9==wl&w;(+rjI0m6J%O$dG>;3?1H#Dq(DM<xKG2*lNDl}j>qE~+==wnO
zyC6LvjI0kmAEE05&GmxxfH1N?^n8S_4>a!!(gVWC`q1+cx<1exFh~ywBkM!YN9g)M
z^T8lJAdIXJJs+X#1I-PC^nft3KJ<Kqt`9U%4AKL_$okOp5xPFmoH0lb2qWu5&qwI`
zK=a2SJs^y%54~PN*9V$Q2I&D|WPRxM3c5bfyfR1+2qWu5uUF9Zf!1k&^nft3KJ<D8
zU7s090~90cLvJUe>jSN+g=#~o|2m)*)dFaNj;_xFrU|XyY=9QzE1)#GKF~T`m{w$W
zc0ddA1yCAYpA}3Kx_z*M99l4=>jU+#VOo*xdjM7c16tsr>jU-4vFT%gW@rs4jjj*W
zkH@CZ0h*x?KxuS+puRsgeFo5s=>VnC^?}w7VAH1n&6oyI8eN|QOcPpo3P3Za29!qE
z2U>ps(~2CP9MFuZ0j1IP!PbI;^rGm4W=stzjjj*0CL5#&gi-WCGo}QTM%M>g`vB4d
Z!pQo5K-DuqGbp+~&^ic^9uP*>2LN$FFgySN

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.mem b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..ea4f227506adab230a069c76e1a68b40815a9b83
GIT binary patch
literal 4077
zcmZQT14oh}0t=vYKn8?AN{)uWXb6mkz-S22CIsgE|NkF^VWxA!7*JY3oq>U!0fN8$
z|NkE<Jm{$j#SkafLOoQ$_%_BjN9CyKI?45Wv+Jfk)n`0(eu6aTw+glH>jetkWxdxf
zvCTd^>yL~Pk8$taOBHD*m%qJ>OIREu5Tv5APT{R?_Y~%d0t$r;oifw@t3G5<pXM>q
z;t500lxUHA;j!y??OI-KYdbHKztDE)vbeofUtg^bw~xJQFP3ERYrf-#29@Iv?(gyJ
zn3HKG?>8&b`eC5>#mzZ~{n;|7E$^KwE4ffdqVM4GE%{ctvWqXUo2eZ;ZTO^hcI%F7
zYbsp+CWTFGOMlZLX?}b{<o@L!)7HOhjJxO{^o3QJr{TH8pS<srbJKU_xz0B%v@hb>
z`SyItKbeqf@#DEKiflFh)t$as_U*N1eE)N`B#$!^HD~^8`8)I6(b~-0g}0~A-Xe5N
zO}OQOC0qXSwPja5B(3u<?-Wm-+<9F4_=LwYQ_X#!9knyI%)0k2-HN|BUdheVn63WN
zwiAE36pd`pr(d0K`1a5KJL)kD|LwUtXX4KfCBEiWYqouD_}cLGzyrAxS+)9i^p5OR
zJ7XCAebcAIlb^K9_e_-El=Yo8>-$c_tnHksQ)<HgZT;O9_-)bFT-yZO3CEZ{+pk=>
zsov|jI@>k@B=Ugqj&^brS4H2!{@*{9Gxze$ohfw7j>oX($dS6o5})?&s`r02{X%4#
zgzSWkyzjD)+&M4fT=t^f_I+}zoOTMU`RdP?<8t1|?cr~%+jm-KPjZpG*6G51*KgbN
zy`PzO^?qx!(jSJ3ESq`q`&_Qi*qZi`M^8&wWW)J`rS5)b%NFV-|7x6fyJYX{&g03O
z=LcM<de`QCQsAzq=I4)6MjsVEPG8<1K20t<(w5heC(&=l(}1?qy6fk?(>$@`)Dm;g
zg)WM6;eHcOJT0zVH0Q)qHHRZl)W7-qy`6qWWn#)QwdFNw|DULA+F=p8fTLO{A(`va
z2Q{Y9sm>0ylLAClQ|H!&#aqQR|G!|l&+lgKmDVd-o8D~q3R9KtlviHOKX>1&5U*3_
zm-1It3SY_LYP|pJ;>*Q;5>wl%OH<EY+WE2ax6r31zn8k(f4$y$DZjq-(-EHus_N@*
zvb%*Dd2{>93G1|do1CLH;qnUObcYFseRE<oPDp+@ULs{>U^{nyhyI57M}p_5E>kbQ
z{M*9xn&GeGUyieKh$PNs`d!TR;{9TgFIKL9HirF<IUXDRool_wsp4Yp)}=>}9=&-;
zx!29HYj<nk<x;C?zuK^0zm|uvH6B+yo?|>q?W%+1(ghBigFTDa=&N2}6~6jVbIsKC
zyW~8z@8r!~Fn{uy6+aF++a0d5U#1dv?zpDJ)gNBTAF6u~HSFd7T{UBaTW<Y~h_$+}
z8&&u}+&LO^@1)Jnlr{Wwx1Q}~yV-p9{)!n&iswT1zu(@NV*IB6>wz6r2@#(yUw^Cp
zTd?C8yJlzcEh*MFn|8(?;hVg{#k1+}XAy-9PLH_fCx(VR&+&3Elv9>0*rxd)X11!|
z=NX@#m|6T^QIN!VOj6Bz&NI!IbH5+@*RuYh`LgHt-k&u;7nAg~`CiSN%QN$SZ!o{V
zr@TZ}P5$rkl8=k;ecE%q+3)w`do`c`-8iJa_viJEg-51We=c0#_A*H2{+@MWx7NOU
z?<r{ao0;|Q|I+2BpO#yv#rJ02-+TM*=C8ly9(-j^7F53VOLZ>$r8AT7PkhP6`oqT8
z@(b^^jxA3Y^l!>M%_#k>_Eu5k_I!ake}C<b-y40>U%@7L&#gZP|5ggT+s^O2KE7tt
zrPY6AYE9<s^zyt>H;-xEaS3K+1)kFajt>1fCTm^R&9^#ibdC8MbM-yui>0qiKXF`J
zE3Wxq&V;ACN|~=7FHtPgORNl%$vqyuq@!$)k7C8XnITmPm2ZAkpD-w|oL%NpxZgLm
z@wdO$xl8}erFNWmJ6G!#wk+q0y}aiBKdnjkqI(%8ybJ&F--h+bm-Y8e*4A|0ZNK|G
zyFKDq)qyI9YKGUWf3<miwd=jN$1V7yyK9Sp`2Tt9_5_x!$SJY?*R|$x)mJvwJJ*@t
z2?m_6;$fUG8F+uG{-J-JkLIW)9QYZ|wPXJbRlm=+H+P1w@B1v(`Z@VfM{&$Fi<ei!
zeBb64#H}u<^HbfBe_BZWFE@|x`n=}PR;{1qLzsSV(kZ;RE|BZvSu+cMtvUY}8Qo}4
z?flHg{M>r_;m4CVFZ%qFt@XS8l7`yAX%+QH76_=nIlQQXUvm#bSE;4<o|^Mq1^y}z
zT;(*ne!I0wzh+v;9{I@Z{^z<x={rI-JpX2k{)^T)Ry9}k-)>2fqtn@s#0UI%zeM8o
zj};${Q)2GFd&u&r<uCIWt`p&3z8?MYGuzHMC017c$MfB@*gwBefA0KpX|ucYOYfb2
z8h_u$?uhYZn&)ElO0s-!;pgzMig$|{|D|*NU-m(u^wMXMeM<`LZ7nL>4%KVUdAmz`
zbLsZrlC132U-D|7YNqeq6*?v9eavma*!8#8gj<(Bv`n|%?)tK7>BXqx?5RPS|2Aag
z<ypvjO^68D6Beyuz35t2)!Z=WTRNR>w@b99viE7E&6|1Y;iQN>XV0fPHVbB4a*8aD
z`h9THi*>vGs}JQ~vznT<*H3>*+0>}YdEL{VKi}HZJ?*)8L|KYoG0&Qs7Hw_$pEF<N
z<%O);DrLI$YN1xh(X_+DN55WcyQ~{_`f1qWhk2s?+q15GS$19bU(&}P8=oHUimPtB
zW%syZcIoz8U(&XyEzv&hy?mOO|5=^+Haowt7#5WG7i_z|vb6i|wY25WO0<_IUA8=Y
z*J$_FIPs%lx3zakXCGC+vo>pQ=sa!tdAciI0++rDT_2_L)NNvq=*7s2$<rnFi<f5|
zmuF#)DwI8*Xz@&;Y3DJ9wceY|KZmTY%X{wDyU;cyS#8f<$q@f?^FMlb=DwPK+i&@+
zozZ;H_Ob}=J@vu+-VX!T`)eKu^Zn%P{Ig5>Xt~i26Gfi)$`Vn=A0J4@Z&!Hn-mL6b
zYxl*Je^%}LjW{=nEY}wO<vqp0eR@#OKc%Dpf2rF~oWH>S7vs~=H_OX@WlMCYCb#ma
zGO0UE3-LN#n(g$KlP5r%IreOp;GKnzEfH&zt_l3`nca2m^ivPTgqht75)G@4f4BG6
z5KZK5jhJ>-)9?7NTN=wwHHI!uESTndVA3YB#AYoKc9tH+Lz~<t@NYSl*TP#eQ~BtD
zb$YCt*#d`aW~8z{NVGe$$zTQJGN#zcyd|dXX0KaLW+f&l9OyW+Ac9Fc!sEE-;VW)V
zkC~es3JZf)zFHiZx@20*iC-T&&U{(e(CVu>Mdyj&%KV_rg*FkIEbC8uYZmE5@<;GT
h@b~Oze-h;GE%EP<>4oV$9Wi^{ZeM!O&{q0Q1^|Nz;}ie@

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.reloc b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..b424483ca01741e8d6e02cb2227618e4f1c9b447
GIT binary patch
literal 1454
zcmZ3+$^ZrxjC-e9PI&Ah(sp#~YFmblrxTeRX77yPJ1Dfng4MgR@vhc;!H#H$M+Hu;
ze4<(*D;gXYI=6DkT9v#ASm@Ng;(4uokBisBRfYT4$G?_%`TAXW&4an}yl?xqh5ugo
z^!oHQwg>;EKK|)`P46Mcy9XlQYSuA_7w~N_U|b%aQ^TG9fp1>y)*ANp59PkCkF@)9
z<CdsRd$mQQ`1RZvF8K#ceDk-~aBly=_ig^>KXU65-wVHfpD3?4Z~H&inucTxZk>9z
z?FDSh^&|GRWmj<P=STeG5HDbNH`lfQedQJp-@}{T*Y-CBTQu&St~39z_l^eZ3T}7(
z+dtUu9X^<TO@DvdhCfk<K4r6>UeBvjUlj4rWW%3~#Ge-re|pSvy1z2#yt=(oMBS1N
ze<BWlTHNx~o%M9Tt&Tn4hCd(dzn|m(DR|(Ma@*76Leu0Wb?nVH{JC-H)8fXb?(C=g
z<)+C$6#rK9DVgtdKg%?EO|bNd#Ge-rYz}{8r+eps?VGbY^-bCqJG1}F-m8r7+d1#U
zFYVK(j~hqWg>9%=llZfuVe38F#I@!3u9wyRd~o<vBID_HiD~@l8){A@{0un!DUthh
zyRweFRfOG{Y2Ru-DYiU4%r%XlQ%Ali!cJsEO-AC+4b4xT*-y92P2+!PUL$|)x8<6j
za}LZpues)D%z;_wRoDF7(`-8b`-W$mzpuR;|9c{Tw*9Qbvj1EQ{;irj{m}92KkOfz
zeSK`{xpwWj|J$B^x01Je@#n&)f92PXZC}ItKw0MS%h}W758cas%(LzRugw0`pSm%7
za_=0tXnl;mf?59IhuG8A-`ChS*IIO}spnh&kmK9^&40WqEdu}5o=!h?So-Pvh<#1M
zJKFp=)ZagL^vvyV2WNb4PwtcNwXC&y_V2ghbo(C5e}!l6wsg-w_VeiZ<acT@|BTM9
zuahmF&{t{y@Xw^D)vxyaF@HR(bW2P4n%Sl`P0|&ot8W}M{QsTNZ)bkl&2{rH?r#eX
zZ`=1Y)csq}<-dFH>#qO(y~p0@9mnc(I_qy#T%Brs?)ukflV48_&)wr+&$s$rkNWfV
zYR~i6-TW!|H+{paOJAS-$tn8xYuDWQ7v^`YH10n6^Xij7qNT6e{z-(zS})fNm6Z-?
zUzKNja8+>s<Xt81cUM}KR3DxfUYcHcIimDgT2Q!|O?bP!^^OENnFp+&7c}>O;5;{z
z{hxf<e%8+li}}_{Zl8B$t@f*ct1A_spKj-v7VrJ4&*6Jx=<=2|zgKyut@&LgCx6XO
zaKkz6_XlsOr>*&X>i33koeDDl_sgxRee`D9)30?w5x-py+Aa9QcFk_%ep|c!ty}%K
zykVAoU(KC8|HdYMjd<U0on5sHlICh9ycJgc-SFvW%6yHR?ElUkzNP-@<h~70cAwnN
zGA%#5HvG@2grCz6SH&+q_WA$w@*i?v(l>mQJ^k<Z_Qbnu+e2LM@O+$q<gLK<Bfk~(
zBGvEy5Y4_**1>$u{Q7?7vcJ5qul@WQ*&H)x^Sm8DdbS=s%K5sfJNX)e`1$6C=@v0E
z8SnT%zI3Wd;VnomJ5w*7Kl8Vqo~eF&#bKF}zuC_wcZZ)*71y6(e)jS_aYOgAb@P8d
zxECtIXMb4G?qMbCyxkw8=afCJ{!^OVS5*4q{^O<9;ktagvdu1jFqV1y;rfGXl|A8k
zHD}Gs&i|~Je|fk5-HXla{QqZdSFJ1RX#Q>XY~{k6wi_xcbmKl=c$D6j_VYy2@*A`E
z-qtKzRQIucM^bNDly2nwxnZ^&FRx0uXR$WvTFUZJ|2JD!&CvE+-BS5}%dDk`&lgxs
z<y+ZpbADyWqrJhJMf^rGeoLkH$L={0F5dp*Rnq;~3X7OK@g4l{)DA!V6<t$W*t=d&
z@6P#x9co7d#oa4jJ`&=Wu=?_6yZh8zU;FkfpHOzzI%muKi_7->Z_5|Du<mD>?tSB`
ioa?+l-f`-Q{3zU!{*$NwTZP&F2eYL=in|<N?E?TH91*Ah

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rlx b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rlx
new file mode 100644
index 0000000..b8ebaf5
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  17174070369012999326  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot ecgUnit_behav xil_defaultlib.ecgUnit" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/ecgUnit_behav/xsimk\"   \"xsim.dir/ecgUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/ecgUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rtti b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..1d94fa60f99ebdd96f3cde46e12a7c39bfeec44f
GIT binary patch
literal 959
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=a4!6F`*Auq0|P@kGXsMc0|Nsy1H*#g
z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0
zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz
z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)Pe9!o5+7WWSdt3X2U5$*z`(%A
zz`zjh=ou0p?C2Zd<H`^m;veM75D?_=>>3>G>E|Br<L@63Z^+;u8WIp15^o4mV2Gi@
z2&TdaqQVGMMG{;|5>!nRh9YCA8i<=*{aj$~0{P1v77(89ey%QHZxG{sSonJSg}A!A
zLV}HffuR8wzM!ZCg>O-6dS-D+YLQ!JPO7CT+;~tZfox}krW8=f#xXE}L!Ar6fkYKJ
zq(SKm-6&(cCV}DsWI8b>8R0bvWC{qQn}p4KhK6{J0vUnLC=IB0i=gygD18@7%Yig8
eFfcrW(y}1mF)%RLLg@}DeF;j-fN~uJ0|Nk<EVZHl

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.svtype b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.type b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..302071773db918003980e34d46a20308147d3cd1
GIT binary patch
literal 7472
zcmXqIU|_f-$-tn&z`(FUih;pEmVqHHF{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1VCjfGXsMl
z0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKM
zfSG|of`Nfy4>JRUBm)D(PG$xMDFz0Ht;`Gz(hLj?8<`mxWEdD2)-p3N$TBc6tYl_j
zkYiwASjx=6AkV<Su#lO7L4kpRVJ<TRgCYY1!%Sud1|<dthN;X949W})3=^3d7*rS-
z7<!o*7*rV;7&@657}OXT7+RSb7}OaU7#f)&bwMpN1A`_514AV<1A`U=14Ah@1A{gL
z14AJ*1A`6&14Aw|1A{ID14AY=1A`s|14Ak^1A{&T14AM+1A_qr14Aq`1A`$014AS;
z1A`F*14Ae?1A{RG14AG)1A_?z1A{L!0|Th;@nmLTFk@g~aAjs-FlS(3aAam+uwY<d
zuw`aouw-Chuw-Unuwr0fFlA<7ux4OjFl1(6uwh_e&}C*|uw`Ii&}3#{uw!6gP-SLd
zuxDUkP-JFcaA06ykY#3IaAaU$kYr|HaAII!5M^dyaAsg&5M*XxaA9Cz;ALiDaAja%
z;ACcCaARO#U}a`ta0k^v%nS@33=9l^nHU&685kITGBGfCF)%QEWny6PW?*3W$i%?l
z!@$7smWhGEmw|!dB@+XK9|Hr!Qzixme+CAIhfE9%0SpWbcbOO%0vQ+>ZZa`21Tio$
zTxDWl2xeelxX8r75W>K~aF&UIA(VlE;Up6SLl^@C!%-#%hHwT3hJ#ED3=s?r411Xv
z7$QOO&&0qG1&V(r28L)*{4+5y#DL<TiGd-Ofq`Kq69YpW0|UcSCI*IhQ2aA7FeHHD
zpNWAX5fuMS3=B!2_-A5ZNCw3}69YpEDE^rk7*av;&&0rx28w?s28MJ{{4+5yWH2x=
z)G{$JWP;+KiGd*t6#q;N4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4dbihm{sh5}Ih
zGchm}g5sZvfuRT#|4a-F#i00SVqho%#Xl1RLn#9TgC`RMLm4RknHU(#LGjPTz)%5-
ze<lWoN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ
zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HU1_lNWCI*I9P+7vnz|h9P!0?ZefuS7~
z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D
zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X
z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq
za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1|
z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~
z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1}
z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^o|1vT#>;mOqMh1r6
zp#00oz_14tkBkfqdqMe^k%3_!DE~4tFzg5AUq%Lo1EBm1%1fa51cf~)|1vNz90uiI
zP+1Ggzo0w^%D)T@497t63W_UG{sooEp!^HUo1py5z`$?{lz$l*7*2!Y7ZkUk{0qe=
z85kJOLCrc3wetcfo}p?%ez*k6zYGivmqGa#R2P8qFVsv>7+(YBUj_z->!AD#sxv_O
z7iu>s4cr37J1DJz@-L`v0p(w)8$oIG9w`4ZFfiN)#Xl%*g5n?Q7f>F01d4x99R-Si
z1_p*Fp!kRS8I;GLf#RQmf#EqQ{uvk;UV!2s8aALZ=oKjb85kH|gW?}l2ZG`s8it@U
z@*ODtL3srf{|pQaA3*UB4Qo&t{s|QS3=9mPLGcgDTcG%d#tEp7`38!A1_p-jp!f&X
z!Jznu#vP~*`~`}C1_p-Tp!jECVE6-ye`p+o>ga!<_-9~X0JQ`DLqT4CNh(8mVo_dZ
zUOGc+QBi&oLt0{HPH7RS(1W@Iy<Y?3gW3k5ehjD%1vL*qbuNexs=Gmb9#EYRQU|r>
ze^F{;N_=j9N-9HnQD#Xhgqc`SkeUY-1{uNtsrx|spj<EoG7lsMG9T3c0ttfZau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(2k)iW@p6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz
zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD
zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT
ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh
zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d
zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9
z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA
zr9tH_$Q__^10)3MOMv*G@)G1`P~QX;&Y(UF$bBGpg3JN=0~D5^z7r@+K<)-%n14X&
z7^DuQ2V^b`gUkl8(ftH!%YxK{%mB%wW0*V9#X;!+q>os8Ky6%*dTjO}y9rc&;Zg_k
z11L;DG`f30ZDL&dKx#qm#HJ6Fd~oRlsmG-c)aJ&e4`d%m4x}H%M#i8%8z}xj<|2zD
zt3?iTP~VOk>OpA=W*@ToATebA8YW0To>=#-B~kr464Vou#~>9r1H*ji_yfp4Fbwh+
zEWd;L+8}XIeglOyD8GTi1eD)EX$q9zKz;`0H&EPx@*61JLHP}o&p`PNly*TFWG*bf
zEr8k!(hD*lhCz0~*dX;V{z3xkL2WNkg8-&}5sB(SeQuC@ak&RMuYueLYIl;N9#k)b
z)PuqSq#qe0n+@v26QeGRA2Lpa%e^4;k;4y{dQe<~)PgXwdSrfiVrEHvabj*kPAWrj
zNq$i(LqSn~a%ypLW?p)HPJVttydgt=X-Pq8NxUIMfgy$pBbW*!hzcW26-jU<Nl-OO
z7>bOcY8b$70yT1>?gEYRfZPvqHw=T?PN1<dP?`YsIYHu}zAcCk>Jx+f4(h9e(lMy-
z4+=}r*a0XVgW4OQbPO6J0mTO>{y=dDYNUbe0gWwz@(8H^02-GAjh%tgIw;+P?1iOs
z(AW`39ms5u{V)u&8^i|L0~$90xe25WBnC1Mq#wk#VStSP;nEK>2jqTS`axq;Aail)
z2k8Tu0ir?bKz1VA4;sq?iR01_k^`y7rXN&BfX0G0urM(E;9+3M;ALRA!OOs)z{kMg
zz{kKafscV9fS-Y(gP(!n06zo64}Jy)4FLv*2muC$4gm&+9RdstF9aAEBm@~43<Mb%
zA_N&28Uz^_b_g;sNC+`7bO<pp>=0sL_#ni<pdie^;33Sw&>+mfutS)E;e#*(gN6tL
zLxczeLx%_h!wC@vh94pf3>u;g3=N_T3_nB}7&OEf7$U?N7&^ol7<Py;FuV|BU=R>z
zV6YHpV8{?>VDOM&V0a+Gz@Q+>z>pxxz_0*BLz?Yiw}ZlJ29yu-6NrBSjn4r}5TLm&
zXaHJ3`JiwCsn3A&L16{r&w%kk2^TaL0Of<i3nc#o$_IrVh_3-^D1!Q|P<tKF_!&?>
zDEvU`PeA!F_s#${6d54l36@9Wg9nX4<3&($15n2h)W?SMBcOaxe1P;%K;xf4<AVpE
zk?gYoRTxP83^e`>H2w`J9~9Rh`#3;@*r3KH)E)~cACv~b@?s#8fdP~TK`aX>ALiZ+
zC?Aw2K=L!7e3<+RH2x1LACzW5>J>m49~3@NaStdT7M~Sp{12dt63M>?paBLXegPVP
H0~#Ly<6Yya

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.xdbg b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..55e9f0b421389f11e3bab199ba19457aef5bbef6
GIT binary patch
literal 82888
zcma#Z%*o8FP>2Z5%vFeRadKBMFl6|1`*FGh0|P?`GXuj3XGS=7V_;xl;$px6|NsC0
z&yc#o5hOu~%?(ln!l@e^85tNDz<em12T7b4iOq+^=0{=+Ah89J*g{BbVI;N)5?d6B
zEe2&XF)%QQL)jp=NkZ8mijcoR>W6|~K<<<Rc?cTj(oi;tBF2vc5&j@IlM=RIwIC0r
zZg3Q3VPKGfvK1K^7z|k$7(i(i6z4%ub|nJ?Lk5%$QvVRj2C4tX0Z|W9BhCq7gUp|R
z#GVahgUnn8Wy>-!Fzi8M--NP3dcQ&0Ab&A}@)I~NCg_8l3Bn+APSj?D`5B2I5e5cE
zh6(y$^$ZLQxa2|U7ld)iGczzSfG{q3kheh?mpm&20|N--l4oOJU;trU^6U%@3?Ph4
z9u(9dj7uIAY#@wF9u$-yj9ng5K7m3LRJL%$Y;gQn&%nSD4H5x`FG3zv-oWHHV37yq
z0hoM545ofixdfAEh{co#g%M0%!WF-~1p)a80`e6E<Yy3&-$6kB1_AjWaQTVg^bGPX
z$o~Pl+rWGYP^H2E3jc}l^o?B}5kDY#Som4M^&{j#Y4|?KA_h?X1z|8UAml-57^c6#
z1ty;gb`OXLN}~d+R)F~xz912h`%>ZV!zB;OdmxNU9+dY$7?(WA-5`uh9^`Hi#w8C5
z7ZAoJ4-$uAZ2kk~JrD+|!6pyNdmxNU9+dY$7?(V#>;qw3@}T-3gmKA(@+}DCk_Y8m
z5XL1B%C{hlOCFSOK^T`jsEq-_xa2|Y4iLsA4{D2mFfMse`vin>$%EP~AdE{MRPKQ=
zE_qPf2840ROE54nfG{q3P<sf3amj<)6(EdD9@N$VVO;W{dK!dr$%E=t5XL1h$H2e<
z!now+85kHq7?->P0|NsH<B|up2S6B?yb=Qg0|?`iS7u;f0AXD6Dhvz^AdE|1m4Sf)
zgmKBMF)%QIFfMs@1_lNY#wD-8z`y{)xa2h%7#Khpm%J7O0|N--lGkQnU;trU@;VF*
z3?Ph4UYCJ^0fceM>oG7efG{q3P=OA@xa18O7#Khpm%JgU{RnNtU@ISu7#J8p7?*xy
z1_lNY#wBmUz`y{)xa3V47#Khpm%JGR0|N--k~e2yU;trU@)isX3?Ph4-jacV0fceM
zTQM*&fG{q3YX$}e5XL2M!@$4*!novZ85kHq7?->q0|NsH<C3>$U|;}YT=JkkF9_q3
z2X$~k7?->g0|NsH<C1q~U|;}YT=Jl%2ngen2Q{TY7?(V#DFni}<lPw<7(f`8yaxjV
z0|?`i_hevT0AXD6UJMKjAdE}in}LA=gmKCHFfcHHFfMseQw)T0$@?)dFn};Fd4C25
z1`x(2AHcxC0K&NB0~r_?Kp2;N5Ca1P2;-6uW?*0dVO;Vd3=9k)j7vV0fq?;pamj}<
zFff2HF8Oc<1_ltuB_F}SzyQLy<Rcjv7(f`8d=vu%0|?`i2X&=D7?(V#D+R*1<Uw62
z5XL1R$H2e<!now)85kHq7?*qk0|NsH<B|t;#X%UCd=di#0|?`iPiA0X0AXD6DGUq@
zAdE{s6*T;Sr~XM}U|;}YT>8@)7#KhpmwX0j90ZT~nG6gJAdE|Y76StV2;-8^W?*0d
zVO;V#3=9k)j7vV3fq?;pamnW~Fff2HF8O>01_ltuC11e6zyQLy<O>-X7(f`8d=Uc!
z0|?`iFJ@q10AXD6B@7G<AdE}Cl!1W(gmKB2F)%QIFfRFW1_lNY#wB0Dz`y{)xa2`&
zU?7Z3zKVf?0fceMS2Hj$fG{rk8U_Xi5XL26%fP?@!now?7#J8p7?*rK0|NsH<C1S+
zU|;}YT=I<!3=ANQOTLMLfdPbZ$u~1FFn};F`4$ES1`x(2-^#$i0K&NB+ZY%aKp2;N
zI|Bm)2;-9P0FC+M$^V@U3=ANQOMe#w0|N--lJ90<U;trU@;wX;3?Ph4zL$Z40fceM
z_c1UqfG{rkeg*~x5XL1xfq{VmgmKAFWME(bVO;W)7#J8p7?=EH1_lNY#w9<6fq?;p
zami0*U|;}YT=LTx7#Khpm;7`F1_ltuB|n3KfdPbZ$<Jh9U;trU^0OEi7(f`8{A>mW
z1`x(2KZk*V0fceM&t+g>0AXD6^B5QyKp2<&d<F&v5XL3HfPsMlgmK9)WME(bVO;Wy
z7#J8p7?=EF1_lNY#wEXmfq?;pamg=bU|;}YT=L5p7#Khpm;7=D1_ltuCBK4!fdPbZ
z$**K!U;trU@~apa7(f`8{AvaU1`x(2zlMQ<0fceMuVr9h0AXD6>lhdqKp2<&dIkmt
z5XL3Hfq{VmgmKAlWME(bVO;W?7#J8p7?=EJ1_lNY#wEXnfq?;pamjCGU|;}YT=Lr(
z7#Khpm;81H1_ltuCBK7#fdPbZ$?s%fU;trU^1B!q7(f`8{B8yY1`x(2zXvpDhNu47
z%fP?@!npMBV_;waVO;Y285kHq7?=D31_lNY#wCA{fq?;pamgQIU|;}YT=It*7#Khp
zm;4b11_ltuC4ZEGfdPbZ$sc22U;trU^2Zq%7(f`8{0Y!}37+sj$-uw>!npLGVqjnZ
zVO;X385kHq7?=DR1_lNY#wCB2fq?;pamk-!U|;}YT=M4`7#Khpm;40=1_ltuC4Z5D
zfdPbZ$zNh%U;trU@|PJH7(f`8{1pZU1`x(2f0coO0fceMUt?fk0AXD6*BKZXKp2<&
z4F(1V5XL2clYxN&gmKB=VqjnZVO;XJ85kHq7?=DV1_lNY#wCB3fq?;pamn9fU|;}Y
zT=JkfK@i3z|A2vk0fceMKV)EF0AXD6j~EyjKp2<&V+IBW5XL3{gn@wpgmK9~Wnf?c
zVO;Xh7#J8p7?=EW1_lNY#wGuPfq?;paml}AU|;}YT=K6N7#Khpm;7r61_ltuCI5zj
zfdPbZ$-iY_U;trU^6wZJ7(f`8{Cfrl1`x(2|AB#l0fceMe`H`_0AXD6pBNYzKp2<&
zX9fla5XL3{g@J(qgmKA#Wnf?cVO;Xx7#J8p7?=Ea1_lNY#wGuQfq?;pamoK=U|;}Y
zT=Ksd7#Khpm;7%A1_ltuCI5$kfdPbZ$^T_wU;trU^8XkZ7(f`8{C@@p1`x(2&j4Lu
zgG-)~k%0k(amh0=GBAKJE_r4~1_ltuCC|dhzyQLy<XIUR7(f`8JR2he0|?`iXJ=$!
z0AmIQ25j?J9E=PMAdE{tCnEy`2;-9HVq{<dVO;Xuj0_AQj7y$}k%0k(amn*CGBAKJ
zE_psi1_ltuCC|^uzyQLy<OLWR7(f`8ydWb30|?`i7h+^!0AXD6!i)?IAdE|1gpq*(
zgmKA>GBPlLFfMs9Mg|5D#w9P#$iM)?xa1`m85lqqm%Jn+0|N--l9ys+U;trU^3se9
z3?Ph4UWSo@0fceM%Q7-BfG{q3IYtHs5XL1h&&a?4!nouW7#SEq7?->vBLf2n<C0fm
zWMBYcT=L3{3=ANQOJ0SMfdPbZ$*VFlFn};Fc{N4`1`x(2ug=K80K&NBH5eHfKp2<2
zCL;p_2;-91Vq{<dVO;Xsj0_AQj7wgJk%0k(amnj4GBAKJE_pph1_ltuB@bG_1;V)G
z4Hy|1Kp2<2AtM6=2;-7BVq{<dVO;XYj0_AQj7#2xk%0k(amkx9GBAKJE_pLX1_ltu
zC2!8izyQLy<SiH(7(f`8yd@(80|?`iw_;>q0AXD6){G1cAdE}ihLM2*gmKB+GBPlL
zFfMsJMg|5D#wBmh$iM)?xa1ue85lqqmpo{}Itb&EcVc8<0AXD6&WsEUAdE}ig^_^)
zgmKBcGBPlLFfMsFMg|5D#wG90$iM)?xa2(;85lqqm%Jw<0|N--lJ{a{U;trU^4^RL
z3?Ph4-iMKa0fceM`!X^xfG{q3KSl-y5XL3%&&a?4!nouE7#SEq7?*q?BLf2n<B|_z
zWMBYcT=Kz;3=ANQOFo2=fdPbZ$%isBFn};F`7lNX1`x(2AI`|Y0K&NBBN!PNKp2;N
zBqIX@2;-8EVq{<dVO;Xjj0_AQj7vU-k%0k(ammLrGBAKJF8Me{1_ltuB_GeozyQLy
z<P#Vf7(f`8d?F(Q0|?`iPhw<X0AXD6$&3sPAdE{sg^_^)gmKBIGBPlLFfREtMg|5D
z#wDN5$iM)?xa2b!85lqqmwYB80|N--lFwpfU;trU^4W|G3?Ph4K8KNk0fceM=Q1)d
zfG{rkJVpiv5XL2+&&a?4!nouM7#SEq7?*q@BLf2n<B~68WMBYcT=K<?3=ANQOTL7W
zfdPbZ$(J%RFn};F`7%ZZ1`x(2U(U$D0K&NBD;OCVKp2;NB_jg^2;-8kVq{<dVO;Xn
zj0_AQj7z?Tk%0k(amm**GBAKJF8Mk}1_ltuC120TzyQLy<Qo_n7(f`8d?O<R0|?`i
zZ(?L%0AXD6&5R5TAdE}Cg^_^)gmKBYGBPlLFfREvMg|5D#wFj*$iM)?xa2z+85lqq
zmwYE90|N--lJ8<<U;trU^4*LK3?Ph4zK4;40fceM_cAgtfG{rkK1K!x5XL3n&&a?4
z!nouoFfuTJFfRFtj0_AQj7xqJBLf2n<C34u$iM)?xa6lWGBAKJF8Qg93=ANQOMV(7
z0|N--lAq4VzyQLy<YzE4Fn};F`I(Fi3?Ph4eikDG0|?`ipUud?0K&NB=P)ubfG{rk
zxr_`9AdE|X9wP$-2;-8U&&a?4!nouYFfuTJFfRFpj0_AQj7xqIBLf2n<C0&@$iM)?
zxa5~GGBAKJF8QU53=ANQOMV$60|N--l3&iqzyQLy<X12<Fn};F`IU?e3?Ph4eib7F
z0|?`iU(LwC0K&NB*Dx|LfG{rkwTui5AdE|X9U}t+2;-7p&&a?4!nou&FfuTJFfRFx
zj0_AQj7xqKBLf2n<C5RZ$iM)?xa7AmGBAKJF8QsD3=ANQOMV+80|N--lHbnAzyQLy
z<aaPKFn};F`JIdm3?Ph4eitJH0|?`i-_6Lt0K&NB_b@UrfG{rky^IVDAdE|XA0q<;
z2;-99&&a?4!nouQFfuTJFfRFnj0_AQj7$CyBLf2n<B~tj$iM)?xa5y8GBAKJF8QO3
z3=ANQOa2%m0|N--l0VMKzyQLy<WDd%Fn};F`IC$c3?Ph4{uCnv0|?`iKh4O%0K&NB
z&oDADfG{rkvy2Q3AdE}?93uk*2;-7J&&a?4!nouwFfuTJFfRFvj0_AQj7$C!BLf2n
z<C4G3$iM)?xa6-eGBAKJF8QmB3=ANQOa2-o0|N--lE2Q#zyQLy<Zm!CFn};F`J0Rk
z3?Ph4{uUzx0|?`izs<<N0K&NB?=UhjfG{rkyNnDBAdE}?9wP$-2;-8!&&a?4!noug
zFfuTJFfRFrj0_AQj7$CzBLf2n<C1^O$iM)?xa6NOGBAKJF8Qa73=ANQOa2)n0|N--
zl7G&~zyQLy<X<o{Fn};F`In3g3?Ph4{uLtw0|?`if6d6i0K&NB-!L*TfG{rkw~P!7
zAdE}?9U}t+2;-7}&&a?4!nou=FfuTJFfRFzj0_AQj7$C#BLf2n<C6c($iM)?xa7Yu
zGBAKJF8QyF3=ANQOa2=p0|N--lK;-gzyQLy<bN<SFn};F`Japo3?Ph4{ud(y0|?`i
z|INt20K&NB|1dHzfG{rkzl;nFAdE}?A0q<;2;-9f&&a?4!nouaK%4iOAZJuyn;&On
zVqgGaT>6=q7#Khpmpn5Q0|N--l4oIJU;trU@~lh@3?Ph4o{fot0fceMvxEEw!no%D
znHU&2@W^vAF))BIF7vsV7#KhpmpnHU0|N--lILM!U;trU^1Mt83?Ph4o{x!v0fceM
z^D{9pfG{q30VW0p5XL1h$i%<^!novxm>3v97?->-69WSX<B}I)VqgGaT=Jq!3=ANQ
zOJ0nLfdPbZ$%`{FFn};Fc?l*41`x(2FUiEf0K&NBrI;8PKp2<2G!p{@2;-8MVPaqa
zVO;XEObiSlj7wgQiGcxxammXwF))BIE_nqe1_ltuC9lZDzyQLy<dv8h7(f`8yfPC5
z0|?`iS7Bmc0AXD6s!R+FAdE|1jfsH)gmKBMGchoLFfMrwCI$u&#wD-G#J~W;xa75%
z7#Khpm%KI;0|N--lGkBkU;trU^14h63?Ph4UXO`^0fceM>oYMhfG{q3111Ir5XL2M
z$i%<^!nov(m>3v97?->;69WSX<B~UFVqgGaT=J$&3=ANQOWur$fdPbZ$(u7VFn};F
zc?%{61`x(2Z^^{K0K&NBt(X`XKp2<2H4_5^2;-8sVPaqaVO;XIObiSlj7#2*iGcxx
zamm{=F))BIE_nwg1_ltuCGW_@zyQLy<eiup7(f`8yfYI60|?`icVS{+0AXD6u1pLJ
zAdE}ijfsH)gmKBcGchoLFfMryCI$u&#wG8`#J~W;xa7T<7#Khpm%KL<0|N--lJ{X^
zU;trU^1e(A3?Ph4-j9ia0fceM`!g{xfG{rk044?o5XL1R$i%<^!novvm>3v97?*r7
z69WSX<B|_yVqgGaT=Jnz3=ANQOFoQ=fdPbZ$%ivBFn};F`3NQk1`x(2AIZeP0K&NB
zqnH>NKp2;NG!p{@2;-8EVPaqaVO;XDObiSlj7vU_iGcxxammLsF))BIF8Kr|1_ltu
zC7;N|zyQLy<dc{f7(f`8d@>UQ0|?`iPhnzU0AXD6sZ0zEAdE{sjfsH)gmKBIGchoL
zFfREFCI$u&#wDN0#J~W;xa6~#7#KhpmwYx80|N--lFwmcU;trU^0`b53?Ph4K97lk
z0fceM=QA-dfG{rk0wx9q5XL26$i%<^!nov%m>3v97?*r869WSX<B~67VqgGaT=Jz%
z3=ANQOTLVWfdPbZ$(J)RFn};F`3fcm1`x(2U&+M40K&NBtC$!VKp2;NH4_5^2;-8k
zVPaqaVO;XHObiSlj7z?biGcxxamm*+F))BIF8Kx~1_ltuCEv)zzyQLy<eQin7(f`8
zd@~aR0|?`iZ((9!0AXD6txOCIAdE}CjfsH)gmKBYGchoLFfREHCI$u&#wFj$#J~W;
zxa7N-7#KhpmwY!90|N--lJ8++U;trU^1Vz93?Ph4zK@B40fceM_cJjtfG{rk2}}$O
zAdE|XA`=4x2;-8U#Kgb=!nouoGchoLFfREiObiSlj7xqh69WSX<C34o#J~W;xa6lZ
zF))BIF8LWu3=ANQOMWI30|N--lAp!IzyQLy<YzN6Fn};F`8iAs3?Ph4el8OO0|?`i
zpU1?&0K&NB=QA-dfG{rk1xySKAdE|XArk`w2;-7p#Kgb=!nouYGchoLFfREeObiSl
zj7xqg69WSX<C0&-#J~W;xa5~JF))BIF8LKq3=ANQOMWF20|N--l3&HdzyQLy<X1B>
zFn};F`87-o3?Ph4ek~IN0|?`iU&qA20K&NB*E2CNfG{rk4NMFSAdE|XBNGDy2;-99
z#Kgb=!nou&GchoLFfREmObiSlj7xqi69WSX<C5RT#J~W;xa7ApF))BIF8Liy3=ANQ
zOMWL40|N--lHbL|zyQLy<aaYMFn};F`8`Yw3?Ph4elHUP0|?`i-^awj0K&NB_cJjt
zfG{rk156AIAdE}?AQJ-v2;-7J#Kgb=!nouQGchoLFfREcObiSlj7$C~69WSX<B~td
z#J~W;xa5yBF))BIF8LEo3=ANQOa3Gi0|N--l0U`7zyQLy<WDm(Fn};F`7=xm3?Ph4
z{wxy%0|?`iKgYzt0K&NB&oePFfG{rk3rq|QAdE}?A`=4x2;-8!#Kgb=!nouwGchoL
zFfREkObiSlj7$D169WSX<C4F|#J~W;xa6-hF))BIF8Lcw3=ANQOa3Mk0|N--lE1~o
zzyQLy<Zm-EFn};F`8!Mu3?Ph4{w@;(0|?`izsJPD0K&NB?=vwlfG{rk2TTkMAdE}?
zArk`w2;-7}#Kgb=!nougGchoLFfREgObiSlj7$D069WSX<C1^I#J~W;xa6NRF))BI
zF8LQs3=ANQOa3Jj0|N--l7Gd-zyQLy<X<x}Fn};F`8P}q3?Ph4{w)&&0|?`if5*hY
z0K&NB-!m~VfG{rk4@?XUAdE}?BNGDy2;-9f#Kgb=!nou=GchoLFfREoObiSlj7$D2
z69WSX<C6cz#J~W;xa7YxF))BIF8Lo!3=ANQOa3Pl0|N--lK;iTzyQLy<bN|UFn};F
z`9Dky3?Ph4{x1^)0|?`i|Hs6@0K&NB|1&W#fG{q3@Z}iHc;^3^85kJx$TKlBFn};F
z^O>0$7(f`8JPR`e0|?`iXJuw!0AXD6Y|IP{AdE|%9prWp#x?)X%)r2bN1l_JfdPbZ
zna{<{zyQLy<hhv{7(f`8JP$Ji0|?`i=VfMK0AXD6e9R0CAdE|%pP7LHgmK9WFf%ZK
zFfMsPW(Ecj#w9Pr%)kJ`xa5VI85lqqm%Iow0|N--k{4xWU;trU@?y*k3?Ph4UYwbM
z0fceMOE5DqfG{q3NoEEH5XL1h#mv9}!now6nHd;B7?->ZGXnz%<C2$UW?%qeT=H_v
z3=ANQOJ1ItfdPbZ$ty53Fn};Fc|~Rh1`x(2uf)v20K&NBm6;hBKp2<23Nr%(2;-7h
zWoBRiVO;WR%nS@5j7wgfnSlX>ami~iGcbTKE_qF61_ltuC9lQIzyQLy<h7X@7(f`8
zybd!10|?`i*JWm40AXD6ddv(AAdE|1pP7LHgmK9mFf%ZKFfMsRW(Ecj#wBmW%)kJ`
zxa5tQ85lqqm%Irx0|N--k~d{$U;trU@@C8o3?Ph4-kh0%0fceMTQD;)fG{q3OJ)WJ
z5XL2M#mv9}!nowEnHd;B7?->aGXnz%<C3>!W?%qeT=I6z3=ANQOWvNDfdPbZ$vZGJ
zFn};Fc}Hdj1`x(2@5Ic&0K&NBotYUJKp2<23o`=)2;-7>WoBRiVO;WV%nS@5j7#2~
znSlX>amjlyGcbTKE_qL81_ltuCGW+|zyQLy<h_|07(f`8ybm)20|?`i_hn{a0AXD6
ze#{IEAdE}ipP7LHgmK9SFf%ZKFfRE(W(Ecj#w8!b%)kJ`xa5PG85lqqmwX5_0|N--
zk`HBOU;trU@?p#j3?Ph4KAf3>0fceMM=&!mfG{rkNM;5G5XL1R#mv9}!now4nHd;B
z7?*quGXnz%<C2eMW?%qeT=H?u3=ANQOFo{NfdPbZ$tN%~Fn};F`9x+01`x(2pTx|-
z0K&NBlbIP9Kp2;N3Nr%(2;-7ZWoBRiVO;WQ%nS@5j7vV9nSlX>ami;eGcbTKF8NGm
z1_ltuC7;F2zyQLy<g=L>7(f`8d=4`M0|?`i&t+y{0AXD6dCUw9AdE{spP7LHgmK9i
zFf%ZKFfRE*W(Ecj#wB0G%)kJ`xa5nO85lqqmwX8`0|N--k}qXuU;trU@@32n3?Ph4
zzMPqX0fceMS1>a$fG{rkN@fNI5XL26#mv9}!nowCnHd;B7?*qvGXnz%<C3psW?%qe
zT=I3y3=ANQOTM0&fdPbZ$u}@FFn};F`9@|21`x(2-^9$o0K&NBo0%CHKp2;N3o`=)
z2;-7(WoBRiVO;WU%nS@5j7z?qnSlX>amj=3`vqZK@}0~K3?Ph4zKfZG0fceMcQZ3E
zfG{rk9%cpx5XL3n%gn$4!nox7m>C#A7?*rMGXnz%<C34i%)kJ`xa21?GcbTKF8N8!
z3=ANQOMWsl0|N--lAprNzyQLy<fk$-Fn};F`Dx4y3?Ph4emXM)0|?`ipTW$)0K&NB
zXEHM|fG{rkS<DO!AdE|XHZubQ2;-8U!_2?{!now;GBYrMFfRFd%nS@5j7xq#GXnz%
z<C0&%%)kJ`xa1cyGcbTKF8M{w3=ANQOMWpk0|N--l3&8izyQLy<d-rtFn};F`DM%u
z3?Ph4emOG(0|?`iU%|}40K&NBS28m&fG{rkRm=<wAdE|XH8TSP2;-7p!_2?{!nowu
zGBYrMFfRFZ%nS@5j7xq!GXnz%<C5RN%)kJ`xa2o7GcbTKF8NK&3=ANQOMWvm0|N--
zlHbD2zyQLy<hL?2Fn};F`EAS$3?Ph4emgS*0|?`i-@(kl0K&NBcQP|DfG{rkUCay&
zAdE|XH!}kR2;-99!_2?{!nox3GBYrMFfRFh%nS@5j7xq$GXnz%<B~tX%)kJ`xa1Eq
zGcbTKF8M>u3=ANQOa3r30|N--l0U-CzyQLy<c~5lFn};F`D4rs3?Ph4{x~xO0|?`i
zKf%nv0K&NBPckzwfG{rkQ_KtuAdE}?G&2JO2;-7J!_2?{!nowmGBYrMFfRFX%nS@5
zj7$DJGXnz%<C4F?%)kJ`xa2P~GcbTKF8NE$3=ANQOa3x50|N--lE1>tzyQLy<gYR_
zFn};F`D@G!3?Ph4{yH-Q0|?`izroDF0K&NBZ!$A5fG{rkTg(g$AdE}?HZubQ2;-8!
z!_2?{!now`GBYrMFfRFf%nS@5j7$DLGXnz%<C1^C%)kJ`xa1!)GcbTKF8N2y3=ANQ
zOa3u40|N--l7GU?zyQLy<exG#Fn};F`De@w3?Ph4{y8%P0|?`if5FVa0K&NBUotZ=
zfG{rkSIi6yAdE}?H8TSP2;-7}!_2?{!now$GBYrMFfRFb%nS@5j7$DKGXnz%<C6ct
z%)kJ`xa2=FGcbTKF8NQ)3=ANQOa3!60|N--lK;ZYzyQLy<i9dAFn};F`ESe&3?Ph4
z{yQ@R0|?`i|G~__0K&NBe=;*LfG{rkU(5^)AdE}?H!}kR2;-9f!_2?{!noxBGBYrM
zFfRFj%nS@5j7$DMGXnz%<C14!VPF7ZT=I-83=ANQOP+~^fdPbZ$uqMsFn};Fc@`E1
z1`x(2&&tBU0K&NB*;p7DKp2-iI|~B?7~`7%XJKIA0I9(t&&k5T0K&M;=VD=C0AXD6
z+$;<XAdE|%hlPOwgmKC9vM?}!FfMsM76t|o#wE|s!oUE+xa0*`7#Khpm%Jbg0|N--
zk{4oOU;trU^1>_(3?Ph4UWA2#0fceMGe7|c=$@MB4UYfn85j&e7Y;KpFfcNtf{r!^
zUtxhu9(ue511@=H1_tmF7+mtu?1581D+2=q2;<Vv#=yV;!nou?{sv)O@*r^-et^aQ
zoD2*MAPiE2O`eN^fdPbZ$#XL>Fn};Fc^(D^1`x(2&&$BT0K&NB`4|`&Kp2-iKLY~;
z2;-6$0Od;t2Att9$iTn=!npJcF)%QIFfMsv1_lNY#w9Ppz`y{)xa36{7#Khpm%JDQ
z0|N--k{4%SU;trU@)8UT3?Ph4UXp=<0fceMOEEAofG{q3X$A%c5XL1h!@$4*!novR
z85kHq7?->p0|NsH<C2$WU|;}YT=EJG3=ANQT|P}8T0DUB04)9gfR_(x@bUqaN3hAm
z$_HHXu<`+yJgj`cB@ZeaKp2-isB8dXT=Jl@0fceMgTe)bamj<kVHlhLL1hC7gVbP?
z2bB#Vj7uI=Hh?fLc~IE^!nou?WdjJ~k_VLyAdE{MRzBd82bB#Vj7uI=Hh?fLc~IE^
z!nou?WdjJ~k_VLyAdFo;6`p=UaR3Yd4Z)C9ja<Lsl82>VT=KB=i%TAsesRfz(k=+&
zk_V+-5XL1BO1mJ8OCFSVK^T`jDBM68mpn)uhOvboDD8qUNDVf5P}&7yT=Jl_3&ObM
zL1`C+amj<yE(qh2hoxU!@}RT}!nou?X%~cX$%E1^2;-6mrCkulB@arwAdE{MRJVaJ
zE_qPh2Ew@HL3JAl<B|u}Z6J(G9#pr1FfMse-3G$A<Uw^C2;-6m)omb*OCD6WfiNz4
zP~8T?xa2`~8wlf)S7cyd0AXD6N(>APAdE|1nSp@;gmKBMFfcHHFfMsj1_lNY#wD-D
zz`y{)xa8Ft7#Khpm%Ii80|N--lGkKlU;trU@>&cG3?Ph4UYmh|0fceM>o71dfG{q3
zT?PgQ5XL31$H2e<!nou?1w07jk~d&rU;trU@`ely3?Ph4-iU#L0fceM8#6F4fG{q3
z69xtb5XL2M%D}(?!nowk7#J8p7?->`0|NsH<C3>vU|;}YT=JF-3=ANQOWulsfdPbZ
z$y+lpFn};Fc^d`>1`x(2Z_B{I0K&NB?HCvsKp2<2Jp%&+2;-7>U|?VXVO;W#3=9k)
zj7#2$fq?;pamhO~Fff2HE_oLQ1_ltuCGX0>zyQLy<lPt;7(f`8ygLH}0|?`i_h4XP
z0AXD6o(v2OAdE}ii-CawgmKAxGcYiKFfMr?1_lNY#wG8|z`y{)xa9p97#Khpm%Kj%
z0|N--k`G{DU;trU@_`Ht3?Ph49@NzVVO;W{rX&dCk`G~EU;trU@}Ue23?Ph4K8%5Z
z0fceMhchrRfG{rk2nGfQ5XL1R$-uw>!now47#J8p7?*rB0|NsH<C2eIU|;}YT=KCD
z3=ANQOFoW)fdPbZ$;UG=Fn};F`2+?A1`x(2pUA+#0K&NBlNcBnKp2;NG6Mqx2;-7Z
zVPIeYVO;X53=9k)j7vU^fq?;pamlAMFff2HF8K@w1_ltuC7;Q_zyQLy<g*wU7(f`8
zd^Q6E0|?`i&tYI-0AXD6xeN>pAdE{skAZ;!gmKB|GcYiKFfRE51_lNY#wB0Kz`y{)
zxa5l%7#KhpmwYh;0|N--k}qLkU;trU@}&$63?Ph4zKnr^0fceMmoqRhfG{rk3I+xS
z5XLT#7~cW);RJe?fSOVa7eECTc>EVJzJp61)<4E259=S}l85z=amj=F#vqJK9@IAm
zVO;W{zA*^nk_YvTK^T`jsBa9yxa2|M3c|SLLE<osEqp<JV-N<Z!6pyt8-p+|c~IXN
zgmKA(`o<uPOCHug#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(CpuRB(<B|vUjX@Zf
zJg9FB!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAmVO;W{zA*^nk_YvTK^T`j
zsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoUT=Jm4F$m+52lb6X7?(V#
zZw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`@}Ryk2;-6m^^HLomprII
z2Vq?DpuRB(<B|vUjX@ZfJg9FB!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAm
zVO;W{zA*^nk_YvTK^T`jsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoU
zT=Jm4F$m+52lb6X7?(V#Zw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`
z@}Ryk2;-6m^^HLomprI%48pkNL49Ko#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(C
zpuRB(<B|vUjX@ZfJg9FB!nou?ePa;DB@b$9f-o+5P~RAYamj=F#vqJK9@IAmVO;W{
zzA*^nk_YvTK^T`jsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoUT=Jm4
zF$m+52lb6X7?(V#Zw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`@}Ryk
z2;-6m^^HLomprI%48pkNL49Ko#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(CpuRB(
z<B|vUjX@ZfJg9FB!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAmVO;W{zA*^n
zlCNZ7U;trU@>L8B3?Ph4zM6r70fceM*Dx?JfG{rkS_TFN5XL26$H2e<!now?85kHq
z7?*ql0|NsH<C1S=U|;}YT=Go}3=ANQOTL+bfdPbZ$+s{tFn};F`Bnx71`x(2-^Ree
z0K&NB+Zh-bKp2;N2Ll5G2;-9PWME(bVO;WE3=9k)j7z?ofq?;pamn{EFff2HF8N*t
z1_ltuCEv%uzyQLy<og*I7(f`8`~(ID1`x(2Kaqif0fceMPhwzT0AXD6lNlHoKp2<&
z6b1$c5XL1xm4Sf)gmKAFV_;waVO;Xl85kHq7?=DE1_lNY#w9<Kfq?;pammkOU|;}Y
zT=KIS7#Khpm;4+C1_ltuB|n#efdPbZ$<Je8U;trU^79!O7(f`8`~n6B1`x(2zmS1}
z0fceMFJfR|0AXD6iy0UgKp2<&5(Wka5XL3Hl!1W(gmK9)V_;waVO;Xd85kHq7?=DC
z1_lNY#wEX!fq?;pamlY@U|;}YT=J_K7#Khpm;4$A1_ltuCBK$|fdPbZ$**HzU;trU
z^6MEG7(f`8{00UF1`x(2zmb7~0fceMZ(?9z0AXD6n;94wKp2<&76t|e5XL3Hm4Sf)
zgmKAlV_;waVO;Xt85kHq7?=DG1_lNY#wEX#fq?;pamnvuU|;}YT=Kga7#Khpm;4?E
z1_ltuCBK(}fdPbZ$?s!eU;trU^7|PW7(f`8`~e0A1`x(2e~^KJ0fceMA7Wr&0AXD6
zhZz_cKp2<&5e5bZ5XL2cl!1W(gmK9qV_;waVO;XZ85kHq7?=DB1_lNY#wCA}fq?;p
zamk-zU|;}YT=J(G7#Khpm;4z91_ltuC4ZKIfdPbZ$)96jU;trU^5+>C7(f`8`~?OE
z1`x(2f02QK0fceMUt(Zj0AXD6ml+rsKp2<&6$S<d5XL2cm4Sf)gmKAVV_;waVO;Xp
z85kHq7?=DF1_lNY#wCA~fq?;pamn9eU|;}YT=KUW7#Khpm;4<D1_ltuC4ZNJfdPbZ
z$=_pOU;trU^7k1S7(f`8`~%Rw59l5RZ1YbK85kHq7?=J>3=9k)j7$D80|NsH<C1^E
zz`y{)xa6NQFff2HF8OB+3=ANQOa3_n0|N--l7GR#zyQLy<X<u{Fn};F`Bw}K3?Ph4
z{xt&w0|?`if5X7Q0K&NB-!d>TfG{rkcMJ>+AdE}?Jp%&+2;-9fz`(!&!nou=GB7ZJ
zFfRE|3=9k)j7$DA0|NsH<C6cvz`y{)xa7YwFff2HF8OZ^3=ANQOa40p0|N--lK;WL
zzyQLy<bN_SFn};F`CkkS3?Ph4{x<^y0|?`i|HHt*0K&NB|1vNzfG{rke+&!^AdE}?
zKLY~;2;-6mZ|-7*>`}s&{}~w>7(f`8ekMi+1`x(2&&<fc0K&NBSr{1@Kp2-iD<cB~
z2;-7xV`N|eVO;X;j0_AQj7y$_k%0k(amjNsGBAKJE_p6S1_ltuCC?2CTM!2Av%<2z
zf`^fT0fces=VfGI0AXD6e2feXAdE|%pOJw9gmK9WFfuTJFfMsPMg|5D#w9Pr$iM)?
zxa5Ty85lqqm%Iog0|N--k{4xUU;trU@?wk(3?Ph4UYwDE0fceMOE5ApfG{q3Nk#?+
z5XL1h#mK+_!now685tNr7?->ZBLf2n<C2$UWMBYcT=H^^3=ANQOJ1IlfdPbZ$ty52
zFn};Fc|}GB1`x(2uf)i}0K&NBl^GcrKp2<23L^sp2;-7hWn^FgVO;WRj0_AQj7wgf
zk%0k(ami~iGBAKJE_qEx1_ltuC9lQEzyQLy<h2<Y7(f`8ybdD+0|?`i*JWg20AXD6
zdW;MVAdE{Mv_TJqamgDnGBAKJE_p*n1_ltuC2z#YzyQLy<c%2_7(f`8ya^)%0|?`i
zH)UjC0AXD6W{eCBAdE}ioRNV6gmKARFfuTJFfMsZMg|5D#wBmX$iM)?xa6%F85lqq
zm%I%l0|N--lDB1KU;trU@^*|23?Ph4-ky<x0fceMJ1{aZfG{q3M@9w)5XL3%#K^z^
z!nov}85tNr7?->YBLf2n<C1q}WMBYcT=H&=3=ANQOWvK4fdPbZ$$Ky|Fn};Fc~3?L
z1`x(2@5RW#0K&NBy%`x8Kp2<24<iEu2;-9XWn^FgVO;Wlj0_AQj7#31k%0k(amfcT
zGBAKJF8M%41_ltuB_G7dzyQLy<bxR*7(f`8d<Y{00|?`i4`pOv0AXD6VT=q6AdE{s
zoRNV6gmKA7FfuTJFfRE>Mg|5D#w8!c$iM)?xa6Z585lqqmwXH(0|N--l8<F%U;trU
z@^Op|3?Ph4KAw?*0fceMConQFfG{rkL`DV%5XL2+#K^z^!nov<85tNr7?*qsBLf2n
z<C0HhWMBYcT=Hp*3=ANQOFo^EfdPbZ$!9P!Fn};F`AkLz1`x(2pT)?)0K&NBvl$r}
zKp2;N4kH5t2;-8^Wn^FgVO;Wgj0_AQj7vVBk%0k(amg1jGBAKJF8M-61_ltuC11qI
zzyQLy<ck>@7(f`8d<i210|?`iFJ)w40AXD6WsD3AAdE}CoRNV6gmKANFfuTJFfRE@
zMg|5D#wB0H$iM)?xa6xD85lqqmwXK)0|N--lCNcCU;trU@^y?13?Ph4zMheR0fceM
zH!w0VfG{rkMn(n(5XL3n#K^z^!nov{85tNr7?*qtBLf2n<C1S>WMBYcT=H#<3=ANQ
zOTL|vfdPbZ$#*a^Fn};F`A$X#1`x(2-^Iwl0K&NByBQf6Kp2;N4<iEu2;-9PWn^Fg
zVO;Wkj0_AQj7z?sk%0k(ami0$WMBYcT=Ekc85lqqm;59~1_ltuB|n*wfdPbZ$xmTq
zU;trU@>3ZZ7(f`8{4_=e1`x(2Kb?_*0fceM&tPO=0AXD6GZ`5eKp2<&EJg+f5XL1x
zn~{M5gmKBwVPs$cVO;Wa85tNr7?=D!Mg|5D#w9<Wk%0k(amg=WWMBYcT=EMU85lqq
zm;53|1_ltuCBK-FfdPbZ$uD7KU;trU@=F;R7(f`8{4z!c1`x(2znqbQ0fceMuV7?g
z0AXD6D;XIWKp2<&Dn<qd5XL3HnvsD4gmKBQVPs$cVO;WS85tNr7?=DyMg|5D#wEX=
zk%0k(amjCBWMBYcT=E+k85lqqm;5G11_ltuCBK=GfdPbZ$!}p~U;trU@>>}h7(f`8
z{5D1g1`x(2znzhR0fceM?_gwL0AXD6I~f@mKp2<&E=C3h5XL3Hn~{M5gmKC5VPs$c
zVO;Wi85tNr7?=D$Mg|5D#wEX>k%0k(amgQGWMBYcT=EAQ85lqqm;50{1_ltuC4ZQa
zfdPbZ$sb{4U;trU@<$mN7(f`8{4qub1`x(2f1Htl0fceMpI~HQ0AXD6Cm9(SKp2<&
zDMkhc5XL2cnvsD4gmKBAVPs$cVO;WO85tNr7?=DxMg|5D#wCBAk%0k(amim`WMBYc
zT=Ewg85lqqm;5D01_ltuC4ZTbfdPbZ$zNe)U;trU@>dxd7(f`8{53`f1`x(2f1Qzm
z0fceM-(X~50AXD6HyIfiKp2<&Ek*_g5XL2cn~{M5gmKB=VPs$cVO;We85tNr7?=D#
zMg|5D#wCBBk%0k(amhbmWMBYcT=EYY85lqqm;56}1_ltuCI6U_fdPbZ$v<IaU;trU
z@=qBV7(f`8{4+)d1`x(2|D2J50fceMzhGow0AXD6FBusaKp2<&D@Fze5XL3{nvsD4
zgmKBgVPs$cVO;WW85tNr7?=DzMg|5D#wGurk%0k(amjyRWMBYcT=E|o85lqqm;5J2
z1_ltuCI6X`fdPbZ$$w#FU;trU@?RMl7(f`8{5M7h1`x(2|DBP60fceM|6pWb0AXD6
zKN%SqKp2<&FGdCi5XL3{n~{M5gmKCLVPs$cVO;Wm85tNr7?=D%Mg|5D#wGusk%0k(
zamh14H}K<<XJlev0AXD6OiT<6AdE|%nTde`gmKBUFflNIFfMskCI$u&#wE|j#J~W;
zxa8TH7#Khpmplg(0|N--lILV%U;trU@?1;|3?Ph4o|}n*0gQ3Y|1&W#@PO3dkmqG$
zU;trU=JPQzFn};Fd447a1`x(2FTljW0K&NB1(_HaKp2<25EBCf2;-6$W@2CfVO;Ve
zObiSlj7wgWiGcxxamkA@F))BIE_rb#1_ltuB`?9mzyQLy<RzIH7(f`8yc81y0|?`i
zmu6yM0AXD6GE58%AdE|1mWhD@gmKBsF)=WJFfMs{CI$u&#wD-7#J~W;xa1X?7#Khp
zm%I`a0|N--l2>M8U;trU@+wRW3?Ph4UX_V~0fceMt1&S!fG{q3btVP|5XL31!NkA-
z!nou$nHU&A7?->j69WSX<C52AVqgGaT=F_h3=ANQOJ0|WfdPbZ$?GvOFn};Fd3`1Z
z1`x(2Z@|RB0K&NB4Vf4iKp2<25fcLg2;-7BW@2CfVO;ViObiSlj7#2>iGcxxamkx8
zF))BIE_rh%1_ltuC2zsRzyQLy<Sm&P7(f`8ycH7z0|?`iw`O8s0AXD6HcSi*AdE}i
zmWhD@gmKB+F)=WJFfMs}CI$u&#wG8-#J~W;xa1v~7#Khpm%I}b0|N--l6PieU;trU
z@-9pa3?Ph4-j#`g0fceMyD>2^fG{q3cP0h~5XL3%!NkA-!nou;nHU&A7?->k69WSX
z<C6DgVqgGaT=G6l3=ANQOWv1>fdPbZ$@?)eFn};Fd4DDb1`x(2AHc-G0K&NB1DO~Y
zKp2;N5EBCf2;-6uW@2CfVO;VdObiSlj7vV0iGcxxamj}<F))BIF8OdK1_ltuB_F}W
zzyQLy<Rh6F7(f`8d=wJ{0|?`ik7i<E0AXD6F-!~$AdE{smWhD@gmKBoF)=WJFfRFc
zCI$u&#wDM?#J~W;xa1R=7#KhpmwXZv0|N--l22x0U;trU@+nLV3?Ph4K9z}q0fceM
zr!g@wfG{rkbS4G{5XL2+!NkA-!nou!nHU&A7?*q&69WSX<C4#2VqgGaT=F?g3=ANQ
zOFoy0fdPbZ$>%XKFn};F`Fth@1`x(2U%<q`0K&NB3z--gKp2;N5fcLg2;-73W@2Cf
zVO;VhObiSlj7z?hiGcxxamkl4F))BIF8OjM1_ltuC11hBzyQLy<SUsN7(f`8d=(P|
z0|?`iuV!Lk0AXD6HB1Z)AdE}CmWhD@gmKB&F)=WJFfRFeCI$u&#wFjt#J~W;xa1p|
z7#KhpmwXcw0|N--l5b{WU;trU@-0jZ3?Ph4zLklA0fceMw=pp=fG{rkb|wY}5XL3n
z!NkA-!nou+nHU&A7?*q(69WSX<C5=YVqgGaT=G3k3=ANQOTL$hfdPbZ$@eiaFn};F
z`F<t_1`x(2KY@vX0fceMPh?_X0AXD6lb9G7Kp2<&WF`g%5XL1xg^7UygmKAFWny3e
zVO;Xlm>3v97?=EXCI$u&#w9<4iGcxxammkQVqgGaT=KJ+7#Khpm;7ud1_ltuB|nFW
zfdPbZ$<JkCU;trU^7EJ&7(f`8{Cp+`1`x(2zkrE>0fceMFJxk10AXD6i<lS~Kp2<&
zVkQO#5XL3Hgo%LxgmK9)Wny3eVO;Xdm>3v97?=EVCI$u&#wEXkiGcxxamlY_VqgGa
zT=J`!7#Khpm;7ob1_ltuCBKG=fdPbZ$**N%U;trU^6Quw7(f`8{CXw^1`x(2zk!K?
z0fceMZ)9R%0AXD6o0u3FKp2<&W+ny(5XL3Hg^7UygmKAlWny3eVO;Xtm>3v97?=EZ
zCI$u&#wEXliGcxxamnvwVqgGaT=Kh^7#Khpm;7!f1_ltuCBKJ>fdPbZ$?s)iU;trU
z^81(=7(f`8{C*||1`x(2e}IXB0fceMA7o-+0AXD6hnN@`Kp2<&VI~F!5XL2cgo%Lx
zgmK9qWny3eVO;XZm>3v97?=EUCI$u&#wCA(iGcxxamk-#VqgGaT=J)w7#Khpm;7la
z1_ltuC4YvAfdPbZ$)9CnU;trU^5>Ws7(f`8{COq@1`x(2e}RdC0fceMUu0rn0AXD6
zmzWqBKp2<&WhMp&5XL2cg^7UygmKAVWny3eVO;Xpm>3v97?=EYCI$u&#wCA)iGcxx
zamn9gVqgGaT=KV=7#Khpm;7xe1_ltuC4YyBfdPbZ$=_vSU;trU^7oh+7(f`8{Cy?{
z1`x(2|A2{s0fceMKV)KH0AXD6kC+%3Kp2<&V<rX$5XL3{go%LxgmK9~Wny3eVO;Xh
zm>3v97?=EWCI$u&#wGuPiGcxxaml}AVqgGaT=K7&7#Khpm;7rc1_ltuCI5zrfdPbZ
z$-iY{U;trU^6!`!7(f`8{Cg$_1`x(2|AC2t0fceMe`I1{0AXD6pO_dJKp2<&XC?*)
z5XL3{g^7UygmKA#Wny3eVO;Xxm>3v97?=EaCI$u&#wGuQiGcxxamoK=VqgGaT=Kt|
z7#Khpm;7%g1_ltuCI5$sfdPbZ$^T_yU;trU^8c6^7(f`8{C_3}1`x(255An08PEQI
zW(EdEJn~G;3=ANQ%Y0^L1_ltuCC|dlzyQLy<XM>+7(f`8JR36u0|?`iXJ=+$0AXD6
z9Lx+1AdE|%lbL}5gmKApF*7iLFfMs+P}qSmj`@8aW(Ecj#-*Q^nSlX>amn*BGcbTK
zE_r@t1_ltuB`?6tzyQLy<OP`-7(f`8ybv=30|?`i7iMN)0AXD6BFqd7AdE|1l$n76
zgmKA>F*7iLFfMs<W(Ecj#w9Po%)kJ`xa1|785lqqm%J1+0|N--l9y&?U;trU@-oZ}
z3?Ph4UY41G0fceM%P})BfG{q3d1eL%5XL31z|6n^!nouWnHd;B7?->fGXnz%<C0fq
zW?%qeT=FW+3=ANQOJ0?kfdPbZ$*VClFn};Fd39z61`x(2uffc~0K&NBHJKS0Kp2<2
z7Bd3_2;-91W@cajVO;V$%nS@5j7wgZnSlX>amnj3GcbTKE_r=s1_ltuC2zpYzyQLy
z<PDh_7(f`8yb&`40|?`iH)dvF0AXD6Cd>>BAdE}il$n76gmKB6F*7iLFfMs>W(Ecj
z#wBmT%)kJ`xa2LF85lqqm%J4-0|N--lDB4NU;trU@;1y23?Ph4-j<nx0fceM+c7gR
zfG{q3du9d(5XL3%z|6n^!nouenHd;B7?->gGXnz%<C1q~W?%qeT=Fi=3=ANQOWu{4
zfdPbZ$-6N#Fn};Fd3R<81`x(2@4?K#0K&NBJ((F8Kp2<27c&C`2;-9XW@cajVO;V)
z%nS@5j7#2^nSlX>amo8JGcbTKE_r`u1_ltuB_F`dzyQLy<O7)*7(f`8d=N7O0|?`i
z4`yay0AXD6A<PU6AdE{sl$n76gmKA-F*7iLFfRFUW(Ecj#w8!Y%)kJ`xa1?585lqq
zmwXg60|N--l8<I)U;trU@-fT|3?Ph4K9-q*0fceM$1yW7fG{rkcxDC$5XL2+z|6n^
z!nouUnHd;B7?*q!GXnz%<C0HiW?%qeT=FT*3=ANQOFosEfdPbZ$)_<hFn};F`E+Im
z1`x(2pTW$)0K&NBGnp9}Kp2;N7Bd3_2;-8^W@cajVO;V#%nS@5j7vV3nSlX>amnW~
zGcbTKF8O?B1_ltuC11eIzyQLy<O`V@7(f`8d=WDP0|?`iFJ@+70AXD6CCm&AAdE}C
zl$n76gmKB2F*7iLFfRFWW(Ecj#wB0D%)kJ`xa2FD85lqqmwXj70|N--lCNfFU;trU
z@-@s13?Ph4zLuGR0fceM*D*6NfG{rkdS(U&5XL3nz|6n^!noucnHd;B7?*q#GXnz%
z<C1S?W?%qeT=Ff<3=ANQOTLwvfdPbZ$+s~xFn};F`F3Uo1`x(2-@(kl0K&NBJDC|6
zKp2;N7c&C`2;-9PW@cajVO;V(%nS@5j7z?knSlX>amn{FGcbTKF8O|D1_ltuB|m|g
zfdPbZ$xmcvU;trU@{^bu7(f`8{A6YZ1`x(2KZTir0fceMPi1Cc0AXD6)0i0;Kp2<&
zbY=zy5XL1xgPDN=gmKBwWM*IhVO;XFm>C#A7?=EPW(Ecj#w9<8nSlX>ammkRW?%qe
zT=Mgn85lqqm;8KY1_ltuCBJ}~fdPbZ$uDGPU;trU@{5=m7(f`8{9<MX1`x(2zl52A
z0fceMFJ)$60AXD6%a|D$Kp2<&a%Khw5XL3Hf|-E<gmKBQWM*IhVO;X7m>C#A7?=EN
zW(Ecj#wEXonSlX>amlY`W?%qeT=MIf85lqqm;8EW1_ltuCBK20fdPbZ$!}z4U;trU
z@|&0$7(f`8{AOkb1`x(2zlE8B0fceMZ)Ij+0AXD6+n5;`Kp2<&c4h_!5XL3HgPDN=
zgmKC5WM*IhVO;XNm>C#A7?=ERW(Ecj#wEXpnSlX>amnvxW?%qeT=M&v85lqqm;8Qa
z1_ltuC4YdKfdPbZ$sc59U;trU@`soi7(f`8{9$GW1`x(2e}tKV0fceMA7y4>0AXD6
z$Cw!yKp2<&ab^Yv5XL2cf|-E<gmKBAWM*IhVO;X3m>C#A7?=EMW(Ecj#wCA-nSlX>
zamk-$W?%qeT=M6b85lqqm;8BV1_ltuC4YgLfdPbZ$zNn<U;trU@|Tzy7(f`8{AFea
z1`x(2e}$QW0fceMUu9-s0AXD6*O(a?Kp2<&b!G+z5XL2cgPDN=gmKB=WM*IhVO;XJ
zm>C#A7?=EQW(Ecj#wCA;nSlX>amn9hW?%qeT=Msr85lqqm;8NZ1_ltuCI5h#fdPbZ
z$v<RfU;trU@{gDq7(f`8{9|SY1`x(2|Ad)=0fceMKV@cM0AXD6&zKn)Kp2<&b7lqx
z5XL3{f|-E<gmKBgWM*IhVO;XBm>C#A7?=EOW(Ecj#wGuTnSlX>aml}BW?%qeT=MUj
z85lqqm;8HX1_ltuCI5k$fdPbZ$$w;KU;trU@}HO)7(f`8{AXqc1`x(2|Am=>0fceM
ze`RK10AXD6-<TN~Kp2<&cV-3#5XL3{gPDN=gmKCLWM*IhVO;XRm>C#A7?=ESW(Ecj
z#wGuUnSlX>amoK>W?%qeT=M^z85lqqm;8Tb1_ltuCC|XZzyQLy<QZ8Q7(f`8JQE88
z0|?`iXJ%nw0AXD6EG!HRAdE|%m4$%;gmKBUu`n=zFfMs^76t|o#wE|e!oUE+xa2um
z7#Khpmpm5>0|N--lILb&U;txW^ZzUi3_Kt;IOKU*7#Khpm-&1w3=ANQOP-&FfdPbZ
z$qTSBFn};Fc|jHi1`x(2FT}#Y0K&NBg;^LFKp2<22nz!P2;-7xfC7;GgXj&8|3H^n
zf-dA`U|?WmNCO>h4!*(ympt@%3kF>B%nS_RB`~<;q1gkcepUts1`x)jpN)Zm0fceM
zvokO-fG{q34h9AW5XL3X$-uw>!nowQ7#J8p7?(Uq9EP!lFAoC)0|<lEV3X%%U|;}Y
zT=IMj3=ANQOP-&BfdPbZ$qRt;1@wL*Z1xK>Fff2HF8x9b3=ANQOJ10PfdPbZ$%`;B
zFn};Fc~J%i1`x(2FUG*Y0K&NB#TghFKp2<21Oo#D2;-8MWME(bVO;W33=9k)j7wgc
zfq?;pammXtFff2HE_qo71_ltuB`?RozyQLy<mDL{7(f`8yaEFQ0|?`ihZYYg`O|?w
z`G72st$aY1$5uWd%VR4akmW&T0|+C#A6Xt$Hh?fLc~IE^!nou?WdjJ~k_VLyAdE{M
zR5pMxE_skR48!stvim`00|<lEV3P-x4Iqq59#l4fFfMse*#N@0<gt|x$nFD`4Iqq5
zKd5W~VO;W{vH^r~$%D!U5XL1BDjPr;mprI!0AXD6pt1pkamj<q1`x(24=Ni#7?(V#
zYye?g@}RN-gmKA($_5a|B@ZeaKp2-isB8dXT=Jl@0fceMgUSXF#wD-Fz`y{)xa5@>
z7#Khpm%K6q0|N--l2>71U;trU@~R9B3?Ph4UX6i)0fceMt1~b#fG{q34F(1V5XL31
z$-uw>!nowM7#J8p7?->@0|NsH<C525U|;}YT=KdM3=ANQOJ0wGfdPbZ$?G#PFn};F
zc>@Lp1`x(2Z^*#F0K&NBjTjghKp2<2F#`hw2;-7BVPIeYVO;X23=9k)j7#2(fq?;p
zamkxAFff2HE_n+E1_ltuC2z^VzyQLy<gFMO7(f`8yfp&@0|?`iw_#vl0AXD6whRmm
zAdE}ij)8#zgmKB+GcYiKFfMrq1_lNY#wG8_z`y{)xa6G}7#Khpm%K9r0|N--l6PTX
zU;trU@~#XF3?Ph4-i?8Q0fceMyE8B_fG{q34+aJX5XL3%$-uw>!nowU7#J8p7?->^
z0|NsH<C6DbU|;}YT=KpQ3=ANQOWu!xfdPbZ$@?=fFn};F`2Yq61`x(2AIQMK0K&NB
zgBTbXKp2;NFarYv2;-6uVPIeYVO;W|3=9k)j7vU@fq?;pamj}>Fff2HF8K%s1_ltu
zB@b!}gD@`nC<X=w5XL1R&A`9_!novP7#J8p7?*r30|NsH<C2eKU|;}YT=MY@3=ANQ
zOFn^tfdPbZ$tN-}Fn};F`6LDg1`x(2pUl9(0K&NBQy3T+Kp2;NDgy%p2;-7ZV_;wa
zVO;X*3=9k)j7vU)fq?;pami;gFff2HF8M451_ltuC7;c}zyQLy<Z~Dp7(f`8d@ch6
z0|?`i&tqU<0AXD6`3wvUAdE}CfPsMlgmK9iGB7ZJFfREb1_lNY#wB0Oz`y{)xa3P1
z7#KhpmwYJ$0|N--k}qRmU;trU^5qN+3?Ph4zJh^)0fceM!}`adJ`hI?Wc+LeC_|!+
zpW%{+^^bAM!}`a#<YE0|T=Jm4F$m+52lb6X7?(V#Zw$h?<UxI75XL1B>KlVFE_qPj
z7=&@j!@?KThlGW11gJnm3twFFu<*qt4+~#h^04s5B@YT)5XL1B3R@7yB@YT)5XL1B
z3R@7yB@YT)5XL1B3R@7yB@YW<P#+vr4}ka_PzLh&FD`jl_~Md>g)c67Soq?S2Zb#N
z<B|u3EePY12Zb#N<B|u3EePY12Zb#N<B|u3EePY12bCKjj7uIgwgbYr<UwOQAdE{M
zG`0i6xa2`&J0Ofp9yY#%OCFRjK^T`jC|!XtE_skR3`3I@19JKTjqQLiNDVf5(AW+L
z<B|uZR}co70V^L4Kn+4pzqsUK=@*wgEdAn=hoxU!@}RT}!nou?X%~cX$%E1^2;-6m
zrCkulB@arwAdE{Mly*TFmpmx#f-o+5P}&7yT=Jl_3&ObML1`C+amj<yE(qh2hoxU!
z@}RT}!nou?X%~cX$%E1z2;-6miNi3q^ao12APiE2O&&Bh3BtJKL1U93j7uIgHVMMG
z<UwPTAdE{MG&Tvsxa2`&lOT*s9yB%y!nou?c@u;|VF2@g1E@oRR)6D?hviRP^054g
zOCFX#amj=7CJ5t_2jxu=#w8ERn;?u!9+Wph7?(UKZ-Ou`c~IU2VO;W{ya~d%<Ux58
zgmKA(@+Ju5k_Y8Y5XL1B%9|jJOCFX#amj=7CJ5t_2jxu=#w8ERn;?u!9+WOY7?(Uq
z9EP!_Pf*?jVUQYZ@}Rs4!nou?c@u<j$%FDH2;-6m<xLRAB@fD*AdE{Mls7>bmpmwM
zf-uOBF#kD#I`nAy6PG+Jf8vsd<xgDlu>6Tj9+Wph7?(UKZ-Ou`c~IU2VO;W{ya~d%
k<Ux58gmKA(@+Jslm)`&y8U+O}c#a<yz6qcKAqEBp0DqPE*8l(j

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimSettings.ini b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimSettings.ini
new file mode 100644
index 0000000..73d11a6
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=512
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=2147483647
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=144
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=213
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=188
+OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimcrash.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimk b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..5aa815d6733be7e3f43414fa479f36535050a52c
GIT binary patch
literal 35856
zcmb<-^>JfjWMqH=W(GS35buBzM8p9?F-&NIG8h;b92hJZco`fR<QQZb*cccXSioWs
zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful&Jcl!!e|Bo2p^=6
z6~t5k5ey6rX!KDdh&YT!)(5sP0V2<UMssjN#9=hDK2X>M<Ur&DYEbD4u%QeL4Nw}U
z4-{M=eF;!~2~d47S^=a0<a{U%3r~<SAZ!5*Pjnj1yA0_1BB1)vX%~pI8DKQX4v<jr
z(~=Yr8{HllAD4XrP<<MSAX69^&}k7+L@_Whz-W*iAeDhnOHx4L0%8+`q0!C|1ho%W
zJZyma8%9H|XVA~dOfoak&q>kE$;>OQ(5<jA(={{EE6&%0BovUlKz4xAl)GOj15*RT
z0gxC>KZp%ViXh`b^50!k3=?ei|EE}G^_gDvQ7Kt?DjlQ-WClnNNDateAob9w1#uZb
zY&`}BaGr$tzY#1B5(6<Vrk=|M(YWw*Mg|5UERs<y*u|xAh;L!Wu3i?D7O|LLibH)n
z19o%zn6Qfr;&4v|4u7TLFdr1gur!J6+~qjT`GmtgZ*iEfgCiV5afHKq9OnGNq5c96
z^$&5lUmJ(}{cxyv!(skA9PY`(Va`4r;sH3^qlH7g0S<fD;4tSq4)>qLVa`q*=J4ZC
z{||>dgK?zKDjeo7!XbVVhxtZ0%%6$Fzlk{1PsHIbb{y_;VPRl^HUW^c3j;&EXNYfn
zN@`JRdS-D+YEg)<b54F<YDi*IPAWrue0pwvUVL#$Vo^zaJVYs0g_+rz@nsn)Iq_+k
zIjQkwiA9+p{qZoh3~>46jMU`p_@czT^wjwLwD{tj%;ePg`~t9o<f7EXlGON=)Z)za
zJg`V=MQU<sNossSQGRl2adB!fSQKm#nn9U)DXA4OgTM+Bi;5B}<CF7q3lfV`<5LSu
z6LY}26LVmugOwF0XQZZ-=A_1#6eZ>rCnlF<f;^m=o|l*tpO#o$5?`EJl9ZU7Z2;Ds
znVOm!pI4fjT9la_UtE$B4{~a1N_=KsNqk~T3Rn%;*wnJryb`c%VorQPVo_plYDsDl
zSiZQRv^WF8N-c>mE{iXzEJ%d}TtQ+<MtojkZYo5&B)23!KQAXU56Vw1i7!fyFDc4Q
z%!yCVFU>1~C<B>S1!f{yot&7H19CT5Jf$)(F*g&W72!vaTCiks0W|#KK>-i$_{5U<
zlFZ!H_^Q;Re6V6%0gzjoQ<7PbQwdfCGCCe^8kT@9&dDzat1QmUEzLoT^o-QRg80n5
z%#zH+oXjeS*Hb`#1nVeD&B;$rEP)6Uv<M{z<BKz^QVkivn!t`qE6q!e2gejjXcecH
z#3vV(700KP<`!U!7_bRBpu|y@m{XdHJ(_Wa6iPBdO{5_Afjx;!Z&4~-3D^xqnZ=oT
z>G7#4>5%XMxxAt{GdCr(C>|V4pv0V+7GIQ_n^;hg3Q3>Esd>ejC9sf%M-w#C!S<(s
zlSFZ5u2WHFN_uL1dTL2{N>X@HCMXTZ$LC}wfl@!HP{>Wp%wvd;Pp(LePs_}ML|ATW
zZgOq`LvCtracT*ON-n5m$jMA9O393eMtFWve0FM4UTThBaXyk%62v+bsbo+a&5Xg_
z$J5C<-bl~T1kN-@Fpcy~8T9iDO7t^x)AhqL%Mw%ajSP%T^bDaKeJC#{Gf6)uufohk
zFJE6z4}?kziXkHU>B-5umU_l|2Kq^vc_1lpixE^<GBPkRFj4`+OkrkVWMBcC2NGds
zn8(5Z>n<=%nK+FN)B{@q725*lGc#<4@=K*MIT;w381{i0n+yyLuzm=v{l7pN<YESf
z2xvb8CY}Hl=V*Y)!^B~H1vK#sh7ffcXyOf!<}!l;nz(~0M7;%?_y-$^xC5GafGtGa
z15Nw^R6GDpJird3J_1dA15`W#P29j4qCNvne1j`QyZ}wy0n}h(U|^^~6TbizZ$J}I
zaEF-FfhPU|Dn0>CJirs8eg>Mjf;U8b0h+jh4@7(gn)n7^i1-FHaRYyd_zpDj2L=%F
z8}$$x)aL;8aUeVfh6hOEJTO5928I_%;-EeaOzH!YIIPbClKX)qt_c!=;sa3kfZFSP
zP%#iSqYgweFt9`WLJ%GU!vZ96P+tiqz5+=c)Te@pZ$J`<W(ly=4kU4qpTU9*3=9X5
z#6fn$#7`iJ!}115?gElHbZ7u1%y0uqoC_=hAs!%!b3=r{<O?KmUa$y+_<$tN4-o>B
zKaj))!6Hxs8qOfMBliP2ki><dN<owWk~paU3K9ch2_$h*kN^}bAc>1X#Xyt>lDIfX
z0E!Ke#3i6&Aj$$sToNPz#STc~Qcy7v<$)wF4HAIj03>nP*bzu70!bXyX9bCYZ~~IJ
z97q6)GmymPp<*Da07)FVKUsk!t_V^H#SKW}$nEJ4Byng_3)V3KNn8ai0wHD~iK{|{
zz~llXaW$|Agjj(jt_~3blN*r4HNYYeVh57A7DNb49zYV;28%$56G-BqzAr?Sf#Cv@
zxGqd^lpYO%(GVC7fzc2c4S~@R7!85Z5Eu=C(GVCEA@G@B?w3dN8xD_d)|2rJ3?8iq
zN|^p%@Mu25aTx5r|E5WC3=IEOQ{osH_~jiK{;Puc86dfr5B~rE|6esKj)5Tq)Lnmh
z0n85q@j+ejmj}RnFAyKp1%J5#%y$CuL0#{c3&4CU5Fa$e@Nxo}Zv^6ly5KJxz<ezb
zAJlz)SpeoMf%u><_saw@Ukbzrb+umxfcZioKB$ZR(gDop0`Wmz>z4*#J`;!!8oGF?
z0OtRSWnjnvb+KOxfcc+5e9+LtO9n9i6^IY&YQOyO59HrRAU<e_<K+V|{}zZ3>T<ul
z0Onr;@j+efmj}T7Qy@O5i~Vu~n12Yw2X(DqE&%g)f%u><^~(uh{w5F~)RlhO0Oqd(
z@j+eamjz({A`l<cb$*!u=FbB0L0#sT0bu?l5FgZ4e(3<_cY*kzF7itQFuw`J2X&2K
zDuDS_AU>!|{89kSF9PvFUE!AuV15>e59$KH{O}j#|0EC})b)M&0L+g9@j+eQmlweN
zAP^ta)qQyY%=ZHEL0#OJ8^C-g5FgaFeYpV4w*v7&UD}ruz<eVRAJmn7*#PEif%u><
z?8^c$AEZ8Q0w@83Fev>RzV+<980XRX$fNnmhky`Q!vlsVJ$h{o^cfibiwegwFnmeV
z<CljlVDad+y%@{D;L*zpiWd*dA0?t5-K^JQAW5I|{{wz`@CejlDDBZ}yAZ726co-L
zn*TgHKX@E`XYX<F2eSv`F^`M?N<=)mS?59x;Q0R_jbGk{fdQr;<h};m*jNSzhEnZN
zk7nDd7zPFgkItthJRaS)Z7~cCFEs!E|NkQ8|NsBTSWROY7#L#@^UI^?e_8(j|9_}^
z9K#*M977#Lf;~DfA9IReX|4)jEVc9Lu6F3I_UJD5=?)HQ{`J4}2fxd)=3k7Co!?ye
zUA|j>cj5Q@;L`c=1@GVg|6R0;JzV(L7l-&V{(ITaz`)?sTO8rh>+Ipt&Ee7Qz~Ry9
zo#4^woYC!^(CHl0?VZEF{viK)?-a`u^(mg6|2-Rz2V^iXOn?CXZO#!sy($NMy0Z~3
z==P3zvG~vb|J@+%DV>M3gFX1y2ZuQFZwnD+@MZkt#J^2MsUtYT@<fSHcW@5lg-+)f
z{`H6W*PpUHQMcByi!ItQ#xd40&N2S*F()Sm{%uYU9=$9F__ujEdNBU%YGGWM!NAbr
z<aBt#1nlyk2*^8UBJ?|g&F^Zlm;x4bJPh#|lnjLjcz{ReWzWt(-A)OvhTmEb>;<Jh
ze$N-joxp`(r&CU6R>5(n5>QFl`Tj-A@BjZhT~t^+I^Vz0`1Ak2XXjDS)Hs7j=W&nD
zS1*qK`v2dhyE?(O^}j2>=XamZ?=L?6{{P>j)49T<^SDpvJD<)^FCKvP-SupK+i<)Y
zlqx}HyL9dbrDT`R%^eI349A;$paY^F-C!jioy}7~39P#rB<<1JJcogSp&KmL*$m1x
z-Q5cq7#P4&+C77Tfx+@bo$||B46q38o(z&^yZ})Qi<J5rkM8V%?&^&0;+*c_lJ0Jh
zNiPok`u`uo>^!0EoWZ}|xrBe43oC;w|F%6Wb2AwjJi4<hx_5(I-n|**=<dlN*S_cg
z=>t1P8|-HO^<ZDPbkwjibc4map-$s(&H4ZTzfX5^g=e=bgJ-udhexNggGZ;ghez^k
zhzIY#=mZDcQE<3D^XR<wV#V+O|GR5cSYFuw{{P>l^ZN^Pu!<L;S`D7EVj=O28o%9H
z39g23Ti-hJd%W#@|6>2o|Nk93kAdPa<rgRpj~jl2Mj*@2|NpzQ6I$Oo?g1qP7k<yD
z|Ihk#K7XP83mlCFVCVev>3sA;5|k9OD~>yZr&wG%7lQ)icrz$KKo!g}a7;0DJ16vd
z{BJ$r!teQ_vl|pn$H74XHobE-C{m9%gCY#1$D<pRPMSecg^2eR;Ft!9L1Vpp4Lqio
zfMc4!|0g)R+j3dJ;u{>VyFu1<Zw5tb_hgVA-QA#M^Wy#wPy~aRohP)xam2qK>;`Z&
zv;4DREzjrQcEB^4rQL_|$NvW&-Pr}*)fL^vHQkdz-g_|*WB@n`YCBi(uLt}2m<uZd
z(`$EV{=(3bjYA9bYY~tZNN6#Fm|)xuHodzW;=vM`?#UoegVHa^*|7A>-zNe}N4?n<
z4ZA_fhJn9j9s>gdB2hJO0cD!bZct=)gE^he8$jXNeE=SYI~W)kUMqubMG)PSK?*?j
zft17St9M5CS9do^{zdQi|NpzeCL;obf17tkhYu*}gOqf4gY2<9QM%NpySf13LU^(V
zr5=yY`!6Q_03|d?vi}E4_8WgdQoARZe*VG{tN?4ON4Wo(lOrRjeC=wnScbFw3-)My
z14<U)67Zi-Z<T}LTf=X=K*f<y=l>TR-~a!&VJr>y=!{Vb@aT39==P52V&Uj$Q30hW
zkKPcK08jxp@&Et-Hq513AY~ke-#|L;zW@K<#n9oR;sH{{0aBF>QpM!JKjr9aCd0R#
zFFYELfUJ%N)dsPLCm`~xN8=mNA~1-Xy0a2`UH^ABfl?hJ2RDJ@`gjv4b%U}|=PHnU
zjyHkw5-1mSHi1k&-ULc15P4AMIo<?HOJMo;FZO;#6yu5CKt;e2coD$(6;y_UOJm1g
zcShIN|2sjZ@Oz$cZ2texh2Q^0Cy$Cp@=H+hA^HszS<Rp<3N9F)fhqxp@BjaM^nw$H
zOXqG-<R1qoJ&-9bovT6V;CM4AMS>jD1uiN(nnB6!c=HL68c_NKXQ|HSGvK=53bZb0
z{_)SF(?^Aazwap%14FL|V`n#L{n+v5BT#Lv2lzYgF@b9S6cquV-W-((Sc(8eP6UV$
z;NJ$etHT8pr`ZAB;EdC~85Ee^lR-h)-3>DC#jY=)Bn@I}gG)00_2AkDTtsxZs08|S
zeg~C;#XtW4?`Tm0g}6s|c1HJZ5UYDLDD1l@gF+l?TsD$%ASL|kn?bS=<62Ze>z=?S
zzIvhf15`R6_vl^?3f69L0CsQQ0t%JMpiqMvD1~GoD2(~ngToYD+kiX@@vTSa92Emb
z1_qd~TT}`d!4(*8k01Pu$Kx$3g^UagKAqn^dZ&O5eqr?e|9@~`cFs|G0V-6W;oG93
z0kH{pn1mzQge6Qg5jKGgesSU(*d|!W-vn`?A>TPi1(e1ir9LQkbYn*7%TJ(E9~7aW
zQXixUB}!XV9x#AI28(-NtOe->Co^qGMS&W74?)!rBuqfgcp(RI8d9X{fJDFvuN!Pk
zcQ+_$Tb?K}>YfZv-WNK-;;@pIzt8LM|NpN=jyHo+I4F4|Qn^p}W>CuT==M?J@aSgr
z==MZzK7g_ps2uhB49c32a`YRh9L)rUHmLc)0Akpvy!i16qykhDfeIYd76hU^0<{mJ
z#gJ2u;mOvw{2nhmoj^@OkgGbgDvmqVfHHFD`xogS5v2<ECvd5<57c9Zwk=kC02M67
zIj+5VjIOQU9QT6^aOL;>>)8D7AIRAZF8qEkJd@vpN|xOpL5>3#4v3Ov8OX)GlR<HZ
z(oh5$<kGnsTnvJ9Dab+H-Z|Rd88s!{)dk(fC61kc95v5)c3$fauJK_`4sbO*;J6c{
z?8U?n|Nlcu0hey)jBf9o&cB*xk<*U{^J-A#1XZ2{Qtq6i?VQmYz}R{K>~4OK3m%>C
zeLA1LVEOd_zfb2UpKfq#$fKLdquUEPc0f)9#m*YA_aLzYZrq*z2yNUYeFRl^|6fFb
zRp6@TkmAR+^SEQ@IgifI9-V(3JJ0&|F0tic2j$V{E}e&7D1ZF_zx4or%S2G(?%k{5
z%)s#C{d-UWz1JXwf#Jnt2>U=J1H+5!5cY$328I`>A?yVS3=A*!zXy3rN5!XiZ3Y|2
z<o6z(_gp$Zxpe+~@%aNNo(_9-v#5CV?$J;H+x*O@^Vf@?A3(a0l+FMf^W3BJ9!UBY
zNMqwskbxeZ2vw+!*_Ts3{QnOs!yUtd13WrEd+xJlU|;}^ihwHG?=M{6{r~R)j<tdY
z8xH1DG0*?UATrwT{{KJL`~Wl{^V-R?w~op1+Y51!>fUM%!*7O{3{SqWc=!K*%YhO{
z&*o#y5KZ6Sf{bexU}0eB?v`L-U;tJ3U_(55cVA#;VDM->e1Zkk&%Fn6XWYxlpm1tF
z!V&El2WfeOTsvU`+`XW6HVF4lg;;Qd8Dzl&W>C4z!r{^UgQwibqn9^Xmw~|}nWfQ#
z@x=cJp1pO<hTmQ!Lv(<OmF{j(wg>r>zjZAm1A}MtF&2os?cRbs+ri4f!1z*{iGjhh
zx6aP++Y5CpdYSlJJ0N=PU*<p<TrVer7`=78hTmR%egh7I8=&f@8&o1f?8^qJXg<aV
zvG3d)n0?GIS3_h(ATnFvGAs~Nn~#aV^aLsCt&=kR_F@XecmYOG07x)0FhGn~2B~O1
zCJiwpA8rWSOCN}gB19$>F2nxP2qL5M5@d{L^D#Y$5+k@0u9y4}C5A8Wfm+4AbtZ=2
zUI;;4&;WJ;C@3H<0Oe!P=3}N1L*BlId64JjDUjagW7e<3J$via4Zpp(@EROM9$*s!
z;C|?ZsMCO$uo`Xx=Sxs|<=K3U4I(oUF2nG;(6jlNBSg3gF3kHn%Cq^H07N($F3j}W
z*|YhWEJWA`F3kE`$FupEIYihLF3kN})U)}R7DQMMF3jQ4yPE@)f*TJPu%aa7Q*R(S
z4U&El<%>t-8<6`U<%{RONhta6=qpe-8L%-hFnRRu-T<obdpAq4fefxusqpCBq5>*8
zU*x^{|KGE>j?wVji^*Ue0Z<(;AUZ6dIx;*uOH>M8*h7?qvTO=ic>z>8XqS*j@8$@o
z@(7Ufgckx3<)GYR3RXS=syu=TG$OXS0;*gAq+H|0!`EQPuo!-O!3I{o0jhigMEMM;
zasiNXi5Ht7%2^G+y}14o<l75S<tHG@cR-apfRuZ@Xoe_fGyL{q1z7n9sB+NOFpu8N
zH=xQ5K*}v%gg}(D8-9CH305w^&cMLr)4LnA;mD(R^AB)&`yZq@;DtIwF^A!|7d|gR
z#cqxY2mkig^_dI|X`Rgm>>%InE&vr%y{jeI85nj!DqkBFkIr{5PQ3zqo73>y3x2Ro
z0T7!O&tL{Mm{(hXOYY}Bo$p@khbZMX{PyC>3vgOT6j)s>9RE((aPzkdv4Bdi10KD+
z4>VAVEk46<FV?&O1r;b^Fne@^l0r8$DI`P8<~RKIq64f36j@+33Lf1hDjF}GAPNKw
zzr9EYD*%NzSb+mbfyWC)kb>r8f{>)`40fOkC?Fa(W->6m3}gnEe<Gmr53C;)y<q(U
zApH_A9=-$zgeWNf!3sbz1Xf@GQeg4o07yaeF)@e*kDh}<0Aj&rkOdz=1#I&%$(NTw
zrAu#}jN!Kzd!K{63rf{sgEBw{6}%`18Pr=RYxwQO9Iyg(zlK2+$QgcnQ4Lmr?pITg
zg63oL5T}GAIb|!zDXAc*^wudEetTgJ){pMjuP?xURW|(gLJF(^-LF?b3Yw3pKrHz7
z4B^-9APZPP7BnAIfB76#xb@a)8Gd_l@fpakpezaYYXr!z2`{=q2KCly8-9DS0jvPs
zuUQZUI)>j~^n(?k`_&Vqp!t|C#3|WGPT2`^N+l$;4Gh1%@CWNh_bVqze{Y?k;kOrh
zU<K%YeewMNf6wM)Mi2|QkSy2@vH)6*Kx=^4PeHx}<wj<o&i^1k2D~f+g+_0kh2ggs
zXTZ|veryBT&|7C|`0d4NumW^Hrh*hSAG3luq5UZ$xc7pbFclKYwuawcWP|mi`%x97
zA5yt{ffb<pkp-ln`ItS#0yQKH_GdCMyf*jjt#dN`_JS9z8B_u=`*c1B<@wXkz=7io
z(fIZW!es{$#S5fB=>``l9*svp^#Y>X@G=8rL~os&;kOrSpMXLSl>M1dvwsRijl1Eu
z7oA`=pgaRs1I;te5CtBF-(F;Z6@U^NSOGMlDM1u?8h(4>4psn)1h4{V0m2AT;AQyj
zg&J4^C=kI4pasB#r{GNCZTRg4D_8+2mcR<2CCq+^0v}NM_ZZ|6P$+{HKud^u5Cy)5
z-(DOBD*&YvCRjRoQ4f*!GyL{q$zw!79>yM!FAPA+n~(WJg1!iBASh~>AW?%E(DF}_
zt7>qC4Qp?9{`1%$%D}(?nkY2<_CobB$gA6qf(EU7cY})fU7{=u3@>6q38Q!S3s7Cr
zco@`Z2a9`j!rQg8pP<-*Z2mTc`9~l9|L@q<_A8fxp`%%V2Q>89_9+)s{Wo(!c&{Kl
z1_<v~E=Wn=Bd}oi4{or^4<M>{w*sVDa)X<JVHarL4aqTlsE(O{XkUZ;yBpyi(?|dR
zdv>>R=79}92C?)v#8PIkVD|wYu-pz1)w??aV(9`N28Lar$w?$jXFf&>0c8J!`mNCL
zJ^BzFzJeg5dv_P`GBE6_1g#qX3ySvM-4h_DN5I69Ot(fheZmCrbWBKafM@4-kIvto
z`_9At1R6Yy16kAUp5fT-5ANsiw|;|^6rdKB<3mtyZgW>2sNUN@fscU!9G5RD!EwJI
z)L-%J-Cn`Rz+iZ3Cn)w^4G+Ai0R<GKSH$@6|NpM${Y4B6jveg}gg|2;&D)C@7(6@M
zZ$NnKA-oF^-uxnvlJ4bT!Tu9MV3h|zRPTNUR*)mMuMh%T28u<HW&9w^;GL|A5B~r6
zXgquXq`!ARgD^DAJUZ{aI0jPKyWaw2O5^bt5K}+_>S}nvr}N)SV@TZ&YPxwp0EK)#
ztS^`I0MwV`Z&8G(0JYXMK`Of2K|_j;-Tk0p6i7?|HYDgkEi|?V|NnzxlVM*R0|Nti
z;Mee^;||cQrsGb~Fz}0#2mk*&cF(`T!oc9kJpTd<1B2mzgkrE7#~mQeQ1vb#OM4-)
zzy*r^&U-IDJpBLPw|6-tBIbi4Vi#!MekVvC>@iT6_{A%b&gNt6kWwJ$J}4o#gSvf=
z-Tk0`pGUU{hiB(>Q2g?558>cQbL^ZxgO7os%Y}o>v7>z!9|OZnW=I7EY9MMu3;=Dg
zcI@uwfcH|M6*;IG$Z`Mwf5ZRa5gNGHL7s+srSks&|GwSJIanDO9J}WWu!6k~YEgm}
zL%j~-L)CkNZFG_0^XzOt2TBgzEHa+mJ~AAhoye)gw|6-tmCOgF5=cmZavmZi9)JvL
zKE?$JiKKhrkZ@oFIiueL)O?171SrYDLjsfxp&@Zfh=JiHXhO)dw+_^VRfHH|!3Z|M
z0Ui?2EW~5@?Zv;luyg>**I@sF(gCR74)t8lJ#aek0HsXk`3{i4fGdUu28a(;Zv(Ov
zn!58qLoc28Uc3MWXzy}x>h7HnO5G6OgX9sue+1Ime2f?3`;@yld=JVuaNlzX!_xtm
zFgWXh5*XY}$XG=TXsY35^S%H7k@&DN3sCtJ3>skR{O`H%F-qa{@D8X71C5M<%brqm
z_!!IaJOBS5Z&m;;`T%*ccXt9Pb{Y?Jh%hkh0*$<Qblw9`aJ=*dc?we8Oad8)7=+n;
z2a<6?*%UhDQ2<iW-3%J2?(PN+O@i`msjg>l9Vp`mVYRe(Gu+Y_4?tnoTL<b>sX~le
z0q&}Ux~E{H;yruoKzW4y4yX*-ZduI0(7hYn`(xhS!36C$fp$_eIPL&th!^L;jw}H=
z0hDph-v)(9Gid0lyBpL#X|~~rtIhLlKF0MDbmj=y&xbvG>p(rBMYqA0SQE4)6Jm*h
zXKx*-ca(+25~kV`(8$0`(CmU|^D&;6CI3K%*MT}aRv>#CkJ}Qm$EWk(i+{I4fnEZ#
z3e*kye+$z;40UFn&Br8O3W02BJ|^^%6U6APlQ#VJ;yf1hT(yRt&BtV3vv|ULPAkxr
zb+d5%KhOzIFfY&j1-CclUT*vgs%DYvS58KF`z00Kh-Mp(*g8wk#$)z`{rT;M7{s5P
z$C^)wFfcH`W`{69V-*iTz4yk$8$>{@0eGwD=xvZ=(ApPV2=`pQi5XIiVD~r@<DRx#
z;Be<W)?6S8bq|OEau4XB7>~xo5uzyWF~#N{ZiIVWvABl;>>g)g-1F@w%smofQ1^fs
zAom17nuZKwDDGK$3rqO$Al$R{24?thg5BdvjC<1H?l}N+4~PMBPY1+3D^T4dip@QI
z2=}Po0OgNu?u0CV@#Y3NB<wx9k+QW*w+RPwbq`u;wD`vV|IWQE+Z=mY+`79#?E(+x
zW>CA}CAjm}c+8WKT|S-PUetoE!?3JG8@WCPS!aS`9jFraU~UFg$*(mH|L+4;IN;g}
zq?8jyDX6CMU~UH0R36<Tj2@knGsGDf__v2Ja-?-mE)WM*7>ryU%|+snQ6tb`VB_Hc
zaRvr(rG(T{op|H_e{lOW*t7GyC#b;<8de2aZTRiQk!#=p_AUlhuKPh{s3-G&tYv7|
zb#MsVdUm&i3QouFeo)ETErSxmoi|@NUH|{Tmt}S@i?d^Q{|``ej=B8<GXuj*7VrST
zHebS4$>XsK)L;PzS?A3c*RLU2WdLsCwQI02FuVj!+<7)0^CxH(C@wc%19=S9TcDIv
zqGR|UyfzAK9Vmv<uOZToV|RZB#Kr^`28P#K=&@;ys&)ZH?F>+pvRj1FvvazH1S~oo
zJEtp1K;qM}qg_b?)CAu@1JqJ!JkB5ijZmM?f8c8A<)Le!EF9_>23q|98ixnh`u{xk
zgV@kmeRl;k1>68i6U@yOAga?vCBfski%JGag~tw1^8z$^=F#b*QqbMK0;GhwdjW{*
z^iiqsINkyt_ysHOY*7IX6o6Jj2zd5xTVV;BLHhn;)>Y61;j!)<l?adC5|sdt<18wm
z<)R)tK&?TT;T#^F&%xpMV%8N<ONh<0+mFMev-yMss9fs40P<|N8=psK^EHr2@9qO2
zH#8pJ0P;7;AW*mB{MG;eJKw+PxB}{U90B<=+A$8gPzv08o&a5c5dsPmP`d*%+U>Dl
z5K)xey8QpYPw!rk^ShfbfNWuIJ^`XST~snaK?5qu8Bkqc0SyPxGE|WNB|Jd0g#TW=
zy9$~KEm2AE=xk8|waq=cT~s1K6S@f=ojod`ktmQ1$fe!Q3ZO<6b2DUAy+uU<G-Q4p
zGP@31?&`S*WI8Ckd^(qar{qCX^PuSrsAUf?gNBNax^(YR0rdtvdbg<j05#W+yQpx0
z4DjhpQ4#Rytx@5C1hgSYeYY!v2Y6XpbAu!}q&q-Vx2u3hXY&LIQ`Dogc`At6yZZ$w
z92yU2NHQ?&0=Whh=uuZd^O)aX2weuz|6g!{jBPvu3SH2cQJiBuatxrfKSkl84(j|S
zUINuAi1w!?y#48Z36vz-(~3bZYz~zIjV-h%LU;iXUSKguus^bxf#G!pbQ(|qWFVpi
zYIq5hfB9QJLi&ZEX@qwdK~^?D05=;!a}?laV;W+@?d(Oc&CL+Ipf=Y*cu<@3AU2nQ
z1-m_@z;1K^QN6nbKvCLwSVIaCUXaYGdKpxl)`7aOSr9i!Fo9;uKocKeH~1swkvt&^
zKCprm`~by3w+M$vcL@iFN9Sa)MV*_$)^>+*2zYc(2D!_l+l2!ZZy*=HEdKxhKiJyC
zDCr+GV*@S|{(J6ILWzm%7eFHo@cGjp7a##A3F&o$#v-<YRCG6kPDSeO22H<!9L?X_
z1TMP4bFh;kDnO~ZyBn0W8)`U&nE6{lD?DKB{|o>B?+2yKeV}>$U7)1B6I3!df{PvK
zH2iIJb3A%oIM_kuQG&+~kZMp71>$CS?g24Drhrm<!EqNAP@jSUlph5=d$)r!G-%CM
z6(~wOkE4`Fpz;f(2~i4(TmVHpyGLg;gERw!BmZ`Hj<n8Z4iLM`ovWjnOB!6Af+w_k
zH@^TyFv#{7J{Q475bK5i|6iAT_JU)$<2(`m{Cpmq6cOR&(H#Qv=VXxmpbj8^TIXbt
z{ar2`g1GHJ19Eq79jNyr2r+R3IAQHzf=t1dhJ$)9kUr;!b6_h$J?`#qScluAbFzjs
zxW?fCH6RS68NeeC9nD4%A0L3U&jh5Q^$n;92nWSc;}KATf@gWq!tr2_&fnk|_2?BX
z)&m8Y=@~u-h8N->^}V|%m@qKBm<@7e@9q;IcK`YR|Dz9sbb_~Z^qS5CsqO@+0Bz_%
zl4u1<EV%IhKdAg;c##G&5T+zF7^J)Nw@2q!(1NyxU7*zE(QEpW599({5cMJy<n_*X
zo%dg?2ASS#8v`;h9wgdp3mUn3vH3jA@Rw)*{|^n$VhC^q8OPuVw$h`QH}^j%mx%g;
zDN`OWWt##r=lNN1-&<jS3TTW_^Bl}1kpIAa@>ql?L9PZla1@V*z-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeD;0*!LS(TnA{{OEz@&ErCD2DNuUHbo@_wxV$VweB_54!yS
zf6nFq|4T3b|G)9_|No~i|Nn1!<^TUHm;e8NclrPS@0b7oH@@=!zvlJ-|JPmr|DXTH
z|Njy<{{NqK<NyEPH~#-;x%vNp+s*&~pWpodpYzuL|5>;G|37i-|9{Z&qk1WsMf$19
z>7jX<CGknA8Hr{3U}5mttx(s41#qY<E(0Bj3sHzq9q5o&&>5{DmH5=<rsgta7H8(>
z<}&0KGcYiKMoAc@nXN%*(u0<2{Mh&ZKWI^N$HD*qLBn)24*vfS+B?*7=>LDvLg^oe
z|NlS2$iQ&p=>Pu$ObiS^PW=BL!^FVQaq|EF8B7ce66gN^f5ODTu;cvy|DbcOS6ul2
zzk->8A>!iy|7(~T7$mOz{|{P7dgAu~{|+n+3>tU-{|AkM@3`~-KWL?l#@+w_cd#%p
zblm;_AGC0v<I(^BI;;!~9Z&xM&tPR>kN_=vVr5`h@$~=y9jput7SI0wf56JXaN^nj
z{~T-#3>q)~|2JV{VEFO!|9{X-G{@`z|EI7qFm$~6{~xsMmgC+3{{rj`422*5|Bqm2
zU|9X(|Njzp28JUa{{Qb`XJB~#;s5_7pc5HD=k$XD6SVEDDu{uxLV!`4hn-^rBLf3y
zt{XHom2lwyf6!)r1{ZeFnF$P_jsGSL3=Avw{{Ih}1!3S5aO0Ek;^!{sXkf6Hveq(I
z0i7KH)(_e#FL2=hf6!qMP-_@07#J8z7#J9S9QgnL14z(`Pr!*!!ii78iBH3k&!CyD
zhqafbkGY?T=^md3SXctAgoA;>gMonov;$1%(EtCpLG#s)NP3<43>^6^9QhoY*?ZV}
zS^HS}ncJ9{k1~S944jaRa^wTK2V~YC(D{&u|Ns98nkyk})@>#nW`X<!N>5Xc{r?Zz
zkIw)%%aKpRo$ml+Gt@au)gV7Afc-0ga7POx1H+4BpeY&#283R)n?ZhZ=i9)H;;BLw
z><$6>=>j7IgU;#y|7Q>vK25m72XtSA3~0>k%>VyyK=B0k6Wm>BVa;?2o2x*_k)|*)
zFle0p|9>gS949^jM?MKhK80rHJ|?D#Af|v5ABQWSf(widI@b`C&z7A1|9=}OZcy|v
zuVR8JWME(bjW8WyVqmbi@c;ipP@2YcCpZR>6NLmDHkZ2c9bjaR!WAB%bg#qAz|e60
z|9{ZBLk1K#F?qtA1X35m%)qeY`v3n;nEow8R#(H!z@TvB|NnGMb<xP`mM}9gWZb}S
zFDUNMFf%YLxbgpgC1^<sIMaagl_Mz488ox@fO8ddBnyZSO@rWoH*i4}0q0TBrl2c#
z{{OFohQAZYK?==aGnhg_85SCAOs`=aM?M7xaQP6z!oVPL|NsAPOn=pbi~;Ecg;Na+
z149E5>Xv|3auT8L3=0E8zytjDg3{I(76yhL5B~p;2jvlzcw`P{ggKCbfdQmeft7*b
z&BOoy&q389hXeBgMws6jK<V6vm4RWx<NyCb6S&ZP?8v9!&bNUH6mD%yOkemE9Kkvu
zc^`Dg4ruN%=gI&7WgzpB)2<_*fji#<W>9$uEz9B<K}8&*OavPODvOUm>xw#%8IX7f
z<u6EF{RLYHj%Wp@JQxRjAIu+C28IvM{{PPe#W9B68yJ!74rIb&Hz;~R2idr=F)#?e
z{QutyB;d*?(9Bc<E@MG;2&jJ2fBFAE=ztpr4?ckaJ`Ql*0NSf!@bdqE(7`ew@hCnH
z29RGsi=3@r{{O!cYE~PQBcDVwvkRXBlRqe#8aRRSu0tW8LIgObz<~f#JBmj`U^E0M
z4guJC^04#cK}8D4P*D37M8o*apgIQBZUhN3Fo4gr2QfhhZGq@hP<hZnT_8T}zAn%~
zT_FCBAP~vG04^685a-&14!Q!#fZAvv8gvjAh=!eS4{DQw_%Qje|NiHL_zRdpBm-#0
zEtp{dRRB7O3?y&@Di50J1o0I?CzdfVFn}g4L3~g-2BJZI1rQCxP;Cqi(DS)LMI}fM
zGz|!%L1i3>1~olEH0Yo&5WNE2T4n&BcMjrzfO;J2EC$egBuJbSM1by3L!+TwhTs1o
z{$pf-T>146%HIGr??03ei<b{jeh^gtCzKC!7tCC0(Fx!~aWG9Y1D!C&z`)=JrNf|f
z8k8=B(rr+B8kAlJrME%pV^I1Ulzs-Kzd>m>P=}6zfk6yPt3hcqDD4KN!=Q8;lrDqP
zZBTj|lwJm<w?XM+Q2H8_eg>t#L1{K{Z-;?F3`(m(X)`G82BpKGbQ+W{gVJqKdK#2o
z2Bk4W6u$`UUI%nluzMXEp!Yby?rnhG(*V1d0e%ky^nL}{JqxgV6=3%$!0t_e-ID;j
z7Xfw;0_@%c*gXfZdktXs7{Kl=fZbC7bFaIzvz3BIXi{ljNvVRNG3Z_nU9(a!*Qn0O
zM9;uP6DkbTft?2B0~Usl|IuYZ`3!Um2dK>rl7l86(CTsqZ0bP==rJ+yGkidc50E~P
zxB|H00x}7LjTu0<bnr94%1wwU0|V$92u21;h7+L00Z8Q~s1L!&Aj$wM*I?>F*$HGm
ztQ>}kgI1O?GKj$M|A2`v2j9bp>0i+OWZ3)#I>->4IA~IzkwKV&B@<>cg2tx)7+6{e
ze*Yhs%fP^J6YNKEczFpEe-9QHV?Zx2-Z6o$a+F|TfQAoDJ!s_?=nzI2A4Y=~kqI(L
zFu?9F0qqz8$$^%T2{G_9z|teQ@4>*pAPv5ki=P3O4#Dkg1_lN-usBF3Du&z}$Ik$}
zKNCFm0XlpOhx$Mq;%ST^f1#QU;+2Bc^E1HmCAd$_z`y|7rZ2=KzyPZkL25y`4XXYC
zsKdm-zyL~@An`d+aR$)IiVO@4;4usa28K;wagYjB4C=pN3s2C}FKpspz~=BXz{&@3
zUzUM^;XhOyRxW`1hoEf~IPM`6#vyJ57UySxl^fu`7y|=?EmRy<UV!^FpjCWeaa2En
zc%Z%uC{Kde$hZ}U`Z+knLAxLX83Y+%_k%*4FASi~@7TiU5;)x=+X!L5VFKOlgPERx
zg6-vJcoPUw2P;09aNO&r1QzFKfR)?eaS#Ru23=<C_qusN)hmEb;$&c80FRF`Ffhb{
z#UXYgll3^nr+~%z85W?Ww^d+qWD_9l<6v=q23Y+7%XgQ+;t(~+<a@9<KLf130grt!
zFfjZAizBOqu;p187zCLl;N>Solz~AT$31!8IK;D|=AhS;pnF_F<p!)?0;MOA9ia9t
zNE}w5f$|SXybp)@b8v_+!y&#AhxlzA;{U<o{0y*q6Ferzz`(%E3c7cbp8-~{gU7%?
z?MYTpIz-evAh(0C3Rpdeg^E4F;`|H>(91)><CqK#4DnEL257nh)gK_8rBLw=(1Z*g
z%LSdK0u~3UK*gYC+Su~ZX|Q^J23WfSmVT~*#Zk=w@qUBF`59pMLxJj1kT@F~C>%g6
zRBR6x=V#ae^;a)6V~2vpQPqNYWjMt9q4v&%=3nqw2Ll5`Jr4Dgz~cN2uyzo5Oo)Mj
zVJ;gaUM`^3cZ<O4K`ubW+rZ-d3=aAbaqze<0|UcZusB2vnY<1fi(wFCP=Vgh3-vC;
zBxw4%40S)O-}MxSIe)?K#LRar?AXIu5iHKnzyJ+rSUNF>ia$UrPkh1R$S#MllW~Zb
z;t-z!7UySxwMSv;XE9V9*3N~clXXyWSo;<{R?5J@uoEiI5ABzN$DbG&81{k0nRqZN
z^CKW}h?7yt;N+qbL%n>4`1r)6%=nVTbcW=jlH!umv^2eB2E@Ia@g=$O$)KAziy7kM
zQ}W}}bMliCbK+A<@{5Y&6H6-?KsQ<Dq?V+n=ow&F0lI)MGahoIU}|1TQ6)nf=-Nrp
zErz+34Ds<uJn;33C`wE63vzPGav4C=Xz_-6$qe!FZb6Q|uJNvZE})w~<K6v2<6S+V
zf*vkG4Ds$h{!WfQ@&0aZ!LA|kA&yQyuJH`<E|GqYzMjtDYXpmtF0cgMwHT6^!~na}
z)5HM5Gyz=_Se#no0K4Q5be$h)!VcT@gPE|aMv*T+M7yXIW{HtG12|L|z!xeO#9Jns
zr=}SuC&d?+CdC_J6*U999DKVXBq%}Q4!S`Ue)A&cHKph`R>G_|HiG-n7-BBuV#VxC
z(5<5JIIjML={7Nh>oze(xC-s!Q}_+4`0jB9g&F8>NVMBS!M?<G6K1@pe|&LCN@iYs
zX)*NXNXXTxV2fZkyP{neo03?P2)Qnn0eaIT<YG#2_+z;@6?7RU%)dq!XctMMCI`^X
zt7tKZJ5(}}uY<+B;u4ElhKLYGjd#e+u`ov(n}gNiyigUc&KRr?m%riHrN+mH_&P%~
z87P*(Q3Ae_6#K2BV0j#u?8e8Z6z9ihB<7{$q#}}z8G>m9b`#qDpYWSi!S~W4-6IPR
zHgF0-y%`sNfh#T#!Y;%`){DsTMwSfm@kzzS(4+$QINm!|u|%2$)+}s-RTOf8FL((8
zdDq9n!_n9R8jdCLpyDzG*JZ2lv|s{O4~{x~fr{<2T5$Ll#G51<q@|@MTY?KNOR#aE
z{0v$E0V?0I-ck#`*B5dZD@sm+Im^VDAwD$^dM7OUJ-m>>MzPiq5`vJcc>PLqgP=F@
zdP0l<dk>OwlVNuc$ESh{1O|*7W8v;G#vWJZSYr^9rC`p7-dCGi45~bm3o7Ae8bX2_
z9CBES9OxaoFk_4@5%G#BaE(nFAUE5B?jeQ*H|(BhxV`Zxmm@Ri6<6k#BqlNF6_*r2
z=nNPuGp{7IsDME)FTW&JFFmhRub?QuAhoEZ5=khjG&3hfH!}q)<mlw73u-HXm1iUt
zXE5lcROS^|=0fO_A_lNbS!z*nW_}(DC%%Y5uP8Mq5u^diD#$5e&;xr#uOO#HFFn76
zL9ZmWqJ%*&Ei*5ZL9Zwu98Y?w8PHZjMoJL_oCnbgX)Zu?z}V1YkU<ZkJ*l{uK`%K!
zH#ap8w4?*I9YiJ%H2x17!-I{pgSLx<jDpdy@qdsQ2!qsuXcz`{M?hooF#Vw8<v?;U
z8hxw)HXaXB1HxtukTH9h{s`y<0E~t<V?j#67}mZ9b3hyG(DcK`8(}oM{pk9`85kJ8
z{r{g2vmZ9E0i$8|!{#;6-4AN^gXT0~`eE}PFd8<G0}3Nh*unI}=08Bge;_Zz^uy*+
zU^Hyr1m<6m889}8E(DDcA-Nwm4*{b=N63KG!Q2nC2S$UYSwM3fFg|Ra14e`9%t89m
z-47B2VbI}}pfH8$ht0ddXxO|9%zkwLPX~{uGB7xS3<Irmg3jZ>=5t{4J|O*|ITdvM
z3&G=@3=AN7uo18bhti<*4HkzIAh$x9U<!2N1t?5m`W2x1U^IBm6<8&NfI1I;pEPJZ
z7+L=Y==e2^hPfA_8#<}PfS^I^tC01>#zA594`@FWrXOZMj1M|93t2yGJQGGkr=?)Z
z8PNR?I`|u;9~OVG@i-U_I%^xQ8Ohx+G0?Hs$oe%v83MGH14@I|0K(LQXmtB8LE{f*
zze5s86$1l|J^_muh%jVQ4$1~mcNiELK$j1J@(_p*yRSPS2gE~!GlYlW!{P<BJrp#D
z3eyjpABZ4Q{}%>G+Jos&08J<`Ffb%Q^@BFpg6sz69}o?*7Z#7Jq5g;Ihs}F7fDZ40
zj#Gp5!7xlejQ)$JA3m;M0MP+D{szK=kkI4};V>{TFheIgVfMr3O-?}d!|Z`+0L3+o
z4WdEw10XTbdK?fRhGF`V@4yAgfz+bYu($__!|)HN{WG8w$e?-%G{ymQ53KwI%`?H=
p4$}*wCscz-28I`~fQN8F8`YsK2nD)`3Y-3lW~e;O!4NKnegHKySbP8g

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimkernel.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimkernel.log
new file mode 100644
index 0000000..2b0aa14
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/ecgUnit_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/ecgUnit_behav/xsimk -simmode gui -wdb ecgUnit_behav.wdb -simrunnum 0 -socket 58731
+Design successfully loaded
+Design Loading Memory Usage: 20184 KB (Peak: 20752 KB)
+Design Loading CPU Usage: 40 ms
+Simulation completed
+Simulation Memory Usage: 110120 KB (Peak: 159452 KB)
+Simulation CPU Usage: 50 ms
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/Compile_Options.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/Compile_Options.txt
new file mode 100644
index 0000000..2b3d98b
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "tb_ecgUnit_behav" "xil_defaultlib.tb_ecgUnit" -log "elaborate.log" 
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/TempBreakPointFile.txt b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_0.lnx64.o b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..d2c3ff19c23ba62b578ac71471c62b8c19a0d5c2
GIT binary patch
literal 28128
zcmb<-^>JfjWMqH=Mg}_u1P><4z>tuQU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi
z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+
zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)}
zonm>SKE<>1zh~odfqVvr2@t@)%{juSSLJ|DcQ(QW-QF=^o4P^TQ#uc62Yc|Z4-RqU
z-xeau;LG^OiGQ1jQb%xv<%trZ?%*883!Tm}{Ob?#uRmpZqHe8Y7hAMrjAN{0oMZgq
zV@^&C{M(!yJbGCU@Ne^S^kDqi)xwyV&%n^(<aBt#1nlyg2*@)RAoM$e&F^Zl2muQ^
z9)@@fN`}G%Jiw##vS;U?Zl?rS!*8tz_JV?v-}A+Brwma1bvosAW)&QFDgkregT3GB
zqQc_Q31NG79^D6$^5{J7(fJB2?b2PH;M)4%mEZHbPv>{AkVmI;g-7RcpU!taou9xW
zo}G6+o8LAZZ*E{<04Efe&fP5x3=A%vn>!d77>+mhK*c?}!Ad+jo2M`^FnDw~gQPt=
zo98evFm!{(I-4he*xd^l7#P4&+C77Tfx+@bo$||B46q38o(z&^yZ})Qi<J5rkM8V%
z?&^&0;+*c_lJ0JhNnrOun4KrIoiq5?JD2cpb75t0<=?i4CAI+MitLK+-5{5DZw5KK
zdoswi5Pe|BXoKC%zaH!hmyQ}%hHkKUH`HnTtvUby|M%%muJG)3W$^6w<?!fqcJS!*
z_V7ra4e{W8uyG!pN5SFt%%k%bSfIN`g$2xTfd}mikLDvB@RSt`iD%UK?aoSYHGJFp
z){)=iEi~dBJC7ma@VMbOqzLTJPH27WxCfLFT=+eo{y*!}`5bJgN2hZE*g5}vIv;^W
zI<qT|JJ*0!b}j}5$nj=Sfc*de|Nk*?OfhsjC-i#!Z$03`@A;y$8x&5*!9fAhvl<ks
z$D2VB2GZlv4N51?pr}H``wCF(g2kY*-n|AM(@VfH&ENkMoZW3fhC&iJI9_*ytn1zk
ziq!7OAUnFdLCFT}atO2Ygf=*i_}7Eo0FGvse>SY;`TW}scqX&7`!N3a|G=X=yP&(e
zqPw`Jdosv-5CgzTP}{kJe?8dG$6Qz$m|nX>te-%%7UtI?AT5y4Vgxb4xEpMGcQ?d?
zB{JQUL7oPsUyu)A>6gDx1eA_?vnv{QgOUvcf6F`u1_nf;YTg3MG@ad`$m|AlI-56u
z!n6ATJPda*FfhDU2HT1tx+jAafb0V)huK%}jP9@QZjd}QKtN1Hfbehg&gk#~C4G>1
zcQ?o$%M+zbeY&d)5H5r#dr<1}=tNES|6s`;mfBt5DG_U`N4Wo(lOrRjeC=wnNW)qF
z1$#8U0VNA?3HZ;ax5~lrt>L#_pz_S8^FP>AHjJgg9-T2N0Uq7X0o~paT`U|OEh?ZC
z<<T3W5&$dUY?w>6K*~4_zrl2NF?6`7cz{%Kz*R9h@J~7Vn#u5O=L?U<BOt4zLA62b
z;R%TR>e2Y7f{}p%;->DbgkIPGolT%rhseQAptwHX1WMhY6yLcD<euYApu7aiMV(C`
zlaDunQVK*Kl%b9{fzlFK9#V9p7UM@yiU5~xaB1w=>(1!f`hO?L6n@VWj?Mr7x$yhH
z=;TrHNPY<`K0G>`L0J@BFg$}*0v^5KgyGV;8x;A+!ATEfic9BeP&zo?3`&t8$8>><
z%8q7Gay#C90;C3%KEYY4v-u3TF1P}%3z~oY^XT+Z;o$Fk%EZ9X>%rLBeF3cC2vl3^
z0sfAAOrV-SMMc1;H%BD`mLfor69FOw__u-W>Tm(YX?8$2IOBA01_fsKWKa-xcY}-r
z$9{J+h^Y-O$@tfUYa4J8(cz*J=+pTfRtk2ssDMJ;qdPmJdpC&Hy%`kt-IGBfj>R~T
z68`ngAX$iUEh;lX1sKRgNFD0fdEBFWH7HoS!2#I4c?&31CWAr^i-Djp=3fsEQ*dnq
z@+ic&9-VVk3>X<0V7_iqDF7udP_0Ln$6Hhi85tOSI=_4LP5~PX4kvJ6cFs`&aS%3X
zKx`sAOf(TTfeZ#=P@cjT@||;3KxrIO>VtAeH;xDe$1SMT2Pr~{(iW8m4B)CAi+jOV
z!x96Q*n0?xJy4i{oB>weff1>YL=G{gyBn0WEl-pfbx#H-?+cyav<53_`TM;7{{R14
z<ajeEg@cm!aj-my<<q?xlrlWJeN;F+x*0vXJ&~IapzMWSj(&rcqwwZKw+%S57(Bow
z5vagHZ9yQ)BT)MgS`0bm7@ll>%kS~B(+Sih1i7U%tKzs*4Jac++ZAY~%04DgpdvR8
zi*sCi^B7%Qzd7y)8Q{wA`PZ@e-#?JE8C>}NUU(+IM=Dt$wZd_qPNb4$GAQm)8j2u;
zTsl{Si$QQM1v#kOJ4f3)qo$<0x}dwb#If^_qvjdU&THMlH9pMA0j`Dz9Cw10fg=l2
z3b=GTXLNh#bpF*mi=2Kum{)_Gfvns)N8350H-NGA0NCC99v3`1-}`hv0~_Yk`N^jn
z+#2%eX7cFvLXI7f6VYP_TjLH=`+#BxOXF_B1f=>Rz_s(ZW9K=K&d(m5e;qr|`t~ld
z<zNTp(dRCmhrkYMJ;2{G7ZeY@dnN1`7{Fp4y?Yfr5p0bp1bat3f_)<u!Jd%@W&3pM
zsQC1*&0quB^4_EKo=fK^m(HIMb2|@vbhD^<^zPA60K4LuPv<YNj87+;QlHM}9-a4K
z(jJXRK?ZstsX}eoz68ZGtUPxN3l8w;{Oq~Unt_3Vi-CawRPjPP8{k+hXt3d6E*10q
ze+(gWtoZ>8sIlYZ*;~hC_zmnTkKSqx!*7O{3{Qf&EeA>*J)4g)BQ$w*Hw&;ZFm!iI
zurM%ys(-K{9=*FSFf%ZCG#);|0;;U<LEIV#b|jPyX^BHz40o?97WX#aU<O(6fEiRy
zvv7Dc|KKV2@#y6RdDtVFrO|`&#Qz7Ly>-kmH+O@Z!`<DWVgTe%{?@gO3=E#l$5;^V
zKGxj9%D}+*Qksc@!Lzr{4u@VQ{?-nNUi+6h5C+%Fi6BOA9WO%94N&#d4Jsia_GN=q
zG#}$bw~zVdYKV*ox(o}%)aGO2FFip@dh4VR#tSfl0ziV1fdOK?GDt=9F==!|*k1ZT
zWE9b5*k2k!WK>>)jPYzfriZSC>m@%#iQ&t8pjL8koe9DP4PY05f&$_KP`>tTK4yw;
z2+zw?Aid4UtY3$F_SUH*Oz;4k5CHc>FGQUNx(S>wLFJcc^D#Db8HU$|p3TP`(S><m
zM|n0M6F?VcdhP7ld`uQynDw=eXY(<0bYbq-qMpsiw9th)JbHI?fKqVd;R05SgbYc$
zAQDj@cr?BNxgS#Ac<!5ok)Dq=8?Z4jFnRRu-T-O<^lp}50~uVSQsL3LMFmunf^(W@
zZyh5v@QyVHKy|!;=&*q5$nfYaQ7M2ahh^Dg%>_{93QP<P9=)3*pvoga$`fG9VY%g4
z^8~2!2#E3usB#66at)Yr7Pw<JK$TB`D4zjUE&x(40aMNjSAGGi`~*b#4ybYmka7>0
zayGc~4^ZU{pyH!<^9`tS1CVkHm~wWwashS*1}2~0-4+n#Kfq<~e~{t;m|_m7Vvo)o
z6%PLGt@#BE3~8Os2JE1~*<AqExLSgpfngV<GPY6i=zIs$%n8+etT_N;)8ZM-pa%46
z3vlWE+y|kQ8>-Z!8>ztRV&V9A!iJl_U5Eu#dL6)0Z1F+$K@tYDM>i-bbfYE(ez+P?
zWP#NvcyyPjz@tY1t^gF?U<D2!1<(*~J|>7r+8*65pnzy7EMQ=G8ORJS|3u*WLD37=
zF96aHk5Ey#0#FQr6&QdNK;xwOm>8x7#UKklfC|{=W0Ef~gG!g)IvKb@pi~VuC<9~=
zJRQrz72xoz99#hozsh4er4;0pRFG48>y+U7arjjkt^kK$RWL0m2U)-ZvY`2x`pf5_
z!mYPX3vLi7OM?9x0rD$66Kca1;P9&sTmcTh>S8*j66BOhNN5|t_2clXAzT3tzZzj$
zPz|yGT8*I80HEB+?9=%l<i~)QMWE2=t+RmJfWwcLa0NL0Xocy7T96Z_LPFUVt{;aV
zVU_!_W*mOB$F!infPvw)xo2;k6WnxA3Bc^r`5d0-n~ynTYHUOlFOUMI8(g4xG#&xf
z3y5w6xGCh>TjvHhA5t%1%l__gHK07h1S%p>^Na^v0Vtt?6`&?GPq+e5B!Cs579d`5
z1)x9#D?lv(yx|H!u>@9tT*CC$`M?!`LK&<8xrFGg^Mxw_r4uGtI)N3neo$#x@zjhx
zAR)?|kNG2l9#%MmqXv6GgDPnx3~O(8{`1%$%D}+D4Q?VsjPBjm3L3=j-3=<@cZsq<
zTlF5jyI+9nipImBhC5i?qZ6fFi^KeFsOCF%we2clVCZNT-~kOqwrwf_RsYQ#5Z)>X
zj{(A)RRU7dw+JlQ{ev5<@&kzK-K_v=mfYZGVA!Py>b>A}%!COOko~(G)jgiwZ70Fz
zHy?vox*KBYVX$EL0UofWJ3v(L?g)sb3wRh9c8TG!6xlvdKNdB7FM^Ej-Ce-Tz_6>5
z0TI3vAf`va#PNhLXg(|?IKZ>>yGQ45&wc0Neu51qdUm^KIClGk`#Jor-ykIgBP@ya
zZuTk#)qDFV@G&re;}RP8`$7E`&))47d<+bRmv(|;-_`H{R1)4R>T0g9WMFXYXn!CC
z8WU+QuVi5G>}<aQ;pIbk7a+X&N|2K7bg*Fm2_dk`10bq*KLabs5!+V?fh_~YBHS|6
zPFCaL29W;V{S3m;F!SiV2X)nc3y>*|$6r890R^b5;Q^n{e=m(8bvvx-=Gj}1+Lz;R
zQG}>~wbnel+d)H&j@|vBVHHSA|28D(U@bIIY%=VNV_;wa4+I;Yblefkz`)?R6ErLg
zc9CQE{2MF`44%yMFR(B$82(2n2CH%00n&`5-m@1H3tXVshx*F5cR3^?=7S<)7byAc
z1j&Ov2I>}rZSrhB#*QcjJiFULqf3t6{h)rIN4E%vXXkWK{PJ%P;owMf?3_M>kAb1f
zg@ennqkR@11H(&ZNCgFJAbNJUgXY>CyZbrdy%cCg4r>M){s)iPz`YLgG?G_*yO(pY
zGB7xH&lg|?dmYrG1S^Jm9mGdc@7e7l!{^!Aeh!ozx>;mAyM1IhJUfw7iEr<6NGh2R
zN+pnx0OdT4kl;cI2?sWiGx|M1&1XnRfRY?MBtXd!8WN|37#LoHCWt(H>tIb-&+c{$
zMz8@6@Q{FJAs%QJf}{h`&;r<hpme~Akq$gSDU*4=10*ouilKo4;v)wJG<D}e$`x=7
z`}Qser|#bQpwtcVJxCtI_q+sr56U-i-*X7V(*c(-IO~BD7~D+A_(Tk7>H%CyBk^Hl
z7NF_jV9)?d=YP+Ak1+}#52P^`bCfZb<IM^ppm7Uu-y;DOJB^1qL>L%$fks|DI`4re
zJixZ%8-(F+0cBIvArH{Nb$2&ts1lTKOLaYa>tGol)6(9}a7)4A?Acof>r;7jH?IJ9
z)j{1;uu<`zy>;+B(!2d<B?CkEZgB69d3OgBwBNK7oV0g<G6dMfmIEaqC%`g}M|U%5
z_^P`b)IMpp;fSlv^K3rG^^%d1fdTC2!=Al$u%3`-@AlusT4LbYTL<eMp<BXKTLKyx
zcnO+q@N7QD^RndM|Nox7b+FEkXXEj|gzWL@{0H+7$SPPj2;C}%Iy2AaV-hcgKsGcV
z6MD%BV)WKYL$eFIdahbS&*oz?uUS0NdQM2e)Xl>2|3D`=!Mr^8_y2#-=3{a%H~#(q
zAGJQ`WJGDdpxYE%XX)8^>_1_DLI(U=4wP^nYd#^uz`*>P9l`*ORXhOo-Wv~Z5COFY
zFk3yy^*tAU_b`Ip!&pTi5&3i?yQe@D>K+gS<Q@q~Q!GN1uzR@iyN3bn9%j<qBOwNL
z4~PMBPXNR{3}S@c!-L;FoM88`lIETRF!z8MAop}Y+_QoR_wb>*$Fp}EJ0Z(qIl>+#
zvAJ}ca3ELru$4#7y)4@tds*DNyFu*&59Vf2yWl0b^VWEblaO7Agn(gLi8gY5jA<RH
z682zj235(gH4Xpo164TS+6q%CsHXB@ZU)s<9^E309-Wgj#2Fa)w}&utq;*a%5C>Hl
zj9eYfMdIL+8$1};csM|ufdO18p|@1Q^@C^UcTZ3U12n7(s$if+foJbF?kZ5_x*t@A
zdNS|FSBBbpcDI8HPRH(kP|4XXgA&4>H^DK{%QCx{#o4jD{|Bf!$K3vbnStRY3wQuv
z8!u&6fl767kfB>;0B+*7Yp^gdyadh8dNv;8CukKSE>XP&N;xGuhX289rNGvq$B<)p
ze+I<H1QrH{*IM{u)3LjM0YvQ#P?NG-gweBex`YHQIvqQwD@Z`%)3KvnNdnXa-#-J?
zQfWNSAOVd~pU!{aY6)BwgXWXMK&v4@<M7~G|DVTx5F060yPF$81H{bD6(Fk9MJ2)G
zxQj{#D9L&30I35_rg?O_s1$T}uK+1w?p^?*I(<|sJdU@32Y$hdJ6lvh0|lU!5dxmQ
z+ZI@ZW|1IMH=doxx^q+_JbFu10z8hhsEC4EN;^QUL73qj9-Ys@;Rj9^p51Jo-F_S%
zoy{jCK;=^R1(0XE-S|8@o3DXHdUqcHxuNm!29Uo&2En=&(CJE##v>qqMmxqq7fwO@
zxRCMg5Kx$a+8vP5Zjb$fs6~lS?_QAeyPGe7Y+-Ib0irrxR5Cz811iZGJWyO;0SyOP
zkiU9ER3tn=vxboR*QL8eCBdV!MFrG0_vm&}i2zOTCU|uAsDMVIKr$egb~h`48dc2A
zkWuv(6$Q|c`SBJN&}_R5s4KGvWI8Ckd^(qar|3b`(xB-KB+EQHkGgd4Q33S^JbJgN
z`~bP<xQhx0$N-<t6cquF-WnASL_m9VyE1rym#H;3NP<JU14MPZ3V3ujPk=B*Jvy7G
zf|$L#Ux31)@o<JD1H&$mYhZznSjGdL-)uYr3SH2cQJiBuatwgW7jR1a@3~JD9_p~p
zFLL|S5~cm=+1;*I1#)3?s1#^yp<NNe3xMzht3ZPNl2r^0uPgAkKtF;46J^rv0l3)+
znxg<W8`DrH+&sJ6ogsEXZMK8(pf>A4Y&HW6c6&&H-RJ<KdUp$eqO|d_h7=;a5Si1n
zw+_~Q_2_PvU;@pQfhIn{ZtzE)NAl=y{=f=S@B<VB-69+w-6b3x9-WiH7Ikh0TiYGN
zA>h$D8RRaHZWj(vyn$T&viSf1|6pqmgUSQQ0E9>58_<jmEGCpNV*-8tl)pt1((8ne
zMRYd@fE^8*egQd}zqJWmbi+p*K;B{lxf+zT8)`U&nE6{l`5USIzaNw`_klVVyFf{M
zC#Ym_1Q$C<)AA_hc=Wn(u!G8@1dkmc)u18@#Le*B17d<q0j2bU<1Q+oJ_Q4`JlYP*
z(6BXJo}I^0$|F$u1=56G3bA{1HZw>wFgWsWcjrj!Y~}#5yWF`tnz^LG)hT#Ft9SDY
zPy~Z)2l<WxS_Hi=M~Y!G{Mj7>^5<lb{h$sYe_H2cko{dQ9D?}m_w21h>b-0L$I%WZ
z$P{d8IH>o6=yQ5>H-mcI-QBPbw@2q>4QX(V!vShQ7)XOE91gCIW+RA?4?x;y0@Bd>
z237=kG#&vZDD*7v7#8f&{Kf;E&i;Aq2aULZYG!DQ!lT>SpxfI5H2dzN;^5Kw2v!bs
zH2(lC66!71@Mt^?%ASUopndNSVQ{qqvvwDVzY|1&)-HiZ5<Q|FA=CAsA|F=Xc<cua
z-XK|hta$+wcm}RG!KWA88-!W`?oEG(^`0Qgn4xlxJ1o4w)}u-@!KGK+gGqxk9JCS!
zIRuK)!XuG^fdR=;9^K%Ta^2wNZ`eY`13ZVf8MLU*qw%l-Gk6RD)OB^-v7n6+ynYKh
z5VZ@$-w7hH2Mp9bkopk3K)>+~s04(?R0^uge0%qSGLXlP_y7O@2c>jSy#?xSgDRm2
zP+Wl)x%~sDe~-?i-7P8$7#SGAi-vl)sLTM@WiBcjpp1svsxSc6NE&E0QfD)$CCI<s
z6_n{fZNn~Cu8!tkV9zfGt<&=9T@6}WVR&gL$SvR~gU@bw?D&A>MsOY5J4FRF?f_oe
zhwMgB#oNiE0;(#(wexWo6$wlyDuA3QL9`R0j&j^F<B%`|(yWWej!#JLf;E*aP#xt0
zoj(ONl`2534Gv8AV6DV)2N~Qw5%QYI?!j0y2CKzA8jpZd0w^nkH#Ni_29?hPi=ksr
z42)Gl42%^5jM6;p91|EB7%UhV7(i7B$aNn;gcF~D6Q6_=pMn#gh9jRrGg}X9FH0YD
zKNHhEJ`J$21Xu|N1A_+x149Hz4+8_kZEz++((A-$;K*m;$mh_^-ow_*+Q-t*+{VOw
zlo2Fm;Dlt9BOeE-mBqlo09yA6GVUWNM>`TU>oyY(vp{|V`-Oplp&w)*+$={v4R^i+
zjLlHzFja&6r~vk_0Ky$QAipp$F!X|k=HPn4ZU*_uoo@p(il+)$usZ}4KHw^pfq?;(
zgBdW*LJyxNT;b!wz`$?>>Ml^Ll(1P$_prIjfPsO52Nb>x3=B&_VdKOn;K(Q8$fwZE
z+{eT;5yTX5;^T1TQ*eQ?H5eEe9H4r(foK#x%&VAS3K<v}K*e4TNDTu6!$Nk@xG+XM
zf@1(VQAn_1bEzxe0Y>I1T;ZX@z`$??Y7b~0j{(I^Or9_&fz<ths%ygZZyB;W6=skE
z28MJHg=$_jvN|8AI(+tm;=Tl`t`d}vz?lY=uN*;X&Y+pK2b`;zBUwOvXc`0uynzd<
z2sn>|nhhXl)q(s1F$)xDU^AFPK^YbrYfP_U97jF{1_rSIq3-Ag8G!1qdSrj8fZPdM
z&qhF<50UChh)@SgTXUf5;z8*f#eK}dj4%f>Fff4Bf+mMR&N~NH>jaWlXa<J^^8rSf
z-x)yZ{0r1v(0~Xuzc}(Kxbtmb0;z9fV*0|T;0V?M$@`#vcN(l9(-|1bK;|RoS4Tbr
zcfJM8pz;t}mc=oGia11>2sXrofq@|hYDOK%4D`7A3$_p((F#m?Fb)IA?j=xtnV>kv
zuzLd|lHGw!SnLMHHK>OP@&S175hN^K_!7W*I~NpGpi}}1M^MiSq^=o6pu4dY?ksTn
zv0(!#Vqj<mQK)9Z^N$ZG??ct8p}QYa7r65+U_mK2xo{QWAbW6N&@x9BhL8WTNi&0+
zf|xSQ4A6vtB-O{vz#s%tuLltXhZh3_LpW5t0V)m-9|i^n1*o`yK14k@EEpIVUNS+<
zSpXFW`<;P-!I%XiZomgo4=!sN7#P^0;t!zW;PMnye?rY)AP7+pE;|_*7@DEt0g@1L
zaG3*IRSy;402K$9Z43+yqEK;AzZRqeT$V8~Fo1S{fc(2b3M9(F05gY`72-}%e*`20
zE~`NG71W&*<Uyhg4B&DHln0^W0!k2ZaGAou!0-oZ?*cS&&|+Yay#~st>eoZnA3zhY
zfQkoz5;Fq>1Gr28RU=UG4XO}x!1*7v#1bmrpbilS=XC}KhRe`+nE*=c4AA_{z`*be
z=6)@RdT<_v*7YEFPSA#kgYzH*0|RIz87vNJCxgNTl&2XO81_QVX8_f4Q2ijCPoU<5
z*dPpRhm=FbVSG7|Bm)BjXmudSd{9doBm@(G2K5(cj|#H*E~q$YIW0(B7(_5IFwBIC
zgNBVjLg4lo0|P@6R2(!-0TKtdtr!>>v|-}VJPR}D3)EkrF=vo^aQlgYfx(H9fk6Ny
zfQCW-!6tqHhx!m4;tfpL&5yw$4stIxdr#po-xh~CpvB18%mGdRV-w$o!+cP(z^0xP
zhrOWPLfF*5#bG{Zo*tWeDIDtO;SgU0O4Dd@2;!FD5I=-NTmy%{7PDZFM>`ziptd?T
ze_7*jKMxM~<l+#&2F-T{;7tPz4B)mi0|SFS$kPlA;F`e<RDOZRz5f6IzY>QysH+Z=
z0@WmHNb0wMA|7gv5t8_EBylGs@momZhDhRXki;F4#Ct$>Db##qcOJnZE(~q!f!qly
z`u;%u1#8=a#F5R{MN$uHGr`oG;t<b85=RaPP`M2YALMWVO-I7Sk;7pjk~zrXuo+1l
z*`016heL-{KuTcl%!P`BDCF>22NJ*%ZVz#Y?}xU%K+?$Oa6!vQkT`O9N+XFQyHgoS
z963C-afnYr61PN(_uWY1psEn&-*ZUfpe;Ty@rOv_$m;W<^%Kbb$njN;B#s<k-ALld
z@wFXE967$uAc-S~!z56r9!vP|L=sm(^6x_=apdsl2CXfEnuDy~2uU1SeK!vAT}a}f
zIu;ggUy;O-%~1gj8$s<wR&R_X4r&^~%t=NPM>c0Z4)JqH;>hMdKoUnbKM30X0L3G6
zI!s0qM-KlSByr?)Sb{_RD3UmGI=P4>j+{=OAc-TV6G2b`4GlNsbfShNj_l5AByn@3
z^sowt_z5I&WOF_si6e&(BedKHg&VSZE+lc}@Daix9*HCl>Z`%xJr_wF)CGWvSK<(F
z#UVZshxl9^;wy28A4L)e)vYl1+(!~e4u=m&;+ja|{|`wVS-lFh-vSCxWb@sT#Ep^6
zNyH&uibK2wN!$j>oM}kn$mX9!61PKA{~bvjS-mJfs5XL38i48%*tn=BR2<|lWc7YH
z#8YvI&%`0V4~O^-9O6H4h|5A7OX%)2#~~htL%a%y_<S7VdvJ(9#3BA0hqw^5e~a#Z
zO&sD5IK;zoi09!DpM)fioKB7-i6h7RJ0x*r^*@osk=4rzLDCh-U&!h;ki?PI`yh!U
zs}DyKM^;~rB#x}U4M`kX{VF7JWc6E-#F5qCL=s0<{{%@KSv_baEy%~{{uKsw%%J5z
zvU*b_ab)uyki?PI7a@s*j<120W3@=)$m-`Ji6fi80!bWM{TZk@s2L3^2SDSsApbmo
zii7-xto|pGII{UH&;kTqy%dr-vU*h{ab)wYk;IYJdm@P=t53xtUX3J<Y)%`JII=l&
zki?PIuR;<>R(~Fc_){ctWOF_si6fiC23mRmO()3e1(C#&)vF_kBda$>5=T}ah(kOb
zNgUanA|!ESb2^a3k=0K_5=U0Q8;AHsBynVO?jVUHoAU`t99jKeBynW*!k{%=Si(~g
zNgP?dEe`QuBynVW<B-IW%_%_=M^@j2B#x|pAr5iS@>Nj&L(fOokklia{}4$W+5GQF
z;>hY*#X+V+`uoW06>*50BZ(uM<AfxRY)%A{II{XQBynW*^*F>QB8elLGapGD*_<m#
z;>hLXeI#*Y^*@lrk<Dk8z#cx5NaD!qRglDy&9_1lM^^8OB#x{;8c7^keHxNDvice%
zab)%FNaD!q=OT$Ct6za6j;wwkk~p&ZlStyo>hB_nBddRbB#x|}2RdF4O6SP&C50r8
ztlkz$9NGMIBynW*^+@8#?e>L8;>h+MM-oRiM-MtrfbPz4BynW*i;=`p%tsPOR(~H!
z9NC?W(uj13Y>pn1II=n3IK-2Y#F5Q!KoSRy0m9l9-ALld=D$S}M^^tENgP?d7<4fO
zDBO_kbwm<JRv&;Qj@;i#MiNI>--bhc1(G;&Kl%m^@i$1~$nF#ZElY*gBgp<$KoUoG
zPa+QS798S>k;IYRc^QYe3}|o!i+i+?#F5>TjYGT-hxi60ab)*Az#;wxNgO%cq(Fl;
zSlp?BB#!LPN+faQa9)ihj;#JD4)OO$;>h{s7m_%#Ih>$DG^jg~)0+&EII?;x9O5xZ
z;>h-<BZ(uM(~3iU9g;X`?i`jM_accSoAU~XxG-oBE!1Dg<|rYFBbyV1L%a@29NC;+
zBynVO_TmtKf<s&#IzI|3ACS#SLlQ@}cRG?da{0d<NgUanZ%E?E=BPvGSwZ$9o8yio
zj%-dFk~p$C+mXbP&AE;wj%<z?XfPT}ytpHYBb$?sB#vy(1SD}}_v}OxM;>?mk0g$)
zUIRLR3<?Ki^}#sAS0jldr}Gm?;>hm&gd~n^uM}ud6&eo6_QoQKBdc#k5=T~l8c7^k
z{Z}M$Wc7-$d2t2?24wLnBynVa%|jAL_7@XqP!;Na9i(wKBP4NCB=KA%ab)vLki<b&
z!SeeiBynVW{~?L%BAK5J8mxr62Q-xrGiNrEII?>lA&Dcq=M|DTvU@(^5Z4A-2DKO2
zovt{<YjB8nBZ(uI!}E~Dk<H(aLtGrXUICPzk^QBFB#vy3GY;{sIK+=2i6gu7I+8fD
z`9E=p%YYIMmT+@K5=X9oCn1T0jD*GS4kU5p`2Bz+jvNl(k;IYXmj#rVu((GPNgUZd
z1xVt^=2s($BZtEr9O6%r#F5RBg043L#Urvg#z^9zDg_n}F-YRb?S~2^aVw<!G8IW2
z+5A07;>hOQ#v%S6hqxAK@&ikFdLoG<yT24k9NFH9NaD!uKY}EV?EVi(;vlEN!bcZ0
zsRMNnayrRJ5=XXo3X(XoITw(`k<DQTO>RNWM>a<jNgUan1SD}}bEYGSBb&1TNgO%d
zuD~I_0f#uGHwBsR0F8Cd1tl=3yFqdwF%Z526^Bm4f>y?XF=z`dNF8)~4J-yy&jXz=
zhYs_@#n(d3fe!n@#X;+$K;}TZ#c**Y=sX9sI|3K)g^EL)dvNhtP;qGW4i~=#6^B+A
zaB<i?3bYu2i?c!<4vk*8xGPi~RJVfM3-T)nCqu<Sb6&{eSE1sdvJqLl1S$@iD?}Eb
z1QiFZ=SCKX&AY(Hp+R<n@O`Ly(E3Vb^*5p8(E%Vq=(-4y`Xp$;hoFfchl<12>x0yS
z@D-?d44V2V=s05nn)rUGIB1<WND5>I2%mw9XMhBe+!F*HkIO+5-wqWoKoh?U6$h<H
z0!e|)24UE^TLnlE$^0eI@htRo0#(L<?l0K-IM7KkAT=PfK^V3^?h8l|$$Z#4IM_No
zkXjIit^2EiuG;~Lf$#+Ay!Z(;aoGAJ0gxgj^I_{lUC_i~>q86B#9`}0Z=i|8)`x;l
zPXu`ZBn`r_^`RP|Ne(1?Ve3O-{syT9Vc7am*m@q27zo4GhaN#Q2ev*GypRgXeAxO>
z&^B>o_rTVN20+URkl7#%TOV41CJtL43fk9=Yz}OF=ovKiu=Sxo(8OWuLv^6#7RXKz
zhOG|;?dwN2UjW+P0`1sF7Kg15eS>BW1E{cPU|<k|uEPPD4Z;mjanL3~WOHEah(TNY
zk;P%_h#4}oGvkX3N{ch97;;l{lM5=rBAKbFsquNGxv52&$??S{De=Xb>3OLs@wufr
zC7A^|5JhDfDLL`Q$r-6Br8%kbB}IvO#fix!nfZBO)rmRr#X0%q40=VWIf;5DsTCz4
z3yM=qz!re@X6B_9m1HL7#22Mzr6xlp;N}$+<tL{W7b8@smZj#Ez>F?PEK1BxElDjx
z$mb*$m&BJP=9H#lS5#b(UjSB}0%L$3k(`m5oE=}3n3tX!pPv?=nU|7U5f4>~O+j%^
zW^yV>0Vud~Atol~WTxjal;juW<do$??5NC3%*{+j2^ElOU`Y@oKC!4Mu`)h6Ker&U
zC^bH{urx7;K`$@ABvsGdFI2a<Br!P~p%~)#<iwnuB$zlj(!eTGK+y+g;fl7R)Z)yl
zRIm~(cEjb0OL9x%^Yd~t^HQPFmYNZt1`23|)}qYf%)IpY)Rc6Hc^Fof<b#7hH3h5(
zLmHZpGV@B}6I1Z30m<tXmlTyGCNbz0SLT9gaB3>bPf09EWB?mp5O0!bkd~I3Y#Co%
zniOxzkXn(NTw0PEZ-QVNBbY{(Fm0BJ=Ba6h$w^>s76@$!rZIwPWPw$iIYJwPX^dbR
znPb&vhR}v!8Y7rSW>~eEBD9%eRbYZpfM6P%U{!03P>Wz18)H>#giwoM8XJLQ7@VNs
zxfV+)kOs<^#i=Erlxq+VN^FK$Eir^!Vv1mz7?NQL1F|a&uv%t-unfU8fvZJHQiia`
z8>j#!6N5G}L#s3t6D-moTy<zU58*L@4u*g+!8B-p1*ocr7W-f^5CLoV9fP*ZL1G{b
zZO(z1V4Q^176%JJi5Z~I0O*87Xu}4yOc7L{z}n}qcJx*zh?_uiP_1B!1GHF=fq?<G
zuLUfK#V*k9c4$=zQv#+NpbftlP=i7CgVdqh-^U2CAFK(9Xo1>~Bm`!H!V1iQ5^@mt
z!<sozQ3i$%sD99yq;Nq71_qe@Ffq`X3LtmE_%J#n8N#}N<bKdnRhWKQ_^kkKVuvV2
YAuT|QaTypGKqs|<BtY&4*@Lbh028_+V*mgE

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.c b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.c
new file mode 100644
index 0000000..51c6329
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.c
@@ -0,0 +1,131 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_26(char*, char *);
+IKI_DLLESPEC extern void execute_27(char*, char *);
+IKI_DLLESPEC extern void execute_28(char*, char *);
+IKI_DLLESPEC extern void execute_29(char*, char *);
+IKI_DLLESPEC extern void execute_32(char*, char *);
+IKI_DLLESPEC extern void execute_33(char*, char *);
+IKI_DLLESPEC extern void execute_34(char*, char *);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_49(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_51(char*, char *);
+IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+funcp funcTab[25] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback};
+const int NumRelocateId= 25;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/tb_ecgUnit_behav/xsim.reloc",  (void **)funcTab, 25);
+	iki_vhdl_file_variable_register(dp + 13960);
+	iki_vhdl_file_variable_register(dp + 14016);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/tb_ecgUnit_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_ecgUnit_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/tb_ecgUnit_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/tb_ecgUnit_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/tb_ecgUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.lnx64.o b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..e95fa7bde05fbc7cb5fbb82dfae3abbce0c9df8b
GIT binary patch
literal 5640
zcmb<-^>JfjWMqH=Mg}_u1P><4z|bLxU^{@B4h%vJf()Pe<$eWwbpGBc$-uzi(Jcy+
z^5``MQ7=G*NAK<qGX@42`v8m`eHf(EqxlU7it6Sg99SiGfXspz0#gzi4AR~C+oSXA
zP6h^shFu^fP{TZWZ9zPUhR%1L_aQ7~Q6x6P@X+8ah5$#9aSV=NQIBq3kU=nyb%Q;I
zVtVrth5acEP#=QzK<sKh!Vw*Nn4zLLGgmJqvq--rDLyqhJv1+~Bt9uMBe6^$EK`)4
zlb;N-0nEd#uDGnEvLKa#fslF0MP<b)rMU%!)a9n;GGrEK=H})y<Q9YM2Sp!<9=6!s
z+1W}#BQ&WrucTDL&{)q{&p_9#6wEcMGcwULFwukxgM)yDfq_AQfq{XQfq}u@FO-3a
zf#Cp1j2SG;z#syp8LNUA7%K!ArFqynCNMHENH8!k$Ux<+K(s5LKr>Sb9|r?Oj|E7K
zfq?-W-X44c0el=_vjU*v${^Z<Paukqg8`&A1H@-wU|0#FUHJssm>l^enweer6qx+^
zG#vR1ocJsp`5X%Q6e9Q}9Qgzs!8U;uW5=Mp&BE~UKQ>urEIFSGtPm6mU<RDv2ea6~
zBr*XB7d8fVWI>pJ8CV%K(8T4yd{zdS|G``a1_p3AFt9RIzyzSQ7Xt%>5RyB=Va&h)
zHWw6MP-Sq+j)8$ekO36>5TO$giop#Uzfdlm3dSK`4z(9%A2|LP7#KjA2b;Z(Q1u}5
zK!!v7!@$sm!~AtP#E(GDDFYb-O9u=L3@34@zl%ehi4l^Xp~k=|MI7QzIK-oHi09xC
zZ^j`$oe>l-h*Sc~TOda*#i4!!4)NVM#E;<+zlcNp4i53>IK)5U5dVuqoP!B__zU9@
zm%|~hi9_53hqyfsaW5R=p*X}7aENE)5HG_a-iSlI2Z#7{9O8>`h;P6l4z3X64fT>4
z^n9ERK!hQPFai<AAi@Mhm@>q>`}jLK`o#OYxdpq1#D_RK`MAb2q?P6+ha@I3WM*f^
zgRAVsl2kCKEF&c+J}omRHNGsdC^IoBCpEq(H9fPqB((@EU!0m(oLQ1tmC8_@nOm9z
z(^j0Ek(yGPlNw)8l%JehT%1}QpI8!Kl9`(tUzJ*v57wGmk(yjuf~15YH!(90ER~U(
zSP-9?msygTm;*8!<gDV<l6X)fA|<mZJ|{mpu_QA;FFrFZz9=;}v7jI|1*{RIsJJX1
z)Rus_x*)M6BR(%N7vdX`G^hm;4{AbSC@9X%bt=kCNl%SWPb~>gNeVB@gn2W$C^fMp
zH9jS^I5QpMM37NM$?+vcnTa{^$@!&uB@hQdLjk7LuQWFZ)C5W_N%c%&fO*--48b%<
zFf9;FOE}Zm2*ET)Fij9lgki=A!;BGz86ylcF@S3`F+?yCR+u2HFhN*hVv10Uu+0Qv
zn+d`;6NGK12-{2z8A^&0^NJIb!SQCm08Ut7AyC?jPc2K$D@jhw$w^8~&IXl}usTPJ
zfq{XWfq~)Ae+Uo<Nir~i+A9p8whBxf)+Pa!vph)ZVf8Uc9Msf>sW*X|gD&n06=z~#
zKrtUwFN35&>OpM-m^pDEK`ib8H+mTu7(nVlT??4{T&Q}GxDb*%CqczQ_JZVK>SrQ}
zgIXyt@p({jkXlfi1SY-$NgUK!fr+n&ii6AtwQ6AETcF|~bHtF`vl}W7QV*)dVCoM*
z#X;&paRC!Qg(MDYBf`WVLB&Dw2#Qmf_)8>lJ|ufTAc=D#iGPQRgWLmZ?ZC|W0~H6k
z2h?VPiF1J(g3$1nLNZ4LNn9F99BK@><s}Q_FfhPdRv>MVwj4wf<QEVNBrXqPKrzVg
zASOs$0mOh}7#k$62o(cSu=EKMR{{w@u>q6@iNo4fAR$nj8AOA`RX_|VhV)q(!0uOt
zih(E(5WxTuSA()a6s$c8GDjUG0L2kd8YB*L8%QVtL_p2agt9>tto;sB4~k=u7zh`D
z2&j5(C>un<+Pfh2Iv@ckZh+Dtaa|}IM0J1&s5yF2Hi()4BB0{>P&SB~0V1H{urLG(
zEdUWvaYHB@M8Wb4$X+9m02FV4(jakTC>un9d%#e0U}XqM9F{LY>cO38kRSsC11#P_
z=@x{c!VET021pEqVeRe^G;vt_ItNW0)?Q}NE3V8fNlaqUD=sO5&>1jRQEE=2UP)?2
z34>lrVo4%{UQ%%}gI-ZShyzk?sAqx7HH2{)^uT(-3X4*681$0!b8}PkN*MI=@=H?n
z-2Fmzi%UQyHH4p@SE^S~lwXiqR8k4mnwk-xRs^bdq3))X0)-<eY(ZrgvRSZnFAtJL
zl0f4!fbu%1jDV?!Dcu38To@P_3P6#-z`y`1+d+CkWei9SEI)(t4oDnS=YYgvI36Sj
z;~>%K!l1McGLu~Wpfn3I6FH57tV;k1GB7aA262!u$Sx2UD$M}$H;9d{|2IgGfq?<i
z4+iOgV5l&-JOPRLL(@Dom_R~c3~Kj-7@)8P(J+k8kAdn(_rC(vKv=&OrXLjFFgAz|
zhnD3ae}MQftO2S#7#J8Lpyq($59D_c2C0F?UmDbY<T3#y{{pHH6h9z745Rx$AF3ZF
z52HoUJp`42>4$O|>KPcoV+K&`V8RR%Q2prsg}EQ5a5~g}bo~}k{jl;4rXF2CsC@y7
z6ZG(FfL3I%@*Na@pmq{WKP>z}{z11JWY-O-{Q{uI3AAqxs<+YggT$apLE7N>1ytc#
eG{Zsm!4-kWI3VpYWHAu?0o45qK^!EEt{(tq*!=YX

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.dbg b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..c1387abd439c5abdfa89732548381648ae777429
GIT binary patch
literal 14360
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk=wC_c;B_|NsAYFfcHzU}0dWP-kG6!NI_=LX?3a
zK>`yWkYr#uAj`lIpaQ`gR2UcpR2djD)FD`gfq?;peHa)RKv<Rm6T~qxF#KX>VCZCn
z$nh{RFo5t>2$zA8fq{XM5rP@OJO+mU|Ns97NrUAW5PXmr2(y9+C<d{apllE=4>FH|
zfk6>UgQP&>><kPHASZy>*$fN}AleQ|4M-ixUJwS!#WFB3Ff%YPxZ_b1$H2hA!oa`~
zfJaRt0|NudjgffNq(I%440RXCEg-u&85kHO85kHqbPfXp1IQ26NNNP2VW|UE)5yTU
zz`?-4uo$WqWDW>}`~<>W3=9mGP_=9DsBvasU;wGzibqW^0|Ns$0|Uc;sGT5tKp12X
z2!rH8q3%0@N6j_{1_oXR28K&`)a+(pVBlk5V7P-v4agn*3=9m<@TfV<z`y_svp0Cu
zJY-;C5M*Fr_=!giCnE!c5GZ{xLc$y5Zx9Cg8-ziA=VD}F5C-`Tj~Z@91_luZ1_p6F
zYIqnK7(_wo8IKx1Mg|5k1_lOgJZktE85qPF7#K|Ps1aafV31&7V6exdMvxJbCOz?}
z5oTmykYZq92*jgCfsuhh8k)C3VFn5-P#AvjcDoItkufO$fZ`354?uYs6wjbM>IuyY
zpggb5z`$S(P1_)|6ujMTgVe+Fh7yupp!5bZe}lK%ZIC&jybf{$NDM@SFicGWR1GMv
zgT(Qv0p)c<YCw4%rlydAfuR)1%?AE%w?X;N0Gj_mVc5jLz%T)-MuCBW0pu@G`U2%+
z5DgLonFqo!H-r2IG6$pvB#z6?AT^-8PDl+Xuj5k#atA1{6H)`p>x9&R@;V_kpuA2<
z4JfY@QUl8Cgw%lYIw3WnyiQ0BD6bPz1Ip`!)PV9jAvK`9PDl+XuM<)O%Ik#Gfbu#a
zHK4o>Qv*tiAPmZPAz11}P&p6E?+g`>Y(e=KrWTaGK<xn#AA~{XA*%(I#~^W#xna<{
z2~>x|^uX2LWwryg4Pa`+3An)&q!3!pM4*`qG8=C0HfB3eTLGjT<OXc@JzQ<YBU?~;
z0#Xa|qY4f;fXoMlLmEgSv<y%spf(Rlts0tpLGA*%0c`GFX1f|Bwdw@S?MG6pfo3i!
zoI&P-%xAdEY_|YOZ8Q#ZVR5_}No@=Pwa1Xug34^LKcHgh>ERYs92CBwu*RqMEt1-J
z0&e(?q&9(oT2R>mQVwzhsBXaL22dLSrZ$OyxoS{@K<0wlj8HB}JGku(iVIlyg6eya
z1V}BY?!l+l0V)Ynn@Ye9-biXe=@ZHYS%u+-5U3=`+;nJO0;L&{FsS_uckd)mJ5YZC
zWC%!Y1_3vefDC}vm7snIlnc@hcLP|g;*l-LjUWk7od@ctfW<)q&~^dH4Pdc~N4Bth
z0a7c6!wqn?U<RbU3~Gl!xnPrFX%Z|}@yNCh%z&r`)!R@mR<#SDk{~yL$}1=rtJ>{Q
zNswAlI|s_es`eyQ5~Nlchu^^YxZ;uRH6*pT$|#uH$4F{%l~FLYACT07$|xuo#l0}K
z|Dci}_u?v}U}|~53`qEb$|$H-tmcX#snsM94hl$WwFs!yMN$iDlY+Rgv<}LXpmYWj
zW8inNGXpaq?gh0|@wwL<Nv#Rg4WPUO@*FIkfy@Wh7hy<hLG>5NTwH2FWh2N8P#X?c
zdI0GMnG4H9Aho#C157Qf9s;Qar3VlV3kOge9uy8>wGKXZ@lf}I)Zj`FV6_#GY;%y*
z;z|!NwUtO}ais^C+BPJ$xY7em?Gz-nxY7em?II+#p!5J12L(8ma%T;aT3qP?tiR%s
z?KUK}y3q6hH5Q}n+lQo953T$KjVZw6VvWDuaU`|+1kAmIq!!d?0lN+A2w0i~E2(&7
z`wq;2j2Rf>Fc++(;*l+Q;04;oFe0E<7?h}>YC-)!d~Q%hQVYsMp!5b30_g#bn}EUr
z>;}~!J57)T)Ld%@1_n_U$oLCL9#l7h)PnPyYLJ~3l3H6(UmZGj08$IG1Fp6y(#{)6
ztvv&z-wrYlq!!eFgRA}KVi${~){%jMp%Plxfz*Qfz;Lyq4t9A+YF*IW3mVUWt9A9V
zYd})#isoKW-x;p<uD9JJB(-j6ZUBu-z}3e4*)2g*3u+64{0<5uQ22tz9^h(q-R!m?
zsr7({GfXXL3<9ophqK)gB(<PEKWMB2rWQ0l23M==W_Jlmtrr6W1E{WtsRi|?;c9m{
z+ucV}>y4%sG&TWOtLtX>3`s3$tP|O9ptc8G?G9(V*GOu8p<{%gG7pqBVEF>%CQz9Q
zs@Fi`Fbt9hnN2NmP}sxF2GJn%LFosVI4*lhRS&WYgkkjyNI$4;jmvzHS`Y@g4Mc;~
zgMyKedXPGpdXQd1>S6LAefYu|WF`pH+Wo}32c{0>CJ+Y2BR=<o@+L?f41?5z@(n1h
z!o)x{NF0<$aEarxH@+mlfT1KQJ~cT#G%vG+A+abqBQqXJ04fo0$N*(AIHhJJmSyG_
zCFU^XWag#D$6Ff17$z`=Ih0{&V8D=^pI1_pp93;J-jE@!IF}(Y9z^;VfP@(Q3sQ>`
zOESw+!90-t@u)%&OH5%#n!y-`CWcU3EKH#cLklA~3$D!4kfAsuGp&RnGcUO)J~1Vw
zD7Cm4s?5j?rq#&I3@!%KYGh^s6Ein}vtYIwnZqnIGB;)@F3pWkOipGfF3B%SjW0?q
zF3l-nU|<M#j!(|XPtFE0ic*VHOF)dwyn@n_;Kba5oK!S!SYl3Q3P>z1Gp8iAC^ZG4
z3RMK6&=YF7C&<Fo5(dwBWTR1d5DotEsK$V-Dk#cNPAx9Z%u9F4&r3DL6f(jhl!Pf}
z3=+!8PfQ60g*Mn6I1g+DoCj8!nU`7O2#t(j=Xg-$pl~xwf=hGZMk1JzATc*^@E};Q
zfCBk|!86_&9ORzy2(KAJWe_4pm?8m1nYpPL!p2|`|9H6b4H=L)M#vmv2LE_ue}Q<(
zMKEuHco1Jf^_S*CHT##A6qJ@Qz+#8NKOW*i6mJCw#TS5*P#!o9rInVH7BP4ty9$Y8
zge+qWP8W#K2Biyxt3W)6tDyQzbD^3e89brxfHP1W<c}1-pfCc33nF|$BA~!Q6G>t~
z*9Ho-NQU5`_~iW5w4l`V%;J*NB13cmBMgBgbP;2a0643on1Uh#HU>omoEURUb4tLa
zPF@N);Ue+DN!PbDrz8lHr-PkAWeAKD6kiNVnnCek9~7k~rodtwR4Owt=x5~TrWWfb
z8X4v1<YboVyW}UA=BDPA6zluCx;Xp$>6aAfrY5KBrk0eZWG3d7=)zTkL<9UigFIb>
zbp3sNJi|O)gY>Zp>J=9y7wZ>i=EfW9=cShGBMNW5vWyf4{rrLw{mk5S{jkik#FTs^
z10xeXLnucd%1cQsNz^aPNXgMJE=txfE=h?uGBB{vFD^;UOGzwBftXWJl%J(vkyM(Q
zlTxg2X=!PxXAtk{7ZPt^Vqh9?U}0jQpP5%&l9-cIte=>Z6JL;%Sdx}slv@n53!+7z
zD7(mab4hANNoGDhT#RfJ$?nZeO-+Rba!PzoetKqdyrH3)3CQ6&4Ei()YXWvs%@4Xs
z`6-nH;SpquDez5RX>Mv!W^#OS2{`4`J~}Whq>k&6!-K{t3dsw|w$iQi%P%cTPA!I(
zfY6pIC<)TB07h{_T5;|`S`V(vV2x_9Lm<@=cuWb@SAb!tFvBSZ1_sa^bqyl}gBb`j
zF)%!1WMKHu#K5qMiGkrLGXp~fGXp~h3j>203j@PHC~jnBU;tt8q8v8F8Xu7PFtb5p
zmM}i4G)OOKei1Zh2O5(B%@=|Ca-ew_&^!oeOvi?SfdM23S_cFg2LX*kfW{X<V*&mQ
z3=Bb_b~pnA18D9MG@l2Wp91w^LGwPKIU3M-XeI*#188kdE&~HYJ_7?oF#`ib8FZYa
zih+RvG?&o8z`)SVz`)SLz`)SPz`!txfq`K%0|Ubp1_lPuI;d$33=Gp57#L<SFfhzy
zU|^WVz`!t@fq`Kz0|NtSE^Q$L1H&Tdx}IeW3=GRb>$eyf7*;bdFsx%>U|7$<z_5XV
zfng&91H%>u1_sc&9nf6+P6h^sJq!#Cdl?uQ_CeR`9AaQ#ILyGnaD;(@;Wz^WIQ~vE
zFfg29U|=}Uz`$^Ufq~&70|Ubq1_p+!3=9l67#J9CGB7ZJ=F>n6GeB!_?lLei++$#1
zxX-}A@PL7V0W^;e3L}u6p!s{y{5-N*ptU`hk<7ftz`$@FhuM!A7#N-~FfcrYuH|{o
zz`*c|fq~&Q0|NtS-41B3?mYto!$$@NhEEI(44)Yo7``$vFnniVVE6%Dw*#7^`v)3d
z1&tjtGBAMV;XrfrpgDNZ96M+Z9W+M{nga*Taf^c1&w$p)fY!f&*0+GxuYlI4FfuSG
zF)}bHGcqu!FfuTxGBPlzGeXwc=zs<gU<nn8<^T<-g4P*9X&lOsbU>IEpaDn*1_lQx
zjjj)!57EWI-~bvt1g$}X(&*|yV<IrM$aDa-V;=#f(e;7GUXazp*b$&X2L=X)3@DAR
z50vj<YLRIV(4s$(JE1hXKJa)LvIZy{Jv`C<0~=R^Du+|(;fbyf8a;6JFa~;fqU(c3
z4@^0nMh{PPebDHEtA{br!xLQ}G<sml5j1G97&OidrP1|4qX(fL%6b7+{{c#)>w`uQ
zR5gOS02<%~<yk0=t`BM)LIaew12j<0z`$?-N~7z88V6O4pca4@e1Xb2D2=WUY8*lX
zl+^(m>;|owg3{>vpvFN}Bd7xC0+I?Sjjj)B96|$>6#*JBXJBARfYRvtpvFN}BPa*Z
z!aoKE1`jBWt`BM)LIaeg0Ug*gfYRvtpvFN}BPapT;2#46g9MaD*9SEYp#jSJ0aXuP
zJP4gTf=YoYm^7G!L_7cu_%kptynxc^_CbY_bU>IVK!g9FdI(CR>w^kI6eE%7<pg^E
z2F(*7DTg!B%L#OSpg9A$Vk8E7en-~_nm<5Nj$lGF3I~)%*M~k13)6_6Z_)LE#&BV3
zk!kdNi>?o}z5!W1jE$ae(e;7WI>6K-)9CpYT_0%O1G0J;8$I8m>jSNUfT=~M(eo|3
zKG1pyWc4sMdcH;12bv3qsYRyI^DVkQ(7ZUZdKeo$-=gaS&5^^@BGc&k7F{1`z8qOS
zjE$ae(e;7m&S7eiY4m)Ht`9Vij;tQWM$fnC`apB)Fty0^2583I0j1IPf#%nd)x+2`
zpc!)klt$MFnrnxtMW)fq3H16LH1CeA9>zv5C(!kQ=HOv!k!key1iC)Zd_1yx7#lqw
zq3Z+9&BN3p)9CpKT_0$k9$7t%jh>It^?~N>VQP_S^n8S_4>W&|tRBWj&qwI`Ky&#p
zwa7GjK0?<An%75G4`ZX}BXoVBbuuuu$TWIBLf2=8tQd)no{!M=nIox1W}@dKbbS`c
zijmpS`UF<5pz8yz1xHp6W24tA==!W+3ejlvdIen{sDF*71<XaSSJ3r=`s84RXassY
z8C@T!ACIO5%x!>H#51537P>xA-yf_Hji`WDR12Uqx<1g_4KyuaZUeNUngONJ^*Ml5
zVG<S4is}cHM%M>g|ADECf#C+UqWS<W(9!idVbcWaD?<x91!%#At`D>?0Gn0|Xo0l@
zN~7xotv$h}PXk);SU_oXeW39dZ2Bai1&;=lM%M>glY&hj2ejZ(fYRvtK<iVm=>u;f
z0qwJZ7C`9wKx0H$^+5|B1t^WK4>YcXRUfqA5rER@`ao+~u<82%RsRD@qw52WVPVq;
E02PugG5`Po

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.mem b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..5e05121adaca2631b363daab99306556f46c26a6
GIT binary patch
literal 4268
zcmZQT0~azO0xO`jKt6;&N{)uWXb6mkz-S22CIsgE|NkF^VWxAz7*JY3oq>U!0fN8$
z|NkE<Jm{%_Du@$ppdPAVd>iANqv9^QPV)a7tNqf`CbaB7<HYAN(boQB)n1{XrcKkX
zT@sqTfsdo}%(-(VZv-FDOkDQ%?$bj`g%6k>oltDIZP?<$q1Y0jvg84G!Y5{Jl{}eg
z-0FNWmIr^YdL0`6z2x@g$Mfa|#`v$RTpzc$>g(0juU@VDw^3m6#s54_>?t#y>z*e&
zJ@L8zGvS(!mDjoBBAeuL1#73D-LqzX`rj2YwGNNIxqV$+;K?R0FvGd8<NBc$xxI_!
zjwe2AId?H!?VWw`3-0Hw6<2bSk5ne_l4$4Y@hDa=Ik%CaU3;>#Uv}J_l7GiaCqF-$
zGw0xhsxMPHLlunMZFE0BdNbj#<vE_(q(^l|?RH6b1YdOuC$q6jOm^-&F!!aE*};z+
z|H>SGv*qzjofQ^rGuv$JjAO&qEaq*Se{$KSfNNzo?Oc=19wiIQcujWhv#7sSxq9*R
zc?r*RH$^1n87)*xc{aiS^q!-3DjTMs>YkieE5zF}cYEg{)#sYe)H|MQ7r$6%C%wyG
z^@#f?E4xMW<xhS-<t%l6`B`Nnd7GHYXXUbUl@pW`lnts_Hd)1s&l7&s#94gm+TJyf
z3Ys5%=+x|T4*R>${qH_w*S}^9dxFnt?fbSXY0lz|+S?pAI8-_v?x>k=`0n}W!mV2z
zH#lx^9C2azeriSn(@e`JnfL3DtexIy8|-uca)7d%kBs@V3-hnN{=TD-^G)g{iH4rq
z4-w6uEsWdW-7#i<zmru?JB8JJ_20{xZ{BC_;cqPb*JD|^{E1!2w9kLGe6N?fcmHhG
z9&Z1R2h0zCzO1PGVKz5;+wIn9i<J-Nz7^MaxJQ})Y~Dge%fAQK-OSt7Ke^|4TKAMK
zvBldxZ!*-*Dth+VROFH4qww|dE7$GcwzE{Wi{p@C;x%X4b=x<V)-F(bylR5%y^V3p
zM0&NCdp!BH(<adGNs+OF&?n=~#h=rc7`Usn{@hvVZ((fSuC&gNSL2W==LW-{l`g`a
zvrZ{4wDrvB+O)}ceTIf~-ofe@GIy8B*hx9P60Q7Jr8;}k+#~&gCM{<Ja<!C2r6tX;
z?v;FHrfQ#e_y*5KwK-nLo40LBmAvy})6E%1^#N?Jb8Gj8{5y7iUF^}C^iRiUnmQLm
zWqdM}cb&8F)#rWOvr3-{J`nd=tRl`eYr>4l+@3Gq9CE5t+jU4X=zQ_Sh#9AK&lKgY
zvOIRY=eVtgNaEb4A4aM@-&arhV%1u+yn6ZdJNF8??e#;vmR>#d>(;GXK@YvxhB>bK
z-8%1bdDU;fWY1&2Tvs(8$!0A6CV6i1%KV-vg9VF&GmCTdO*ga(Y<uV&AuYdC&Qt$R
z{>+8*dBsHjpA@%kd^>NsPMF^u`JObJ#hO2AT@nv$<vwoZ8<F|bKA<o)=e`PK;o6`>
zMkdQ{Eb3%5n);nz(6qGHaa!V>{7HP@tfy??O6$BFGw=MrpBZyZTR-1EQh3)eZ_bDM
z=|U%Ltnbvl&zQrz$=znZGw1Ueg^!o0nasSuN4D+Q+}?^mg@3+p`(C!+mG!{y+M`SF
zuDx~T&NI)~Kc@Wc`XRafe}~%IQ*H`hIX+l5CrfHyS-~r_=h4+8zXD#A{n9=4=+|%e
zDFw2sD<6J|+;Ye5fSbZ!jwPiw%lqGC^z*N0IjtWo_WZ_n&E75j{JXkOC*GWV<a%y^
zM0HJIMMLPtc=5v)8|_)n&DT8lae2-xk<Q@ttFK(@O)mI1A*bQ<^eG$EPYErreE;nM
z|G|ny$rAC)<o^_In6rLs!segL4;FoCe|hu5SM5Lc$6AlbyG?l<r+NABg*v9MFQ;u-
zmiNL+?y3K)AjPoz@<+<gX^6}7OtVj&BHwn3Kkg$}VcgNb_umJKeXMGq)VI3u|MkuD
z?&$Yl-SO@2<kvHEZ}u>(m!I)}#>KRmQ~UcJCOu~P9#vq^Vdx*kpM3cHgh^MNtyj$6
zV!P$w^Jyw?Q}6B%Ea1C6Kk@CnWf6@Z{0;^l=bv}J@xvZn-rb2GPrCL0h}<itb7zsx
zv47vE6zqHYweg4v=Vc>@fD8N8E^m>vs(;0#4a)CxesQ#1Uwc2uWka59&>=g8)1}@2
zzBVkYURc|y*CBZ5?+@9V3+3w<xBT^*_V<Uy*5h9u%&2QAd|bnL=l_D1+K_2Ge#-cq
z?Yh0NR=#BNf`S@56@iZP`!y2fE#Lh(@IUry-_L!IpSAD!wc+|t5h-soCNrjm90_+9
zgtwaJ{!_hK)%fendOMT5|Fbv!+Ld(5slxW3`p@H4fAYO{<g?#NR@i&K@&E2A3o81A
zE<e2A{E_o<%z~~1KjXb_$ftIGp3F0;;-$Fz;~JNTOTH_xu4~_P<fkTc`ge&r{aSPS
zg^z#rn`ZG-j^V+-R}ypjHRqfcIR4dln#I}gPyQG4oKqJ$$9<%5?nKpd=anXO@!4^l
z^S{>Kzg%7Po_J^S+|xquKl5<eJhRg2`99}R^+bznS9Ly$H3VP0DzPU<?%3ZchyEHB
zt|^avwC})zj{iR-?&L@vtB-pauijT`;QeQQa=;P!o{s$sKGe5bTsvO)IQ@jh{@QuQ
z4-BvKZ>T=FLHn=CuOCN^?@YB#J#lBn^l2TxUoYO$T*nifA5<23H2=oG)Yj*|vp(=^
z);2b5)!gg-(uVgFuh;%%C52%f_XBp_&3~t7I&1Hu>dmjNY~TK3^6Xc>>C3mpPf>k0
zcb)RDytQ{%e3d#>nz#Eb-&5(UVd=Xg*H1gGeR|Q}?XSORIA;ZxTE{-zEwnc6_R28*
z{YMTNaZjHTpf{Ced$ibBzuRw1ql8=|BV$r7i;A5(ox1U2>qMi-y(jL4X-8eUHvROX
z{g-P_i=Hjp8@|?5>PuR6{LIj>9a*WVuCGDl)Y9o*QAr6?z3)Ukz2v=U|Muv$S6_?F
z@jJgVNVr-zT%_D;x8MGV)ge}E!;1G;EDc_su=Q@;$J5#2ad#`$z9<u4`MtYu_w|fx
zx85z<)>2lS{P*kEt=GlP*TqKHH@75BDO!1d_4jU*uB$~G-`+7gR+w48T(N8Jy6BvD
zdE)mo-d&fP>$P&zo{+Gai%ywl<*oHNwpQt<R#M4FR|d{|8|Gi>H_vwaa;Ze7{o#zw
zeD9)^zv!L2|LCmW<8vqHN*#Avb<U?^=Gm3!;?}?Wa&=D-`%3ek$&DYv>dPmc%`cf7
zcQ*H(bws7ggaaq1+lL)wFZ=P0Z~mf_{tusoXL0WPet0E|=HC9F1x3qS)_<As<$JpN
z-=Om^jOtTQ#Gn4@>UF7?>#ytF{KeUtj`fkxYmd&DfAahV`M(XHx~g|h{wH-#>8OXQ
zGv~pN6OUfKRCRZ@LwybV74Q3D-BGOt83K%fvuEi3Fuc6((Ay$gqYtjroH8PMFMfP<
zUg}h<%VC9}l;TGxUCv8g4YBRWGV9UX#9a||&uP*9mPri_hAl4JKAdh0`^nq6PuDx;
z#qX<I7o>6vMeudyFxcp@yM+DHJuo#tyKCRtX&2Jx8oI9iBKt!k@I`pHU@iYim6tPq
zd1Tl)1ZZe_Y5Urmtm@k`N#LfO;KWurk&X|VQ-fOUmVAxT(!SEJF?B*|?Ml~9RcS_P
hM(M_v{S7g%GB^*<-_BMwOK{@p^8Nq$4Ry0N0su6EYJdO$

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.reloc b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..0c70e59578396b768dfeed54e4d2dc7b65e64db0
GIT binary patch
literal 1580
zcmY#kV*rB+#=SEvx7>CSX*((=aw17cjG5K%(vAefL`$s*nXvZ5Nedh<ED+#WR{Sz(
zsn>L^*nkx!D=#heo~{?Ws^sOQojdQp7w(*Pcuw-!zt7Hj7+<n4eqVj`_r=4TmfZ_5
zUu9qL|4q|%cZ<4Pl^+&fSG8%GeB*X-1#9|;AB%n$SMZ8|_#*lJdI4|#gD;Wa<sWe6
zJvgcQ-QVt0)!{w;vU>J;zmGpQGyhQe_e161@;(2-G=zst796XRw%GON^Q-ztUs>c1
z@Y=Mxhwq8!djEjwU3}?(p>+>=Wxn3o-x~gbGw;ER;P>G+&%biqJIHCX|Jgsun8U>u
zd*A&N-P5F9@n_HP?*)wV4<2&AdtdwPtIWH@d&T$GGA;igVt3Hertai#@ehpa9wgrX
zn_a<ue17%rFIStFt~b=F^-Xx0&FF1!sq=SD;>*QtOZ(ZT+4XJsV)*0GbM;!D#Fxsg
zOZ$1I*-hK<CGgOt<6_h7$~JrvtbKWYx?NJlFOP(m$vocj#Sy<E4qS3)^_I_$_+@e6
zk~_1v{NK*={=Xy+Tskf?%`ONc&*v?lz2o~P`{ixd3z+9WP>$L6=<o9Ky><(B2e*gE
zZ~c4o`ngMwd8WyEM^wcey!4pwTIKfk(tC3gUMl`NHecPgC*h@H<I;9X9osXBFB4h4
z`9*bX-z2_#$l%StH^0{IlC%6YIkgR63|g1A%S@Bwil|zV_%e~%o1Z<RDkI_L!vAy6
z`~R+F$hP-SeEVGFTAg0P+vlol{_bhqI)D3yZ=XGVZ2Fej$sb+JSmRLdY*9b8?!!OR
zYmEAA=e&E{y?ggR{I~YlVe8)gFaN!$UOzcH?)V2ezXxARzxO{BwrM|YerJEfWb?V&
zJ1S0B^76Z%v#wx#|M1`KclHO3Eq)!m{rGkq{{tSmLl>>z%|F5K|Fr)4-{PMSTmQV@
zxxZ!k2QIrq7yIw&C(gfSztz(J_MAU=o_(2aX1;%0<;<;?JFh=;ZtuQ->)*w{X7W~h
z{_K4_|Ifu|KOARom(|nTv0pL%%>R<O!aTi)oX?+e*&WK>@yIskfy(FKZyro}o;Uy7
zH_NxCHVXZBkGu<)yL(~1V!rLcS^hSk|IIqzxTng0zwDYl)#vTP=Iwp^<SO&K<-hx_
zzQ@OXdj2)z{KLbt`SVMTEuZ_b`}95ig|%$j`E$#UJ)isWrl|b<i*~J3uTPu%F*N<p
zlU4ot8U9C}tZkn6d+PIJ=Pk9@+a5ZV{Qdf!^?OQ-??zT;T|MbN{mI-@&f;_T6d&Hh
zH}ifvyZD>Q%r;5$Wggnf{C)bk=$j|M+(!RrPgZ6hOWpA47H8!v%l_0oUnU&5bbE%)
zlJ(CIoJ#(6g!QSe?W3k=!UwyQKOT6l|G#s`#G-fK^S1mITw@`0Kj*jq4^j8D?RVCH
zpM0oGnYGaIAL|;6O{#t;;tzKzn-)Gg@Oxdt(TQCaiuIh@eN&EfPAXp0AnHDCd+onZ
z&o?|eG0CFv+u<(dO7%RSpLv&}*}U_MYs0@xJ$&i5(6!$YEA3yO?=()n^?bvlN$a28
zzdoyYC)YaBGTAr#H<}+@x1s!Co`w6`|Au_i1Fn@l+_U-HjLqk6`QNy<?-`Hr?k6@z
z*3WYctB+YGe%m6hw{XVX0=XHs4|EKypUD{f{?W1bxy^=eyy=ZMMV~j^^!}?W`KI+-
z@&@B?)4tB!u>OqP#`ah0i!&@Zco*gz&Tsklb}#Qu@#f=;RycgVw%_Ugwf&B#uci0r
zy^YOve<;*-)3j<!^}37qj^&hmyWp05t^VluZI%D-r=I#>_hzU1n+;nsTy<(~ZcHxR
z(elaV$I^27S%DS0fi5-mS~Enqoz(qzaZX@L(d`M^M-S=+W%n-XerT@dZuBzs39H(6
z<((5xu03-|Rb=w*ANQP%jdq&eP}{Cry-xhilYQR}!MsVeX+pD$(q<f~;s>d_!FO9F
zY*V^VlJ)Eg4YiHO)TFy^R)1@|sa##5k@o%KuA72U=DRD8+*??hz5e2^o7!9Q${Meo
z^?NJS73yq~`%Q@V;WoYhnVOaA)%POjTRpB_S9x5p^wjo<&$UUfbUtTZt+}mu-`b7&
o@|C}=Q8)iSuyGHLHZfoDuJ^9J^J3|e+x0G|uRWGu*nDFL03tME0ssI2

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rlx b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rlx
new file mode 100644
index 0000000..2e64de8
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  5992506463090196062  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot tb_ecgUnit_behav xil_defaultlib.tb_ecgUnit" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/tb_ecgUnit_behav/xsimk\"   \"xsim.dir/tb_ecgUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_ecgUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rtti b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..10ddc3545f6b4ad3cfec60c299a1d404809309d8
GIT binary patch
literal 959
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=cp4&l?{PXe0|P@kGXsMc0|Nsy1H*#g
z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0
zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz
z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)Pe9!o5+7WWSdt3X2U5$*z`(%A
zz`zjh=ou0p?C2Zd<H`^m;veM75D?_=>>3>G>E|Br<L@63Z^+;u8WIp15^o4mV2Gi@
z2&TdaqQVGMMG{;|5>!nRh9YCA8i<=*{aj$~0{P1v77(89ey%QHZxG{sSonJSg}A!A
zLV}HffuR8wzM!ZCg>O-6dS-D+YLQ!JPO7CT+;~tZfox}krW8=f#xXE}L!Ar6fkYKJ
zq(SKm-6&(cCV}DsWI8b>8R0bvWC{qQn}p4KhK6{J0vUnLC=IB0i=gygD18@7%Yig8
eFfcrW(y}1mF)%RLLg@}DeF;j-fN~uJ0|Nj*#I-{J

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.svtype b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.type b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..c23188420187400f55394ac756bcf0b8405fa099
GIT binary patch
literal 7472
zcmXqIU|_f-$-tn&z`(FUih;pEmVqHHF{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1VCjfGXsMl
z0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKM
zfSG|of`Nfy4>JRUBm)D(PG$xMDFz0Ht;`Gz(hLj?8<`mxWEdD2)-p3N$TBc6tYl_j
zkYiwASjx=6AkV<Su#lO7L4kpRVJ<TRgCYY1!%Sud1|<dthN;X949W})3=^3d7*rS-
z7<!o*7*rV;7&@657}OXT7+RSb7}OaU7#f)&bwMpN1A`_514AV<1A`U=14Ah@1A{gL
z14AJ*1A`6&14Aw|1A{ID14AY=1A`s|14Ak^1A{&T14AM+1A_qr14Aq`1A`$014AS;
z1A`F*14Ae?1A{RG14AG)1A_?z1A{L!0|Th;@nmLTFk@g~aAjs-FlS(3aAam+uwY<d
zuw`aouw-Chuw-Unuwr0fFlA<7ux4OjFl1(6uwh_e&}C*|uw`Ii&}3#{uw!6gP-SLd
zuxDUkP-JFcaA06ykY#3IaAaU$kYr|HaAII!5M^dyaAsg&5M*XxaA9Cz;ALiDaAja%
z;ACcCaARO#U}a`ta0k^v%nS@33=9l^nHU&685kITGBGfCF)%QEWny6PW?*3W$i%?l
z!@$7smWhGEmw|!dB@+XK9|Hr!Qzixme+CAIhfE9%0SpWbcbOO%0vQ+>ZZa`21Tio$
zTxDWl2xeelxX8r75W>K~aF&UIA(VlE;Up6SLl^@C!%-#%hHwT3hJ#ED3=s?r411Xv
z7$QOO&&0qG1&V(r28L)*{4+5y#DL<TiGd-Ofq`Kq69YpW0|UcSCI*IhQ2aA7FeHHD
zpNWAX5fuMS3=B!2_-A5ZNCw3}69YpEDE^rk7*av;&&0rx28w?s28MJ{{4+5yWH2x=
z)G{$JWP;+KiGd*t6#q;N4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4dbihm{sh5}Ih
zGchm}g5sZvfuRT#|4a-F#i00SVqho%#Xl1RLn#9TgC`RMLm4RknHU(#LGjPTz)%5-
ze<lWoN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ
zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HU1_lNWCI*I9P+7vnz|h9P!0?ZefuS7~
z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D
zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X
z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq
za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1|
z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~
z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1}
z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^o|1vT#>;mOqMh1r6
zp#00oz_14tkBkfqdqMe^k%3_!DE~4tFzg5AUq%Lo1EBm1%1fa51cf~)|1vNz90uiI
zP+1Ggzo0w^%D)T@497t63W_UG{sooEp!^HUo1py5z`$?{lz$l*7*2!Y7ZkUk{0qe=
z85kJOLCrc3wetcfo}p?%ez*k6zYGivmqGa#R2P8qFVsv>7+(YBUj_z->!AD#sxv_O
z7iu>s4cr37J1DJz@-L`v0p(w)8$oIG9w`4ZFfiN)#Xl%*g5n?Q7f>F01d4x99R-Si
z1_p*Fp!kRS8I;GLf#RQmf#EqQ{uvk;UV!2s8aALZ=oKjb85kH|gW?}l2ZG`s8it@U
z@*ODtL3srf{|pQaA3*UB4Qo&t{s|QS3=9mPLGcgDTcG%d#tEp7`38!A1_p-jp!f&X
z!Jznu#vP~*`~`}C1_p-Tp!jECVE6-ye`p+o>ga!<_-9~X0JQ`DLqT4CNh(8mVo_dZ
zUOGc+QBi&oLt0{HPH7RS(1W@Iy<Y?3gW3k5ehjD%1vL*qbuNexs=Gmb9#EYRQU|r>
ze^F{;N_=j9N-9HnQD#Xhgqc`SkeUY-1{uNtsrx|spj<EoG7lsMG9T3c0ttfZau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(2k)H5)o6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz
zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD
zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT
ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh
zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d
zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9
z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA
zr9tH_$Q__^10)3MOMv*G@)G1`P~QX;&Y(UF$bBGpg3JN=0~D5^z7r@+K<)-%n14X&
z7^DuQ2V^b`gUkl8(ftH!%YxK{%mB%wW0*V9#X;!+q>os8Ky6%*dTjO}y9rc&;Zg_k
z11L;DG`f30ZDL&dKx#qm#HJ6Fd~oRlsmG-c)aJ&e4`d%m4x}H%M#i8%8z}xj<|2zD
zt3?iTP~VOk>OpA=W*@ToATebA8YW0To>=#-B~kr464Vou#~>9r1H*ji_yfp4Fbwh+
zEWd;L+8}XIeglOyD8GTi1eD)EX$q9zKz;`0H&EPx@*61JLHP}o&p`PNly*TFWG*bf
zEr8k!(hD*lhCz0~*dX;V{z3xkL2WNkg8-&}5sB(SeQuC@ak&RMuYueLYIl;N9#k)b
z)PuqSq#qe0n+@v26QeGRA2Lpa%e^4;k;4y{dQe<~)PgXwdSrfiVrEHvabj*kPAWrj
zNq$i(LqSn~a%ypLW?p)HPJVttydgt=X-Pq8NxUIMfgy$pBbW*!hzcW26-jU<Nl-OO
z7>bOcY8b$70yT1>?gEYRfYL0;-7pMlJAuZ=KxqQh=LCs^`nDiGs80;?JE*S?O2?qS
zKPW6gV+Wvg3~FzH(lKa^1QZ{j_yffqsF4N=C(zgu$Uad20W>ZL8ao4}bx^to*$YeO
zps^#6JjiU2{V)u&8^i|L0~$90xd~(*NDQPNq#wk#VStSL;nEK>2jqTS`axq;AoFnP
z2k8Tu0iv<l4;stDryryTB#%u$sEh!O1#MtqVEDnqz>vYqz;J_?fkA<ffx&@~fnfq4
z1495m149Qt1H%D+28JK}3=A3q3=9zh3=ACt3=BI27#Lm%Ffd36GB6kjGB894GB7j<
zGBE5AWMGgGVqoYHVqn-I#K7=Dh=D;tn1R7Vn1P`|n1Nx3FayH}VFm^b5e9|`5e9}1
z5e9}6A`A>aL>L$}L>U+wL>U-<h%zu}h%qokh%qp9h%qqi5My9?A;!QUAkM&GA<n>%
zA<n?yA;G}#K!SlmL6U(XL6U)C0f>e)+re%J#m@{VALJ(x{{kAH1C$^@b6d~|uz>PG
z;Q~^h0p)|j3dElQ<AV||Xe<EA2Za|%{s)u~3Of*A1JqCi^;x0zI-v10pnOpHfz+RX
z@?q|s0ct2RK*AF&kH!ZN8iU4*pyCFgjv=Uz4dq8b`Jng!>7RhcKY_*v4?ZK=X921(
zkoXyB{26Hc8&Ezdu0i&3fCjNajZLUM7EnGY4S?mvKqLbLC=G&G7EnISy%|tGC{2Lm
zXF&Nd`4edTA5cCh&4AP^fHFQPe4yeUP(Ca^E715KKoupDe+@tb3`qO}H2wxOJ^<Qj
B<E#Jx

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.xdbg b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..7eb1c62dca139ba337bacb8516bac7ee2c3c5e5d
GIT binary patch
literal 83408
zcma#Z%*o8FP>2Z5%vFeRadKBMFk}$D_c+~wfq~%yGXui~S4KGYVPIeo;9@`lj4)o1
z+iegVgc*X|ZgVp*Fff7SpyIqxHb|Tg$_7#Y|Ns9FQV-S#5`d})^NBPEq*fA1uM`qn
z8i_4~#Fj;3%OSDlk=P1IY(*rt5)xY(iLC-<gZ!-uWrN(Nj>HBrVg3cl!Pv0y0f`M2
zzi5Cw1C1|DBsPc%^B2fJAT}u8LE!>ogTw|Z{7DI0kegv?Rg{H+K?~%uAh+9~v|!J|
zzyR`#7y|=C0+e0Jz`#%jWrNhehq6KHSvVo;L26W?Y>@f$kk~7sY>=5-plnb$okC(i
zhq6I>SwMLa8vgunw%cuxGeMXcL_qNlI|zf30U-}^9*3vf?LYMl3<>ry`6spz76Stt
zjKRRbumMycFfcGMGCZ*b#UBXck_W{z2;-6mxeJ7G$%EVl!novF85kHq7?(Uq9EM?D
zKz2Vn0|NsHgVbP?=U`x90AXD6peO)gT=Jl(0AcL%i0}vb0TfOkH*g?@FNhDqpt5Vm
zU1o61C8UEyP{SXWJjhHC#w8C5dl1GY4+?t_#w8DOHwfdB2l*R>amj<i9)xkpgTfw!
zamj<i9)xkpgTfw!vCAXEUx<N$q2Z1VID8orVd0CA7Y3yRX#QA%MP39+J|GEGzbKOY
z4=nPaJPgZ!0^XSVk>w3=$mc_}F$Ca{hnZhMKz;%N`3(f*FA$Lb0GHQ<gf{~N1IT|0
zcWl9Y0Z`)tT)t@9fyx#T#x9SDACNrEe+F><2zgK%xepDW1D+5Da`^{JBQX6LUNHIp
z@bZs`fq~(H2c&!~2u8?*+y=t9<Ux54gmKA(@*W7|k_Y8I5XL1B%6lM;OCFT>Kp2-i
zDDQzVE_qPi17Te9pl}6YT=JlB1z}wBpm+meT=F1s7{(U<ptceSgVbP?2i4gij7y%M
zfq?;pamfpS+PMr247lV485kHq7?(V#TmfNR@}P1BgmKA($`ugCB@ZfBKp2-isQm!K
zxa7qd7#Khpm%Ic60|N--k_WY+Kp2-isQnGXxa2|QG6>_62es2d7?(V#O$5TY<U#Fu
z5XL1BYU6`2E_qP9AB1tqgW7W-j7uKWKLBA|@}NEg2;-6m^&>zSmprI%0m8WC)fgBU
zKp2-is6PV2xa2|YdJx7X4{Ga!FfMseI~jy=$!jw(Fn};Fc^w7@1`x(2ugk!|0K&NB
z^%xi!Kp2<2J_7>-2;-7BU|?VXVO;Wt3=9k)j7#2#fq?;pamgDqFff2HE_o9M1_ltu
zC2z{WzyQLy<joiu7(f`8yg35{0|?`iw_spk0AXD6mJAFGAdE}iih+RvgmKARGcYiK
zFfMr;1_lNY#w8D`pg|ayJg7kd!nou?T^$g{B@b$fgD@_6M+OE45XL3%#K6D+!nov}
z85kHq7?->Y0|NsH<C1q}U|;}YT=H%V3=ANQOWvJ<fdPbZ$$Ky`Fn};Fc~DadgmKA(
zno=N)OCHn|1YunApr#xM<C6DfU|;}YT=ISl3=ANQOWvP>fdPbZ$p<hnFn};F`9KB+
z1`x(29|Y>^<7q#Dnvx)lOMeIh0|N--k`HBIU;trU@?i`N3?Ph4KAeGp0fceMM=&rj
zfG{rkNCpN55XL1R#lXM-!now485kHq7?*qu0|NsH<C2eMU|;}YT=H=Y3=ANQOFo`~
zfdPbZ$tQrubnwI<s4ED<xb%a%dLWETKAC}m0fceMr!X)ufG{rkR0akH5XL2+#=yV;
z!nou?LkS>^OFn~vfdPbZ$!9V!Fn};F`78zo1`x(2pUuF)0K&NBa~K#HKp2;NE&~Gt
z2;-8^V_;waVO;W{J~Ig8k}qIjU;trU@`Vfx3?Ph4zKDT=0fceM7c($0fG{rk5(Wka
z5XL26%D}(?!nowiK;w{j(r-Bf0|N--(qF;AzyQLy<UwPYAdE}Cih+RvgmKANGcYiK
zFfRET1_lNY#wB0Nz`y{)xa8{?7#KhpmwY_~0|N--l5YTw3*iaBMg|535XPmyiGhIu
zgmK9?GcYiKFfREP1_lNY#wFj%z`y{)xa8Xy7#KhpmwY<|0|N--lJ8((U;trU@|_F}
z3?Ph4zKel@0fceMcQY_BfG{rk9tH*m5XL3n%fP?@!nox77#J8p7?*rM0|NsH<C34i
zz`y{)xa21?Fff2HF8N6e3=ANQOMWr~0|N--lAprBzyQLy<fk$)Fn};F`DqLc3?Ph4
zemVmK0|?`ipTWSu0K&NBXEHD_fG{rkSquyeAdE|XHUk3#2;-8U!@$4*!now;GB7ZJ
zFfRFd3=9k)j7xq#0|NsH<C0&%z`y{)xa1cyFff2HF8M_a3=ANQOMWo}0|N--l3&8W
zzyQLy<d-rqFn};F`DF|Y3?Ph4emMgJ0|?`iU%|k@0K&NBS28d#fG{rkRSXOaAdE|X
zH3I_!2;-7p!@$4*!nowuGB7ZJFfRFZ3=9k)j7xq!0|NsH<C5P1n(x9>{%>SpU;trU
z`ZqB!Fn};F`OORr3?Ph4ehUKw0|?`i-^#$i0K&NBw=pm<fG{rk?F<YIAdE|X2Ll5G
z2;-99$-uw>!novjF)%QIFfRGs3=9k)j7xqG0|NsH<C5RYz`y{)xa9XSFff2HF8Tco
z3=ANQOa1@@0|N--l0V46zyQLy<PR}0Fn};F`NIqh3?Ph4{s;pD0|?`iKgz(s0K&NB
zk1;SXfG{rk;|vT8AdE}?1Oo#D2;-7J$-uw>!nov5F)%QIFfRGi3=9k)j7$Cu0|NsH
z<B~tiz`y{)xa7|<Fff2HF8T8e3=ANQOa20A?F*j#f02QK0fceszr?`60K&NBFEcPO
zfG{rkD+~+_AdE}?Dgy%p2;-8!#=yV;!nowGGcYiKFfREU3=9k)j7$C|0|NsH<C4F{
zz`y{)xa2_#6hIi4{2c}c1`x(2f0u!Q0fceM-(z540AXD6pgBPh#wGs%H1CHe{vR?h
zFn};F{f`(J7(f`8{9^_N1`x(2|Ac{o0fceMKV@KG0AXD6&lngOKp2<&a|Q+m5XL3{
zf`NengmKBgWME(bVO;XB7#J8p7?=EO1_lNY#wGuTfq?;paml}BU|;}YT=MT27#Khp
zm;8GM1_ltuCI5kefdPbZ$$w;EU;trU@}C$O7(f`8{AUIR1`x(2|Am2p0fceMe`R1`
z0AXD6-xwGeKp2<&cLoLq5XL3{gMonogmKCLWME(bVO;XR7#J8p7?=ES1_lNY#wGuU
zfq?;pamoK>U|;}YT=M@I7#Khpm;8SQ1_ltuCC>nw+-Jl+|I5h8zyQLy^fNIsFn};F
zd1gii1`x(2&%(&S0K&NBSs57^Kp2-i8zTb)2;-7xXJlXiVO;VYj0_AQj7y%Ak%0k(
zamjNrGBAKJE_rT71_ltuCC>v2dk_Yd!&uf|@G>$mfG{roe2feXAdE|%pOJw9gmK9W
zFfuTJFfMsPMg|5D#w9Pr$iM)?xa5Ty85lqqm%Iog0|N--k{4xUU;trU@?wk(3?Ph4
zUYwDE0fceMOE5ApfG{q3Nk#?+5XL1h#mK+_!now685tNr7?->ZBLf2n<C2$UWMBYc
zT=H^^3=ANQOJ1IlfdPbZ$ty52Fn};Fc|}GB1`x(2uf)i}0K&NBl^GcrKp2<23L^sp
z2;-7hWn^FgVO;WRj0_AQj7wgfk%0k(ami~iGBAKJE_qEx1_ltuC9lQEzyQLy<h2<Y
z7(f`8ybdD+0|?`i*JWg20AXD6dW;MVAdE|1pOJw9gmK9mFfuTJFfMsRMg|5D#wBmW
z$iM)?xa5r)85lqqm%Irh0|N--k~d{!U;trU@@9+-3?Ph4-kgzv0fceMTQD*(fG{q3
zOGX9;5XL2M#mK+_!nowE85tNr7?->aBLf2n<B|t0Kn7u4@}LETAdE}io{@n8gmK9`
zFfuTJFfMsVMg|5D#wG8>$iM)?xa6G~85lqqm%Ixj0|N--l6PffU;trU@@|X_3?Ph4
z-kp(w0fceMdoVIEfG{q3Peuj?5XL3%#mK+_!nowU85tNr7?->cBLf2n<C6DfWMBYc
zT=IU53=ANQOWvQ6fdPbZ$p<hpFn};F`9MYn1`x(2AH>MO0K&NBgBckZKp2;N2qOan
z2;-6uWn^FgVO;WIj0_AQj7vV8k%0k(amhz8GBAKJF8N4C1_ltuB_GAezyQLy<f9oG
z7(f`8d<-K40|?`ik7Z<F0AXD6af}QMAdE{so{@n8gmK9yFfuTJFfRE-Mg|5D#wDM`
z$iM)?xa5-=85lqqmwXB%0|N--l22u1U;trU@@b3=3?Ph4KAn+)0fceMXD~7_fG{rk
zOhyI<5XL2+#mK+_!nowK85tNr7?*qwBLf2n<C4#1WMBYcT=IF03=ANQOFo~GfdPbZ
z$rms(Fn};F`9ekp1`x(2U&P430K&NBiy0XhKp2;N2_pjo2;-73Wn^FgVO;WMj0_AQ
zj7z?pk%0k(amiOOGBAKJF8NAE1_ltuC11tJzyQLy<f|DO7(f`8d<`Q50|?`iuVrLl
z0AXD6b&L!QAdE}Co{@n8gmK9?FfuTJFfRE<Mg|5D#wFjx$iM)?xa6A|85lqqmwXE&
z0|N--l5b^XU;trU@@<R^3?Ph4zMYYQ0fceMcQ7(AfG{rkPDTa>5XL3n#mK+_!nowS
z85tNr7?*qxBLf2n<C5=XWMBYcT=IR43=ANQOTM3xfdPbZ$xmQpU;trU@)H>u7(f`8
z{3J#O1`x(2Kbet%0fceMPhn(W0AXD6QyCc;Kp2<&G)4vn5XL1xosoe7gmKBwU}Rtb
zVO;Vv85tNr7?=DkMg|5D#w9<Sk%0k(ammkNWMBYcT=H`n85lqqm;5|N1_ltuB|o2$
zfdPbZ$uD4JU;trU@(URm7(f`8{31pM1`x(2znGDM0fceMFJWY00AXD6OBop$Kp2<&
zGDZdl5XL3HoRNV6gmKBQU}RtbVO;Vn85tNr7?=DiMg|5D#wEX+k%0k(amlY?WMBYc
zT=Huf85lqqm;5?L1_ltuCBL4LfdPbZ$!}m}U;trU@*5c$7(f`8{3b>Q1`x(2znPJN
z0fceMZ((F$0AXD6TNxP`Kp2<&Hbw>p5XL3Hosoe7gmKC5U}RtbVO;V%85tNr7?=Dm
zMg|5D#wEX-k%0k(amnvtWMBYcT=IJv85lqqm;63P1_ltuCBL7MfdPbZ$sb^3U;trU
z@&_3i7(f`8{2@jL1`x(2f0&Vh0fceMA7Nx*0AXD6M;RFyKp2<&F-8Uk5XL2coRNV6
zgmKBAU}RtbVO;Vj85tNr7?=DhMg|5D#wCB6k%0k(amk-yWMBYcT=Hib85lqqm;5<K
z1_ltuC4ZigfdPbZ$zNb(U;trU@)sEy7(f`8{3S*P1`x(2f0>bi0fceMUtwfm0AXD6
zR~Z=?Kp2<&HAV&o5XL2cosoe7gmKB=U}RtbVO;Vz85tNr7?=DlMg|5D#w8CrC<KIY
z$=_jQU;trU@^={-7(f`8{5?hn1`x(2f1i<o0fceMKVW2F0AXD64;dL4Kp2<&BSr=W
z5XL3{n2~`2gmK9~VPs$cVO;W085tNr7?=DrMg|5D#wGupk%0k(aml}6WMBYcT=Fj&
z85lqqm;5V61_ltuCI6a{fdPbZ$-iM_U;trU@^2X#7(f`8{5wVl1`x(2|DKV70fceM
ze_&)_0AXD69~l`KKp2<&Cq@Pa5XL3{nUR43gmKA#VPs$cVO;WG85tNr7?=DvMg|5D
z#wGuqk%0k(amoK+WMBYcT=G8|85lqqm;5hA1_ltuCI6d|fdPbZ$^T(wU;trU@_!i_
z7(f`8{69tp1`x(2|DTb80fceMgAXoZ!ZZKR#K6FaN1lm^fdPbZna|9`zyQLy<XM;)
z7(f`8JS!6e0|?`iXJcYu0AXD6>`V*{AdE|%gNcCwgmKApGBGfKFfMs6CI$u&#wE|q
z#J~W;xa4_2VGF{z=Kq-(7<lo>^D!|nfG{re`I#6PKp2<2022cP2;-6$WMW_dVO;V;
zObiSlj7wgaiGcxxamkA?F))BIE_qQV1_ltuB`?OrzyQLy<i(j77(f`8yaW>i0|?`i
zmt<mK0AXD6QcMgCAdE|1nu&n{gmKBsFflNIFfMsnCI$u&#w9Pu#J~W;xa8%T7#Khp
zm%IWK0|N--l2>G6U;trU@=8n$3?Ph4UYUu30fceMt1vMzfG{q3RVD@o5XL31#>Bt?
z!nowsnHU&A7?->T69WSX<C528VqgGaT=H5>3=ANQOJ19afdPbZ$?GsNFn};Fd0i$3
z1`x(2ugAo|0K&NB^_dtLKp2<20TTlQ2;-7BWMW_dVO;V?ObiSlj7#2_iGcxxamkx7
zF))BIE_qWX1_ltuC2z*WzyQLy<jt8F7(f`8yaf{j0|?`iw`5{q0AXD6R!j^GAdE}i
znu&n{gmKB+FflNIFfMspCI$u&#wBmZ#J~W;xa94b7#Khpm%IZL0|N--l6PccU;trU
z@=i<)3?Ph4-kFJk0fceMyD%{@fG{q3S0)Aq5XL3%#>Bt?!now!nHU&A7?->U69WSX
z<C6DeVqgGaT=HH_3=ANQOWvD_fdPbZ$@?%dFn};Fd0!?51`x(2@5jWz0K&NB{h1gT
zKp2;N022cP2;-6uWMW_dVO;V-ObiSlj7vV4iGcxxamj};F))BIF8NR<1_ltuB_GDb
zzyQLy<inX57(f`8d;}8%0|?`ik7QzC0AXD6QA`XBAdE{snu&n{gmKBoFflNIFfRF6
zCI$u&#w8!e#J~W;xa8xR7#KhpmwW;f0|N--l22q}U;trU@<~h#3?Ph4KADMu0fceM
zr!X-vfG{rkR3-)n5XL2+#>Bt?!nowqnHU&A7?*qo69WSX<C4#0VqgGaT=H2=3=ANQ
zOFo;4fdPbZ$>%UJFn};F`CKLj1`x(2pU1?&0K&NB^O+bJKp2;N0TTlQ2;-73WMW_d
zVO;V>ObiSlj7z?liGcxxamkl3F))BIF8NX>1_ltuC11wGzyQLy<ja{D7(f`8d<7E&
z0|?`iuVi9i0AXD6RZI*FAdE}Cnu&n{gmKB&FflNIFfRF8CI$u&#wB0J#J~W;xa8}Z
z7#KhpmwW>g0|N--l5b>UU;trU@=Z((3?Ph4zL|-E0fceMw=gj<fG{rkRwf1p5XL3n
z#>Bt?!nowynHU&A7?*qp69WSX<C5=WVqgGaT=HE^3=ANQOTL?lfdPbZ$@efZFn};F
z`CcXl1`x(2-^awj0K&NB`<WORKp2<&1SSRs5XL1xk%@r;gmKAFVq#zbVO;W)nHU&A
z7?=DMCI$u&#w9<MiGcxxami0(VqgGaT=LVI7#Khpm;4MS1_ltuB|npifdPbZ$<Jb9
zU;trU^0S#37(f`8{2V3*1`x(2KbMJt0fceM&tqa>0AXD6^O+bJKp2<&0wx9q5XL3H
zkcoi-gmK9)Vq#zbVO;WynHU&A7?=DKCI$u&#wEX$iGcxxamg=ZVqgGaT=L7A7#Khp
zm;4GQ1_ltuCBKr1fdPbZ$**E!U;trU@~fE`7(f`8{2C?(1`x(2zm|!C0fceMuVZ3h
z0AXD6>zNoBKp2<&1||ju5XL3Hk%@r;gmKAlVq#zbVO;W?nHU&A7?=DOCI$u&#wEX%
ziGcxxamjCEVqgGaT=LtQ7#Khpm;4SU1_ltuCBKu2fdPbZ$?sxfU;trU^1GQB7(f`8
z{2nF-1`x(2zn6)D0fceM?_*+M0AXD6`<WORKp2<&0VW0p5XL2ckcoi-gmK9qVq#zb
zVO;WunHU&A7?=DJCI$u&#wCB0iGcxxamgQJVqgGaT=K`67#Khpm;4DP1_ltuC4Z8M
zfdPbZ$)93kU;trU@~4>?7(f`8{23+&1`x(2f0l`X0fceMpJQTR0AXD6=b0E7Kp2<&
z1ttat5XL2ck%@r;gmKAVVq#zbVO;W;nHU&A7?=DNCI$u&#wCB1iGcxxamim}VqgGa
zT=LhM7#Khpm;4PT1_ltuC4ZBNfdPbZ$=_mPU;trU^0%277(f`8{2e9+1`x(2f0v1Y
z0fceM-(zB60AXD6_n8<NKp2<&111Ir5XL3{kcoi-gmK9~Vq#zbVO;W$nHU&A7?=DL
zCI$u&#wGuhiGcxxamhbpVqgGaT=LJE7#Khpm;4JR1_ltuCI6C%fdPbZ$-iP^U;trU
z@~@c~7(f`8{2L|)1`x(2|CWh?0fceMzhh!x0AXD6@0l1FKp2<&2POsv5XL3{k%@r;
zgmKA#Vq#zbVO;W`nHU&A7?=DPCI$u&#wGuiiGcxxamjyUVqgGaT=L(U7#Khpm;4VV
z1_ltuCI6F&fdPbZ$^T+vU;trU^1qoF7(f`8{2wL;1`x(2|Cfn@0fceM|6^ic0AXD6
z|CtyVKp2-i1L$ymW<2x%%nS^Sc;uOw85lqqm-)=h3=ANQOP+<9fdPbZ$+I#uFn};F
zc{XMS1`x(2&(6%i0K&NBIhYw3Kp2-iCo=;B2;-9HVrF0fVO;Xu%nS@5j7y#e6t*CY
zYyO{^fq@r~JRdUy0|?_XpP!k50fceM3otV<fG{q3L1qR95XL1h#LU0|!novxnHd;B
z7?->VGXnz%<B}I;W?%qeT=HVf3=ANQOJ1CrfdPbZ$xARZFn};Fc}Zpl1`x(2FU8Ej
z0K&NBrI{HRKp2<23^M}*2;-8MWoBRiVO;WZ%nS@5j7wggnSlX>amgz%GcbTKE_p>}
z1_ltuC9lNHzyQLy<dvBj7(f`8yb3b|0|?`iS7l~k0AXD6YRn7_AdE|1otc3FgmKAh
zFf%ZKFfMsbW(Ecj#wD-C%)kJ`xa75&85lqqm%I)$0|N--lGkNsU;trU@_Nh+3?Ph4
zUZ0tP0fceM8!$64fG{q3LuLjB5XL2M#LU0|!nov(nHd;B7?->WGXnz%<B~UJW?%qe
zT=Hhj3=ANQOWvHBfdPbZ$y+cpFn};Fc}r#n1`x(2Z^g{O0K&NBt(h4ZKp2<24Ko7+
z2;-8sWoBRiVO;Wd%nS@5j7#30nSlX>amhO{GcbTKE_p|01_ltuCGW({zyQLy<eixr
z7(f`8ybCh}0|?`icV%W^0AXD6Zp;h}AdE}iotc3FgmKAxFf%ZKFfMsdW(Ecj#wG8?
z%)kJ`xa7T=85lqqm%I-%0|N--lJ{k1U;trU@_x(=3?Ph4-k+I)0fceM2QV`*fG{rk
zKxPI85XL1R#LU0|!novvnHd;B7?*qqGXnz%<B|_$W?%qeT=HSe3=ANQOFo>LfdPbZ
z$wx3VFn};F`AB941`x(2AH~eT0K&NBqnQ~PKp2;N3^M}*2;-8EWoBRiVO;WY%nS@5
zj7vVAnSlX>amgnzGcbTKF8M@e1_ltuC7;C1zyQLy<dc~h7(f`8d<ruI0|?`iPi1Cc
z0AXD6Y0L}^AdE{sotc3FgmKAdFf%ZKFfRE_W(Ecj#wDM{%)kJ`xa6~$85lqqmwXO0
z0|N--lFwykU;trU@_Eb*3?Ph4KA)L^0fceM7cet0fG{rkLS_aA5XL26#LU0|!nov%
znHd;B7?*qrGXnz%<B~6BW?%qeT=Hei3=ANQOTL_$fdPbZ$yYElFn};F`ATL61`x(2
zU&YM80K&NBtC<-XKp2;N4Ko7+2;-8kWoBRiVO;Wc%nS@5j7z?rnSlX>amhC@GcbTK
zF8M}g1_ltuCEvu%zyQLy<eQlp7(f`8d<!!J0|?`iZ)Ij+0AXD6ZOjY|AdE}Cotc3F
zgmKAtFf%ZKFfRE{W(Ecj#wFjy%)kJ`xa7N;85lqqmwXR10|N--lJ8|^U;trU@_oz<
z3?Ph4zMq+a0fceMPhe(X0AXD66PXzpKp2<&BxVK%5XL1xnVEqBgmKAFVP;?eVO;W4
znHd;B7?=DsW(Ecj#w9<UnSlX>ammkMW?%qeT=FxS85lqqm;5Yd1_ltuB|n>)fdPbZ
z$<JYCU;trU@^hIP7(f`8{5)m`1`x(2KcAU_0fceMFJNY10AXD63z-=hKp2<&B4!2#
z5XL3Hn3;hAgmK9)VP;?eVO;V{nHd;B7?=DqW(Ecj#wEX;nSlX>amlY>W?%qeT=FZK
z85lqqm;5Sb1_ltuCBK@PfdPbZ$**B%U;trU@@ttH7(f`8{5oa^1`x(2zn+<a0fceM
zZ(wF%0AXD68<`mxKp2<&CT0c(5XL3HnVEqBgmKAlVP;?eVO;WCnHd;B7?=DuW(Ecj
z#wEX<nSlX>amnvsW?%qeT=F}a85lqqm;5ef1_ltuCBK`QfdPbZ$?suiU;trU@_U&X
z7(f`8{61y|1`x(2zn__b0fceMA7Ex+0AXD62bmcdKp2<&A!Y^!5XL2cn3;hAgmK9q
zVP;?eVO;V@nHd;B7?=DpW(Ecj#wCB8nSlX>amk-xW?%qeT=FNG85lqqm;5Pa1_ltu
zC4ZWkfdPbZ$)90nU;trU@@JVD7(f`8{5fU@1`x(2f1a6v0fceMUtnfn0AXD67nvCt
zKp2<&C1wT&5XL2cnVEqBgmKAVVP;?eVO;W8nHd;B7?=DtW(Ecj#wCB9nSlX>amn9c
zW?%qeT=F-W85lqqm;5be1_ltuC4ZZlfdPbZ$=_jSU;trU@^_gT7(f`8{5@s{1`x(2
zf1jCw0fceMKVW8H0AXD651APlKp2<&BW4B$5XL3{n3;hAgmK9~VP;?eVO;W0nHd;B
z7?=DrW(Ecj#wGupnSlX>aml}6W?%qeT=FlO85lqqm;5Vc1_ltuCI6b4fdPbZ$-iM{
zU;trU@^6_L7(f`8{5xg_1`x(2|DKtF0fceMe_&={0AXD6ADI~#Kp2<&CuRl)5XL3{
znVEqBgmKA#VP;?eVO;WGnHd;B7?=DvW(Ecj#wGuqnSlX>amoK+W?%qeT=GAe85lqq
zm;5hg1_ltuCI6e5fdPbZ$^T(yU;trU@_(5b7(f`8{6A&}1`x(2|DTzG0fceMGq5l)
zfG{q3MivGJ5XL3X#KOP;!nov_Sr`~V7?(T?3j+fP<C14(VPF7ZT=Hxz3=ANQOP-yD
zfdPbZ$#bwUFn};Fc}^Av1`x(2&&9&P0K&NBxmg$(Kp2-i4+{eW7~`7%XJKIA1*yRy
z&&R^R0K&M;=VxJH0AXD60xS#+AdE|1kcEK(gmK9Wu`n=zFfMsv76t|o#w9Pp!oUE+
zxa1k2fEUUC8lVe%85kHC8UBNg#s*(uflHo=fdPEP1ul8$@)rhN^3d#oQ=XN9fdPbZ
z>1ShLU;trU^6U%@3?Ph4o`Zpb0fceMb22b6fG{q3E(Qh$5XL3X&A`9_!nou?@dCoQ
z<U!&v`~Z4?1#<lGF)%QIFh~tHd42{41`x(2FTlXS0K&NB1sNC^Kp2<25Ca1P2;-6$
zW?*0dVO;Ve3=9k)j7wgWfq?;pamkA@Fff2HE_rbV1_ltuB`?9izyQLy<Ruvx7(f`8
zyc7ci0|?`imu6sK0AXD6G7Jn1AdE|1mVto*gmKBsF)%QIFfMs{1_lNY#wD-7z`y{)
zxa6V512~Pr($@zp<pU@UVv~oJ54hxE<pVBxSowfU9#l4fFfMse*#N@0<UwTv2;-6m
zl?@<_OCD4<fG{q3P}u;&xa2`)0|?`i2bB#Vj7uIAZy=0I9wZLK*y0CNHh?fl4K{gD
z*#N@0<UwTv2;-6ml?@<_OCD4<fG{q3P}u;&xa2`)0|;Z6XRw2%UyvUY&NzViD?k^{
zgX=d2(A5s$`VE&nEdAn=hoxU!^04%aOCFSVK^T`jDD8qUE_qPe1z}wBptK9Zxa2`;
z7ld)igVHVt<B|uZT@c144@$crj7uJrc0m}IJSbj47?(Uq9EP#QFDUJTFh~tHc~IH~
zVO;W{v<t$x<Uwf{gmKA((k=+&k_V+-5XL1Bs@p&qmprI$17Te9pt=o&amj<~HW0=o
z531Wh7?(V#ZUbRl@}Rm6gmKA(>NXI@B@e3GKp2-isBQycT=Jm04TN#YD>5)JfG{q3
zB?blt5XL31%)r0^!novB7#J8p7?->%0|NsH<C0fnU|;}YT=MD+3=ANQOJ0M4fdPbZ
z$!jt&Fn};Fc`XJ81`x(2ug$=~0K&NBbr={JKp2<2E&~Gt2;-91V_;waVO;Y13=9k)
zj7#2tfq?;pamgDpFff2HE_ovc1_ltuC2!2YzyQLy<V_eD7(f`8yeR_%0|?`iH)CL6
z0AXD6<_ruBAdE}if`NengmKARGB7ZJFfMs31_lNY#wBmfz`y{)xa4gZ7#Khpm%J?l
z0|N--k_T1TAdE}io`Hb@gmK9`FfcHHFfMsV1_lNY#wG8>z`y{)xa6G~7#Khpm%IxD
z0|N--l6PfbU;trU@@@<a3?Ph4-kpJg0fceMdoVCCfG{q3PX-1C5XL3%#lXM-!nowU
z85kHq7?->c0|NsH<C6DfU|;}YT=ISl3=ANQOWvP>fdPbZ$p<hnFn};F`9KB+1`x(2
zAH=}G0K&NBgBchYKp2;N2m=EH2;-6uWnf?cVO;WI3=9k)j7vV8fq?;pamj<aY9NeD
z9@G>DVO;W23=9k)j7vV6fq?;pammLpFff2HF8Npn1_ltuB_GGYzyQLy<l`9_7(f`8
zd;$Xl0|?`iPh?<V0AXD6Nem1OAdE{snSp@;gmKBIFfcHHFfRF21_lNY#wDM|z`y{)
zxa89r7#KhpmwW~T0|N--lFwvdU;trU@>vWF3?Ph4KAVAo0fceM=P)oZfG{rkTm}XP
z5XL2+$H2e<!now~85kHq7?*qj0|NsH<B~6AU|;}YT=GQ>3=ANQOTL(afdPbZ$(JxN
zFn};F`BDZ31`x(2U&g?|0K&NB%NZCLKp2;N1p@;E2;-86^^ZY)Bv}9d1SrF!^^bAM
z!}`a#<YE0|T=KB~F)n#f-x!2($%FdFAdE{M)HeoUT=Jm4F$m+52lb6X7?(V#Zw$h?
z<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uIA?;wmz9wZLK*y115HwIyl8f@~QzA*^n
zk_YvTK^T`jsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoUT=Jm4F$m+5
z2lb6X7?(V#Zw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`@}Ryk2;-6m
z^^HLomprI%48pkNL49Ko#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(CpuRB(<B|vU
zjX@ZfJg9FB!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAmVO;W{zA*^nk_YvT
zK^T`jsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoUT=Jm4F$m+52lb6X
z7?(V#Zw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`@}Ryk2;-6m^^HLo
zmprI%48pkNL49Ko#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(CpuRB(<B|vUjX@Zf
zJg9FB!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAmVO;W{zA*^nk_YvTK^T`j
zsBa9yxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE{M)HeoUT=Jl%G6>_62lb6X7?(V#
zZw$h?<UxI75XL1B>KlVFE_qPj7=&@jgZjoGj7uKWHwIx`@}Ryk2;-6m^^HLomprI%
z48pkNL49Ko#w8Ex8-p+|c~IXNgmKA(`o<uPOCHoW24P(CpuRB(<B|vUjX@ZfJg9FB
z!nou?ePa;DB@gNwgD@_6P~RAYamj=F#vqJK9@IAmVO;W{zA*^nk_YvTK^T`jsBa9y
zxa2{7V-UtA59%9(FfMse-x!2($%FdFAdE}Cl7WE%gmKANF)%QIFfRFO1_lNY#wB0F
zz`y{)xa4aY7#KhpmwX)q0|N--lCNiAU;trU@(m0O3?Ph4zL9}}0fceMH!(0UfG{rk
zW(EcZ5XL3n!oa`)!novH85kHq7?*q-0|NsH<C1S@U|;}YT=E?Z3=ANQOTLqVfdPbZ
z$#*d@Fn};F`ECXV1`x(2-^0Mb0K&NBdl?uQKp2;N9|Hpe2;-9PXJB9eVO;VP7#J8p
z7?=D+1_lNY#w9<Afq?;pami0+U|;}YT=G*G7#Khpm;6))1_ltuB|nXUfdPbZ$xmls
zU;trU@-rA17(f`8{7eQ01`x(2KZ}8Z0fceM&t_m?0AXD6a~K#HKp2<&Tm}XP5XL1x
zkAZ;!gmKBwXJB9eVO;VH7#J8p7?=D)1_lNY#wEXqfq?;pamg=cU|;}YT=Gj87#Khp
zm;6!&1_ltuCBKY;fdPbZ$uDPMU;trU@+%k^7(f`8{7MD}1`x(2zlwo@0fceMuV!Fi
z0AXD6YZw?9Kp2<&S_TFN5XL3Hj)8#zgmKBQXJB9eVO;VX7#J8p7?=D;1_lNY#wEXr
zfq?;pamjCHU|;}YT=H8O7#Khpm;6=+1_ltuCBKb<fdPbZ$!}+1U;trU@;ew97(f`8
z{7wc21`x(2zl(u^0fceM?`B|N0AXD6dl(oPKp2<&UIqpR5XL3HkAZ;!gmKC5XJB9e
zVO;VD7#J8p7?=D(1_lNY#wCA<fq?;pamgQMU|;}YT=GX47#Khpm;6x%1_ltuC4Y>8
zfdPbZ$scE6U;trU@+TM=7(f`8{7D7|1`x(2e~N*D0fceMpJrfS0AXD6XBZe5Kp2<&
zSq26M5XL2cj)8#zgmKBAXJB9eVO;VT7#J8p7?=D-1_lNY#wCA=fq?;pamin1U|;}Y
zT=G{K7#Khpm;6-*1_ltuC4Y^9fdPbZ$zNw+U;trU@;4Y57(f`8{7nW11`x(2e~W>E
z0fceM-)3N70AXD6cNiELKp2<&T?PgQ5XL2ckAZ;!gmKB=XJB9eVO;VLK>I$RdjPP_
z?>uB+U;trU`X4bcFn};F`Ns?l3?Ph4{s{vE0|?`if6BnX0K&NBpD{2nfG{rk=L`%C
zAdE}?1p@;E2;-7}$-uw>!novLF)%QIFfRGm3=9k)j7$Cv0|NsH<C1^Nz`y{)xa8k4
zFff2HF8TKi3=ANQOa21`0|N--lK;rSzyQLy<UcVmFn};F`Ogdt3?Ph4{tE*G0|?`i
z|H{C?0K&NBzcDZ{fG{rk?+gqKAdE}?2Ll5G2;-9f$-uw>!novrF)%QIFfRGu3=9k)
zj7$Cx0|NsH<C6c&z`y{)xa9vaFff2HF8Tiq3=ANQOCG%Voe{DJ2wVPVWMp6fVO;u|
z7#SEq7?(UVBLf2n<C14#WMBYcT=J}p3=ANQOP-C9fdPbZ$+I&uFn};Fc@9Pf1`x(2
z&&kNZ0K&NBxfmH3Kp2-iHzNZB2;-9HVPs$cVO;XOj0_AQj7y%6k%0k(amn*DGBAKJ
zE_ne^+=DQv9)RvILtg(O$jHC|!npJcF)}cKFfMsvMg|5D#w9Pp$iM)?xa36{85lqq
zm%JDw0|N--k{4%WU;trU@)C>;3?Ph4UXqc40fceMOEEGqfG{q3X+{PH5XL1h!^pq@
z!novR85tNr7?->pBLf2n<C2$WWMBYcT=EKx3=ANQOJ0$YfdPbZ$ty83Fn};Fd1Xch
z1`x(2ufoW{0K&NBRT&u=Kp2<28Y2S(2;-7hXJlXiVO;VWj0_AQj7wgVk%0k(ami~j
zGBAKJE_rQ61_ltuC9lKCzyQLy<aHSt7(f`8ydEP10|?`i*Jos40AXD628;|0AdE}i
zkdc7_gmK9mF)}cKFfMsxMg|5D#wBmU$iM)?xa3V485lqqm%JGx0|N--k~e2$U;trU
z@)nE?3?Ph4-jb1l0fceMTQM>)fG{q3YeohJ5XL2M!^pq@!nou?8?Zqbmpo_#8wlf)
zw`XKv0AXD64vY*8AdE}ik&%G`gmK9`F)}cKFfMs#Mg|5D#wG8<$iM)?xa3_K85lqq
zm%JMz0|N--l6PlhU;trU@*a!~3?Ph4-jk7m0fceMdoeOFfG{q3Z$<_N5XL3%!^pq@
z!novp85tNr7?->sBLf2n<C6DhWMBYcT=D^o3=ANQOFod1fdPbZ$p<kqFn};F`Cvu{
z1`x(2AHvAM0K&NBLm3$uKp2;N7$XA%2;-6uXJlXiVO;VNj0_AQj7vU}k%0k(amhz9
zGBAKJF8OFi1_ltuB_G4czyQLy<YO5b7(f`8d>kVK0|?`ik7s0H0AXD635*O3AdE{s
zk&%G`gmK9yF)}cKFfRFIMg|5D#wDM^$iM)?xa3nA85lqqmwXx{0|N--l22!3U;trU
z@)?W_3?Ph4K9iAw0fceMXE8D`fG{rkY(@qK5XL2+!^pq@!novf85tNr7?*q=BLf2n
z<C4#3WMBYcT=E5s3=ANQOTLhifdPbZ$rmv)Fn};F`C>)}1`x(2U&6@10K&NBOBop$
zKp2;N86yJ&2;-73XJlXiVO;VRj0_AQj7z?fk%0k(amiOPGBAKJF8OLk1_ltuC11nH
zzyQLy<ZBrj7(f`8d>tbL0|?`iuV-Xn0AXD64U7y7AdE}Ck&%G`gmK9?F)}cKFfRFK
zMg|5D#wFjv$iM)?xa3<I85lqqmwX!|0|N--l5b~ZU;trU@*Ru}3?Ph4zLSxG0fceM
zcQG<BfG{rkZbk+M5XL3n!^pq@!novn85tNr7?*q>BLf2n<C5=ZWMBYcT=Ekb85lqq
zm;6LV1_ltuB|nLgfdPbZ$xmivU;trU@>3WY7(f`8{8UB;1`x(2KaG)r0fceMPiJIc
z0AXD6GZ+~dKp2<&OhyI<5XL1xi;;l=gmKBwW@KOhVO;Wa7#SEq7?=E9Mg|5D#w9<G
zk%0k(ammkTWMBYcT=EMT85lqqm;6FT1_ltuCBKM~fdPbZ$uDMPU;trU@=F*Q7(f`8
z{8B~+1`x(2zl@QA0fceMFK1+60AXD6D;OCVKp2<&N=60-5XL3Hijjc<gmKBQW@KOh
zVO;WS7#SEq7?=E7Mg|5D#wEXwk%0k(amlY|WMBYcT=E+j85lqqm;6RX1_ltuCBKQ0
zfdPbZ$!}(4U;trU@>>`g7(f`8{8mN=1`x(2zm1WB0fceMZ)ap+0AXD6I~W-lKp2<&
zPDTa>5XL3Hi;;l=gmKC5W@KOhVO;Wi7#SEq7?=EBMg|5D#wEXxk%0k(amnvzWMBYc
zT=EAP85lqqm;6CS1_ltuC4Y#KfdPbZ$scB9U;trU@<$jM7(f`8{82^*1`x(2e~giV
z0fceMA7^A>0AXD6Cm0zRKp2<&Nk#?+5XL2cijjc<gmKBAW@KOhVO;WO7#SEq7?=E6
zMg|5D#wCA_k%0k(amk-&WMBYcT=Ewf85lqqm;6OW1_ltuC4Y&LfdPbZ$zNt<U;trU
z@>duc7(f`8{8dH<1`x(2e~poW0fceMUuR@s0AXD6Hy9ZhKp2<&O-2R=5XL2ci;;l=
zgmKB=W@KOhVO;We7#SEq7?=EAMg|5D#wCA`k%0k(amn9jWMBYcT=EYX85lqqm;6IU
z1_ltuCI5(#fdPbZ$v<XfU;trU@=q8U7(f`8{8L5-1`x(2|BR7=0fceMKWAiM0AXD6
zFBlmZKp2<&OGX9;5XL3{ijjc<gmKBgW@KOhVO;WW7#SEq7?=E8Mg|5D#wGubk%0k(
zaml}DWMBYcT=E|n85lqqm;6UY1_ltuCI5+$fdPbZ$$w^KU;trU@?RJk7(f`8{8vT>
z1`x(2|BaD>0fceMe`jQ10AXD6KNuMpKp2<&Peuj?5XL3{i;;l=gmKCLW@KOhVO;Wm
z7#SEq7?=ECMg|5D#wGuck%0k(amoK@WMBYcT=L+<Tbc08|1&W#FyfJCVq#zbVO-`j
zGchoLFfMr(CI$u&#wE|n#J~W;xa8TG7#KhpmpnTY0|N--lILJzU;trU@|;Wz3?Ph4
zo{Ncr0fceMb2Bk8fG{q39wr6`5XL3X%f!F{!nox5m>3v97?(Uh69WSX<B}Hu#VrWq
zn*V2FU=YM3FT}*a0K&M;7iMB$0AXD6B1{YnAdE|1l!<`>gmKA>F)=WJFfMs<CI$u&
z#w9Po#J~W;xa1|77#Khpm%J1c0|N--l9y&;U;trU@-j>e3?Ph4UY3c00fceM%P}!9
zfG{q3c_s!15XL31z{J1+!nouWnHU&A7?->f69WSX<C0fqVqgGaT=FVR3=ANQOJ0?U
zfdPbZ$*VCjFn};Fd37cR1`x(2uffE?0K&NBHJKO~Kp2<2783&l2;-91W@2CfVO;V$
zObiSlj7wgZiGcxxamnj3F))BIE_r<>1_ltuC2zpQzyQLy<PDh^7(f`8yb%)v0|?`i
zH)djB0AXD6CQJ+rAdE}il!<`>gmKB6F)=WJFfMs>CI$u&#wBmT#J~W;xa2LF7#Khp
zm%J4d0|N--lDB4JU;trU@-|Ei3?Ph4-j<1h0fceM+c7aPfG{q3dnN`35XL3%z{J1+
z!nouenHU&A7?->g69WSX<C1q~VqgGaT=FhV3=ANQOWu`<fdPbZ$-6NzFn};Fd3PoT
z1`x(2@4>{t0K&NBJ((C7Kp2<27ZU>m2;-9XW@2CfVO;V)ObiSlj7#2^iGcxxamo8J
zF))BIE_r_@1_ltuB_F`VzyQLy<O7))7(f`8d=L`@0|?`i4`yOu0AXD6AxsPmAdE{s
zl!<`>gmKA-F)=WJFfRFUCI$u&#w8!Y#J~W;xa1?57#KhpmwXfx0|N--l8<I$U;trU
z@-a*d3?Ph4K9-4r0fceM$1yQ5fG{rkcqRr05XL2+z{J1+!nouUnHU&A7?*q!69WSX
z<C0HiVqgGaT=FSQ3=ANQOFor}fdPbZ$)_<fFn};F`E(`*1`x(2pTWey0K&NBGnp6|
zKp2;N783&l2;-8^W@2CfVO;V#ObiSlj7vV3iGcxxamnW~F))BIF8O>W1_ltuC11eA
zzyQLy<O`V?7(f`8d=V1^0|?`iFJ@w30AXD6B}@zqAdE}Cl!<`>gmKB2F)=WJFfRFW
zCI$u&#wB0D#J~W;xa2FD7#KhpmwXiy0|N--lCNfBU;trU@-<8h3?Ph4zLtrB0fceM
z*D*0LfG{rkdL{-25XL3nz{J1+!noucnHU&A7?*q#69WSX<C1S?VqgGaT=FeU3=ANQ
zOTLwffdPbZ$+s~vFn};F`F17-1`x(2-@(Md0K&NBJDC_5Kp2;N7ZU>m2;-9PW@2Cf
zVO;V(ObiSlj7z?kiGcxxamn{FF))BIF8O{Y1_ltuB|m|QfdPbZ$xmcrU;trU@{^bt
z7(f`8{A4Bu1`x(2KZS{b0fceMPi10Y0AXD6)0h|-Kp2<&bS4G{5XL1xgNcCwgmKBw
zWMW_dVO;XFm>3v97?=EPCI$u&#w9<8iGcxxammkRVqgGaT=Mgn7#Khpm;8Jt1_ltu
zCBJ})fdPbZ$uDGLU;trU@{5=l7(f`8{9+~s1`x(2zl4c_0fceMFJ)q20AXD6%a|A#
zKp2<&awY}_5XL3Hf{B3vgmKBQWMW_dVO;X7m>3v97?=ENCI$u&#wEXoiGcxxamlY`
zVqgGaT=MIf7#Khpm;8Dr1_ltuCBK1*fdPbZ$!}z0U;trU@|&0#7(f`8{AMNw1`x(2
zzlDi`0fceMZ)IX&0AXD6+n5*_Kp2<&b|wY}5XL3HgNcCwgmKC5WMW_dVO;XNm>3v9
z7?=ERCI$u&#wEXpiGcxxamnvxVqgGaT=M&v7#Khpm;8Pv1_ltuC4Yd4fdPbZ$sc55
zU;trU@`soh7(f`8{9z^r1`x(2e}svF0fceMA7x@-0AXD6$CwxxKp2<&aV7=^5XL2c
zf{B3vgmKBAWMW_dVO;X3m>3v97?=EMCI$u&#wCA-iGcxxamk-$VqgGaT=M6b7#Khp
zm;8Aq1_ltuC4Yg5fdPbZ$zNn*U;trU@|Tzx7(f`8{ADHv1`x(2e}##G0fceMUu9xo
z0AXD6*O(X>Kp2<&btVP|5XL2cgNcCwgmKB=WMW_dVO;XJm>3v97?=EQCI$u&#wCA;
ziGcxxamn9hVqgGaT=Msr7#Khpm;8Mu1_ltuCI5hlfdPbZ$v<RbU;trU@{gDp7(f`8
z{9`5t1`x(2|AdKw0fceMKV@QI0AXD6&zKk(Kp2<&b0!7`5XL3{f{B3vgmKBgWMW_d
zVO;XBm>3v97?=EOCI$u&#wGuTiGcxxaml}BVqgGaT=MUj7#Khpm;8Gs1_ltuCI5km
zfdPbZ$$w;GU;trU@}HO(7(f`8{AVTx1`x(2|AmQx0fceMe`R7|0AXD6-<TK}Kp2<&
zcP0h~5XL3{gNcCwgmKCLWMW_dVO;XRm>3v97?=ESCI$u&#wGuUiGcxxamoK>VqgGa
zT=M^z7#Khpm;8Sw1_ltuCC>mopdXh!BQpa72;-7xVrF0fVO;Xe%nS@5j7y${nSlX>
zamlkXGcbTKE_pU)1_ltuCC|>xzyQLy<T;ob7(f`8JSQ^)0|?`i=VE4H0AXD6+{_FN
zAdE|%hnax^gmKC9GBYrMFfMsMW(Ecj#wE|s%)kJ`xa0+x85qDA*Ze;-1A`z)4GwuB
zW(Ecj#$~=RGXnz%<B}I)W?%qeT=JsK3=ANQOJ0nbfdPbZ$%`{HFn};Fc?o6)1`x(2
zFUicn0K&NBrI;BQKp2<2G&2JO2;-8MVP;?eVO;XE%nS@5j7wgQnSlX>ammXwGcbTK
zE_nrJ1_ltuC9lZLzyQLy<dv8i7(f`8yfQNb0|?`iS7Byg0AXD6s>}=wAdE|1jhTS~
zgmKBMGcz!NFfMrwW(Ecj#wD-G%)kJ`xa75%85lqqm%KJJ0|N--lGkBoU;trU^193n
z3?Ph4UXPi90fceM>oYSjfG{q317-#W5XL2M$jrb1!nov(m>C#A7?->;GXnz%<B~UF
zW?%qeT=J&O3=ANQOWur`fdPbZ$(u7XFn};Fc?)I+1`x(2Z^_KS0K&NBt(X}YKp2<2
zH8TSP2;-8sVP;?eVO;XI%nS@5j7#2*nSlX>amm{=GcbTKE_nxL1_ltuCGW`0zyQLy
z<eiuq7(f`8yfZTc0|?`icVT8=0AXD6uFMP!AdE}ijhTS~gmKBcGcz!NFfMryW(Ecj
z#wG8`%)kJ`xa7T<85lqqm%KMK0|N--lJ{X|U;trU^1jRr3?Ph4-jA7q0fceM`!h2z
zfG{rk0A>aT5XL1R$jrb1!novvm>C#A7?*r7GXnz%<B|_yW?%qeT=JpJ3=ANQOFoR5
zfdPbZ$%ivDFn};F`3PnP1`x(2AIZ$X0K&NBqnH^OKp2;NG&2JO2;-8EVP;?eVO;XD
z%nS@5j7vU_nSlX>ammLsGcbTKF8Ksz1_ltuC7;O5zyQLy<dc{g7(f`8d@?fw0|?`i
zPhn<Y0AXD6smu%vAdE{sjhTS~gmKBIGcz!NFfREFW(Ecj#wDN0%)kJ`xa6~#85lqq
zmwYxe0|N--lFwmgU;trU^0~|m3?Ph4K98A!0fceM=QA@ffG{rk0%isV5XL26$jrb1
z!nov%m>C#A7?*r8GXnz%<B~67W?%qeT=J#N3=ANQOTLVmfdPbZ$(J)TFn};F`3hzR
z1`x(2U&+kC0K&NBtC$%WKp2;NH8TSP2;-8kVP;?eVO;XH%nS@5j7z?bnSlX>amm*+
zGcbTKF8Ky#1_ltuCEv)*zyQLy<eQio7(f`8d^0lx0|?`iZ((L&0AXD6t;`GzAdE}C
zjhTS~gmKBYGcz!NFfREHW(Ecj#wFj$%)kJ`xa7N-85lqqmwY!f0|N--lJ8+=U;trU
z^1aLq3?Ph4zK@xK0fceM_cJpvfG{rk3Cs)(AdE|XA~OR62;-8U#LU0|!nouoGcz!N
zFfREi%nS@5j7xqhGXnz%<C34o%)kJ`xa6lZGcbTKF8LYE3=ANQOMWIZ0|N--lAp!Q
zzyQLy<YzN8Fn};F`8muC3?Ph4el9Zu0|?`ipU2F=0K&NB=QA@ffG{rk1<VW#AdE|X
zAu|I52;-7p#LU0|!nouYGcz!NFfREe%nS@5j7xqgGXnz%<C0&-%)kJ`xa5~JGcbTK
zF8LMA3=ANQOMWFY0|N--l3&HlzyQLy<X1B@Fn};F`8CW83?Ph4el0Tt0|?`iU&qYA
z0K&NB*E2IPfG{rk4a^J-AdE|XBQpa72;-99#LU0|!nou&Gcz!NFfREm%nS@5j7xqi
zGXnz%<C5RT%)kJ`xa7ApGcbTKF8LkI3=ANQOMWLa0|N--lHbM5zyQLy<aaYOFn};F
z`8~`G3?Ph4elIfv0|?`i-^a|r0K&NB_cJpvfG{rk1I!EzAdE}?ATt942;-7J#LU0|
z!nouQGcz!NFfREc%nS@5j7$C~GXnz%<B~td%)kJ`xa5yBGcbTKF8LG83=ANQOa3G?
z0|N--l0U`FzyQLy<WDm*Fn};F`7_K63?Ph4{wy;C0|?`iKgZ0#0K&NB&oeVHfG{rk
z3(O1*AdE}?A~OR62;-8!#LU0|!nouwGcz!NFfREk%nS@5j7$D1GXnz%<C4F|%)kJ`
zxa6-hGcbTKF8LeG3=ANQOa3M^0|N--lE1~wzyQLy<Zm-GFn};F`8&)E3?Ph4{w^~E
z0|?`izsJnL0K&NB?=v$nfG{rk2h0o%AdE}?Au|I52;-7}#LU0|!nougGcz!NFfREg
z%nS@5j7$D0GXnz%<C1^I%)kJ`xa6NRGcbTKF8LSC3=ANQOa3J@0|N--l7Gd_zyQLy
z<X<y0Fn};F`8UiA3?Ph4{w*^D0|?`if5*(g0K&NB-!n5XfG{rk56lb<AdE}?BQpa7
z2;-9f#LU0|!nou=Gcz!NFfREo%nS@5j7$D2GXnz%<C6cz%)kJ`xa7YxGcbTKF8LqK
z3=ANQOa3P_0|N--lK;ibzyQLy<bN|WFn};F`9I7I3?Ph4{x35F0|?`i|HsV00K&NB
z|1&c%fG{q31{MYe5XL3X$ilz?!nov_SQr>U7?(UV3j+fP<C14#VPF7ZT=J|e3=ANQ
zOP-B|fdPbZ$+NRCFn};Fc@7o^1`x(2&&k5T0K&NBxmXw&Kp2-iHwyy;2;-9HVPRkZ
zVO;XOEDQ`Fj7y%6g@FNtamn+uFff2HE_neK1_m(3F~2X!!oUE+xbzFLFff2HE_q=V
z1_ltuB`?ClzyQLy<Qbp<S^fv;!dnIg(A5>7qpv|U%K9s0c_s!1@DUfd^h1}w;M5Pz
z9ysM$85kHq7?=5M3=9k)j7y%Kfq?;pamjNqFff2HE_qG{1_ltuCC|mczyQLy<hdCb
z7(f`8JP!i{0|?`i=Vf4E0AXD6d<+Z>AdE|%pMik^gmKA(#9<hAKM8XD3NkP-fG|i6
zHhCci1_ltuB`?gtzyQLy<V6@57(f`8yeI<$0|?`i7h_;x0AXD6;tUK7AdE|1f`Nen
zgmKABGB7ZJFfMs11_lNY#w9P!z`y{)xa4IR7#Khpm%J<k0|N--l9yv(U;trU^70G}
z3?Ph4UV(vu0fceMLyHHL{AmHY@ET9~fGm%#d_b1RRz4uhV=Et!<w0cw2qU{6Ssqk2
zfG{q3P}u;&xa2`)0|?`i2bB#Vj7uI=Hh?fLc~IE^!nou?WdjJ~k_VLyAdE{MR5pMx
zE_qPd0K&NBLE<nB%m2vX3o08x7^DW9Jg95{VO;W{vH^r~$%D!U5XL1BDjPr;mprI!
z0AXD6pt1pkamj<q1`x(24=Ni#7?(V#Yye?g@}RN-gmKA($_5a|B@ZeaKp2-isB8dX
zT=Jl@0fceMgUSXF#wD-Fz`y{)xa5@>7#Khpm%K6q0|N--l2>71U;trU@~R9B3?Ph4
zUX6i)0fceMt1~b#fG{q34F(1V5XL31$-uw>!nowM7#J8p7?->@0|NsH<C525U|;}Y
zT=KdM3=ANQOJ0wGfdPbZ$?G#PFn};Fc>@Lp1`x(2Z^*#F0K&NBjTjghKp2<2F#`hw
z2;-7BVPIeYVO;X23=9k)j7#2(fq?;pamkxAFff2HE_n+E1_ltuC2z^VzyQLy<gFMO
z7(f`8yfp&@0|?`iw_#vl0AXD6whRmmAdE}ij)8#zgmKB+GcYiKFfMrq1_lNY#wG8_
zz`y{)xa6G}7#Khpm%K9r0|N--l6PTXU;trU@~#XF3?Ph4-i?8Q0fceMyE8B_fG{q3
z4+aJX5XL3%$-uw>!nowU7#J8p7?->^0|NsH<C6DbU|;}YT=KpQ3=ANQOWu!xfdPbZ
z$@?=fFn};F`2Yq61`x(2AIQMK0K&NBgBTbXKp2;NFarYv2;-6uVPIeYVO;W|3=9k)
zj7vU@fq?;pamj}>Fff2HF8K%s1_ltuB_GMazyQLy<f9lE7(f`8d^7_C0|?`ik6~b7
z0AXD6u?!3hAdE{M)RhNeT=Jl%JP6~GPhenR0AXD6i3|)3AdE{siGhIugmK9yGcYiK
zFfREN1_lNY#wDN1z`y{)xa89q7#KhpmwY+{0|N--lFwjZU;trU@|g?_3?Ph4K8t~Y
z0fceMXEQJ`fG{rk90mpk5XL2+%fP?@!now~7#J8p7?*rL0|NsH<B~66U|;}YT=Ins
z3=ANQOTLJKfdPbZ$rm#)Fn};F`4R>O1`x(2U&_G10K&NB%NQ6KKp2;NIRgU&2;-8k
zU|?VXVO;XC{xPVJ!Qtt4`%gUs!vau(M(ZErl85z=ammB_$GGHS{bOA6puRB(<B|vU
zjX@ZfJg9FB!nou?ePa;DB@gNwgD@_6P~RAYammBN7t}|Cg>L|;KtKy$T=KB+#U&35
zUtIFA@Wmw$3R@7yB@YT)5XL1B3R@7yB@YT)5XL1B3R@7yB@YT)5XL1B3tvzl7Z$$Y
z0u?QMammBN7neLNd~wOc!WWl3C~QF(mpmwJK^T`jC~QF(mpmwJK^T`jC~QF(mpmwJ
zK^T`jXlw_Bamj<mc0d@HJZNkOgmKA(#&$p$mpo`}2ZV9SgUU4!#w8CL+W}!*@}RLD
z5XL1B8ruP3T=Jl?9T3JP4;tG6VO;XC^a~n;fu-Lapaub2`o$#=OTW0}Vd)o_JS_d<
zk_V+-5XL1BO1mJ8OCFSVK^T`jDD8qUE_qPe1z}wBptK9Zxa2`;7ld)igVHVt<B|uZ
zT@c144@$crj7uJrc0m}IJSgpgFfMse+67@;@}RT}!nou?X%~cX$%E1^2;-6mrCkul
zB@e3iKp2-isN4o&T=JlN0m8WCLE<osEq{Q<CP5ga2Ae!+Y!ZZV$%DowK^T`jEPsOR
zfSF$bYLKJlPh9e_{E15*mOpXH!}2FCc~IU2VO;W{ya~d%<Ux58gmKA(@+Ju5k_Y8Y
z5XL1B%9|jJOCFRrK^T`jC~tx=E_qPi1YunApu7pfxa2{36NGWegYqT_<B|vEO%TQ<
z56YV$j7uJrH$fPeJScC1FfMse-UMM>@}Rs4!nou?c@u<j$%FDH2;-6m<r@&jB@YsZ
zVQl#als7>bqz0QjC~tx=E_qn~1epQTZvpBMqvcOr^054gOCFX#ammB-CoXwV-UMM>
z@}Rs4!nou?c@u<j$%FDH2;-6m<xLRAB@fD*AdFq!?KUiYL1`Qoz7e1S6$S<d007Gy
AT>t<8

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimSettings.ini b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimSettings.ini
new file mode 100644
index 0000000..15c5331
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=512
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=2147483647
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=125
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=178
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=188
+OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimcrash.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimk b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..018f4d301864f73f83eadd3e1fb34d66b1add0b4
GIT binary patch
literal 36128
zcmb<-^>JfjWMqH=W(GS35buE!M8p9?F)V0>G8h;b92hJZco`fR<QQZb*cccXSioWs
zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful&Jcx&!e|Bo2p^=6
z6~t5k5ey6rX!KDth&YT!)(5sP0V2<UMt|Uhh{I@PeW0*$$brZ^)S%KUz=kp~Y=F`*
zeW2h1=?j4B3xMi_(F!01Am>AASa^br0bvVhc%sv2-eo}77Xj6WPP;&y%>bi8c7TL}
zpO&P6*y#4a__*u~fa=pp1ewCXfKHo$B8q{50Y-!D0I3XoT9N_^7Z95m42^b%AgFz~
z;^6?)-!K|#J%fHuW|EnSeol&RPG(+dg>Hp~nXZ|MUU9yj5!iZ=yFhk;(v-VjC<9Xi
z!vT;OOh1S%!oUDd=OFnh9&_A3aB?2znzwPv?VXFKOl4s@1X2Ss1EdF}24pbEInbyD
zaT!2t0|o|go`m?n5iAZ812Hb9p34Q%xbOx>1_mK4l9O1liwEHlf5VJjy(}m#VljU$
z4)yH}*v&b{gk9VdhkGXC@K+iR^Fd(@OOwdXy^q5jEgbHDi^F_29OmfY2%laY<{!Xe
z&Uzg7n&1eZ3pmtE;t1zt9OCIX+~bDBd^H^IX~$vCHyq*}INalmLwyF0@O*;99045W
zFye6kM;zw3<FMBZhx%?D=5NBG{uT~#MjYZ<ILx1kBfe(hP=6AKzwB|?Tf@S@0BtfL
zXD<eZc+U{u_>|P5)bz~alGLIQU+0|syws4yq?}ZS`1thP{Ji+$lEk8t_;`p?tO_%;
zGvmuLQgY(cGILVn%Myz+LHgriY8l}2$r-81+3`h*dFiR~`DyXRIho0+@%aT{1<6IJ
zi6yD=DXGPo>3Lw0)QZ&P(vsBpf};H7)Z*gQVz4OKA~b_C^HNePU<QE|Bo-AVR>mji
z=N2RurN*ZgmL}#PbZ6$J7L{Zs=EN7JW~C;VfF%-hVAg{57bj<=rj+KS#+MW&<`pL<
zmt=xMA~QWNF(*DPvA86@IJG1xF*(}+tT{6^H8no3G&i*<GdaGvBqbi?ht!n#%)FBL
z#FP}U8nCgcWvO{3VA;f+_=3cu#N5=9)FQBaaY1Qu285Mb5?@>vUs73+3JKAI#FC8o
zyu{p8h;&JANql}@PG%m2k70R9KG=p-h`q(BCGkbc@g+qd4=3lB=0W@mwyp|nAd<_H
z6LWGv-U5rKROTh-W`eXLf&iozELmItjW>8m!J{cYu_V4EGdDH9DzzvdtQf<I(D2DE
z%_+$&$f*P?0vR0-Hw{a;7w6=cgH;x1=9cE5C6<iT#De(Dyi8EMW>!H$CIud(MX5RY
z$%!QpVS*N+L~wj@W>u;o16UK-QE8=l$?@QbL<ucWI42jC700KP<`!U!H?RpgptMt#
zm{Xbxjw6gzfGea>QW0w62DuL$EV%R*rNWhf-B6TSoSBy%pPG^m2@jCVD~dC7Q!<O<
z!NCMd>zQfsMX9-o1qJYAlvkWt0t;DqG(jUBY<~(kNfc-1Iu&K6q^HKGr<R1LB!w4c
zf|7WAd`@N(D06@clibA2JcjuA<ch@jw9LH39FVcOskzCy1q`{Vxy7j^AS$__k|8HE
zsVF5g9vb2KMe*6GMR}<?dd2xjQb`c&P^6MUZ9y{zcOOqD=XfJMLlZdD7{N5sGiA`v
zFDTK^%uUx1%PdPw$u}}EGSM@Ha`d6RoXjNsoV*G%6TN(WJv|UADJX`B=%*(q>ssm=
z>lx@LW#)mTz%5fyA<M|X#K1@;2s4S9fsug)Y$mAX32JvTGhAa~fOSt8rc9j12I}!W
zfQoGa^O+f5L;0mrnVbv^Obp*ZjayK^5ZZr%^*0VEgIvzQ;DILI02SwGfXKtt!}tnl
z;uiuT>NL>A1A-vp2590BpyC#2;swDF^$uv_43K6%g9n=U2B>%dns|XJ#GD8;aRpn5
zcmkSu15`W%O<ceZqP_r4ya6g+fhPU{D&Bx5p5P2Irvpv=fh$CO0-AUMs6ofTz%T<%
zoWUKUegT^J1gQ85G;swFi24m^;tie<@f~R50p1Yt18Cw2J`nK}XyOliA>tR%#1s4>
z;y2L584RJ~^$;4=hXVE4AUp<!2T0;PFhK?eh8IZUf=J>Yki=nqFp%^QBynw!02Duf
zx(C$%;Dd^RsE#@i$-ux4?b|_k3=9*H#34BlA~OR?9MngIh%zuNKoW;$Ik40UByo_R
z!Ga773>%QdL3YE$cOZ$w@*YU;0FpR#r~@R-Z~{r33oHU5E+C0>LxjNO4J2`1un2^B
zfF#Zj5dxDhki<cKWUwFu1H%U-apZpO4<vD6m|_qOjTew#LH%)%5D0T1i9?5sK*9_H
zNaA8(5eOlHBrXmS0+R|z;u2sH2%&)_E(s9=lLkoQQeY7XVSywr4G{v94oKp#u`rN?
z2a-6b4-OIo;Q%CYIgkJpM<9vIL&ZQ;0+Kj#|2P9lToI%YiVKj$k=y$fNaE0<9IT@O
zNn8ai0wFq(#8n|eU~&SIxEfdlLd-xCSBD6J$puK_8ekC!u>wh46CwmAHz0{?fkhz1
z4kU3<pB*B~z;FObTo)$Dz`$?<Nn8&}`~s4=K9cw-b2J1-Ltr!nMnhmU1V%$(Gz3ON
zU^D~<LkN84m;2?>{D#A$oAqQo1A|BFffA<w7d)Dea2y6Z@4snK90S9D)sQ#_27Y-5
zhX1M{eg;VH<%9qK|NmF@ieq5N0CjI)UI6o*KzvZw_2mID-wMPBby;6-0P~GNd{9^Q
z<pMBY3&aO?$zM(Y^OZn+P?z;(1DG!b;)A+xFAKnYArK$bMSYn7=5vAgpswl505G2k
z#0ParUpj#KzhW5}GC*C?mj+<|ClDXh)qkk~=Dz~*L0!_90$~0l5FgZ4f5`ym-vaSL
zUDKBz{(<~^3B(6=Nnbty^G|{Jpdo^n7r^{OAU>#T`tksnzYD|%bxB`t0P{D2_@J)n
z%LQQmDi9yk1${XI%wGiJgSwtC8^HWoAU>$e`LY1ap9JEAx|%N&!2B){AJoNs835)t
zf%u@V<x2-JzY4?$btzvOfcZrrKBz1CQUT1*0`Wmz$d>|OeiDce>N>t;0P~|jd{CG1
z<%ho@{|ABipswP}2VlMzh!5%_zPteDJAwG1uHnlAV7?WI59$)W+yLeqf%u@V;L8PI
zz7~iN>H@x;0Ol)!_@J)e%LXuC3d9F>`Cb-)`9dH*sH^uf0nFzD@j+d@mjPftNPXG_
zPyz*EQ2I7}>)ClR&ZF~@NAr^p0U@r22MkYo^xE<oGcf!Y{T#!<@Fh);U%myToWY~l
z_F^mpgGVnbC|*4*f0T%NbhB=Yfn*KN{}1@(!6Q0{p|nS@?Lx46Q&2p4X#Vr){NQo$
zoxR7wAIu(%$2>0nD-rSNX03%9!14b<8o#^?0|QJy$bAjAv9Syc45iwk9?iB<F$@e0
z9-U81cs#mo(_$DHUTFUR|NlkG|NsAwv6{v*Ffhg*=9fp&|FZo5|Nl_;IEFihIfgoh
z1bcK|KIRm`(p(k5SZe3fUG30a?a^KA(;Xbr{Of<`4}O<p&A%8OJHNT`yL`9&?!xc)
z!KL%#3*Nu~|GQ`xd${ngFAni#{P(h-fq}uNw>ZM1*V)6Po5Q2qfy1NIJHeyVIiuS-
zq0>30+dGGU{Xzcq-YJ$R>Qg*B|9dtb7szK|m;eF%+nghOdQ}eibY~-6(Cr=bV)38<
z|GPojQ#uc62Yc|Z4-RqU-xeau;LG^OiGQ1jQb%xv<%trZ?%*883!Tm}{Ob?#uRmpZ
zqHe8Y7hAMrjAN{0oMZgqV@^&C{M(!yJbGCU@Ne^S^kDqi)xwyV&%n^(<aBt#1nlyg
z2*@)RAoM$e&F^Zl2muQ^9)@@fN`}G%Jiw##vS;U?Zl?rS!*8tz_JWcVzvqkNPT<0^
z(<!GjtKhg(38<v(eE*{4_y7N$E-EY@o$p_0{Q3Xiv-9Xakd#N~agWYdFP8rL|KFv%
zI>EK|zbn7zcc0GhFFyVL|KFq2xx%CKxKHOhpUzJ&9)R`T^=y9IaJ(6mDnSOgbnXVF
zWS7p(9SjT%$D4bg1B@QsU?m=%%~L=Lth*T`?a|phhk=2i8!Xn@49Yd#-3u5P7{F25
zJ%fRP!SY0%^2=Eaun6s*43cKN08tE!l=>Qv?(Bf>>WuE<obKR~?rxAtFAn_r{~yBa
zJfZEJ!N1<Qgnye0D}yWlwmmGd1q=)x-Psl0yFo7R-VAbd_hgW3Uvz-<fgPg_b~FEa
zurFLXYFHV%!Q$Oer}4Mu{Qv*or#rdAv)h%yv)h-$qtn^Jqtn~NBY8H&gZE!_f&=a-
zINY9jbl!Tg;`jgm-8CvKFYJH+|L@ZI{e?ML#S2ia2TxhCka$Lo-|nmgSHrihZyotP
z-gdr!vHs`(|Bju<KyjGz3lxXP4ZlGn@X3$=|GTpjTHiYE0VM<%e$S`>&-!#ef1&*g
z9E}BF=lt{OeDp#RloYZnjyr>=L|i%-g97AuGblhn70@woOfhsjC-i#!Z$03`@A;y$
z8x&5*!9f8wy>m4vQja%-A`GO*qZ^b?nn6*8i1!uXm<EYKW4(I~Jf@d`W17GJCpf#?
zzA}f!H#lB*gRJY`42sn5$sjwryFtn3#eI+`!OYGR+Tb|iUk`QzIGS1h*|3)9^KU!g
znatAe!}#O>1CQ?Pg6`^y?&6y6$sq5&m<KWdoCLL<EBM!g{d~-Ym4WHCJ2Zb`XvxN*
zh55AzNDCyi7(q-h?gpFQ-3{?ziA?uokf%ZE7vuw2`sMEv0i~nf?23lnpk%|q-!hMZ
zfdP@Inzw*5O=mYKGP}W?&gKoE@a#SS55pY{3=FT8!L}lZ?#UnpAp1bdVfNKKqx-A7
z8zldt_xu0<-C&au0m8q{JEOw~l=MMLy1PO4Se__d>eF3afN&u^*@IG#N9X+)lYW2_
z8YJ2O110;7KOm{y6HGsU;RsfMHPs{Bf6U2|5mdf*wOFL#EdPQ%8sC7D1-JzK=hIu|
zVEESX+b&RX<kR{81;_XQ|7{pcgFQN9R02G@oddePBf3~PI$BgfDaxZaL?r-Jz)k%B
z|Gy1$sTN2Xhv7GnPP^~_|93HTxTtu5RB?b*WrI{PIq*+8`kKk`ZRZP*#v>rBqd~Pn
z?BNNB{OZy8rh*Yvm0tGj{MVh8(ChlYvk8>y5IMLB6xYX_K&cy)jXGC>+;hAMl$Suc
zsIv)V^6@56N`c6OGSBfQP+9`ZzkjjzE20=r{01rlj=+n6hhIQtIJh)+>~&{!ZT-I!
zWD39M3CHID|6KU}Uv%=QcqG3B6(6GCK#|o9%A(+c;TfnBVEF$3zeg`PVYqbe21WjH
zaMA;r;?lVqln#zJgHj~OF<s!IvZEQ4+>SS&0I30`PjHs%Y(4|73$8%xg61FpJUV?;
zIQaXXGBGgpdN6i&gVu{3Z$1Lm)_Q=y;~o>J=1)-(@afG_iGZaDP~=2_2m$_WV7oe8
zKyjKK&<)Nw-J3yy**zH)gx%dB<6i9g0!q>#rZ%`F<6jT1ZNNoDhl@&}Pv>_~DOmjD
z|No8_6;OzKbZ2LD?*_5DH-o~ydon1*p~ht+83$6rzrGnH3o))m1+>lyY~rgIia$W5
z^Kp;v)u3SQ1_xmG<}IL5nG6awsDV;Q27<zve?2%%!L<#@qY&SEbk0#RU}Ru``MO1=
z0F<~uwH_{yAN-8R<1H$Mj0_Auo!>orr+^K9Vf6j~e{f)S&QW;*Dpa81+oGZYu?csW
zgd^F6B}_CCHh~O&apD`;CRoVd1aYAu-#JGGl*S>YJ}7r|V@Bx9PoQcY6rrF}AEXE+
zN?TMOFo3IeEbe`=7Ni%P%(NjD1#0X)1XVwfFabH^g&f3bNRg@o5&<W?Zm==k-Jqmx
zd7{LqdonnAU+4sj!%ABIKCi$3|GySF-V93NpyZ86<v!h;K`Fzd+ed}NqnpvA+Y`C@
z0LosVa@6ZHC~HE>(Qlw~G!qospymSuh+(7h;>RbD3Q$P|DsWI+5Qy>!)INk3Lryt{
zCtKh0d%Wy)0yPOiuIkLHIPO#f%E+DXUxa@|lq%exz@^GQP>&kgw&?f(Dp-nhTzm5v
zU0c67?gts*%J2EtvH9OWkh2+F`2AjZCcg)jEW1B~90x8O5GBhpkc)dKgW?XQp$Ia_
zrE@j77zF21kb}CtbF{rPYD&7R3%ZL-96SFwYM$}zyw)9D<HMXB;A(ilaVJRGi^328
z|3gXvmu}~bZttAVznW)}(~k%9YEb0_Rqg~*?wq6ToY5P=*m?l$Zhnso9-Z%fI-k8@
z`Skz4Pv<9}Zg6YJqnpX2+Y32%Ku!e3&Kj`yAh82(+@1aiZQLb&1XXwcUqpdb;Hu`3
z;>We~xMSxzkIv5?oqruW&-(T*vE^U~<<aLZorhj1fBgTy^#Fg%Tu|cf-78_o!0_Vz
zdr$$rSHY8k;l*PJTO*2r;l*_bdq+G2!;8}p_Kj2qh8O!G>=|hc3@<jn2YFCO#iw^|
z1{=th_a2@1Tsl9wbpCwt`~xV?4tsR7sCe}5(NF-p;+aq9uNQAWfOH`#?ExF}+@tdz
zNctQ|W8+bffgYU*Rj3Wym!R|mE6*Lnf&)A{KYQ-8W?*0djhcWe-tR9&-~IpZ0glCj
z1{)6MQZdi}#~?Dl-~Ru9tQoZYhvBu8XKx*o;kOsh--5!STEp<0;U&Y9FF4-)|KD<;
z#L=_)7&AoEF_41pW&sukhVE_&76t}T^$#}0qj&cOW(Ee2#=|FAK$Z19h+E@cHiN>d
z`3Og}V;rO<4skKuy`Xh02=}H!EV#i8vfu$TsGMfu@M!+QQ|{x@%WJI5z~GV0(&)i>
z;{OBB-a2N(Z!e4?IzYutcQ>dQ0Qr-@buA+UgJ<(G7Kpp~z@F`3Wnf@@Db2*d;MrSe
zXZY>K_cx%>0XMJVdYSlJJ0N=PU*<p<TrWYZ)IEFacn!b3I1JHq162KVgGxw<ec2GD
zd=UHQy#WO-$Uf$mt06KX5SbRZ3=726=40Y7JwZx(>!b|7y-0x=4_emT-7Ue$zyL8`
z8Kk25m^8!?d$=KNFMS{~iVzu9xD5MCBZ!R3OOP?1&Byd0N|@kExL)!@lo-Ce2Wlnv
z)|nW7d-3QsI8YiGK~Vq-3Wy6p`P#Gjm?^}Ny{};&<av1tq__E)_3LoY-a2)|Z!Z==
zOz;4k5CHc>FGQUN#Dr?N37juM<(FskF*b-yB3y>yb)jeTF-M577hIV4b(ClGF#(9M
zF<hAGwX<jQF<FSP3|yG?wT@@=F>{D8D_ofSwWw$FF)fJjyH_ypaCr3Y<^ZMO#=`}y
zC<%GjoB#hIX%|Ey${UZyHz4;z${WvplTh;CRItGYYzz!c9=*Fkn>ajrH%qXA46aeB
z@aWv40xC&g#J&0d-?O)l(eT@gWU!6^sE!w)CPVLL3#g6^kIoX6f*1M_<)AEU0#;rC
zRSw!!<k7o10;)U$q&(pT14KC}x3GehPk<_qU;>ShZLWYSR{$y3cyaMH*fA`I-(FmM
z2@01DP~{UK%4a~83xJeMyjTQL&T9DW#d5In3sB`JAj)?@l{<ixd%P%yC}%VL_M!r;
z`~y@uXp4<U@8%m&<pv<-7B5^N%GnLSz3>Dp7hq>#VDjnR4cegO(YyHvxXk?zQXKF?
z9HN-R@Y@TSm!P6IM}>obdux6H14CM8vjIEEx4R2K#Z>QV33djCU69JyM#ZD^-HTnX
zz~1IG{PyDh3y`-1AT}+Y!3=6ZueJb}-p_qH-@RB5QOa%j?ZuWC;M{^Ju)0_{{++Pl
z=5H5b0hL|{JbHOIXrLBbe1_j%)PSu3B@AYdZctL_h9-qzh}ryx-(Ez3)qo-ktVY43
zyF^9fg%L!7fZ?|n=3oV&@CGYz04eZzAqY~?d`u9Mw1vSAbO8lKLty~}!^=QsaQP<!
zD*v8?{0WL)uzmrMeu)<sUxEWd6cqnp1)vxLD=+{luz0Znq@ekj7{r22&p{yov7i`a
z!3R(Q+k8y&<z-Om(px8E`0Yh6*dS1<1{;(CGN|B1I>?~jI$6VSFLJ;N(EaKLQ6Ojd
z?S(g30lHsRK?<6W$wQo?j^vb5kW*4YPU)>vGW_;}8>}DQuTNiq{i<yE?ZvBSpuj-)
z>k*KG=3^=l3ywWQ__Z8l0Sm~2=40wFpMwgw-a0MAZ!Z>t4FY9JuwNrUeoc5$4Kk>=
zPTTO?iw3X)biYPH6zCX!dl3&-fbLgIkb>r8x)7&WBRQoK<djNCXd4)Qdm#_jkM7r>
z&%u5*H2n7B-&1UUy#Z3te9Q=9!L6qVzgB}RfL0^W8elir2vBZh_UZf&@?*fuB2Z}b
z)>#;Sdoc$rjqb-XkPW?cmWJP6RD%_u`!N)xp!t{;#0lX@PN)SrVJak)Z4JM@um<Z#
z_oFCCKcsS(0xLj|&o9sZ|MzS@W)HF8+Y^Kz>kAkdUYmRN);Sq|dvW&(D1bmE0JBf$
zb5Ne&4bkWf(YO~$V<Vz?ffOj+-~z>?@d&71Ky)KsMu3dyt#dQ{_M#SSJ}CP$p=SRO
zh#GgpZ!aRjYCw4gtOlBAj3Ej<48Ogw04o3`G_V3_LKA{0@HG7PLL96B6bWDj&;sP+
zQ*b))GW_=9+hdS7L4gQX04)G6KooczetU5htN;{CU<J?;W<5lK52*YDD*%NuSOK(z
z=z}QmHT?EsGFSm9oiM@D$%}l5w4dR(7bTAoG0==XAYUkels6yqhXlP7*g#O!FhQaQ
zGobmOB3IR*>UsjKz1jKCV}B?E0|RIx(eT@gua7`sv8@#}h~2vzRK)KRWno}=;R{N6
zy}Mt4>Waq0poTkG+@llTuI+w;Vh6JM+YshYef0moV^`a*5(b8jW&s}1P-NSt5>WNu
z%mLx8g76q1yjdk6C4Gy)g55v3!74w1sNUTQkY>pZZU%;3pm{nZ$NYPY;+P2&Q2e_a
z;T~3yf4kdGg3WI}2C;NE#L~lH!R`Y*U`uy^sNUTX5K9;EFfi-_O=col+KFr_vj0K-
zSZMf8eFzTUiy)(WcNg$7Fzl)Xt;&82iuT^!6CkEXz{HVE*G4vd!UXX2Oh|BmXXkg1
z&flK<&cpo#8cfs!S<~&F;n?jD?&t8geuI=0jE3J{2tEY$YBqb7g6h5f6ZjYyz;XE^
z^D)S6`$7E`&))47d<+bRmv(|;-_`KIiyTluL3%|OAN>E{)m&f6z~I=?{y+#cCemD9
z$-v;**?t4U%ZKnTKzQ+$ASK=DV8Q+qLSU5#KveI323C+GwyzKZTLy|nkY)ct&WCrh
z5+D5k@6mX;0i?foKZ7td%se{pz1RkJ)qV?*DUHWpKuiGzsH@=tpU!_TjUjbAsOcvC
z0OY%RSYOWO0jMv>-=YXn0cx%Ny#N2dXLmbjh|#gTA2h52Y3bjF1Rbb_cJ2QE|Df1p
z*cZpZzyKZyHazLL12oI&xDzxi{KDnI|NoBN^KY;)FnBW0zre!4VE7-Q7_7!|2S_ti
zy$IM@kXYaX#eV0#7Y`o(|L@zo91;=pK@qVFlzeuA<iQ>Tb&Frz0_ki%#ttb3Y#@#Y
zb^9E<`$7Fak8TkT&(7(f_~qXo!oiW|*g1U$9|J>|3kR2DNBb;328Nf+kO~UaK>U3V
z?0e9LY{%|?4tOsGT9JdAfj92`|8MvoJYoa)I>^&duXx`7|KGQJIR`5PgJbu60amcr
zK`lzKVyM?ae5iU!u#;V6_&ht?&w-LdH;asCw~q{mXD4zh@$Fp>NhR|^sRR-dpqz&Y
zi3=cunvZcoLc$2*5eGJqGx|M1&1XnRfRY?MBtXd!8WN|37#LoHCWt(H>p)G|k9WZ#
zVZjJCzyTf-&@9Ab`0d5HyRdWs>Z*hN2TBK^)f!OG+1vxC0}oKjWS;K;2@JSmXkdW&
zQ1v_@S3^^G9%v}0^WKXapaAV%4o=;@^FgT_;(L%h!uOXzI-8I2LVRxmaXhB)LHP#m
zdk$fEI^YrpXFX5?gPRE%tB3(jJ-jTw_y0c<A2wzIDu04O11z2YJ@-9EDSS5G0aan3
zkuq@EQ)&($W0`*E|NrC73ZO+IATRdrP5{MD<6#aF28Larr86F#_rMb#FKt1df)qDN
zAme)BgD}l^AQ=~wO`$^`4j>iX&7gtn?rzXfB`DvP>U#Fpfik`lR!e&~!!3Pr0TgDv
zb)Y`g*V`bsH?IJ9)j{1;uu<`zy>*~Ga{V@_4B7s(l7XRnH@Nr5yc@Kk8{Ev<2~OHO
zKpEo2KCmN8Ku!Q<ocRz_LBm(w-JteKvkgaFZJuZIF|L=OGfKdIKJ3|B2kHqGK`i-A
z(2_`qB?g|ob)epn6&6dFYD+*P11~|d4W7-%cwUzL1Nop1)Y;(z+0%IZFClw;I{&?R
zcN-MwB_OLn-JtWgz@dcVABH+J&*oziFNHuhG#?Xs$q8cg)=3+Fdodr2dahbS&*oz?
zuUS0dJ*NtEW!)?s{||J66U@tVf58n^xtAONg6ekU`jwLr-hMGfH=@~wBeu@cv+>w}
z!v6gBf(_zN&ST9dL>L&DU$a9Pps|Vvpx%4q;SD07)&RWKv-LK}F=*`zE`)oQ-oy+k
zMzDJrs|X|_pU!VD%5H(fo%2|8fhg2HAO^@ipo3*R8V^T^qPRyDn|rts?h(b}9tN;`
zn2B-EvzsvYNQgn*17d*O698!%GKitLXX-61;lqP)PwNfL@Zkizhm{!jgu&f&0OlSL
z1LU3#h<jF`x`!2;d-xFU`Fb6cKen+Gvi!xJ8{m+z_vmg$WCNFO6At9+9<=gk@{Rxh
zoqJifIrg%+b$5f>1s=@JpmxDaaObV@7$+gSKnWoiY#oMWCECdKF~~X<6zf2hum^K9
zs7ijVY50F1sKNo)Rv@K6uOqt*R8x5{H-l;_k8Tl0kIu;%;tUM@+d~*R(mE#>h=VE&
zMy`(LB5}y55oj>5@o<1R0|U5HLTaft-uV9?+&&HV?ELNt>R^C|RY4Vu;kOr4u7LxX
zy9!jf?gy2jp3M8PmZ4SG!69tx+1(BbVaM)%P|4XXgA&4>H(wZC|Np<2Wp*!%vtxJv
z4^VTCx%~q(1H(%e@BqLzUcy%K<FN`<s)K{9^X7}=*O06-05|d4HCPxJUV<j_JR6Vk
z6SN8xmkY0fJcjBmP|7LMG5il+D+RU=6hq<H5HaM~-Jb!mF@c4F;k6ceY-*#bT>w!#
z1JtDK7Gd=4oGu{&i%!SR=?W5%_;l=OSCRlV!S~MqwNx69Ge|%q)Ti?wxLSI-=^7{t
zhdPFVRzrZs;lZ{3Kac$&HZ)fET>(uAH-ORvb8`iV>U2>_@Hp<Gk^xF`9y>tlK$B@6
zoh~W`-Q6odN|?JBfT&I%l?spJE#QG)u;R`Z70^HdXk~<eXYaNJ)}UFW?=QNpf+h@)
zb?2x=c=VR21b7^0Q2{M4_1FPw4Z;lP@aTLF4!;*!S3u1;HqUN94v)^}6B3|usrv%R
zv)yid9-YnCKq9@n4}jdzcz6TI-ynlP-HQEJ|NrlN|03cFsN-=2<j-iwIOxJDaPN5n
zbUj80C`>@@4#;S?$9_RXQL^gt|NlO{dqK|cZoUArg}M0zi0X7v$p8fns3d1Vb$tai
z96-xfLH?KU0L>cydvWh7XlArTCBdV!MFrG0_vm&}i2zOTCU|uAsDMVIKr$egb~h`4
z8dc2AkWuv(6$Q|c`Ekf>J7{^V=N^#hpz!kPTmqh=2Tj$3rZb?H9lQ)0Dn9Dcy+;Mq
z8}R7eqVfaOTs!Wf!T~bCr!z%Gz@xWDg#!}Mj3D*ht_&XFWope0lHic;08!np0v?^s
z6Cg}ckIv?)AZG9G7oc!xJe(oPz_1JC8c?8nT>;H&et+@k5{Ul);?^Z_=z~HRG-ed%
z7>^tSDD6*Cc&LLqzlI=<NOOFa@b;(pB~X%RSE~ZKusKu;G`7&L2;l`lc!E_R!G6gq
z28P!a&}qO27eO6ncng&A5-9)jw|s>33z-eSz1Rm*(cSz2+-wBRQGlC`X^088xfj7U
zJ45V(+H42mL2cH9*lY$C?DmiXyU_te_3jn`MQP(<4Jkx;K{BW4Wl(Wi2kO3BLEIq0
z1e&J;O?-ge;E$L`l7uMuzzS0E0~7<@A{-vwB^(?cos+>9b#4Y*+a1Co;L$l5<SvhH
z7Y<Oofn5Bu`2YX^U~3Pfq<_$i4Y*AB@3~J2B_@_%Knb`L7a#!#I;#mfyVD9%(cK&X
zb~I@E1>|V{)+TV#4W5HdhNu9g=I(A#(r&2X5Mt(U1+Dmiwf`^t|GyuUGWUVz`FDYm
z_D)dA;0P{upwsfJ(arJbb>UzKl}8C4J3y*IMHGme;kgII1epR#=>^AKR6u<S22g$!
z@a)|V%Fv)STv?zf?L3ZB9)Zd)kS0Va^yEA!;@Leqn;E1T7##VxyK|&<Hgka3UG7{R
z&0Nyp>J&Vo)w}rxD1t$@zp%LoE`qL}|NsAWxo0mph9k}s;m^b8!7VC8czJY(fc!Zb
zWIw0_$e-3Z8DxK#3x^<X`}bS`CoE9!<>5K7i5tLiw1WvU1zQ>p>b*evoChEZKz+{c
zZdixgqjR!`G`Pm$05u>Cq(K!92Ukb45yZ!!gXugP4+}^`>l;uJ;0}tT#v`Bvg`VX>
z)lc&q4{$pB=dm9&;sUCf4Zpo`0^9Cv(CuvjntgXsaq#GT1S$t4FaQ7F(fk9nNT|11
z!=v#qD0>=Sg7&>Tgu&Gc$l3|#|Nq|w;_n0zptVcjVNH){$GDeM&Vgz_$aov5zw5Cd
zG<XBG`uJJUaPa~r@C;mWf=@5FHwd)?+?)Om>OC2phbd!*$~o?^@B&*8k`{zZGr^@-
z+=EGjGu+F!P-j4EAMkjl2U2(>GJp;zVPG))_QL2aIJ!Xn<8JWsH`GvJz61&t5AYn`
zX3(NKkH*6W%-}HqP}kLQ$AUIS@cOOJdoRk*{r|rU#NP=bPy?p=EF@r{E<&0A1(krX
zm`XvoY{nVTxYj;U2J+bP{{R2~pp*`(w?N%(P$d)riYw5vxqmNwK)&ca+TEhEfRTX#
zyrR5!i^>deUFM>q0m^8otqKEBjidpok@C-gg20u*qq7;*66D|R3d(e#wqchmS4Z<N
zu;&+pR+Rblt_Dq28eZB7atk=hz_S~*AnSL0Kyo9vj_sYI0t#2~LcD)3R)DMlyAf3J
zcCx5|s!DL}eB4Dv0@H~KASX&doOtFmK_@~T<+x+UAz=o{(Ef|3AS-u#LUI?Zsbm3l
zlrTs|=TR5v{3)oZQ~_#jaA3Lzxe~V_!95Z3nlSf-o`J4P(mDPAf47aw3zgHL+WY$p
z8IXC6M?fh7l$F7o8e$KFc7+6cbpGB6+SlXJD_W)nD*a5)b1^WyumU-}cXx*w1H+4b
zAl<#Y4}jR4PXGTO4b}<X&(&+X5TtqyNCjv&7syDcL<dOX@R|SrL5&%P7o8vjVM;=S
zL5ezmdvtyUtyXQ=1*$4MdQIPRfm~n<qFzLt0yTWzb>4q*4rF?-Z9K@pB#>yYZ8eB}
z?KI5rHz)u94-L*@2yg@$$KVLI(xaC*_dlr06ZHjCraWNEHXWq*)k*L`n!<iij(DMS
z3T6_>f8YVOSkTTixOYGf2f1++kA}c#2#kinXb6mkz-S1JhQMeD5ETNTGbA%l{Qp1a
z#Q*<ipcux#cIp3r+sps|yIubOzv=S-|8p+?|G)I||Njp!|NsB{^8f#tSN{KJx$^(N
z+?D_T)vx^jpM2&2|H$kA|KGd*|GzzG!s5pN|EF&J|F3=X|9_L4|Nn2h`TxK8t^fZm
zZ~gy2>(>AOKW_d14>}f9FD0``za%L>H90*rFS8^*DK#UpOdl)*K2{av5ik$8y5cg>
zVYUnm3<T7H&QAp$pGrtwZfY(=W^rb2ZZ1P^G01*UL!42X*&4J52((%K%fA2rQy3W-
zmK^;5zlV{5Vavh)|3OQtmmK>4e+eT4gUFHp|3UreFGv6X*I{B{5IOn(e+3f*!;+K#
z|8HSpU@$rN|37Hu>Xq~V|NAgAFkHFt|Nj(b28Nc4|Noz0W?*o+^8f!AW(I~YxBvf-
zVPRkh0Zn4EFfcs1^Z)-E5dZG~|5sQT7`EK~|NjpQ1B1z<|NlW#6I-7A|KGyOz~J)q
z|Nk|t3=CJE{{Mf4m4PAU+5i84SQ!{V>)2G-7#Koc{QnPHBBt`{|Nj~`1_qPY|Nn#5
zb8UI^|Nj>d|K0!pI_wM#OFsPnU%<}5pz`tm{~7EI3|l__|9^y?fx+d||Nn2;85pv@
z{Qqym!N9QO%m4oo91IM5zx@ASz`?-q;LHF29UKe{&^c@d#;PC&#tH#OX&!ct383&{
zU|;|ZMI{{g{~xs3pTUJ4bfy9W=wJX71_p+Xz5oA%Mp78~1l;%}y!g4xIT{%3rL47#
zRX}G8fXxN%lovSg|3Bz32v8h>umuAHLkR-|!;b_1|9=1pIPnQM@ku!GDLC<IIPw`Z
zv-Pm{vh*?cGcn!c(*O%gfR%7CFo2G(1nmIRIrRVkZEz<aNv{*1fg_)VBcDSvdk<SL
zYadHLa~l)$QAUuMffJHZj(i~ZfXw>Cz`$_e@c;jyv%?u237U1A35QuAKY<p-PdWDg
ze?Q1iaI+lwG~D?PFg8P-!&D9OqXO8!0tk1sFfuT_I0l-MVPL@G4tKr{%qX5JWWnwb
zke@CvGBAMpu%HnJEM}pHPZO^20o^Ad0~#Yc^Z!3+)SR$cO!u(43Umf%3KIi^#o7P=
zmx98^iBG_hPr{K;p_#dliD@E;Dd5D%;mW7r0%L;?kC?&4z_8`)|Nq-SLsTewm{&2u
z6f!U{fI6W^KvUWm{{LSHI)wxt_TV@Nr3r8hASVh5Hf%0+<vYO09EB@9K<QqGnSo)#
z_5c4t>kJuC+{EMwa}r2h2r~o2iR=IWH(~m>3|U<bGXsOcjsO4CG1WyQt6Rd%z)*1m
zzrCQiKf}zxu;Ir4|COL6DBw&3%2$q{G-uGv+5^s2%#kc0J~R!21Kz*|RfGYQo<W;{
zKxJ7SH2j@F4pL|ao52(c%COK_V|oqaIPxhlfXjyv76t~5`~UxUWBRKeWDH0rD4c3o
z7#JoHp>7Ea1H%s@)SY2rU`Tj?-(FDK`ohA%aN@!L|M8$Q1SKAsgBf8CWME(bsa0TQ
zVEFU!|NnDPwaDSXe1H+=cLq>8_hDsVSn&A&f6#<2G`~3VDY)}(U;>3(8xzwPJ_Sdx
z4oKby-N6HzYpi+l|9=_CeB`w2$Y<crw}2T`9zx5qI7UzrhbR-lhJecABdiPzJD&dk
zUk5S+67Qh=1&OP_U<<(!t-zEA<1m2i2GutV&;S3=1jR9i-5VH@><(nYVmBxbL4)Nw
zFaQ4spN#+sOBcQbaNf>^1tlmPK~vu?FaQ5<hNcPRaAYclI}4nCrm!(Eym<NlKln-`
zR5RiE$A^J|VGkPvL%^&5|JBgl52*{>`4+ICl$%_*3UJW<MhpxLJnRe%YH$Akw*tA*
zl~16VsRUeCfa*vMP@Veb|9?<BgTaGOAb^hpT*o=EGcdTm`TrkufDTAJijRW<6ekhv
z3=9Ep{{LSIHLH!ukx!zT*@aJm$sd&644gn^kV7G#LIgONz>x`3JBmj`fQlgiJI@_<
zemkg$02vQjR{^47{N12B1A6=bNE~#gJc#B85um9L&<S9mJObsz?&ks>%motK5e6a|
z7{K`h>0Eix!B!v{P@4-xgATp|(XjL7L2XPBA143x-~W6Ne*rUyWB{$01v3($3P1;s
zfdoKpa1afe4FJ)RQ1^kRKR|rY0bC#&G$RV4VHj#GLjm+WYEaPuk^{}JfM`%&2hpIW
z1c(M5>;<ALKqrVXFo4ek2k{d?Cy+5P!0+h-En@?TbAkwHc>-d>+yP>P@bCW+|1m<f
z{)6%rpyvID@?r7v0m|P64d|axJ}g{8W>OQw?lD6*(+qT?7y|=?8<Y-%(rHk-3`(~_
z>1j}U8I;}zrH?`BYf$<bl>P>#*+6UO85kJEptKs4HiOb`P&y1sr$Om5DBT97r$Omu
zP<k7bJ_e<)LFs2u`WuvH1NUAS7{s8o8k9DJ(r!>X3`(a#=`twY2BoJ#>19xQ8<ai<
zrP0He5Fd8W0=hcbJqxgV6&^tEQGnf>0J|pvb}s_#9t7CE2e5k%VD}op?lFMfTL8PK
z0Cq0{>>dKxy#ug&24MFJ!0r(UfZiJbyC(p4F97Tw0NA<zF#otaJ6kDegeH~dm6R$N
z8iVfB&^0RsbB*eZO!N#)G@-&U9oT75zF}eb_#a&slrKRyXMoz=AUSAq1Fa@!z@{E_
zz#bC=KZ5|cVh8DfV30nLxC5HFF{q4W;Aeo9vk=t`44`Wj7#SoPUVs(@AeFD6J_I9!
zDEyucn0in<3S>U4+=hvRrbihWL>L@E3Sfc^3=GS`_cUVq7jz#PHh+N*I>aUpn)hR5
z5N3FjiDWpGiB0`6u$&P5en2pnfq~&B*pK4y@)aij9xN`#fL^|6FoUjolwe?hh7U|V
zsLu&Hq!Gr4(V%H*K?Vs1*nK0QX>5=jXy#gofu8}E?!awu1_lOc@I7Mu46yVJ?i(>M
zFsMPrVd)s$S7BgaFa(Q(Ohm<?z8}bZ*u9(JF%bp^1{WOaV{nLrPL%<rAym^D7(m<d
zLFU8qF}R%!I*R~g4wC=_tbT>*n+OtT;AeOMYJ@W|Fo4o4NNzb)Tmf_<BLf2icx;1#
zfnhIL9Hasj-^3yQ8i)9QusA;htXu*2=|NlL!S{~wGr-CfQ2GYhBnlP>u~4xb4slzs
zI6nid+yM8BK&$p(;?R3j!2MDN28Lj$IIJ9k#bX>;9Mw(`ubv5%-asr=JR664T=%}6
zXJTLwWDsP4-8TwtJ~4n!Wx|$jzvD2U5qysuKLf0M1oz=U?JRJ<Ky@RCr^XDr>jyKx
zfOd$1($7n1z{83s8?gBxov1hlEY8mWt1rNPEd~aLbY|@L#5IA{qnZKYEd-16GdO?-
zT^Se{z~gcZ3=G@B;vf~M7_>?STll;MtLJApfR>(_aom3g+B6CBFRcCokF_u`FlggY
z?*SI)XMoj%;Bh5T`T>ihx)H>yU}0boWRifF+aNIzZo#1*G)95VUq_(kpx56Q!RGKY
z!0Ju#SOfzD!yTwNtiFY%=jUK?kPA@pR~+L1afoxVg6{F;XMoki;J!Zt1A{179Mx<P
z&mM<(GFY6S0alNL%4?ANLZ~>b{Q>TqGcYjJu!7Ppq8<dP1!2%xD%iqpEz}$b=p`WF
zaa9HehQm;C1!#H$)n_1cZbHQ`KodTAY?*<9;XPO!qyiO##y+swtIq}rA6R<`JT}L`
zz+eRyM>PY)iwBGIGr-za;4w%B28JxKI7kI5UJMrJXSe|MS1+{S*a;R#RSV+X!Xf?{
z>@R+XY0&%*9<yLzV0a8x&(F{RYIra(Fo4Ic7#JAdfyF^4qGCpNh<{=2I#_xGjfDs>
zNHTmttCt1A>QT)A@l?U${0s@uOI5(*&kPI<Hehj(3RLXI4k<r1Kr8;hZ5W6==$<lA
zzP<qU7py-Sg2S8~c2K&)%%{a*_52L5_A#v4R0kG^*o92a28;7EC_v){maaBJ#TlUK
z4OY({2a6+{0Ab(7A^skRxDW><{9)~GsJ9tpq2fHyel>U;iGhJZ2_(+MgHefTfW#qA
zKqZ5di%Jaj@)_de6O%IIOA^x=l8Z`;OG?wy^pY76_kPBg<i;n1ZvHH0h>uUnk5A9Z
zPfE;*PbtYSDvnPqtzZD%q?wailA5AtfL#UXBEQUd$jyYQc_l@a3~8X-EkU;-=2kMq
z$0PB;*EFIiEy*v)$tlYPPy59i>LoM8$GZhN`ntxu`niB^9*uYR3ypX6fC_rJ1Tn<B
z`}jLK`o#OYxdpq1#D_RK`MAb2#JfcLIr@4!gRdhjM!F{xbZ28oViE)FN>LLF1k(V)
zGy&Z^Se#no0J}F3bVDF$q7mDThMBNiPm%9aM7uK;W}lHc12}*fz;`qj#9Jnsr=}Su
zC&d?+CdC_J6*U8U0(@a3Bve6B0J_W+ewicYjj8CDV8X07HiG-n7-BBuuEy-lc+lmK
zI4&E7={B*1>oze&FijB-M7xd^ey=OO+hsw)2eKUPZc?y^aow{S@97_3T#}NR7hhTo
zy_XWK9`}W=Fh7_Y;JLpxK0YO}BoT7aEd%tfNyych;ON3~u`B30PMFa~7HC&lqNbkg
z%y<k_kZx!N-5iUS9&iV2Ch|SEn0IhuG0PAUNvNp^awRUz560$TbvSQ)g{w0LtHbaR
z`hBkP@gcs>(A*1(XmBin?@Yyh?I~Cu$L+!K@hQdm@fnGEDLJW#)MtiZ8iCzZoQiTm
zDg5eJ=tZ|MADAMA2s{MA=?(RgU-)ISxcmyc+ZS0cq9`%4WQdPXDlUd5DtH*+z5f+U
z{8?Zv8%(f@Lhd34ugM_qs#|!F8e2evv?Lz1Vj~6D#jvnIH8ugO2gf45K*e^kE;xJ(
z;!P3_($Z3sEx{$CCD=Gn=>S?00;)r>-lz+{gBa!p6LV1Eot#^MlKtSa#tiYPc_|Qc
z(XS7Nggc503?YFDxk1>kG&cx(fv_jU2(V8f={Xs8WpaEfs1$*Rp^+H_#+|otr-1?t
zVk=5bV2(9jA$bnw6X=b*sl{OL7F5E`G=xL~I6ScwS<qX6Va6C+GC*$O1>Knp2^QFu
z*Kix+Q7&?3&?~OYElEsb&?_z}g3uW-R%TvFYEc1$US57ls$P0tsa`=*enDzcNhOj{
zQfX#Rif(2KRLIfEQy0`80V~f)EY4uiOR3B&uFQqdB}EKinX=TP;>`R!6i$2*gI-Z;
zP9jJHlvR*Z!k`ECie5oZiC%hs34>lqYDEcyURq{eCWBs4J~(ppQZt|}k&Kig1~?C*
z71AVu=zy`IB_e|!M0-+kF@s)mer|4R9%va0YFmp89%vjNG-e5#hk(r^z-ZX~2+U}Z
zS{NHdgStDQxeAzm(D8X7aTtw0)&!kK0Vx4vGw7TKOn(G)f&@lGyW?QBAOhN50WrZC
z)XhM)A2z-UqtWe0*B{Qn!0_$=|9qJJuz4RC4YMCM?}P4sQ1<~e=L6FZn-_x7ppC;I
zhl1P)3Oks7*t`sAxD(`Mn10wi6O4w<D}l@aVUQUh8iorQ7(mz1z}*j<r-9K)P=zq}
z!|Z|4plK-391x5Tn+Jl?pm}<b5$Ns*iGeWa@J&#d!t}%DrC>BH9l`8J_y2Tg-^&SP
z7-)SSbe^jMO2g*GK>9&*Vd(l7g2#0k7(nu1BVZ8@r9tT%EDj|=ZiO<z6zD_`P?*B>
zD?s(ZXz&^{uu2F4UWCQ~>hnSPpz&{H{THC~D=-@7UWjVwq#M$_9cVonvVPe3E{whk
z8rX+vMzR+s1UeH8SwC!?7Dhv-?O@6o(ESfO=p3XU7JsnuL>R3Dl7#C<xF042I_4Xs
z1g2jHlpz=x;N$WpAW4{FXj~(487@KN52il>nqXn{1W>|5(tsYGcNiELKvS2XJOok(
zo1bvV0r4>13)2syLEB$JbIdUPuz6t*BK3b^fTTT`e%Sm%08~F{12M=YFmJ%@g{7m_
zQ2)d9!{&txK!+27ZdE}GKbU?P{TEF?d>*3!WGe#$$bS$PgoGw<2#0}zff+gx46`3L
zPxJz+A7&3s1DbOfK=UCWG0^%Wm>Lib(~o@DHAoz!7M+I0JxCmeB|rrmXblvoaD}b~
z1&xWo+yg5=LGx-bx5M;;XjnS%foT8<Lc<Em1XG}52AlpK&`l5w3=E)y2*3)V1kC+V
GE&~9f9FG71

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimkernel.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimkernel.log
new file mode 100644
index 0000000..7f8e2e8
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/tb_ecgUnit_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/tb_ecgUnit_behav/xsimk -simmode gui -wdb tb_ecgUnit_behav.wdb -simrunnum 0 -socket 36491
+Design successfully loaded
+Design Loading Memory Usage: 20188 KB (Peak: 20752 KB)
+Design Loading CPU Usage: 40 ms
+Simulation completed
+Simulation Memory Usage: 110124 KB (Peak: 159456 KB)
+Simulation CPU Usage: 50 ms
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ecgunit.vdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ecgunit.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..7e441806e83d115b18518b39c280b66179a1d09d
GIT binary patch
literal 14926
zcmWg2k!R*+U|7Jwz`)?}q)MKd<HzmC=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De
z_VjY_b4_Dk+|swAv#}#Yg0;*{f?d!dih+UQKNL7LF)%QAxn=ifx%%&P@$g)b;_1I&
zp+knJM{;ID#)1rw<c$8!GpFvJI&a>F-pw<oZl1bfQ!hvzR>5pnKfi><iyeEj{2g7r
zGP68EN_&&C7R=u;W7f>M3o^3&J$*Ah5@s!csa%lZ>6_rTV30UNiGhKE6BMKj4vY*8
z8(5ea8LXL@n-?$S@Je>{@^{S2SYe~up6?ZL3L?yw;F^`@nI-4UUHJcaf+{Ey*2-Bk
zv3PoVy7H}By>Ov}hpS71pMzIc#^Yu084fR2d;n3y%)|&`IK1DtRt|~Dj4X~z5kx)I
z9`5GF3vC-)7IpNj=v%f-<pNKt-f5Tuw3NWyv#{$v!>*ebPnI60h6|7!Z_R{e7cVH)
zb*ySx+_0#lzgMR_;bZWMYF>yA22KZm1_lN(XlR217=#%ZP=r~0k`q$E1iAz$cv)u7
zoH><&!C@f-0|PADU@09$TXZ&dFfceUF$gd)Ft9r0F)%QwK<#61ZR~Atv~7XXA`b1$
z0t^feEzAN8OiYXn77Sq3sxZ}yI~H~<vTb39&^T19K~?j1wD<QkEL^;zzpur%1;R6g
z@I)MDW3xvcs#D0z)z2~8(=W-_F(WH8$=}t%6)dzHEVKh6G!?sBHK1k)fYoMrc_w>i
z`MG*KBtQgqzy&s-xPh6$1eDMP7#!|#2{1U^2N4fI#6uAAh)aNhfyp6=S%Bfc11p07
z!+#JrfLVaSftf*o!Qn1Q9!#=0)FVYF1CzrokpA0T0t}3(GK>y)K$@(?q3&g1)Ppm@
z*^Yq$L%^Ydfq?;C6*z~139yM+)u3fSG%f?!W1{E=|Nqaxz+eSSPz;QEa3;)s=mKE7
z(FH-t8H6Dr0rCO53V4YEXE1;RSrlC%$VH5>qMqTu7Ch(_bzn?TBr<GZ1|?o_1_Kk2
zG60=P<!lc&o)%_;4TfZ2VFynJ1_nK-XF+8c2s1!D4fW+GP_hyP=UW4)LTK)W<Z?k<
z^n7ao$>oMH)sWncE0;r68$nfrGbX8d6>5et)C|FnzTReq&c2p~3p*Nn7xmk=fJB6m
zMR2&;1la^73=@z=aF}2UH9^eNKf%k>*EKn5v5UWJMuw+HLUNLWD@b@2NO%*nFnZYo
z^^Y0UERgG)6){|cEP}%|=1>!O7G`<7x@CAdCipodKsY<VoDC?Y9y0^fW(%0U#mM@=
z9K8B0Vft1e>jQJ}>a&9C<6XG0V^MQ+!{U~{7TaDZPXNr5#qLsTG@XkYk#&N3ICR=T
zb#nHsSO~VEm$PSuA((|jhb@wh=7!#OTd)o=3x^Ins1Ba2%%rT$1aOciKsXz~930_q
z57oy3t8HKm^jZcQUk*_9BH&a9uIS(uQvyhE7P$I?)mF0|Q0--80A+1Z>-RGxw|@oI
zGT%VNcM$OdMEnF1zd*!q5b*~@`~?yJK*WC#!N4uR;J^qXm_P(Gh+qK`tlR<&3=Wk@
zg$;wl7myB!eNrInA+1A32e93Cj0_Cm;vXRcsU<iYTRMB&ZJQS_M6e(!10v7Bz#s)H
zc^DWN9l)M|n}(2qs79E9V4<4^E15v1fkOjs8bXG6(_m#3$TV=U!c9ZS5N{f+yaJg9
zjvu&b2pQr{gOyz%)4&l8Hw_^}ylJq~3uGEN#lTHN$PjNDtRw@O22Oe*uoQxjA>K4t
z`35o#oQC11A!LX*4OZ%bOao^IxM>I(;!T5<g&@<wxe9I?LWX$LVC5soG;sEWn}(1f
z-ZWU52{H|w&*7#aWQaEnR-S@P0~Z)@(-1Pmn+7XuL8gIA9=K@;8RAWYmB1j=z(p9y
zG){yl#3XPLg)S(NoRH<=nT_b6VhDh{3XBfP3=9nDnjLDf2r@!!V{p(1C3<Wspb8kk
zCW8qMm=FVl6s&pxc?MJzJHWFdLI$E7Q4AtjXayp?!E69)C3E>XCMURNJGyx~BbbvJ
z7#u)tM{qaB;UlQ92Q@aGp*0n1Cl<uBfOTnQu{1!1zztAWsAiM~Xd|u$s2fZ*Q4LUc
zsA@>ZlBjMbwDR?U>V&kbAdM_n2nW*W!O^?}1qZ0o;{ms;VEVuuy!yOg_JP|~FnwSS
zUVYwBeb8nVq><GE<v|)*IGT|@Xga~oD!5KC4~I@)s7`nzs|DW3!lA<tNe8%T1=ay(
z;m`pZ@BoD)`x3CK7IqUbjYD++)O=Wr$`!(x?JyObZ4iSM(AW)xst2{Ayuhs}#2|nx
zND#egg(J3upymiFfO;oLjrm@%h%k}}j>cp#%mgJ26F?$Fm=FRrLCASAsG*3|F-d?3
z%|a4FZ6d<E2BA<h1Qjt{0TLm?6=6^ln3vf0GMm`SqJ%rh9&l0Qpa*I*3ON{n+K+}H
z!U#kdg9sB4VG1J5K!iDnumBO3Ai@enSc3=~5Mc`<>_CJ)h;RTAjv%wa?NL~H#NeP0
zl7Lt$1uJMk1t!=5@B$Md19l|?qL@Um&<ac`SV06b4eS%RX$TqOO@kFwAk)A>0y2#g
zAqp`GTr;5yVpK#J0^qoS)J*7_!8H@QASmB~EJV~y=qf<6APhDcOd!`xu(A{68F18s
zJOg$+LI$LpfvA9nm8c-oz-a_-8bXG6(_rN+$TV<bgPVqsA>K4t=?gLqoND2wA!LX*
z4OSw9Oamu>xM>I(;!T5<(je2o`3P<rLWX$LU?n)nG;oH5n}(1f-ZWV04l)g#v*D&8
zWQaEnR{DcX0~Zk>(>M{L5R<?O5M2->uV4s(Q#T~@plb$a9&|y>W*xc;h;tZlH0xkh
z6UZ~*q7LL4u-g$b5EBq(6M}_aO2H~9kZIsD6V&1bn}(1f-ZWTc1u_j>_`*#?$PjND
ztl|Qh1}??nrXggAHw{*WflLEe2XNC6GQ^t(tIj~Cf$9|pkZGI<QHV+4fJ7I>NI@6^
zNJ$D^GdM}13u2bX=qe!2!I7lkZF`MqXeBMQ5Ur))kpUJ$ZYj*z0ZB{Xc^-$`3=9k!
zF);1u4GoVBA&?LO?Xghp!cCx-O*2y4sBs}g47r^lXoz8d9Mk}z6`0Nk2@$YA9;%&J
zVR2VW_d;-cvT-4VX9(tDu`>atNeM+0n1@qSB21GaiY71*r=}#RCccae&txwz$1GQW
zR|k&_D0d>5izQM({Y_8;fvR&%_CV1F=3>#70=13HH!}llv_}S)Z{`j#6N~axB<0{S
zPKa_a6N~aRsB(@}unLb1j;&w@7Nwvmd64@#7j-OdZ|SmaTnJ%F*$NthGYX{f4oQI-
zP;*5=%~Z(LVRHvuBS=&jENTc91sj5xt^^xmo&z<6SHTuE>Du1AXmMX}BZOxG<uNcY
z=)lxDG=dtI3=W+P3=A+ac!o1(WMI(45CzR(p$meiX2FC5XsQofcA%>P&D4M}gTq?z
zn5_(G9u^cjaQi?eqYI+-oLd|=f;#3zsRT`AGRWjZLj=VZbV2BZE^NxD#bFc77J@24
zGo=hN1=wss7sNGHggSfVuo-4InfgI9whS_b*j$7z2%e}xo@N41JfTiSIc$Ns2VFO~
zT=hcgfkBH}R3V3AP!f|V!sZ@yL9ly}C#@jvK@oA-3Ud#-Zg4@3YB?4mhZ3-Rim|x|
zT@W<;g=10-i?G8sn9I=3fm8w5ss&Urhf=WHO0c;NT@V~r$Wv;NutE`W*bZ|Mx^7(c
z3~KN>l!4t-ip@Rff{+0u$mkAq$_~nL*a33|x*kXcg<4&~cn;-YyUVcIjV_2hB9(x`
zao7p78(j}CxSoUAhRSoO0NY)T&2Dr-9`HaG)T<~Qhg~qc(e?0xt4p}uC_INsu-z5d
z>_!)aj)g%+#$eNrP@cnXnC<AgVAUzOLWI}Cuu9pX3T!`U?hB)IMi+$H4<1p2+YjM6
z?19;jt_x;Axc)}i59K;kgYB=v=6`fS_$VD{ViP(k>aZ7PFS=^f_5iGD;7|j$uNs?u
z=z<{oz^y5;eGrzzKA3&zszLUFn+D(p1tf)dWH{7<?W@6NAG#o9<`rcc7Rqth53?0r
z529THYoIvPfo%j0!(+rKx*%k5(c2*b&Tu#Yvk6@-q%nhN)__<J^<cZ|u=x&M5HuKx
zK0*ne{KXJ-I0$nCx*4E$Q8Tz*v=G`Z0=WXas6zwDZII@Nc_Y+?Y+0`UnMnyCYG=|0
zP}>M5<FE^)9MU#|iNRYD;I<KlD5zb6E(mTGfeA$02we?mI$;HDI-wVp$KY@T)Ls&j
zX@<HO?go%;=z<vSr4z7_Bd8M6UTVQ+3%Vdid+8+17J@1v?WI<1wxA1Qo7sTPb2yxW
z*+`Nu(2_O=nKo>;qYEO}XVBRZNEUW=I1RHKT@MlUSvxkn(FKvKFjo|g!x@;}=z36V
zFjOA2KI_0{H@YBl73PY<aX1UJ8(j|(^%<y#fl>OP3&QFX@S+yjd<~>NYjHRSvmIR*
ztUke8pLJpLJGvmuesB#2w;#fDI1jTQT^G!LXa$DGh1O@?*z89a1l2DPzav-<7hv|H
zs|M9CP(LFvq4il0Hv7;8LAHQvG0>b9q&d>!a1mx7x@wRmIP0@sZ1$lG!e_joGhYsu
zV0NLa#952=VY3Ha5LS6X=gPbtTpcdMY(iIyrxxqSW*533wpt84&xT%$xjI~dxdGh_
z?DZ9PQAjN&GXb01&;`LWb;w-|$lM)@h{IKwtI&0m)W4gE%{}OXg6N}Ty_g~n*I@2J
z*Nvq!heZh5zng^3J?MgvNkrs64|HA;Mabbg%th$>N$l56#^xq;K^*gxsBv}!<|1_6
zB=zg2U~><;Ab8euiEXdLO_-hNDg@AGnW0O~5!22I4&VjkkcJEcXz4g;*a_UeVg@hE
zcUTQF`w)oG1vR=HKqO?rIBd-Z0~2^h0E`P-Q4PY-{Qxjwa61c3Ffbskl%5Ior~@Nt
zwK}NX1k(U(Oo8?ZfOf{fXa)fXHqiX46Ns44z`y_-UuIwg4`_ggxZq+?QxJ0%2o_|d
zm%#yK25gX-ff1ZY!Dhh4h%^H>(#*gJ9xMQx0T(0E4A@{Z10%SD4>kiXMx+_A5oZQQ
za7P_%23(9tGhhSI42<9g3fK&|7?Ebc#-kY+!5wF?8E`Qo&43M0GcbZXzhE=qVnmt&
z8>?nu1XuWAGvH!GngJWQW?%$Y;$So2Vnmt&8_i~51a~ySX28XWGy^uo&A<rmI)crB
zixFuCZ0sAf?}J-_ffLS$>IWN&E{HLmgdqSPHit}EqH6}vFQE%!PFbR>fVu~D$`YJi
zVI%SkjNm#K>|(eWR2w3rBUsQZ&*abqDm-?B3N%(J*p4I6tQ)AOg;>&tkb#(qFbKhd
z8VOOrz#s+Nkpwag)T2U}hLC|MMwo$Mp_>NVu>>*=)I$QB#)%Mxm<0Aex*$dbVF)0_
z7`kR~jG+s1L2N@>JBY3VssJ^{V1iPxO;jMyfQG`so&mcZAp=p22oMAdJ!oLtsX(TI
xDly1pDMA!t64-cjL5z^V5I_nVbj{$PK^Mdf8gvy9=irzug-If42caB!W&mnRI9mV!

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fsm.vdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fsm.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..091a51aa04f0d0d8dd7f7e66cf3075d7893665a2
GIT binary patch
literal 16729
zcmWg2k!R*+U|7Jwz`)?}q)MKd<HzmC=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De
z_VjY_b4_FJS=e=-Vb{%zCrb}IL@_Wh{D%UEItB&?FSqP|-wf{sDW3id7CK~jdL(Bi
zWGu+=NY3crJag*qsq^M-=-oVX>gK5%HubuAcrL&ynC<H4m#}!TV{ewfqpMeDmM2JQ
zZ&KER`5R`;nmKntMwY*)Z>C4WtOYQY3o<-?6WkUI0w+i@FfcHIf|0?2k%3_YGZQ0&
zH4}65;)NVu$&OzBj#(KiY*gFxy&_IQgxL~Yv(h}X<ea$+{~u3K1qJ+CIcp{sPft%*
zzE!IiE_Cp4bxH7Z@XE?~yzD*0;l+v%m>D6e9NzC+E60S)K@x{Bp$2g`FJ5Td*s`dj
zXGPz#WhxhVQuR(l6oAcOU=VfiXJB9ugSs8$To7hpKoMr~Nlr)s6X+5k$Fj_vIddul
zgTq1w1_oFlfYJ&GGcY(XF$gd)FbF#2F)%R5K<!~~ZR~Atv~2;?g0><K?aTrU3=S>K
z0t`${j0_eGVAZlP)r&h8b}X`OVTaH-RLenC3wgQvIc9tMCHXpLWMwA#yE?dng?595
zc0h!tVz*HqYKCA(UvINQXJ5<0g&mE(i~4O_KqA7(A~;;3fNX*ih6%_bI80E4njq%s
zpWx-`>zbUj*u~#9Bg4}pAvwvx6(l?hB)kb(7>9q9pk{$w->it?8e|b1u2F`Xz_T#R
z+tn?@%Q3;vApyeK0p@H#2}x!K6G+^t!1OIf)(7U`)u#&6w*pxon1ffJ8dM+e!i61+
znwuLIxAe8x_Ck3AV4f^?m#U-bT-1oH6U@V*Qv<4#vuDLZunoPOJu3{sEF3yCk#saS
z^tRiAb%0qobZ9|!@ML8sWo0ISgFFGk*#PF?2!Cy;K8|G1EI(IohXfd7gTrj3yatai
z9jJN{aH<1m9xqRrUXb7{NcMpW&UV0JFC&8hgTs0*0S1Q+AYvnk*aRXrgNQ94Vk?N)
z1|qhDh#eqeCy3YuB6fp_Js@H)h}Z`r_Hzj^Ffcm=F$*yKcUZ~_B3T&(82*C<1DFLE
z92T<*FgP%StX>CF>Hs2H9qN%vH3lY!)gYtRa0xIlqRKEjtOaSZ5{G)9fl&|61efIu
z3>X3q4Gatn=&HcQHkbgLh*b?*sgA~F0Q*rC-QfTK85kI>BoOX{GhyyS7XaIhE(mrS
zm_WD>T@7+&fy`zAhr1}ca)`@dB|ZbA9-Ikt8M*-2W$1#S`UZp{aRdrmbQSOl6wY99
z0Hpy@bcG-nF~V9i4FC1ufu?8xV?rutMo{ext^vUWQjJJL6^pJF$_LeV5)Lj53=Bq4
zOW8XXH!NOgyBJIx+8Q%3Ffj8uOhi(_-O=9P)3DHXaZAHWZV7RD8399EV-W#MhijnJ
z32JIFpr~i<Sk$|CA*+Outg#_P4I=}?e^fPs3by^dz3sM$QeRNPR$f+C+*VRf#L!Se
zP}WEuqL&FnFULX$NO{At&|%lkO}ln(fT(1~P|3E?GdUqEgKgo=%^P;@fT&`@P$j5@
z;T9$AZehjH%dr^dmc=M;VZ%_#wix1;#Yk>p$518Yyx1WrA;Hf9QLBQ4Hf`82&tb~^
zsXKPeoUvi^CWucsFm!V^DcLSw(Z6^_FNkGqA#ZFU3sK97p_aW#2^>%CO-e{H#f70x
zP!S_^6tRa6H-=s|NIbD2#}W^QDt>7CYVPX*r!jtL8WWb2KuTx4AbrgL{~N$^3v189
zF53nO$-v-n6;z0VidTm#Al7vdaRWr$1QEAD#BC692SnTj5%)mEeGu^gL_7o$k3hs@
z5b=adfI$_ONtl^*m_Qg*b})fM6hd%-n~xw-2R=py280Hd=Cuo&!30PWhZb=ZEzC^n
zOdyO$1238eJtk%*J#e!ghYkEF8d#dwt^+x0T{9j#B+<332WeT4Pm2Udi-rlbK;g>r
z_ssB2PI5@jfHOBkGarM)Z3YGg4O6I6j^xa&Om7E|3@Bp;iZTWU1~!LhptyJrB3^)q
zmmuO5h<FXIFG1y*!&6W>1STOBC@h6AFo8P?FfOPZ2VrP80VWLYI)DiV2Bh-&Db$S)
z;D!UJ<cDbh1s({4x)31D!0a#yskmf-B#m%nAwCDHq(_JO3=9mgaAsh1cmoOukkjB|
zAa^k^Ft8&R>I-cbgPp{{0M-u+d<I5`w=n&1G5q?OSuCNU0_vWFI+D=J36%MuS{b;Q
zz%?n7O0W#LHwEieHQKg7+F~HbW2l7mq+mU&7I1@&fzhFdfq}sqVZRs>8*BsET695Z
zw+z)VhX(=-3>N50nK>0WLD(S@)N+ew7GPjxvq9JgHXex&wiBMhkrI1y1~_6E7_sU=
zsZF6JAE;&prw~SmDh37yTd1X+Fb3EvP>_Ry3tf=AqqDgKT#>dcLS{qlM^}zL9@el3
zFfgz%ve`kchFONh2RnkWf6;Y7vo<`Bdt`u<9RrIql2y2p5<55ukyH|L1XlIf(*P(e
z(M<;T#j$kHQH319od<0C!ChJ8jySk$j@nsw0QWj@>PGH_Lv*8vIDoq=*mQ&X-Z;AC
zScDzGJsxc4fc=2n`G&XxMZ^KrRbpUth-YA6a7Btoka<XaLb1iC<qp*h$ty4(SRVre
z1A)8(DiA!N27r20NNlhP;KYC~NJ<ogiwSfCKt%)yBNr2%2v>kDMdE{9f-4h1yp66J
z+JFW(&m2H)7zRc*Z!{Z__(a%%t{QK^YWYF!g18RG16#wuz<}X8MmEqW9k?07zyS6>
z5+AG!zxUBqW9=KD^%fjJtvanh6o<liU@JkRdf56H*vx@cEkRJjKq(1{4K@wzD|A6{
zMj<M*gVPbZc2F7uVdQiajBo?kN+dqm9ryzhLp4%I3DOdG0JVb|7}-M6>_OraVGp`$
z;v*~qYA+;Z!FXUR85kIlJ9bF!Wn_zlYJ=5ENPMtf{K0~*8r~}ecgP$-y#lRhs0|Q@
z!FXW9LCsKDKN6vXku3(Q1?DIuK3E@qN1?06+6hHZtf0P&Rvgq`h*M!au$7=hkF7I{
z%^XOg2NmGZoGXUJ2Ac*>f#`zZM2}J<VTwTNC~%@j*A7bXAdH;o6QCY~1tbz5><;_^
ziJ=;)M-ELypxzz>BU=)hJxF{a>_Jyee1xS!?S(`bj0d(7l<2X$mys<Estpz_NPMtf
z{K0~*8b160Ny4DMuT}=s28hF8Jh0)QL=PLVK<Hp(%Y<ryISPpn)`#Cw=&C_O8(2m=
z(1aX7eRQpCsLc?^!gydy85kHyFbR_IbD+k7N_!+W*gSB;M;9cgc?He_=mvq3KL{gd
zfn0=Zz?LKN!7jodtmvvigFlF2APCC=G{(We$d-?06B3^Yo6uF05bRn-P@5qU2;+e*
zWnf^y>1IZ@VyH$~nnU7)b>j~lbk#`XUXTVBXxvAu6lw>=aWEd(cu;p5F;<4q!^l<!
z)dX`G5+AG+zr)Z~V;yKiFS$YEQ(6^Jdm)a6@xWGsY5;5lcG%2;WQ0nnVW5nF#0HxN
zPK)S*;PM`&H-ISu?jJyU+34CqNgsrflRv1_3$2B~RwD7i?!X_A=&E6(j?mH{G@8f2
z$X0{!C)f@oJ`r}Ht0q3OKyy7Hzd<4k#sgakDr6y!ggV&)<W@#DP%jFk1(vRm_+Wkb
zg9BYP#_%e1&;d5w>Hr$))M|nT55$=;9@sht1_q+cfTSnTKq1ueVn}STN#KA-7bLku
zhHd~eCqE|$J47JHZCaq-fdwHFAM6tRL5QvzZ+D4-k*y8QHY7d~wxO#gDzG7=j4ZuK
zHsc>eK~f2J6P}SAa5SN-$32LGu9B1p1tnH={ovw+sD2er-9$A|vFRqKmd9oe*bl@M
zk__BzpuuBkjsb@}5+58>kOd7H;0bl;>INvs0W?|7z-WQ41C$#;7@Q;6CL^U0kXw=X
z5c9zcAD~9^Aafi*^WY4O7U()aW`i)q{3&SWBk>{TgBM7^%tz)pfTq|P7%k9sfXoJA
zi1|~|%tzvb&4;d|KwVV<<vD=n?->{^(Di^!2VsZ})6i@{;-lCAUT*<gx6uIMIe-=i
zFfdx6>j9Y#!Vnv#quGGO2it(MN&~uH!vVD7fPv8hT`kB|5QdmM1I=V4KHOyR8V=BE
zj)f4G185lo1EU4HT9BzA3^92on#o9fu*r~x9w@6mpd1I#3JC^A3v?YIvq2bQ{wy@}
zk@#TqVT(fGt3whTK#MLI7#-#?FfgF2W@%ous2NOv*O0KyMl&6W4>ldNY{Uz^Y$OA^
zY{c6k0jr<`c$EjE1-c0!pMWsfH{hYrxoCDG@xgZDDRX(XKyy2wVgOPD!+2nQ*hbx0
z*g%UUK^kCn91<U_i*UJtt^?FA17UF6j19D84%vJpKG=L>@*TP!km(={v0)Kfcp>q@
zHsH$@=xRZxf-uD7#b_oY@xdnJPh04!!O03tfYX-NQmE;WsDkmp27?;l#Ev^Mvn_)f
z1dBo>KG-x^5JQR@R35D0LDvHc1Q3P<#BwwnkoaI5KtT*C6c8*}@qn%tWGV<lOkROz
zG7=wbGX5Y&R}BtbFaZhTRZ!C*K@8)84F(OGU?16JWLpi@1`ALmK3Ff|G7DV?$ipBE
z_O#YosQD0Q!FXV!L9KbraY7chbx<uZCn52{`cP_I6b`KVMb`mx4hVyt#I_#Id?Y^D
zd|0XhFa3j8UJxFvdPLU)G982=Hf%t%0f`T`0hDqe<{?<H$_rgB$W#!9n7k3qWF$V=
zWc+CeT{TPdLQoa3a8WZP4Q+;+4v8!n4{R_fn-V)x%FMO}Y7i_6k@#TKU_p#8o1*Ih
z1p){|0%9wg4M=>j4WNL<l}*vrf=mTrh{@Z~Oh)2^O~xO@=&I3!cn8#UND#w#V1q%~
z6xZ11PN+6mfFkk1dI@J!bR8fMgD}|BTDzg<L!1TUfsF=bQ_PV~7PdW5Eifk`@xl6d
zkQ=Tj99SzBT?fcHAPjaA+g>#Dk@#TqVQC1Gkx+TCY>KW2WI6~#Y}kip0}>x>11Jqa
zOh>R_*%VzZ$W#!9n7kj&WF$V=Wc+CeT{TPdqJ^NA+CpeHJqR@&5?L@F*kIB|cA41@
zL5+e%A`%~L9xPb#WmI%MpkM%DNKhO`vjK?@wgD8hxH2lbT9BzA3^Dl#n#o9fu*vuX
z8C^AcARmL84hdu!4{R|0(bwZpjj$j^;)8V)&Z_7-Kwbu6u(!2NLd}Ob48{W+jceqU
zne7x*6U<Rae6UVfJVTN@Di4;K(Di_v1j1lvv7JV<0f`T`0Tj;=(-ACKW<pmBG8Kd&
zCZ9nw8Ho=z8Gk&Zt7d6l2+B+gVVUV1)O1L2!gyeVL3JLnW5djB=b;9{f*6SpHVqc2
z__7kZ9#9~FFeD%@pxJ=L2ipJ&SX@~NT`kB|5Qdn15zS;IKG<aZL5!{%J%}$uO@{<A
zj0ZLtRJmY|=rXZgfog#TCK4a44{N^@t01g1if#hP^B@cffU9WsA@RZX;ST_G)#w3m
z9cntG!x9Dt1{e=)FlaUSe+DkL8&D-M4<qrx>InBu(RF}&+8_+>ZL{4(Garc$F&}vt
z3u~hqT?fc)5Qdn43(b5aKE!;2tpRi$AhSUjVm@dYEOOr(i4Qg(mb)ND3@Q&+fT8OF
znGV7b8}6X_0Ev%c1HSx=t_Nf~2t#bRi)I57A8Z3C|3ci4V8Mz=bhRK;K^S84Jv5V%
z_;8bPl?>==L8gK*#N_*ECL{5|CKImB&~<>!24RT#575j<;)Bh{pYhODgZsl^0^Fft
zdx&N_5+7_j))E7&AgmZcHv!}m5C;1OGRMFmC<WUY$-uw}S+;A(2wmxgkO4b@fwQrt
zv$x&0dGSI73$n8fA`jYy3EMddG7Y>J3vL=h2BI2a27-ld8f<4J$TaY}Ot@(X8RAWY
z?Xd)z241HLHw_^}ylJrgmLSu>>o(!0A!LX*4Yv0ZWEyz&CfqcH4DqJHc3*-_1FhzC
zfSZPpA>K6DPE3$#ph*db5LgO9$PjNDY=0)mG|&_T!Zd^o@utCcZGub#O*kM-L&y+s
z8f-5o$TZO80>U(e4DqJH_IH9z15G3#Ohd>JZyIdRC&)C=<N?AogbeYf!S;iKOao0A
zAWTEZ5N{f6uPDeg(DVSpG=vQCroncQf=mNV3V==HM2JF60#B!*3koDBWO;aIBQ~&O
z2!IP)Mu%hu1_pG^4z*YWLEB$I7CPvI5<NB*AXyLwn+zs6KwJoxf^BaFc?LAs0QL;n
Y?Fbo&QbaL`V4)R=5QWI31L#l%0HM#-_5c6?

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..83ddb0c1a0213795badc7de2d639909b7a272411
GIT binary patch
literal 40290
zcmWg2k!R*+U|7Jwz`)?}q)MKd<JE=7=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De
z_VjY_b4}x2+|swAV^z!IhD9Cyy*k|qAA?s^^EyN^Ffjaw0*4L;1_m#;?EWlQ|DB%M
zu33)Bo=F=Pq<H!-Sm==9>5-h7kg*`cBRQjg^USHcr_P(Vp?CAlshg*6*wpLd;kf{-
zV79BDU&7+Wj=fp_j;>yrS)L%Jy-8UM=5LrWYv$Yq8Cm|GzL_2gvlhTqF39loO>kQ<
zs2rofz`(!+3S<TcMh1or%uI|7)=bRJix+ZuB|CcgJ7#69uu*N#_lh_L5oSwp%}Vpk
zl5^%R{C_+_6%;LN<*b=lJUu;K`Btr7xX{7F)g{5t!7D4{@v`>}hZie8U}l7<a(KUQ
ztsE0F2T2^lgc`)%ym+B)W6PqBo)vw|mZ@CeN!2?IQ2;iBfkDi{pMilv2I_W@b3vGa
z0Y#X_CpjSnOrT4E91C_a1B1gt1_lOLAfTos5YGaZu4EY)9GDmc7#J9Y9P$_#7?h!Q
zv$r<(wl~_gKxq+&c4h$v28R}A0R|=}Mg|K8uxb^U>ct%kI~LitutR7Zs#T$?c{|$s
zdm0ulUeVvzV%q}Y8A5m>4zsb@qXyN<voOos)h)xzF~QHl6~fs8=1j%zK6RMB#mM@=
z9K8B8VER@d>jQJ}>eGbk<6XG0V^MQ+!{QdO4<S4OFb_xAXrbv`)QGGT%)_Bm8>*AD
zXT?IW4K18KD-6Lb96EH6bTl{gw%dYrfLS<n=t6a{F9EA+VK)KOI8^IFRdXbJX8E~#
zJGep^vmK^lk6nGJdJ#|m1TRm&Bwxo2FHe|Wkl-w^;0~xD$Q-Px(Ew_Wph8DqZ*yl~
z%ff{njlGNdZF|8Y!bl>rDCvcn!32_?4PhoIVVD3CA;JVBs0l*Oi$T6|@psM0@bpMX
zPI5?q2+cwg+JM~^#!xc^6){`^5+TABCQuWYm)Q0)o7l>tgge-`j0^${4(quD7#uc$
zh>aj(6NuOhBDR2ttsr6>h}aGyc7TYTAYvDY*bO4~fQY>yVjqau4<Zh52{14)I|MNc
zF#LB|$_gS`83Y*qg9HPZ1sEI_vkEXcFoW!02U6+)B3T{kkqSNrCWqA^qt<W<FfgLZ
zFgmOSX|j@q`jCN956%P^_Y4de0uBuf3=HV1z~v;E0Go(a4O+pE#$^EeQ54<a|Nj{n
z7_8(F?t?R7?n4&<+l?*=b{d#KxDQ<oa(#l#W&nq~D7tcp%V3oP1EU_C33C~`0N7>d
zf}ko1gduSR3R`p)@H!UGU~mAX0a0{?AQv&hnnMi#&EbKjXbEFN>TgC+1rDwx!30vJ
zNkT1*t`*7$Re#0~E}&S0TFSR_si&W-cd}<zhO3KbGK4!D#&w8h1J##oj1Fmx0u0Rm
z{~N#@E0pExp9yP*B{;ZwBs+qH5G^yX5Cen5HBjmXrF(~~TmlTLR#1DHnRJ*y7!(~$
z4%b17zyt@lf(D5?@G&wlAT+QvuU*g#CP0!nw1}f<VP;}y0%4E_MzB}F7J;}73=ZsQ
zYPp!0nYh61C}hJyj)H1n#G?TePGG~q1Q8lQ=3+RBpbc<qkZsVghK8xYLRh?cdnR}|
zBxk?{HiHE=?|`@t+_Z7H4Qix=l7bCXJFj9#drQ}%j>QXGIy)ADc~USQ*r^N*h=iaD
z(*=q|5C*x3$>AX=BEbYkM8Y(H;}A^X(E^D`m=;hZf-pz}G$KKEfVl98M5slMNRTpw
zqo5if!Hiu4IE3N4h|mC53)e-22C!N%0n&=BLBkdr69S9TBN8S6k4Ugyw1~8WYUfo#
ziAWF+Pej6Wfg%xvK`vr)cm;|`Fo6+~Fb&{11QU3)Kq3;R1r&)O4AKCNNRS;ME<7R;
zYLO!nqzvIGs0K(xV%Go;VYn_LG=SB@brGQftQJgwv?6QJu!qKkV3QIo2X%GyHrg&)
zh!8OXix?S#eFf=mAo8RG)BwJP3sH(^Fc)|6tP0ZyibxO!xr)i*Gbl2_1V&`SG=Sp~
zOyJQ1iA<OlP(*?-NCPx7L3V(+@W@1{MUG66GK8a`8X%F0T?06j;kt;>09FgvMT7>h
zS}+09imXAy5gHc)E6{T#OaPuM!Fmz7QUg@Hf~pij1z0jz+||;(5R?vJB1RAqBSZAE
zS;G}-uOK`PL6k092p2(UMk^0A++dm&F>Hp3AT*;p+a0QzU!l1VJR-BWeMOIL<3bo;
z7R(nkgwzU1{`G)qR6^4T<>S=o3DwBExP8UKjzwVe8y7-&MvL25$k<}B%?qlDC(AE0
z!!y~-%hACj1H#!1;>_5A;XrSw7B=6^42R?lFtr&|nGaMMOR7V12Fq3lEJ}Q#O87D$
zR(m;mCOaf&K)Dma+|4_{?Is2WaK<)23)R93jz<W|0BQ6=TX~?y5+v<0IIwXGFmSQJ
z^nkgbY{f0Wzyxlq!epT88KA=#uwjfANS6oHX2nnm8M=TCVYGnzMGTA%Jq!#C=b>(7
zU|<kKVuLLKTZ=9T9S1=*%;A9m1A_&+Qf5vCP7rp81oe&LnFSb_*)AaL0~?RT2iu7!
z=PUvTqwS)F4u3%XBu0lB3=9nD`WYQ&V-;P3MfCrF25OjLf$j!oPJT`hc8CD^Tapdb
z(+dSLL5)C02ao{UMYM20;zPm#cOifXhrft$K-Uim2TV~=IG~Hd!U0{JL^CYV^@G9y
zgfYSaRCqzd;SyRnAn_sL04u%Vz81s~arlP_2Xy@qKVXW2!U0_r77pm*B${D?t{)Tz
zAdC?XpmG=z4vY>}3=9mHp@G2(V}OGI)NTi5Yji>Gj?U%|ThPc)%OYep10y(Rp)1E;
zUaVmeU|?W@lm%Cy)`Q9dBsSO)U?b55A;Xj?W0p{k19-p%Tq>Yz0+k3Lj3^bD*sdaM
z=0sv6Y(^I(x|m>eNM>MQK-cb2i$xIB-2>U^kjKctfK^2tNE#F!paKY7e={*K4xEFb
zu3^CK;8>^<aES?VFxxexuts8oRf1y~U66#Z1_dj+cCf)HfyT(d$ce5BtIcd)p8hVb
z8BhvbxkJ)6x^BooJL<4IjOPFz00cMnK!gGlIICTUhJqN30e2=StDy_>fXCILX&Z&(
z03K8XCv<d8phOPB$O-)h)LI4x22Lb4*m7_XpbNsAeu(l9qh@db)dP@(j;<Y?jL`)#
z6FRyIP#YHHCa}ri{xm3|qpPBugF#LKVMIblcM9A~prC*_nC&J~SR=7P1~Gu0jxI<-
zSc4Kex^}R^po9*011O=RtHNqCG=)Pcq=b&H8`3}pwNf2exCI!%D#3&TI91<*dRYv{
zfV&Bls?h~`z_UKERE^AW0FRP`Q#HCKP>Kd&<WvnBT7o7SP9!$ia<G5V1u^SzOc8Lc
z4oTJM+QF$BT@aoG;Ket(3NEmN7#P4NgZrbPRE@5RZVraJ2C4W)cM2y|36g`^?m(j$
zRDvL}!79N{M;F8lSxgbI1&rWeMb{2C7?i3(jVy4Wfl@WPDy%j`lQWb8CsRoAjjkJa
zs%BtdFn<X3F%Puo<&f;*?~1~~-Ki=7cdB3}IDohe3=W0xP8CcB=1c6o8&KxKP>DK=
z4C#JBJ5`UNE(SHEkl0{Lz#)Y$h}~Ln{zF#^%6lM;$bXD%PZ0KjjYr~x?Sytx9l@Pc
zc%K!<bztQdU|@j6KDth@b_S3vsD1hrY7Zxj0h0#XiY|!UCPijL+o$Nt@wHExz<t$c
zQ0qa#io^yx0_*~GL9BDt(3xuo@EjmGh|#ryf)|95gZMeZZm?BIe6an*2Qj)%uy!y3
z_T(#sMPf*7hyqYTL>Gj45<HRKg35CM&vAl1iLMRgK@djrB-sAfNcJPK5%!}CLT13>
zQ{mnYt`6W?RFJI>a~K#H&^53$FIv<LCLB6Iz2Z)0PzM<_81V+lZX`CsZgfE+Lfzp4
zXmJOqzDCy!Z_Q6<6kuRvdyB9f9K%R_umgy&i-A!LT^G4VIV8ZGjcy{mo}0@E%C>Cp
z(cF#1C*IxQq90u+SUbpcaNFV|hy}`eFa}H->_v1zf~f*s155KlP^wtCs2M#~e1cjG
zvKxsFwjOLZx*!oTg-8|XnxX9&1_wy0_>8a|Y!?zA>;NL{Vqg?Q*F~;Th*W`YB6_O$
ziso)4KJo4brwVkPVC|p=0jNg!4z)%M#(>L$iYjzLf{6lM155Lwg`lEhAx5J30ks&E
zD3I7->%pOmE=WW~Arb|;W_ajA62(u1<zTyz_+SSRVHX3V7`iTUjY1>}bQ9suh87jS
z(cF#1C*IxQM1igotR37=0F~qa5cY^6vB8SKzC;%!BES%lgRU9gtcOGnXpIUq6NBwS
z;)5MPgk21bV(7ZaH3|_q=q92^4ro3M+1*Hd;@u679CV#v?NGv8m=Usil)bUB!M10`
zLKuy^4SgKkhKA{L0C5=@98SR7&@dUO4Gh>Dv!L<-LnWRzv?$b_pbU=023rD-40J*4
z)`D6g7U)Vr?H&+DYWuK>A?yPikHiPt37$HF&LAacI50!n%%CX<bd`|dVoXuckTbgI
z|NjgY=whIuVh~0jE{2Q|gXUyG$%GTe0J{!U9)m&{T@bn5jm(C&!O@lDYlB0^h$Rrg
zB!<KWI|3YT=z=I~H=(OI9l)#Zz)^#)926}ej2tzR2s^=6Ao0QW;*J`0m5`{x6a_^M
zx+o}W(8WN}0>ap%MhfaqF&G2vI#|@83xWa$ywnu5M0FvA<p5rZ2o4x@<)A<TVdQ|3
zhFS=-0*Mc{8hgNi(h0gs$Sf+#lq!_tzywO^3=HN9P|Ki79Xyj0vY@lC$q)|iBIGr=
z2!Wa40OB$*IK1HoHT*%&fysbf&A@<tZWG$MR)V?%GysCc25SW;4|GA~bdSu2<^go&
z`0@axAF7OSffy1S;R19)_}XIV8e<3WT2pW|qbmhPF$g0?Gq_u(f@CKW8*C>hx&SyB
z&;_w121uVDT_L<a1(k8oQ5&#Z(G`N+3BpKjWm84C6YL5kKG>~jLp=<PV(2P3(FL%Y
zgVi^nsU8g7pspXfpeWca3=9tXu&Ew&72Gft@IYWfRORT3;Z=DrX!#@~n>tz`Ao0P*
zVgv#wx(cwL(FJk(8C@Z`6@V^?>1T8mSp3Yu08V|{2oH%NvB91JI~83Jw6q=TdUp&#
z2k>fZaQZ?w1eCTw7&(3EAY1~r7>N&d4R~4j65Cz}@LFv~PIP&!ngo&)vOGMq5&K{;
z1i-7zA%mCbCZa_qSS6SMtq2CYNx|VJXhGI35OEts+yN1HLBu@}aUVoH0uhfv#1jzl
z6hu4&5zj%y3lQ-dM7#kJZ$ZR65b+*Fd;k$2LBtmj@fAdT0}<ar#19bh6GZ$15ukM@
z;DJzZOAcHXGlKWYINShDqk~Dv%5>P;Uj`=dCLI_Tv_Kk!p<8rd!r*N?V1j`GY2mX8
zG{PLf%kn{mJxl{QY#10AK$~_Do54_d3_=c*kXA1<fafqdV2g?wAkzbIF4RPD@<$hh
z${~%mqpN_82XUfHfYS`RAaqn4N<oWBPyvLl5UXq8Wh}e^Le~a&FKE&dY&?g<d<F&v
z*y3LXMu!KW=mdo*TnwrSvZ@<xkvE(R4nqb8aKOSA#WH~B%sAj&NWj9mP;<dvK^KI|
zAq6bD3Q%(uq>2+=0vxdDg3u6!QedBx;2O+;MK>Sh5)cNP3??7}3tNoK!07N27O-$J
zkOojv#auECUqB5GL1?hTmZ&m-7khHRxe$-QxlmKV!HO;jl|u?vbQQ2#hZ9`_9IWVq
z(C~y(V1JX~8q8otHy`d^wDbyF8q2`w@Cg>Ia51PREDM+s!3tSy3r?-D#i9)0c_<Dz
z7vd2(7iuavSkVQca!A37t^(#&PIL)yu%Zh>!xKs&1uMEjtgeCCg=mMMYlFKNEm&cT
zR2di@e#3$lE(X<vbulrb7yzw4VqgFlDzJ5{42+PKBA`MAE(S9V+s+Y$X`r=jP}5)w
zSs551>jyxl!Ns7afwvpLBN>$k%SoW(4z{k9fe}1M362A}7}PA{{Rvy>%E0LGpG$y&
z6V8X41U4RB5E{2o3Mq=w6@sG}T@W*h(N#e0Mw-m$fCeONc`XB@0|U1J11Fph)dF@d
zx**h@PzuSp=nBEkMHj?$F1iY+-B_FpThz<I=)eeaE}Re50(LICAk>{u3dy<X3c=1r
z7sPDTqN{+~jm5dJ<-`n(;65%VoDbCkb}qUg)SXZY$+_qX!Ole&1Z{r?nU2Ws=qf<6
zAPhDcOu!NYY$-DXBY5zM6V3-Ihm8QB3qsuqrNHJv3QKf_VCSL>VmcRH1=MaVp$l75
z&A<p=slo~8L$!cI7hMqQPAG-sTy%wC=b{T@rd@OuP`j}>7q+~cfe}0%?f^Q)04@gC
z1}e$WMcEg1bapK6Yk|?=CE(yyhv+K7jz$-Rn+;#Kg02GAE(IIRz#!nTh=GA26fwjO
z4oM_F*hKhNuw?L7uw=yEFqi<i`N+V)XbRH`Sx^n*flUD=t9Z~r3x+5tB+vypn>+eC
zTNc{(E=I5zn8eVPfr9~E5Z0J+XaH?MbSP#NfGoj8R|oH-T5*CFu5yMU!U61JaA2Sd
zBAkO@F))dtD+4<WT@aVU(AA+kEF7D|&;=3BL9iH@#L$(29fmH5%VFs1&>a?m&0*+*
z2<ISJ3`}C^%D@go7sTZ-bam(si^S$IbU}o35G)2JF?3~MhoKANau~WgbccbCC4u!}
zK#2^T#?S>3&Oxvkn8eVPfgOe}h|6K<>d+k)jV;8`1rg3cuo#%c(3OE5hAs&6HF~Z@
zSBLJf7;Fwh7eqJ*!D3(%LstfN7`h-XhoP%OcNl0vG)yl%D$xZI&Oxvkn8eVPfgOe}
z2s0c##L(5DI}B9F!}P)(hAxP34uZwNB!;dG>@ajeW{|0%@*J&zMOTOJFi`Q2;V^VT
zgmVxq1|~6dWnhP)3*vGZx;k`+C16Wq=z<96AXp4cV(7}i4nr5j<uG)0=nhN7<}h?Y
zgmVxq1|~6dWnhP)3$oydN_2JT4okx3FmyqLa}X>BCNXqnV27a#;&K?eI&_DDhRrb2
z7`h<BIS3X5lNh=(u*1*=S#g9Ix;k`+rC<v&bU}o35G)2JF?3~MhoKANau~Wgbcdy4
za~QfH!Z`>Q1CtoKGO)wY1=(<f7`i%ihoxb27`h<BIS3X5lNh=(u*1*=aXAcK9lFCn
z4SI|;hAxP34uZwNB!;dG>@ajeb{rvwt`6N{8Q4M$T@c|M1dD-53|$%6Vd#Rm9EPqA
z-C>#79EL84a1MgSz$AvQ4D2v;K@J=thOQ3XVOiK5hAxP34uZwNB!;dG>@ajeTn<B5
zhwiX!Yz{*gL^ub*Vqg+OR|a+%x*&W*09qxEt`6N{IoKSAE{Jdrg2lijhOP|kFmyq9
z*Bi}Y=<3iNmW$0{=z<96AXp4cV(7}i4nr5j<uG)0=nexN7>7|@pbH|LgJ3Z*iJ>b4
zI}BYAZXsHT;Zz6h@aAI+F?2zMa}X>BCNXqnV27a#;&K>Hbx?;DU~?F{Ai_Ba76X$Q
zx-ziC&;@yL6c^~~;2wZFtPq>S&;=3BL9iH@#L$(29fmH*i^E~)>d+llgw0{-f(Yjz
zSPV>J=*qwjLl?y5Fm!e34lBmyFmyqLa}X>BCNXqnV27a#^5F<Ebam(sE5YV4bU}o3
z5G)2JF?3~MhoKANau~WgbcdB<a~QfH!Z`>Q1CtoKGO)wY1^ID=7`i%ihm~P-7`h<B
zIS3X5lNh=(u*1*=aXAcK9lFEHu{jJ~5aApIi-AcDT^ZP6=z^eeL{Oli_r%fFp*ySs
zo5Ror5zaxd7?{M+m4O|GE{Mxv=<3iNR*B7F=z<96AXp4cV(7}i4nr3d1O*qCAp&%D
z=neyI1i+{((FGCCL9iH@#L$(29fmH5%VFs1&>dEdEyU0T5zaxd7?{M+m4O|GE+~W}
z#L(5DJFEtq!_Wm0&Oxvkn8eVPfgOe}h|6K<>d+kqS}cbVmFR*9=O9=NOk(KDzz#zf
z#N{w_b?6SO!xm!bf(YjzSPV>J=*qwjLl+dr5tZob&>aRk+!G_j&;=3BL9iH@#L$(2
z9fmH5%VFs1&>aSvp}}w%x*)<i2o?jA7`igB!_WnBISgGLy2Bc=MJ2i*!Z`>Q1CtoK
zGO)wY1#vkHT^+i^ny@(xT@c|M1dD-53|$%6Vd#Rm9EPqA-C@nx9EL84a1MgSz$AvQ
z4D2v;L0k?)SBLJf7Hkef7eqJ*!D3(ny8~V68|auTR!MZrTd`S=E{L!a!D3(%Lstg2
z5M2;gsGzGucUT)XhoK81oP%I7Fo~fn13L^|5SPQy)uB7A9h<|@1rg3cuo#%c(3OE5
zhAxQ9Vd(169R@n<AET^77eqJ*!D3(%LstfN7`h-XhoP%OcUUL35JMM4I0wOEU=l-D
z26h;_ATEcYt3!8K7dD5X3nH9@U@<U>p(_JB3|$bH!_d{CJFFX<!_Wm0&Oxvkn8eVP
zfgOe}h^qyGt`6N{ppA(bX$)Nu;T!~ufk_Nq8Q5Xyg18)pt`6N{z1TtwT@c|M1dD-5
z3|$%6Vd#Rm9EPqA-C=#$9EL84a1MgSz$AvQ4D2v;L0s*6bam(s>&NCWbU}o35G)2J
zF?3~MhoKANau~WgbcapA<}h?YgmVxq1|~6dWnhP)3*zcKqN_u9*hFj&Ll;Ci2f<=s
z5<^!8b{M)KE{CD3Lw6Wxbw5U~L>ELj2f<=s5<^!8b{M)KuJH+Ub?6S8j4i~_1rg3c
zuo#%c(3OE5hAxQ9Vd(169X17<!_Wm0&Oxvkn8eVPfgOe}h-(ZCT^+i^rebp#x*)<i
z2o?jA7`igB!_WnBISgGLy2GYna~QfH!Z`>Q1CtoKGO)wY1#ykDqN_u9*mP_TLl;Ci
z2f<=s5<^!8b{M)KE{CD3Lw6WxTQf$kL>ELj2f<=s5<^!8b{M)KuCaV{b?6S8i7mv?
z1rg3cuo#%c(3OE5hAs%V5N%opr#k2)!z^qLLl;Ci2f<=s5<^!8b{M)KE{EY%2Xz?e
zI9!aVL>ELj2f<=s5<^!8b{M)Kt{FCTb#M<r9R@lY2*Y9Mf(YjzSPV>J=*qwjLl?y5
zFm!e34x5WDD$xZI&Oxvkn8eVPfgOe}h-(%XT^+i^=3#Rfx*)<i2o?jA7`igB!_WnB
z&3mJ(Lw6WxqXtG)q6;FNgJ3Z*iJ>b4I}BYAm&4H2p*sw8GaZJ*&;=3BL9iH@#L$(2
z9fmH5Yi$L(I&_DDt}w%J7`h<BIS3X5lNh=(u*1*=aXAcK9lFCnYs)blhAxP34uZwN
zB!;dG>@ajeT<dqx)uB6VF}B2yE{Jdrg2lijhOP|kFmyp&4ntRm?l92V5f~wcE{Jdr
zg2lijhOP|kFmyp&YsApip*w6Twh%)XL^ub*Vqg+OR|a+%x*#rxp{ql8*fMMmLl;Ci
z2f<=s5<^!8b{M)Ku62m$>d+mw9Gk<?1rg3cuo#%c(3OE5hAxQ9Vd(169kv3S!_Wm0
z&Oxvkn8eVPfgOe}2pxB2K%Xo{SBLJfmDn7HE{Jdrg2lijhOP|kFmyp&4ntRm?yyzZ
z9EL84a1MgSz$AvQ4D2v;L0k?)SBLJf)z}<{E{Jdrg2lijhOP|kFmyp!S%e;y=<3iN
zwg#KS&;=3BL9iH@#L$(29fmH5%VFs1&>glGo5Ror5zaxd7?{M+m4O|GE{Mxv=<3iN
zwho)a&;=3BL9iH@#L$(29fmH5YhMVuI&_Dv$L26}L4<P<ECwbqbY)<Np$p=27`i%i
zhi$;-FmyqLa}X>BCNXqnV27a#;&K?eI&_C^#O5$`L4<P<ECwbqbY)<Np$p=27`i%i
zhi$^<FmyqLa}X>BCNXqnV27a#;&K?eI&_C^#^x||L4<P<ECwbqbY)<Np$p=27`i$%
zhk;MGZ~$!{0bjH-N(e9vzc6560B?fbhDd|pJ$Xoc@M;d+_v*nn!GezZ0BwSWX@zWp
zh4H|qFfcGMbE1o2wGWZV5iICTH@g0V(Dnm3>7z@cC27v>2!DcI1hyPq5Md>P#lR$n
zt_*A;x}XrW^Ml@IKv#$EupQVOhAxP34uS;@6?CO2p@J@nW;ytj2xhdj4NVxk5$*zq
z6%rpDfQVc97J+Z&L%ydECIUXL1e7#jnjuL8#sixJvW62~1gnh*uOnCtOkx;%LF=H<
z1#!g=x;nG~;@ksr9?YHKb$tvB4CsOg=O9?n*g;p?h@588CDAMgAAG}vh#hFe>_<2W
z985@jutRa*xd+d&pyN(J(E`&7i53_SYzhMd12-qS2v++Lenzkun8Yyjf}#ao5VVjK
zWF2~@L05+sGMonxVF1z&xr+o{5aApI3mPrxN<ph2K?Z?yHo7Fb<p;4@jxLC>62XF6
zj;<6m_X4*ZT@u~$L)a`w7erWzU_mWMR|*PgxaH`Q=$0SGW;wbb!b$`SYB{>nM<AO)
zxeAmv&?V6=KZ4D2bU}ob2o}_Gbfuu9+Clb%;~ZTQ-SVT@EJqhaSczakEk{?1;&XIK
zbjy!nvm9LzVI_hEwH#e3N}QuhqFa6(o8{<&2rCgRsO9KNQBpg)B)a7%uvv~Sh_DjD
zf?AHQ6eXXdOQKtT5}W1df(R=SECwbqbY<W~hAxP!^g~yN<}mQdUEGLzfg~0Kg98WX
z)}ta20U9vogdQ#k%7&n2r$~HI?qCp9=;-Th23?W2u%oeeQNL|3rijBH(6NUMOr|i+
zj218!*bH#<59uOHF?3lB0dP%&u8N3b#~m63p%>4gYX)u72RX)J7U*0Q1|bFp;w&Y2
z;6A!V;A=U+1mYG32VMpN2E3tzbloMip65b~7$iQ(9}I#@7!iXZ;;<JQF)+=H7BCjr
z42+0DmlZ=707neEAW0E}t{Fabgoqe)C0H#b7%}LkV7ndz5-~89*g^+0Vz|*F28j<2
zR3YcZp8g45F8;0=8J->q$w>|gScDw*L8AtyozViu0-J&nHR!Tp=mOwSLKh?{YS1;q
z#}5!ugRTUtr39k}-4tw>R6wEzrV?A|U`7oOTGSx%!GS8Mh>>S7L>%@*BL=3K(E`Q-
zn}HEA=(1wy0^l%07bGcS&^5#7<q;8st^}*41S1CB6qe?NpxZ+hBHtbYi5QqlY@vf0
zF??tdgTx01D(sr<Ug$N}=zQ>5gA5GJrZA0+oG=zxKdkmb7bM~0O;F{BuAP`jf?s6H
zD2A>b90%xv1mgf*1Gp{$6Nn0h<Z!^a@e=c<M(|0KkOP|0oj^=DAg*krSvX)`Z$xr9
zVBCC+^CmxX(*x2ae~=+IezdfY#0RHce&|i_@U)M{2OnI?z`$Y()5ypPV}bR<(muK%
zk>}SzVjNvFF)<E4JQ;LoDWe#=c5uo^7bKYS(KUb*J(xgB`7lMqhQi`x&#Vkr7f;ye
z2gZmd_^e{`Ljg4W2_8tJT_|8l_aue_(W7<bhXeR%V$ey$v<nB!o2*FA6D!bD1G)hC
zEMW3O0W`D*?x2BgMF91$1kiFn5+9s<c^9{@SlF=$TJNFqz-PdM2I^p%7{y>LuwGcs
zM;An|J<<8#+SDNcbUhxZl*7=DRsf+FP~ZZ|;V|f;21p4fh~`HmKG>bm`%b*P934Dh
z_nkmE;A3M!K7?su6oawAIzb0#q6>h_7IZ;2&ve%e&txdYz=Ty1YUrZ!z+vqGT6cqP
zG*+VscnPZ>Hn%Jne@{Os#lYb3i<tp>j_LzYr&JPr9w+3E3{bqFI|R$+6%J*PSU3sl
z&c6j+Gb1FW3?H{(bXX5M*xC-17C{CgWFUdd+1S$A+iu&ucp-x2(9R6ohyYR~rGjMI
z1{Bi}G9ZNv3<xt2EOgUUkxT<$Dh_fRCqfir5;*kG1u-s^zz_gm838$)7hN-W`~_VQ
z-in6bAb_p{;v5DBu*qNowv3X2fk8?Q$upZ!f(9W2F#!=E2o`$Ks3VyMz7ZW1G~kdx
z$PjOu29jyuo2cQYA!LX*O%us9@TISC(-1Pmo2G?i8u)fqxM>I(;!V>=G7Wqw7Th$1
z4DqJvAejcfNeXTnLWX$LbdgL0Uswb;4Ix9kX?jSef$xU}nZ}6_g_s0RGU$RBc?ClN
zoVp>I2VFBb^PmgD#|Ge;2VDikIk+;9K9Xm^_t$_t19m$?24Vst%OF_jna2RhG|*tA
zLkO(QL&y+snjw;Dpb<2LX$TqOO*29=4K(P3FbyF?ylKWrrh!H(5T+qyh&Rmy$u!V)
xKVZ{15uy;2z|n#(h>?OY1dx&xx@K^aLKnm=kI_{?oP#4t!6Xs1gHVn<GXTs5=g$BD

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_ecgunit.vdb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_ecgunit.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..a430d3d54b69c9cd9585cd5c8da41ffe0ef4b4bb
GIT binary patch
literal 6847
zcmWg2k!R*+U|7Jwz`)?}q)MKdL+IY)bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu$Vtx%y|icz8NEXLXfNU!OmJb)!QR0|Uc<C~#<GU|?wOUd6Y#rO%?XvBS1$
zG4INbK3)aeo|c8Y3mX?L<TH{Gu@Vs%m*p{%5HS*wmFMYf?BK;JxT>YSy;n)8foE}F
zLre3D#T_7}JpGG#<zxkgC1iLPF7E5-S<%=l%nMV=yRf6Dx0`oxxI&(Rfq@AWrVI{@
z3=A7sm>3zXnV6dwFXZq_cJ%Uh%*t3{quQSD6>$n8%$DGqmFAfx=geLB|9FBbC>GYr
zSu?SCdV0F@ty;Zsp@WC3OM;(+S60U3W$zgdFIIfO%m`8C@P6M~IVNNdk~oA3HHf=;
z@j~0imPH*sEBcl#Q@OyCs&^WqfQgWPw4}hkxTSAJXJbc*1Z$a@1iK*E4Gat{4*m=b
z3}R5fgS-pE3=Am3EI!EzDPRI!0_0hinKNfjWngev$iTn=3q+)Z2V(Aoq(KG-2POsq
zP#8Nff@o%kAZ7uE{|-x8K_n}K0K<O<24;r<W&s9=#jFAh4$KS!3=TWF1Q;MBt3y4K
zV;Ptnws8qCIBe$<U|>X*VRYC5(qttL^%n!99-IlXo`Hb@L%^Ydfq?;C6*xA*1lUBZ
zYS1DZjmrS`qbRz;|Nk>EFjz?-+y`gE+=ngzwi{g#>@+Zea38uF<TQlLW&nq~D7tcp
z%U}`7z^Dgj!d!+f0CpL=ASfAuFeHvZVT-N;p0D5x1_w|Y5JguAauFk}#AEoc2n#eO
zMP(Qhl4lv2ab*e;avmgOfJp=aNw|y#Fblc-9Fr4VvmM<$oe|8*3=9tEK`9cHVja$b
zQXm5Z10y)iJ6r&9!6YQD!c1ad0%tWC7ZhY549#dTVQ}UH6ATPUL7@TlKR7#qqXDJ?
z8dnUU+y|`zkeCb%42qsmy$q1BW1>aa5h$e4!<W+m<R~ww!(b&Ahz3<oP=`W_KZk3e
z@MLw!V_;zLfoW)M>}_wfZDEJdA`b1$ppv77S%3lL5CaCVYG0V@#T^Sf7TLD2LueeT
z{h+FOJKFnu8Wt{I(cjl%+XCSkLU<w$v$5F&Dpx`EiIA78pJTSCUy`q5MpkB$zpH~Q
zSZFs`Xa_`SDt5O9Ky4QQtIhE8O!mz3bM<yefC%h>3v57f12cmGB>o+)Lqh2$C{^A9
z5w}6a9T0IBB;`=az`y_ta0Z7PAPI;bDOjk2QZQJ*9U}t+IE^7>AhvKewsiKk+cqy=
zh+skd1CeK7V32}^I><DzL*S+%WFV>$W*}JTromzbWE$8<Ak#Pzq7ak7jzt#~NKVM|
z@XSV3&KLsVpk#DNW?*1I*X&S>MUV?(8-s&BD1fl3fGS`Bn+zs6U_uNGQm}*q@(eg;
zK%N1+9U%izjtCG03q5FHNd{yZD2g3I7#SEi5uy;2z{aBsVuS>S0NCx2ph4FR4jObp
z%%DM60dWok_Mm~6`39hJ0hE~p5Di)fS2vGjN00!tbpa7zU;q~l;8ugfRW1PrjSy%i
z;_&fE@bhr+$bd3t?0|%w1E_#-xXr-8pb-jFn&p|{nG8}2VPH`j235)j$(oRC?2!TC
zLUZ+u9pC_CK(Zkms!h1LrM+Pla-q_=5G-Z|7K0Wof`%AQjDQ*-2v)bSxnohs;`Wv<
z+s1_u5kt6$pdqsDCqe#;glZN;DwE)4h(`uk7+NmDg;D)}fPsO*JR52Zr-CiCRS981
zN@)fin7qReP#MGE(8<8S026~pxG^IGgC2$`sG)!^2(I_Q1h}mSPP^!8K$Qfjc?+W%
z9M*#DW|hf>x)p9O$Od#lXpI4@Ia(Yxf(k-xDxqlyo`^g$96o_8k^xmjpcIN?54s?<
zu7TA#Ee@Mt_MofefFwMR3^>E#GuWPdZ2mzPgw#){wH1u#uo-42x-LlCg;r9qqzvae
zd;!~EfX#k%L2w0!rOrbYa@YcM3A%o8PQaEs(8L_Rg56Yz%}wZnkSY_S4n-1h*a~wG
zx?V`u!pv;QA`ag`Zh<5_aI+7T_?aM$zttdvKZ4~!B%}ohE7PDYKo}R)`U7ET3lJs@
zPXAy6r3F|F^*W*j2-5&dh)B&oBqjs9!#$9r<})xbzzRqPMsQjN=P$Sz)EGpCgJ40*
zCJS_>%$)q3AnXtU>c@dBfEB9@jNn8Jwg4_h&;kYrkQuP@mVptR5INy|sD7}a=z<s(
z7={42h=kN==$gSb8oD56jfSoQ>K@b@4eSM2+0VcTPPbqe!^NQ55TT1;LBkncDJYCV
z7!l5}x`BZaoESObe5m1I8_@+Zf&@bVDU8rHgTn}25HpO>RY2W?C5&J-6a%BfcToBS
zmBDZ^s5V5%AXtzvVqkSx#K6E13(XXu;WCf`NPMsnxC(IwMpKwlMu%opgTNZaVA5b7
ziN-rz5CQ4f!7RX_i(w9^*@!O43bh3sZRnCr8U9Qe{tky2LE|Z4U&o>O8i@}!2ws!G
z8Q|Q=z`$q<Q_5@sV}W%rFff1;7zjfW87NH9RbbJgz{$+1!08YP@+rD<aEd_}6oy&{
z_9?m~l25^rf-aB60Z0XqF1m884zMKB0SpY_piV>!Y9v0`AkykD21Zku0gz+|<AKcr
zjd-C8fWrx0kR(fy6C=7o;1EI=Oo2KEtJO$R1PvjOa%gi1tOJP;Hi($E1_PrhOdB&N
zj0M&W%15A#jV_2$bYTcU$}-|i<81Ee>ugzQ+q)RSVqg+Ow-g*)=z@Y!cRDnH^Jg)m
z00UNa+%R=koC2WHSkO=c*o_L{w4RDcXkdk$=mOxtMHj^IHHHA#3P$2gLwFv+Vqg+O
zw-oF>bU~2kK@LX`26T0}gFzQvDOP*<p=Bl3;6O^9;6P174^(skuqo(*7-5JZ01Z@h
zjaW@X1SNvSz$AvD7gR5x3-W<N6r2S>!Rr7|Tj=WW1S+~xaG;_KwnHm&tR6rLR0alz
QpP;ra)LF=s18C|B08rK3xc~qF

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
new file mode 100644
index 0000000..e014d76
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -0,0 +1,8 @@
+0.7
+2020.2
+May 22 2024
+18:54:44
+/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd,1742986758,vhdl,,,,tb_ecgunit,,,,,,,,
+/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd,1742983392,vhdl,/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd,,,operativeunit,,,,,,,,
+/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd,1742979155,vhdl,/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd,,,ecgunit,,,,,,,,
+/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd,1742984917,vhdl,/homes/a22olliv/Documents/MEDCON/tp-ecg-etudiant-a22olliv/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd,,,fsm,,,,,,,,
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.ini b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.ini
new file mode 100644
index 0000000..e8199b2
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xsim.ini
@@ -0,0 +1 @@
+xil_defaultlib=xsim.dir/xil_defaultlib
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.log b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.log
new file mode 100644
index 0000000..e69de29
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.pb b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.pb
new file mode 100644
index 0000000..b155e40
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.sim/sim_1/behav/xsim/xvhdl.pb
@@ -0,0 +1,4 @@
+
+
+
+End Record
\ No newline at end of file
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd
new file mode 100644
index 0000000..c5ee6d3
--- /dev/null
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sim_1/new/tb_ecgUnit.vhd
@@ -0,0 +1,115 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 03/26/2025 09:54:33 AM
+-- Design Name: 
+-- Module Name: tb_ecgUnit - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity tb_ecgUnit is
+--  Port ( );
+end tb_ecgUnit;
+
+architecture Behavioral of tb_ecgUnit is
+
+begin
+
+
+end Behavioral;
+
+
+-------------------------------------------------------------------------------
+-- Title      : FirUnit
+-- Project    : 
+-------------------------------------------------------------------------------
+-- File       : operativeUnit.vhd
+-- Author     : Jean-Noel BAZIN  <jnbazin@pc-disi-026.enst-bretagne.fr>
+-- Company    : 
+-- Created    : 2018-04-11
+-- Last update: 2019-02-26
+-- Platform   : 
+-- Standard   : VHDL'93/02
+-------------------------------------------------------------------------------
+-- Description: 8 bit FIR
+-------------------------------------------------------------------------------
+-- Copyright (c) 2018 
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2018-04-11  1.0      jnbazin Created
+-- 2018-04-18  1.1      marzel	Modified to add more test inputs
+-- 2019-02-26  1.1      marzel  Adapted to 16-tap filtering
+-------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity tb_ecgUnit is
+end entity tb_ecgUnit;
+
+architecture archi_tb_ecgUnit of tb_ecgUnit is
+  component ecgUnit is
+    port (
+      I_clock               : in  std_logic;  -- global clock
+    I_reset               : in  std_logic;  -- asynchronous global reset
+    I_inputSample         : in  std_logic_vector(10 downto 0);  -- 8 bit input sample
+    I_inputSampleValid    : in  std_logic;
+    O_filteredSample      : out std_logic_vector(10 downto 0)  -- filtered sample
+    );
+  end component ecgUnit;
+
+  signal SC_clock               : std_logic := '0';
+  signal SC_reset               : std_logic;
+  signal SC_inputSample         : std_logic_vector(10 downto 0);
+  signal SC_inputSampleValid    : std_logic:='0';
+  signal SC_filteredSample      : std_logic_vector(10 downto 0);
+  signal SC_filteredSampleValid : std_logic;
+
+begin
+
+  SC_clock <= not SC_clock after 5 ns;
+  SC_reset <= '0', '1' after 19 ns, '0' after 57 ns;
+
+  -- Sample period = 20 clk period
+  SC_inputSampleValid <= not SC_inputSampleValid after 100 ns;
+
+  -- Null signal followed by a Dirac and then an arbitrary sequence
+SC_inputSample <= std_logic_vector(to_signed(-17,11)),  -- -17
+                  std_logic_vector(to_signed(-16,11)) after 1295 ns,  -- -16
+                  std_logic_vector(to_signed(-14,11)) after 2695 ns;  -- -14
+                 
+    
+
+
+  ecgUnit_1 : entity work.ecgUnit
+    port map (
+      I_clock               => SC_clock,
+      I_reset               => SC_reset,
+      I_inputSample         => SC_inputSample,
+      I_inputSampleValid    => SC_inputSampleValid,
+      O_filteredSample      => SC_filteredSample
+      );
+end architecture archi_tb_ecgUnit;
\ No newline at end of file
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd
index 379a3e1..e53f594 100644
--- a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/OperativeUnit.vhd
@@ -34,7 +34,7 @@ entity OperativeUnit is
   port (
     I_clock          : in  std_logic;   -- global clock
     I_reset          : in  std_logic;   -- asynchronous global reset
-    I_inputSample    : in  std_logic_vector(9 downto 0);  -- 8 bit input sample
+    I_inputSample    : in  std_logic_vector(10 downto 0);  -- 
     I_loadShift1     : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
     I_loadShift2     : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
     I_loadShift3     : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
@@ -49,7 +49,7 @@ entity OperativeUnit is
     O_processingDone2 : out std_logic;   -- Indicate that processing is done
     O_processingDone2b : out std_logic;   -- Indicate that processing is done
     O_processingDone3 : out std_logic;   -- Indicate that processing is done
-    O_Y              : out std_logic_vector(9 downto 0)   -- filtered sample
+    O_Y              : out std_logic_vector(10 downto 0)   -- filtered sample
     );
 
 end entity OperativeUnit;
@@ -68,12 +68,12 @@ architecture arch_OperativeUnit of OperativeUnit is
   signal SR_shiftRegister1 : registerFile95;  -- shift register file used to store and shift input samples
   signal SR_shiftRegister2 : registerFile3;  -- shift register file used to store and shift input samples
   signal SR_shiftRegister3 : registerFile11;  -- shift register file used to store and shift input samples
-  signal SC_multOperand1  : signed(9 downto 0);
+  signal SC_multOperand1  : signed(10 downto 0);
   signal SC_multOperand2  : signed(10 downto 0);
-  signal SC_MultResult    : signed(20 downto 0);  -- Result of the multiplication Xi*Hi
-  signal SC_addResult     : signed(27 downto 0);  -- result of the accumulation addition
-  signal SR_sum           : signed(27 downto 0);  -- Accumulation register
-  signal SR_Y             : signed(9 downto 0);  -- filtered sample storage register
+  signal SC_MultResult    : signed(21 downto 0);  -- Result of the multiplication Xi*Hi
+  signal SC_addResult     : signed(28 downto 0);  -- result of the accumulation addition
+  signal SR_sum           : signed(28 downto 0);  -- Accumulation register
+  signal SR_Y             : signed(10 downto 0);  -- filtered sample storage register
   signal SR_readAddress   : integer range 0 to 94;  -- register files read address
 
 -- DIMENSIONNEMENT !!!
@@ -82,54 +82,101 @@ begin
 
 -- Low-pass filter provided with octave (or Matlab ;)) command
 --fir1(15, .001)/sqrt(sum(fir1(15, .001).^2))*2^6
-  SR_coefRegister1 <= (to_signed(-1, 11),  -- ROM register used file to store FIR coefficients
-                      to_signed(-1, 11),
-                      to_signed(-1, 11),
-                      to_signed(-1, 11),
-                      to_signed(-1, 11),
-                      to_signed(-1, 11),
-                      to_signed(-2, 11),
-                      to_signed(-2, 11),
-                      to_signed(-2, 11),
-                      to_signed(-3, 11),
-                      to_signed(-3, 11),
-                      to_signed(-3, 11),
-                      to_signed(-4, 11),
-                      to_signed(-4, 11),
-                      to_signed(-5, 11),
-                      to_signed(-5, 11),
-                      to_signed(-6, 11),
-                      to_signed(-6, 11),
-                      to_signed(-7, 11),
-                      to_signed(-7, 11),
-                      to_signed(-8, 11),
-                      to_signed(-8, 11),
-                      to_signed(-9, 11),
-                      to_signed(-10, 11),
-                      to_signed(-10, 11),
-                      to_signed(-11, 11),
-                      to_signed(-11, 11),
-                      to_signed(-12, 11),
-                      to_signed(-13, 11),
-                      to_signed(-13, 11),
-                      to_signed(-14, 11),
-                      to_signed(-14, 11),
-                      to_signed(-15, 11),
-                      to_signed(-15, 11),
-                      to_signed(-16, 11),
-                      to_signed(-16, 11),
-                      to_signed(-17, 11),
-                      to_signed(-17, 11),
-                      to_signed(-18, 11),
-                      to_signed(-18, 11),
-                      to_signed(-18, 11),
-                      to_signed(-19, 11),
-                      to_signed(-19, 11),
-                      to_signed(-19, 11),
-                      to_signed(-19, 11),
-                      to_signed(-19, 11),
-                      to_signed(-19, 11),
-                      to_signed(1004, 11)
+  SR_coefRegister1 <= (to_signed(-1, 11),  
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-2, 11),
+                        to_signed(-2, 11),
+                        to_signed(-2, 11),
+                        to_signed(-3, 11),
+                        to_signed(-3, 11),
+                        to_signed(-3, 11),
+                        to_signed(-4, 11),
+                        to_signed(-4, 11),
+                        to_signed(-5, 11),
+                        to_signed(-5, 11),
+                        to_signed(-6, 11),
+                        to_signed(-6, 11),
+                        to_signed(-7, 11),
+                        to_signed(-7, 11),
+                        to_signed(-8, 11),
+                        to_signed(-8, 11),
+                        to_signed(-9, 11),
+                        to_signed(-10, 11),
+                        to_signed(-10, 11),
+                        to_signed(-11, 11),
+                        to_signed(-11, 11),
+                        to_signed(-12, 11),
+                        to_signed(-13, 11),
+                        to_signed(-13, 11),
+                        to_signed(-14, 11),
+                        to_signed(-14, 11),
+                        to_signed(-15, 11),
+                        to_signed(-15, 11),
+                        to_signed(-16, 11),
+                        to_signed(-16, 11),
+                        to_signed(-17, 11),
+                        to_signed(-17, 11),
+                        to_signed(-18, 11),
+                        to_signed(-18, 11),
+                        to_signed(-18, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(1004, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-19, 11),
+                        to_signed(-18, 11),
+                        to_signed(-18, 11),
+                        to_signed(-18, 11),
+                        to_signed(-17, 11),
+                        to_signed(-17, 11),
+                        to_signed(-16, 11),
+                        to_signed(-16, 11),
+                        to_signed(-15, 11),
+                        to_signed(-15, 11),
+                        to_signed(-14, 11),
+                        to_signed(-14, 11),
+                        to_signed(-13, 11),
+                        to_signed(-13, 11),
+                        to_signed(-12, 11),
+                        to_signed(-11, 11),
+                        to_signed(-11, 11),
+                        to_signed(-10, 11),
+                        to_signed(-10, 11),
+                        to_signed(-9, 11),
+                        to_signed(-8, 11),
+                        to_signed(-8, 11),
+                        to_signed(-7, 11),
+                        to_signed(-7, 11),
+                        to_signed(-6, 11),
+                        to_signed(-6, 11),
+                        to_signed(-5, 11),
+                        to_signed(-5, 11),
+                        to_signed(-4, 11),
+                        to_signed(-4, 11),
+                        to_signed(-3, 11),
+                        to_signed(-3, 11),
+                        to_signed(-3, 11),
+                        to_signed(-2, 11),
+                        to_signed(-2, 11),
+                        to_signed(-2, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11),
+                        to_signed(-1, 11)
                       );
                       
  SR_coefRegister2 <= (to_signed(961, 11),  -- ROM register used file to store FIR coefficients
@@ -146,7 +193,12 @@ begin
                       to_signed(149, 11),
                       to_signed(191, 11),
                       to_signed(226, 11),
-                      to_signed(239, 11)
+                      to_signed(239, 11),
+                      to_signed(226,11),
+                      to_signed(191, 11),
+                      to_signed(149,11),
+                      to_signed(122,11),
+                      to_signed(-119,11)
                       );
                    
                       
@@ -183,18 +235,26 @@ begin
             if (I_Filter = "00") then        
                 if (SR_readAddress < 94) then
                     SR_readAddress <= SR_readAddress + 1;
+                else
+                    SR_readAddress <= 0;
                 end if;
             elsif (I_Filter = "01") then        
                 if (SR_readAddress < 2) then
                     SR_readAddress <= SR_readAddress + 1;
+                else
+                    SR_readAddress <= 0;
                 end if;
             elsif (I_Filter = "10") then        
                 if (SR_readAddress < 1) then
                     SR_readAddress <= SR_readAddress + 1;
+                else
+                    SR_readAddress <= 0;
                 end if;
             else        
                 if (SR_readAddress < 10) then
                     SR_readAddress <= SR_readAddress + 1;
+                else
+                    SR_readAddress <= 0;
                 end if;
            end if;
 
@@ -229,12 +289,11 @@ begin
   begin
       if (rising_edge(I_clock)) then
         if (I_loadY='1') then
---            if(SR_sum(6)='1') then
---                SR_Y <= SR_sum(14 downto 7) + 1;
---            else
---                SR_Y <= SR_sum(14 downto 7);
---            end if;
-            SR_Y <= SR_sum;
+            if(SR_sum(10)='1') then
+                SR_Y <= SR_sum(21 downto 11) + 1;
+            else
+                SR_Y <= SR_sum(21 downto 11);
+           end if;
         end if;
         
         if (I_FilteredSampleDone = '1') then
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd
index 9a5c9a5..31a7064 100644
--- a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/ecgUnit.vhd
@@ -35,10 +35,9 @@ entity ecgUnit is
 port(
     I_clock               : in  std_logic;  -- global clock
     I_reset               : in  std_logic;  -- asynchronous global reset
-    I_inputSample         : in  std_logic_vector(9 downto 0);  -- 8 bit input sample
+    I_inputSample         : in  std_logic_vector(10 downto 0);  -- 8 bit input sample
     I_inputSampleValid    : in  std_logic;
-    O_filteredSample      : out std_logic_vector(9 downto 0);  -- filtered sample
-    O_filteredSampleValid : out std_logic
+    O_filteredSample      : out std_logic_vector(10 downto 0)  -- filtered sample
     );
 end ecgUnit;
 
@@ -141,12 +140,12 @@ OpUnit : entity work.OperativeUnit
         I_loadSum               => SC_loadSum,
         I_loadY                 => SC_loadY,  
         I_Filter                => SC_Filter,
-        I_FilteredSampleDone 
-        O_processingDone1 
-        O_processingDone2 
-        O_processingDone2b 
-        O_processingDone3 
-        O_Y              
+        I_FilteredSampleDone    => SC_FilteredSampleDone,
+        O_processingDone1       => SC_ProcessingDone1,
+        O_processingDone2       => SC_ProcessingDone2,
+        O_processingDone2b      => SC_ProcessingDone2b,
+        O_processingDone3       => SC_ProcessingDone3,
+        O_Y                     => O_filteredSample
         );
         
 
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd
index 5d7212a..375f47d 100644
--- a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/sources_1/new/fsm.vhd
@@ -41,13 +41,14 @@ entity fsm is
         O_loadSum		: out std_logic;
         O_loadOutput	        : out std_logic;
         O_filter	        : out std_logic_vector(1 downto 0);
-        O_FilteredSampleDone : in std_logic
+        O_FilteredSampleDone : out std_logic
         );
 end entity fsm;
 
 architecture a_fsm of fsm is
 
-    type T_State is (WAIT_SAMPLE, STORE, PROCESSING_LOOP_1, OUTPUT_1, PROCESSING_LOOP_2, OUTPUT_2, PROCESSING_LOOP_2b, OUTPUT_2b, PROCESSING_LOOP_3, OUTPUT, WAIT_END_SAMPLE);
+    type T_State is (WAIT_SAMPLE, STORE, PROCESSING_LOOP_1, OUTPUT_1, STORE1, PROCESSING_LOOP_2, OUTPUT_2, STORE2, PROCESSING_LOOP_2b, OUTPUT_2b, STORE2b,PROCESSING_LOOP_3, OUTPUT, WAIT_END_SAMPLE);
+    
     signal SR_present : T_State;
     signal SC_futur   : T_State;
 
@@ -85,6 +86,9 @@ begin
                 end if;
 
             when OUTPUT_1 =>
+                SC_futur <= STORE1;
+            
+            when STORE1 =>
                 SC_futur <= PROCESSING_LOOP_2;
 
 	    when PROCESSING_LOOP_2 =>
@@ -95,6 +99,9 @@ begin
                 end if;
 
             when OUTPUT_2 =>
+                SC_futur <= STORE2;
+                
+            when STORE2 =>
                 SC_futur <= PROCESSING_LOOP_2b;
 
 	    when PROCESSING_LOOP_2b =>
@@ -105,6 +112,9 @@ begin
                 end if;
 
             when OUTPUT_2b =>
+                SC_futur <= STORE2b;
+            
+            when STORE2b =>
                 SC_futur <= PROCESSING_LOOP_3;
 
 	    when PROCESSING_LOOP_3 =>
@@ -158,10 +168,12 @@ begin
                 O_filter      <= "00";
 
             when OUTPUT_1 =>
+                O_loadOutput  <= '1';
+                
+            when STORE1 =>
                 O_loadShift2   <= '1';
-                O_initAddress <= '1';                                       
+                O_initAddress <= '1';
                 O_initSum     <= '1';
-                O_loadOutput  <= '1';
                 O_filter      <= "01";
 
             when PROCESSING_LOOP_2 =>
@@ -170,9 +182,12 @@ begin
                 O_filter      <= "01";
 
             when OUTPUT_2 =>
+                O_loadOutput  <= '1';               
+                
+            when STORE2 =>
                 O_loadShift3   <= '1';
-                O_initAddress <= '1'; 
-                O_loadOutput  <= '1';                                      
+                O_initAddress <= '1';
+                O_initSum     <= '1';
                 O_filter      <= "10";
 
             when PROCESSING_LOOP_2b =>
@@ -181,10 +196,11 @@ begin
                 O_filter      <= "10";
 
             when OUTPUT_2b =>
-                O_loadShift3   <= '1';
+                O_loadOutput  <= '1';
+                
+            when STORE2b =>
                 O_initAddress <= '1';                                       
                 O_initSum     <= '1';
-                O_loadOutput  <= '1';
                 O_filter      <= "11";
         
             when PROCESSING_LOOP_3 =>
@@ -194,7 +210,19 @@ begin
 
             when OUTPUT =>
                 O_FilteredSampleDone <= '1';    
-                O_filter <= "11";                                  
+                O_filter <= "11";      
+                
+            when others =>
+                O_loadShift1   <= '0';
+	            O_loadShift2   <= '0';
+	            O_loadShift3   <= '0';
+                O_initAddress <= '0';
+                O_incrAddress <= '0';
+                O_initSum     <= '0';
+                O_loadSum     <= '0';
+                O_loadOutput   <= '0';
+                O_filter      <= "00";
+                O_FilteredSampleDone <= '0';             
             
         end case;
     end process;
diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.srcs/utils_1/imports/synth_1/ecgUnit.dcp
new file mode 100755
index 0000000000000000000000000000000000000000..3860a8a97002bf7cca63fc42f38f1d504500dfb0
GIT binary patch
literal 149363
zcmWIWW@Zs#U|`^2*y2|eCA4VQl5>m<3?En+7}yy&8B&r9^eS?5LPJ;?n5P_goW6HT
zZ1F4yk+$`(*WY-!YA;7)@5M=h#u_V1eiZx&s6M)8!?E1L>5*cq|L>D3Fq*XFaA^Md
z-*)`fVr>UM7tU{Fe#V+uqOo$5l5M|L^GQDS{l#u87*1!iEo6~#l9c!AGI>=a*`s{g
zEXlm+*fRc@EytZ-2EP1mvR3_W{HM&6+aI%6`ZbG6Ojq%CjAia=67_3r_G55bP*-2|
z!d6qNphv)gwM_f$q3=BzLf86KEf-8_JghoB^uyNcdv6PTT)R$5@6|oSm&&4ClSK6S
zKYaAt`r0^A#jB6G=IvhIN1kn6O)8-mO|L&ZQ}TG}OZHu{)2C{2N5=6Nd=d&&TD8%U
zYs<+Eaa?JYkBfHO-oK;!V(OvWeiEWTDr)QIT4+2xbBuk?)#9zQeyh$+?6MSkUcJln
z*)b*Oq|-nCT{BUYWP7N&|IXBx(c8?sn+>GAx2yMY2j)FF{IhqHiOTw0b>dmIyodO0
ziVy#5Pnn+f)`t7;^|@P<%}p&IW$4ehKk|PS=d)jjax9)CwHMv#i{I`0!{heEWr@DC
zb^Nx!O*lRGhwqFFE!!8@I_Dp<aeaG3zVZ37_pBcy75-~QoPHX9Z1>&&TkhKac-i(@
zEq2a|8?~qS-`)S0y4dfzK5E?GQZ0I~&B(x@&BVaK$H2*unw%b*mst{DTvD2(R|Zc4
zwf)}yPKE-l-@k{?S*mtI``C&#9eEKFoLYv4Ej(`z%ni6Tdz<*f`?upfDs=9cynXre
z+{~9HA0DmRJ3UnLVs%x`j@RYCYiqg%cV{Q2gi1Rn8=P!w;AH<6eeLa{V!kxB=I;`(
zbR&;S<uBTESUcaf@Q1#JdhIl!1GBZ>Z7ken))U{Us`XsiMB91ZB8%>&OY~h!A2e*(
zzVXR~125*BR6nA3vw4HTl4}t=WT%}=HpyEiE4}Q%3Jn)GCH<zOYI6)y^^dI;h<Ph2
z|0;+n^RB?z*-R(WJdHe`W#ow;KN-1p-<l({FUCkNzn1xRr~m0=HG<JsKQ^09eJI8i
zqZMqlD7s?)@>wV5eRbR?tCF02*zi+K#v=bi{_B6=nHzg#-tP6cKh0nLxO0!5yhzU1
z#Ep;mQN#C%S$38=BLhPm69a=FM)+o=AaYsj1mEmK4gziOe~K2bxzHgv@!A|0XU+(n
ztfkviH%mT#P-dQ^cJ0sayd_g3a;j%P{acY{#*>(K`dHw`^bR@sV-E`qWDZGZ8ZEE(
z=zVoKBs*ej8&_DwLhGb^{D<;3oZWIz(C71F6RzS4wWm5~K6-Gi-rr@C>bHySl8Wb}
z1?xW8e7!z<XN1oDOEWtbeOzH5tMf>~;qVdO1zK^uh5@1sdm@e(u-7qpv|b96O<+oS
za7my(^PKTd@m`<E&r$oPOVwK(F`Jn?O}oE?ZNhE-!(wa~b_?F|^8I=C-_jcs(@JK<
z3v7E<FKKt%I(}~b{5SEJR@xeBt&?>+TFO>-{jcE3HyW#NcJ~U-oc2n=sQS~1Gf};l
zj>w*N6<d17^`-gzAAau3UhG=eZS$^Y?t!aXA&k4F9xEJf^4VP?Q^SNB+^=8zR_ih{
zFlaEM26sVXQDQDip~k4ec>P~QPDPlZg{zYS2pFcNfhYw-LxU|#ZzSaYd9AvjF66Sq
zwC^`K1BLjNenx~p=i#@LyPRx4d0N{FO~X0AJvQqEFL^$d!KmuI!O<tH0@i$q5n?U6
zcz#-lE!XKadfBcHt`1kt)f0YIo=KPaJS%M0*UeH3MN2oE2YOA42oIb-?d88j?TR3s
z?Bxc!r@w8?Qjy&=Ly5<aH;UPB1KaU+^S|r*8o6YzZWq5ZrI#V*Tej(ur_q1j7`Upj
z{#-Y2M@fYCmBMF5=Pq8)n>p`a{+IOW+Y39@Su5s!xVS`5ti^k-f8<Yz)pw8kget{t
z54^$Nogj4kO^x~0z~|vHI+ui}@~NCzQ;^9rqe=dGQ~S+df{GK?fA{H<d!v+lE=2nO
z@$zfu7Rw&gUbtnGIcgwx8E<SYv}9lq-@(AZi%8RY#TkhOsqi9WYApMl(4$|U-D5wU
zdNZ}X{l&qEc^6fdz1+7=b*`36!dX3uPDN=Y7tao<gDT7ZiU$gAWLlKKxJD%VjcK-H
zV1R%&lUPVdS4n{8zvr`!Ki@mIq1gP1c;dP8{ngLz>?~ehm;a-<a(CJ6c|QN1Ou3ls
zHvi}LD-QzeeNE>WK6(?l(oXqU?~l738yD}J{;Kxc&F6ECe|<4Nw$y0q%a~QqXBcXx
z_W8~=&U&+=_@7bMlfriOTU)cg^ZtzA{l0uc{gUtV+DdkpZJqu9Xy?3kn=_sN96h(Z
z-mG3$YNzw-)E|A1urDtym!v1p|HY{OUG~n6)$ci<2JTK-{%c;dl)rWIkr&3UA}Xib
zw2F`1xa`&=6Drqw(yvy^@6l<|K&6|N4zcTxF_zZ;;(KGc#`}t0<f@$1Fc;Y`Puuo=
zt^H*d;-Y%;T<M%?+rPXLEA<Hz$@#ZCT0~X5WLHP2)~7G`?=A{D`8bDHU$WG%?BSa3
zfSD~kxe0eUnXAfsPfdQ>wsb;X;PgfMZ|x;RRd(M9*zU1~WBPj4#p@=eIb9A2ayqp!
zv9x!W>S@y>Cl+3GJlS0RJ?F*U&Hnf8cJ1JrzH9rA6sPd|(r&(Kjq|Pq-@BNdvEPnY
zo#$n}S7eEYQP2%e=OvCOJ^tN|P-hhU>M`x5_TPF#kpl})8qE#sS$FYzO5lqZOOG>_
zKE0TD(yt=*)GH}jz4fmJM3fdOFY)KLFp9i3>7uHasyBE4{nbiVT%`{7uCeR&E?$57
zRP5QsUB^~fPr7JW5xZBa{_6g`1o@+jUPzy8{_(1z?r&7g3$fbG5y2_fnqO6aU)!<o
zsHxxWlP_*K^<D_r{^Is79)F2XtM|>^b<eqq?~PckdSswU=*=na_gy_3DuSH9I$r!f
zX^}+we4p6$iR!XKwe~m9uJY`Wmyw<B6ZNF-ugV=|E4!O_m#)@scbR%J@2GmVNyT@K
zxo@%_pQ?4+wOz*K)FMx}$e(MPpC+%mb+Ovx?}6sUF-AAt<2FC+(pc}68K4}$x?|Op
zy9;s!Lr+i7S$CaRR%wwGtAQia+(oxi*g1LLmV10@PBB+$GvRx6(_l&d-fx8kUk;~C
z`q<WJ>;G=`!*v(?Q+h8=-7X}$Is40FrAcW|)K3=uELYA8-?Q$bf3C`1-CvUTBK{w{
z_qUo)?oN5a;u`<Ei{>4DAIv_hr%KfB*rCH;!uT)W$UZQkt&zFvyJ+D2l$nMBn*3eA
z)La=aY%lHnb+XGk;loL-4#n8T!f)6o%2(F^c2qH9NaWA{sbIfd@TY?MBgeL_H5246
z9!U8<Euv9(k>klt|7yGDhfmKa*t8`v;_!l92X}1t4w<g=_Wp&<{d-oNnVj(LW#*Z9
z3E{81qC@)Eu$x}{{`PwHgU+r?x>*|x^4!}N+?=pAF~eUpKGGz_%yPwjqjj5Jq?ie$
zxPI}DoLsVH6NlEK;7M~+PuVy;&xqLcxMA0^V82)T*4^={-mia)Cfkap8D5K*7B-yX
zc6hStrHNTv>XN6|>|YnSIQEm!Pu){H<4;eLPgLIYq(1$7T`l|fmm+hgy)h_wF`@3S
zm8)`S)&~W_Uax5@Ly`|q_{F&Qsq<gEn>)+T|El`h#K!YZWbV8-1zxeW?-S=PGM*&M
z#%sGY-gkM1$?{d!uJsQ>a*x00Kk4H9_rSS}Gg6M<V{U(xEqHPMl;V<&d)cmimAPbS
zWju3&Y))iJn9-z+U9YB;I7gP09h|WAe|mDtlc~i$6DJ>wNWS8cJjZC0<kJUtniOUK
z9F-{e5T1PC;QgM!%K=hzr|SeBTd?WHd#UOBPA{t0Sgf^N=KtmdkK_N1+}!T{%P*}C
z%?o(`;{POB%gD>BSzK2bl;^IT_`SUI*mi@b(f0Gt`pBKXt2*n#p()||3C8n}IZt&L
zXEWMwwQsx4t`6O!q8E=S+?;9uz|pq)`su#6j~KpAS=+qGv*^X)(8kqUeaiauI47z8
zF;rcA(s85TSNF{&86}wtxAXtLy?R=0VgBO@|31AJ{uNvjsSxdWS)6yx>sqC%ue;*d
z-KVpkTsOy;`$xx%E`^)Um)K9t`M!U3a7pTfoOu1lbr(a67_NES6)j$8u=L^-g_{ef
z%gFM)6Z7Sr6kBD+BYkK0zW1CqO0PwHCvTs3rP|@X-o>ji5ub9enEct~Zer^p7gBy{
z!ZF6?)IR4FzfHH6O8@>Ue9?5%iXUs2ul{xAdP)B}-bss|H|*<G=gpD1a^v$9M&3;=
zyWNeuGMXJ-O@i;~*qXi)^#1;07lZB6SclY$UmZ6+zHm^TZ{6>w4<<Aj{3`b>x$4{h
z{*{cI>dY0D@0hJ`cgsC$ayY~P;rTlUAMPXeNB%bMuoY<UKF<76I8bjH+oOXHe>!eW
zbrFyMs*=aIc*Wcd_qRcBEd-a`+N#fcd+RTEiLH|(@BTj_Eqf&Jk?~9S8mW%UNA@^;
z5wv#+Q$A|kIAiU<{YDP+&a>)n@|v-RY4U`b?Q<&IgDiRYugv#gXIyToWbEhe`#Jq|
zx{Qt-=aJOL7i#B(yP5v{-{0tSt(!me)lG*Ian6q!tAD<7*I^NORbzQPY1fD4I`fPy
zukpA1@!zDo`0mHR&rB{RJ|W#Z8!bHch;{5Un)3O`(#97`a@<E&Dyi?|IP$u2#zmuf
zefugx8Vj6`%Sib}^jN+LxiwK;<!y@Bw2%WUmA1ue^)yXe$bNIhPtF!S?l<!nape~_
z{IC!xXL<C_;Z95X#HTytURX1psxChLL3_>zXW<<7<`RR0Wd{6L8ZA%6sGCS~y1F?{
zoER|Y!USh$5Q}5?w6GV)jsH*fxZo$+@pEyg-|UVxdB<JP2G35ljhE@DOR`#ZJKv@L
zr&a1s8<`HTk3kBnV>nm+d+!>X6FZq-)ZkK@?DYGko?m|bQGei3chC3iYxQYUT#VmM
zp69)IS?u?HHyy3GQi?wQ_%6I@!Gs#glL9B7aejZ3sV~G8%d};$*S#%O@lGWHCoMgu
zojCURhQqy!8>dv?3aAoVr8QY;xtol12%G8Kda-ZQ&tLsAMfAv}v*OcUC`?mc*_07y
z<SI6mYf&caB$*@3C;FOiwBNAou=O~`##r>KJWRkp+EGV`t&sWCgGmt$D(r^ho_vp{
zB?)*JNV9)bnzYfeVUE>Vu1AvE9lh&MU7EHvUd_H{*~;cUrh!V)n<w1beA(G6`u(dE
zHFnO>ohfsF{N1?l%!0Y+5*AK9sP6yPjg6!H`S0M8Ky%w|Dbdw`r}QhI^#5rv>Ccqt
zFn>e;oqXG;u6Qz8p~PiV<*O;xRvjLy<+&3hOM+T{=S58uSgQ5&Tl8dam-MN7<TGY^
z)%6sd3jL%zB~CoJ>giJ5<3)ceGGd=R?w#^<y2h0I#n&FMomImaw@7FIO=<J`rs*1|
z^(_v3l1`m^|Ff=f#=h!bMkRVi&EL<>x8{7RZtTEoIf2J-yHP|+>V|~@%(JG8&tuSk
z?qFnb_|yYd?dIw66~+r!-&o$N)yDtU!AK%E^~0j62Y*ao_OkrKmW>k}Pco)`VApOw
z8pigSOY%%y{D}pR8Ph(vYd5Qgu{{?zs(Am`iR~g|n!&MC4^&sr%Bw!G=gIdn5u+C+
z4U&IOo_dg~-Q2$ZZ_xfH-_`tfxrAT7#C+y~k9PCYFhO69eNU#gs=ugdkerj6y5US!
zlW42{&X;eU*izYN9K0FEwmw2~jgFb<ZEnda@(FD9+l(UeL@&O2B>YCZ=433NL38mM
zp17^^67JWopD}&U<_|2QM$LTxz1buRPxBm;o1HQ7V0IYW<}UeL(XBj37}E^SoqABJ
z-Mqfbzu;!`<x<nPRSlAJvQs~_O?51OD7z<o&9alsXCCN>vE@fe+632}d@pA7qO3vk
z&(%{8bXSX=)!ozl>}o3ypVa}Le`%>34xM^%Q~!AqO9#&^Zpjk+13c$88&%xaS`^*w
zdvu!<8#~Cs+l?YJZ4bsC&DynP!a+s$83*mx@PtK5-ifVY@8*)cz?k;IU%UBg7~6Ld
z>p8ZqJXJCYZ2vbIW!$^+N%l?5=UtM|^5cJ786EI=yZPzli~6^juVuZQ(lSeYa?+B0
z`TTdIt@7>#l>GV9B6;lNqO~?9->un{OXt`MZIiLz`<VCfk6+SH6bv&S`s)7vxH-Ag
z$?kXHwB_l#{=2*9O;eD3|MK;;<*n0}e|7aQzSZ|K<Mid?Yrl8AnRK$^;fE5<NgwC+
zZM3n?ubdV0#bo!}8T%3|qf#nowcakZw5?B$e)`4t^kwd8%a87uYkT)bna}-AHhVKF
zcP*5-+?{*8WX;pejZa_xNzLwA-v9lBxWMC=x2G*v4b$CG{^{thBeVZEr|en&K4M<n
zrlhQgndeU}%{}@h_Vne`)0VTc`umn|m%aR5$KPAmKl-_^;XJXIMUx)J*8MKptY9GR
zY3i6$_f^~T0p}wo9*fE*i_Ej(xjGyTb5?C>ib!MqSh6rQGp<>MeWT}gEu)=Gp7WNj
zJ1o)E9KpMeYthe0mE>jy%c<L%Dvnm2w_dmJILpSeLw2$*m(5fT&sgSb7$kZ6W6|l4
zpT%dGPJgyt{`O)!{+AW!bWeu}{+4Z?cq*zders25aUtj9xv^PAT{ic2B`nK&s#^7O
zF}G7}&UdAgN4GJv)Rmh*e0oew`ozjPqDQjB1oY4BI>J)en|CXv=%Map9|7aWA_3zG
zPZZ|OjacE(eXy68=}E{vu1BTX9ml)h9=m)rwQqTsqmI)QnIl0aIx<I0jvRKhxtu1T
zuzb}Tg?k&CZnU}z^Cj_5l5sJXGx=uQJaN@Fnf;lT{}#RY;dgi6sVB@H$E?_tLz6A*
zP8aQ|x+@l&b2fTn%JJV5p1gm@t+MmRHpP`)Yb&`Y#pKMM(4%eU>UTBDam|LN8$mIP
zcJ2RBa$a{<-o3k0A;o-;6m?V!1>VO;RFtpZF3ECYrF?|ro?KSL_eaxx#lK~3RLNsJ
z<=EpsY4$<u2~W7bC``J;WZRVzBhWSH*Fw=FUaJ?*u*jU3sWENc(}~<((kFL(Un8+n
zKC&-7vakFgtM$F;l09pEk0&gt%dw2hwTufdxU*%~i;AUXIv1aM6m86Pm~{WHu}9HH
zQ)S8UoUS>)Iz^9IU)z1V@S)G;wR!H7?%!pXm{%mQp7E(d*_rywEEjpEXkSPx0LAXt
zVC|0bwUZ*akH-kjS3c?Z#${6TjWCWynT3WOZ#{}UHS%%<6qeVev3{I)mnre?9PgxG
z=blD1IefZU{VwydZS%xenU;0;i}qxk<_SJhyutB+zxiv;I;-``M?Jo7l3Bk|#y-5@
z&X;E_pWn?|fA7yc$+|O6lkVR&64-HLR>P;=yM<2PNMg3UdYkJJ^Xt30`gV8YRDQm+
z+rKHG`~9KjPrG-EJw4@kW>@0EoBb7n+mEs_7Om<RJ<=W~(Er%dqT{1Sk>{J(EU!f`
z*Cl!0X;fkVD99mm<gy2->RPp4^oV<yz;xS1Vp<P#or51%*VRQ!+x8|c`4!oBJ*v<A
z0IU7oB9)yT_K~M;d#`UyTH?1sramWh!OK0LIP=35Pxh^Mp49zz;v<O{Q48)A?C;f|
z*Zp?yHoH1kzpvYyejMRS(s%nTbL4hag8t-S?&cTEZc4l<6u5nH=I7nBw-?O&`D1!=
zf`oXB(sH&4$C@nBk3u%=>>mpgv+jLar<XLdhEIe2qtv7rA#r6dz0TZ<r-hChMX#QR
z3A}z2wdVql=WP4ikrn5^znb)6f4V`CdQ{)_+qKCD3oonqyuI;JWx>Y2gC4wFWb!x2
zoZq$j!^ih}ZZ?dQrS!ISt}u<s*=^|jsC+wv<A>eR9bB@Y<RF#8y73}cQfXmVfvH^Q
z{@pV<dYgX;EG!g|p75mnyVN9^Ba^l@1w6TupxtqPjlwn2eHWLlUVnR)_oAIE1zbM=
zblAx{i)o5?lF_7?Ml~f#kf&a)Q80^~f5|;wY+2Lo2~VzXlsI|gJCEBdmD3;7PJis1
ze$`H5Pp*zdUfp)x<6RSfwLY!r4$Z0V608p^sd>y=eD+DH*`z=3%o-0I{dVL|_?hzW
zs!rXyW)p(Hh6zNkRmjuP)7|s$g4bmpzsvL6ed79-taDH(V67^BIoqn^ndu5|P-v|>
z$dxqvuGr&=79HvnpG?}ZRlw!5&VramJ=aOiGx~2>cC;S=6&6<nv^(C0dESZ7Y5XSY
zwWv~Xo*t+ekjk>WmuPvfRc)5+dZ)#wTy5muI?PEv>M=LR@?NH8-P#vFW*!pqx%??~
z`izIii+>pGys=KFuKe-G1u>0w`@b*xkre#oivC)KvPj36xD2-0Y9hZcTAGU-a}XDw
zeXzDwY{k!OPVX<;u->_$;NUkmiS^^o(8g~MEqAC~6Tkf^?aYgvOn;Y0beD@Y2k0tI
zKQecPH>e#YmB#w9RrH9{nn}e1?-fout~p{>^`@Fl`K$KnkJG0;PUr5M{Vg%)q-k;C
z?CwtqOZ>LVyx%5s-!^x4=B+Yu8S}f`Q|{kw_9)u8)+O;%xq00u+ccgpDjbDJmz`J{
zAMN;Nqt=D0Cubb@1l8;+{q<7imq-9#l6F8{xi+XgQk?_})2tn$N8(ni*d4fBD6pFA
zwZqxyF6E!v^Y7<)Ju*_+sj^II<!%EJFL0sND|*CEyJM|u@6{`dHf3BlUVUqYL&6d%
z-Q)3*ed!0Jt>?uR+^I2CODXIVRsI@%`s1sgXLax0Ez()P>2a>drpLd#9$%l{rF-FY
zMCQ}-?|F%Tw`OYRb%P36*?iWIey0^?-P6`niCZ^+-Gki!rf<upEic#g&+eLc@7Sy8
zJ<AV#`m*mW=jx_6nM>zwJ5uo~p)xADGOE$x#^tVU<z_<Dmh<cQuiqE4f_1fE+@9qZ
zK7CpE^ySV&D>lD0zH+X@|E;dSeB`_^(d4McL;c@Fk}9LJr&nCOT&D8awseb4ZBAuW
zyL*zq{JEN~y8aWNz7#%vxi(vUR#bteTG7XOR+01Uw%X|GUORLBc3t_>Y0FEeEzjg|
zsm!|9l{>S-f3B|oYh8bHv2v07xxceDH{0ZHu-O~A=CS;iSngB0{?fYs#`pA1Jc_?&
zs2mFxKYclU+Vb))!?$;LZ`Ab{ownRp*Va^DJ1;2rcv#>b^~B0sIY+;!u2Mc;l6qS=
zHvIHuvD23iJ-WW>;a@Xvo6O2vS+;kjTko!4W*O1e_x;M*Pg~A*Wfm2RR?m)W@Az0U
z;c4b>(aX-QS64g>&8>cwS9vS(=$Dkl&K;SzwWiq~udurDY0KqLCeJ4w60gyo^l={F
zMw_>rY;?8W&5FOYO|3!4fBtQM^UUUztlYQXMOQ`4+qc2SHug?tm5ASCTiLA2Uza{D
z*>>vZ-M{?SSK>F@yxnHwtNZSWes=Wv!WmC9`=7o%m}F`Cns=9ke|z-2Z&9Y7`<#5v
zmcP2XLc-rYV&1n%!`he&GfeWce!uM7VpE%185J3_Iw$9L@TwP{k5n)Ep10e#x>zY{
zuExWO5fYvOy%(;enyM5V_H33o{G;2DXGaW=ve+>@jRc8ZJ0y;I^d!DnVi>tFmglk9
zF@t%DJj@c}hhOv>_PmlfY;f+VK~*BpVyU_L4{vr!98>E_Jab5bO;fLJB73sLM1wZP
zj_$;mgA&K2dlDNSCP|nYv|VILmay9)ag3!Wam@k)Q;PzFtB;M_CNd<y_!Z0Z*E0FV
zb4lmp?~-1um2}oWC$TG6+&TV?#IKBF7c{MByvmfk9dh8pQ>z)T+$Ej=pOUZ&5qH)<
zDN*Hr>_V*7j91K(&i7B)l(gx!$@Lk2sj1Q5WhL(X|ER<-(_<GVTF!ViSHgMyQHfoe
z;?DOEOZ-wfc7b!JM3vaF3y%%j^o||9kZ;iT&t-b(!+T0?agByw#CjH17Z`5&*|Sjp
z!E@Kg?-N$Jrt|39CTHA=<#}tGoN-*z`S9C>Ri<e?YfX|frpfwj-P*H|H%(3|mOWTk
zGdUws(s}Z$1h35}B)0UNX|A|<aEj7ILk-cH?3s(2HTNneUr;pSKjbXlJZpmC3LeSk
zH5ZO95KZBcayq6URbr^YDB0{3a6EhkyDN{C{jmlAlX;r##hbhO4Oje@Xr6Qa=z@#M
zJhyC*DO@WySb89t=akK{uF|y)nzgdY7w#JJJ6W>_zp^~GU{&&(2>))0kS#rqv8N@T
zY~foLvRRL9p-!^Eg-?3}&RES5I<`w<TC&7pBi{SnrIPHy+$MVb+NOH^-e!7jPbI#`
z={$PVe%AQDbV+lMqsEH_FT)o}6Zm#Xn6#|3f59Bfv&u=l*=K^`3HF=vUz+sT9xmv1
zbbOKE<@F+I0_U#pPBAZ%0=SLXL=}@K@LJ6XV&7%M!6exnFu_oRS=NV>A(m&B{jmkC
zDLjwt*@Lwlrn6fv=ynu;k#ynDPKhZ^dTcM{lP9nkvGFeGcI0`H6u`Pm;z^?(+spag
zjs`E10vL?gQWcUXFzu2sY1CsYT+r>P3KHEVQPQl(rl^oCz+uGZx}e+f$Md8MzYN)U
z6_N%1?v&V4tH)+3pFH8Grq9b?GCrJtV&taO>G4mppU!SM|8z6Qg`*2zCG%w2A5(Z=
zZ200&3^>?7oj*8b)%mB6+%J*@z8kV#lur)$xl`gvogUlW`OTV)3r;uhIRDg9=ta_n
zABJpi<&y<|@02j9)nk*CPoD7Ikj-{}x8t_wNdd16*;wU|^PHU5toe4{>E@2}PaV&K
zgm+4Osa<E!@lB$6LVsnzJIfi9KJ1j(QuA)Fz}KA;EY<J!PWZXg=EXOO=6U^<0v~ot
zT&dJ!^PJ!9c>8&R*Y@X0YkxM^^c$Y|HG^Gtez)Vg=Lud5k4sFcKGPg>{@@g)^G6j9
zCG$M8Ikw<fv4QI8V#61oV|biw#hW?KpJl#eJDpuuF8RU>L$<(q&6=h2x*eB2PrC4W
z=f4F<iz@>@?6mRtB+(qwZ<z5phDXVkJvhsDI{VFe-Hwx<-{V%Cf4bSI-%#V*4ED}>
z&6=w7x*cndOSn|_IJQ1d@XC3fbm6)o+g;gYfm=HzM9THpUe4`yYzB$$lnANRW1A_P
zJmLP!>ga<Cdx{M;KE&_@*{}y2S&KJYoIko?TQZN9%{TU&bGseupC<`C+9@GYsmHc;
zZnxv&=LudV$0d$b^f=Z&Pw+~4{%OJLWS%PPXO0Qm<+s`Udp?(FUeafn@j}L@^JNUr
zDl75kJ?Fl%zns(USnxb4;DRArs%-LvOFJb@O8J%@Db-_}+0pIj`21J%yK_esmL&5q
zS??3?vSJUuWF_8w?A%d>ZN-KhFYnkW>@PNa@lvAsT;KcF4d)I{sXKR6VP-N<kkzpT
zbBhgBCl(v7cqGvr)n|C(aSV@@rFe6~xr0-R&OLP$eg0{|(&9>i<A!V(Ws(C<TF#hs
zdZ$E5u^t=a+-^si=g$~D=bmnM>od%FK7)PZoNh<c=SdUJ@02Jh;ag@>q{n~CO1wFw
z&(PzAM047?qYAynhASRQG<)?Ko_HkVv+{n797}$WBgb)xDfxWMR0{Oibmvs-yA<lN
z9h_aQpHig9zsvmCg7#!NmI6IC)j8dcLeG;f9J8EpY41*nD@DKWMtAUUvUujm{yf1;
z;Q6NoJ;jw1PVC&1v^(sHqsjB6fP;o?r84)qE$1|AUY*_T_~MuZOTn+3T#vUhEo;fu
z<Bu{swxBSXXOWqB^RBZ;6^e@uU)+u1i82#!W;%Cp%936~kGnJ2b7wbePMm$Z`PSK^
z3f0B$TbG<Ys?bvWzI9Wtp~gLl<|AhhPPumWspFStNdfzJN=(VsW3!#p?fBrBL`(j!
zdx!RU3pOt}dvrl!GS4luV+v`-21_H0D=qf)7|LWFlkhVvHk3(!mhdXZczQeYxfq`I
z={qI*Y>Ex%Bp#DEXO_&<KGSlB)zvdkA66KPAGS=_YcoC*!=pZbr$nDoGLQQl!#3ly
zF+A<FcS`tK78}ZxKTEPWb>?UR!>sOy2MmuD2uLPdRGv9nz%sM@p^lOG;e{!BZI@5R
z@Eo7AQ{tR<u|a9U%<hLQ#^2*)QlBMRTt0KOV8_hvhf|Ej4;QBEwOu|fad>4KSMc!}
zkuywW`m9X*4CkmFm+0%?yusj7@p>kA*|cL4e3ro=2Oc`)@jU*)3gc4}hi|6#JltT!
z&As@qg+*15p^WFT!bdzt+}z5y^&Sczl{oB~{A(un_e`Chm_93w9zz+0Wd@~5Gg?~%
z-P;$R`=7+~ys2>K0|f)_!-k8^++|-MmFWBZ=y$=s>8-7S>g|jDUMKM^ulQJNvF-Gs
zLo2R4T;aS!;_$<mH{8c%A|oV9%PcLTyA9{;JSxF=bJGR`tzt_Hqi#c)rArM;%cixq
z2JSo@sGexpCcNo}c;Ast8w_4;l3%_-zPWk*j+lZ=Q(IdDTMq|54>N50yvD*$CLuL3
zQAMWDs;|pXCiv*jhcQ~*+>6)oxz9B^D&aT((eJMJj>(BrN-SP|&^T7GLL}M3_0*w5
zD`p=KOm{MD+q_8P@WTKxF*o*|GBRI}Nc1%%@ub^<+*oe$ibefc!6xBki&G~L9eR<_
zzL+m9iHF@x(`W95l*Gi6j>Cb^bq(8!XGk1A=q)DZ_N1twM=04M&NK0qjVd?yV!3U+
z9<o!UwrY1?(+gx@`pv`Ty^4s~iZbJcQJeWz^}bxO?~mWB>NjilO)3g4*AD4@wd!86
zdFZdZp)+L`DZLFm_B;2AT+mmedl`o%w;XRv-DBjYTH|VZ`bwZwr_sA|jY)35jo!tq
zm~6Iz*=gx5#uTS3ky5g!BECDPUeeHf+^VN_eP$B-#9be3vsQVC-eP>VP^E-rMnq6}
zZbF!^XJ(1c<CU7Fm+x(e_hQdv{}Hirx5*--f0JG$<R;wg;=9PVLEmSIY(ipR)r*=9
z);{g8v~R>MS^v_(Fn-bD8;wz079IX7`ljNt;4Q{!$6k88Wq7@3%B78tIj-)Wi-RRs
zx4d|rvqG=4&#y2t`JL187u6eXINrZ-Z$p>E{VU-HTbJj*e132jqwMO;2#y8$uWuL>
zFMIz&dqTs-^58#p3w#&9&tz^+`n9jDcWRuKpY?$t1KIVT56&_Q-DJMC!Y{LyRr|t}
zi$4=M=We>ic<s67^7jW1**x9aI5mCMrI|^YvsQf-T~ip``>pMu$<-|8#mT=K_pv)?
z{aUz>DOc#Pht%AC$Cw*at~2&7K5z5;P9d-Izmjh=0+%n-ZeQNC&Sr*ZnaqWXTQfHM
z{Z<yZ%FA$Y{~TjI)^vfN7y5%^ECNI?`ajs&^VIW8a4oBq;jfwdnoe?my|OT|%z1A~
z#*9M&??u98&#12K-`B*c{PikZTGthS=^4K+a^8~uma=lc*@mA!%v;P?JbdxoO2$L<
zrAy|NX&awSWP5c(p=hz=EVfWt-fL{rL|6EwcYXD@@|2G7SP-AVy!v&>dS0FV!OdnH
z%KV&5av}<rFZ{)IrL1HVAA1qkucdub$-GvoM@8kFETpDAYGYdE^K0rpb|DX|P<F1l
z%73Gz1uQNV<T|i=?Z3#jr0mKH-ZTGSY*)*YP~OrgDgW0`dd9R1nYRw?>2iDZ{NRyp
zx0fpnnHS!FtvzGWg_pnN&LqCz$xXQAve=Y&^_eg1wXB~6e=XkEWU3*xn75<vg}+tS
znv%;if61M=^kR*OxkyC$vdxw<ss@YgKihgmx;@We_Kq_Nvpx`I-+P(OEcivtT+OoC
zFZF+MZCmkj{V%R>Z(ipA;xfDR(q`YHvRg0TTQTK4zHD#8`}@-uf8WE$Z2x-4A3SIG
zcQ)_VDL((%7tgsqf2HOHv9wSVxv<|w^SUqoJa$N@M@@peSYy|Lh=A=ETQ^uu*?g{B
z&q!=ag2}~*3sWv0J=XN9M@^D@mf5Z&5dr2GQiLT<m`YY|Tu`*&bV6$f&$Xw|q|zcw
zT040@`|T16%d}k0|3d3*$Y<+Wi)LnhZ&)TZKf-@WW?Sc7<6Tkl!P&n}?iwsyvnZQK
z_;-5A+D_J0NwXMNFPU@wf9A5pr0>1!jK!`6y{vjHY|T}|yr%Ezo;V-#S=l$HE{J?)
zw}$yr&Sd$pj~RWP!I9>Y`Zs<qoXsno-B8k<m{i`DXL@}@nCJGH*%68hZ~N9o)Gyrm
zOsZ^B=DeN!pXFxpYX_dQp1kG29rm-@cNtd;d%ZNwyqmcv;qLTzMm`$zUgRYw+Rl7u
zD3&&-Wc@kEv*j=CPg%`z-*7Uow0FO}ZQ7j`#csV<YW?EMEZaZt*f@2y>8n*zz1xbm
zM#b4SJ?A;u{B8HDs?E-or>2OM`LpkD|D<zfFU#`w&qo$a)iIXQYx}r1=IqMVSw$C4
zU0ri3#5eG+PvPUlJyH{VqNe-F8K!;l5Y<`s;9YIz)1b4{?t0IeJ*#2&^dE{>?kt#U
zu=<92TF<-Qt$$c%=<J^U!**3=ytds(ExzZ0b=u(%x6S#^`@$|bdf~1mzk6HvhF2Z9
zV*KXOrRHZ+-x6Lb#ztRVXJX649WPpP`%A~V>sjTq<V<~DguF0(%)0x)mmM1yST0mQ
zBhE0@!Zve{L$>e#)>bp_Rk>Ma*B4A*y1a+=sje+Ax31cjonLx3E(lqi?yK`-FN<zV
z>oktt*<a@LxxQU}@$<1mZzhNx>^c=W-9>-KiiHKs#eLshIB+>BccpAm6qEO~?kMl&
z(|cO4CRIfqUv%wOhNjMz-2vAx8$D*Ns;fF05fFT-NLccV?w3`SN*Wtpm<mgpM19${
zalxBK=4Wazq+Xo;%uZv|3ticnmy#}W2}_D7eA(71CB+n#xyQlKXLZ8Dl7*HFuBzE<
z1iX|z+@d$-o~hl!O-sM`wB9$k@Owi*Q^3?qQo`I@wts2dxFBIEf3N?GwwKD2<wZ_x
zxfXFj?(*?thx&ADlRh1m)2UshyY;pAYaZe1ZCma=X^4%um9Q=`eyW+2{td}x=Xr#y
zcWgNsabw})v(M}@8Zx^#F1WR9?S_D~8^@RD9#a?DzvXVk4_mIc4XsxEtlV!+;uhpC
zh<zrxq1kEv?Ccxm3-x_;Zp>V$-`i@-e=B!J0NX{m<iz(K-=w&A2W&Zir+sVXuTwFH
zSJ{}h-f9x-K9=qM_S(gt8w4c3F=aL-KDw@7!l+YIcwzU&)>$h>3QGia_GG^Jld)(g
z|0=6pH=Z!umGw2czTnJa?=z+u-!nFCT(D=cw=drX&P%Dsnm+aGnTvgCDrw!x@8!7b
zQpAP1mqXh)k6QW~USBYE*~(*0vt})ud18U!^3`8!y{7tI<`v%Gz92hcWf<4Bt;Y_%
zx6WwW_<@^yR;JD$z2<e7r~MLTiOpa4uw1;Pd1qUE_J!VKhu&*tOiO$eo@gRHZB3+C
zvTw0ZN<jMs-)E8wE-s$^)mVd7;cn853$HG&-SFYq0-YtdO@cESUX~pWxfWB*JIira
zdeH$s$+PBS-_~X{Zrsr9Tt4IXhU3oVul`K2>VIc+eL?!--M)1J(=Xc|<1TqxvOY1%
zb<#g(?`==B=1zQPEEZP(vMxfxJJEN#oPk)G!VAaTm8H>DCn9b*E^jYQ@lto`pK)g)
z+ah=0cNaV^BtMf15&5#CQ%Xvv>QF?0>4nM14$U+Ddp#mRIbid~1>p;pm+*N#bn%}p
zv*Et$c?s^_0bka1vi=U)65zhJ?Bxr~!$<zf*yiiJIdMTLVurm#v5)?Y?0}n>yN@;H
zHHgiY+2HN(ea7@pTYp?rs}$!_&b>M79NQheeRY0Z<<!0Gv-P>(dWrczzH;iC$4&XF
zrL$$?k5KNtY3np!mp*3Q-?Y#?Vd2}rYqOt8O?mfaS|_VjX;k8;W~KS}%&sq3zI^I4
zsk%sy)ji^W{3eIJmbs#@^-@;!=E6PYPx$T|IA}kguX16xR<m<u@nO}Zl8AHrGZ%k%
zaIx=<-+b}6TK<bqnZ2Dt`^^^c_q5)3xzyg)8J~U0{n(-R;hAf@gbWY=x)Jf?uTpK&
zJof!eOZ>l@E>&M%y5YmV58akg`R@+rugqvZ$+&;pB2Zj!d|{mYFq~=2$%=#T6*D$>
zO6@OLpt~X9(hpviT+{0xR{Pva+vDKuc>ApB3d4-{ZBz1^%Chvm936I-)Ojs-oG-05
zh4V}6#t+u2zb-xDGi7>f7Pr81f$cM?GOJAeHRlq}%?@(<?W6O9Rj!tmTeagpTTrl5
z^o^NIKW_No>lp9({BV>1AFU>}15M(8&Nb>8h}FqDic4|-65Dkm;)f`^uZh?fnUakk
zIaygi8DioB@19oK#92Jt=NoJ@c7;S|wNKP*Zk@*WyS`-S#to<4!ZW<z>Rz0>;li|}
z^p<s(rrnypQ1jTKcgnt|aT~;)E}t=dQ*hzxu|xY<eZ#Lk-1GR#sTelnO-27|Sz;H@
z_ta#28TGm4!taYb5f#k=ESG#DR<K_%y;%CpPGiZ7s>3bwCgd52iA*e6oal7fbF&X$
zK-=Y<WXEK`;vUwcCU;ZzIBfSdm(~x+xvYDvNp4QwRiC9g%Wt1C{o~h}XKwfLwDPRg
z^Bw={O?!6mkPKs2-7HY1V*u4(s&;o1YaVT9DM>%5zGn9n(J(gEi<`ymH?B6W`%w44
z@00xWWnb<8+^as4nQrm_TJ^F`SL<Kzt-i4?cK6|@^>4ivsT#}O3S4J4N$&R72YsJh
zRFt*e29|%jVC>#+y>DUp<Bo~SuiblX%g^jMcCmNosyP|`j9vW`R-WWGP5UVL>1EG^
z*qvt{1+3N${m--^r&86dY|aah`>z9MnaI78Za1B0{OU{a>h|sqv$D*mUS|s~cAAxZ
zd^T(CnU0{FrgATpQ?#^Q(|=9=zl&#g&%YOE73QxKdb&c;&dDlRbyq^iGv$=*)vm0y
z@4insAIj|*e?+iok@nk9!bVdoCoZ{L=VTYIy6fWfI;Uk;x_px^FIw!)Uv6La^}M2Z
zTtfM(k42Ye6&r+B8+%<}a#Zn0P)$qBz9@lZ?RHLfvAR_cy1xn*{nRSdST@_vX_=b(
zzP5?GrcYQW+I}(g?cSCW-(HJ3b{*Y{+I<IH&byyTyZp#wOGlkkug6KX-zS2y=1%bL
zUc4dUQSpS6&yQZ;=yv55d*;2X7r(^rZ}-`1(H8en+<BXs?mkE1M;wwLeQ!OkKjo7;
z^@mvQ7vWDkdn~4H`^9<l)}9|`xgUj#jJn@nsHvau(kHBD`nMwjn=C)t-g>Q`k}~1`
z^4vNnvuN$U!x@L&J;Fmf9~)YH6#O)G>mng#5qU-3=np1r@*vM>8cD4F!ErMuF2yhb
zq#$$RVToh@D?}=JvTIs$)&+$m>O56`qMhwx+7=h*WTl&B@b8n*s^Ue{%zoZ?^)uUU
zzo56yX`hvLoyY6HEpyiJ+b=qQyMFnX7njdj72mN;?`2$V*qiryPN1^eHyO)Ma{`l(
zpNecz3GbA@yre6Cn%v8PxlJd#=U-42eR=8qjV*P&%~I#Bimynf_hwHycYa!)`&`R+
zS7c<CAN7B^h%0}>%vD={Ncz5fp!#If)J5vH59`m=g!aC0?f=awd9&^7GWS0%HKAc&
z7CwK(k$G|YygucSuDUNh^Cz5sa+LGSl=&0Rg?0X$@uG@zrj%jBI*z@(Yjfo4{5~`P
z-1hbBwRkVxI4`$39^6d(S4$ZRNQdu>FxoU%q9s;eUvaW<^G45S%1=I92D)GV`*h-T
zIlo2MlkFDNxczBbcC^Cdxr?o>$YaI1;=ErL%%3o|_K!f-0sj-rHz#S_eXgETwDnPn
zPWUg5Ov%Ig8|Ch1y>HhS-g{s3i|_y4tHch!SoGj_?w)Tp`@h9swBMV2W=qa)|E2Xm
z)~6dix?VExf7LoSp5?{K^R@>4X)D|Q-QH_z#uEKM`6lYW*WbVN&BDpAXTjRSmOVdY
zb3Y1yGL<Zps(qyX<cUD3%G%GuMn-LcUsleaaC-UC_nB+wPguWFWcg+H!j>%-&30de
zi#FZrT~_|LWlv7uKZo^4Lt<{O_$O$s9M>dsuW#Y)TlIUtWdAPJOFsYi@=D3P-~V0A
zf8G9<6S~%7@->w{E!z@%`NJDh*Tl?mHU6u2Y3tNSIZ^XIv@WduGjrYg4}k_prc5b*
z!B%f(dGmh%(w&8@v&0@7RDTn^bk+1xOw|ql6IVkz_a{uf?tbD_;@S9(0<V>ytja!m
ze?!-6<&>=Kqm>al-vloe8T>C_qx+k~@~6oE1DiJXPnhbwx;|;@P4^R_;hpt~fw%lu
zi2Xk%wXJ```LrYdFP`3Xt%B3?qR0j9PUr9QSERRfe`L&kd+F5DQx6~gY1p>COw%^T
zha)38dwS8~qpXa%?}~h0Ca%4%clE=vqpgg(D?Us#GQDFJFLL2<U65(@EQt%wb(7?Z
zV`VP<tqYpwIhkqKd2g@PjZRT9LKp6e-rIEUN?7+v#=SCCl9o3Y#YtUAvR9tFR%|-!
zmLum+gg!s|lrdI(+b5>1_HJ#asP}tj2VYfAJ2I8A_SVt&+tsw!alJTt?N1o5creqi
zGxf#WtaO*LeaZ51og({aOG94P`_v_=sr#)K9RFjtsc4G5?Sk!pd*;Y+#0p<{ci{Ai
zQwOhYWwh0jmiIp&9j%(ivgOo!C4KjQ#>`RA_?5Mvf4t86rDOg?&+yLI%(KLUWr`Nn
zIXh_ovD>uOvT$*YZk<~kUtIdh^v=X5*+<?q<b63laq8sFmW*q^{XUVRb<~$JR{HVc
zRZ(|jF0|LlTUvhGt-9d#U%O95E+yFx`Nw{q=(^3c%j%eWsoNd73vBkvlTUZ;+{F9Y
zc+<@_Gt}pc*_LV_4lyZ|Say#8MRc9~&ovPO7li+%pFH*Nbzj50uEZ1O<>?OFe@IqZ
z?%3sR^ymDfiEl67WxOkP`PPZT54MbV`!@P&`>#HxT5+eE`PZ+DOWWVB7YMd__D6le
z`)cD;rxS10GM??^+@_`ej{n8#8T`RvN7$Km887pAa^!>K&5qxak(PUFH5Tmt)3c?<
zl%Kt1{rrh**9!J~$p1?}`E2!(#f*QCzgG^QE!xkz<<kGGPeojxDjdH5s7+CQ%y~2J
zS8d8uj(;Z`=J}-D3FY}xliu_1?~Y%A%lqZ5^R8G*TB>RAIRDp{zR;EPvi$$_R{moD
z%{jOB%IcK=iu^bw$K<cdR*T7E{_I~C%wKX`;ylY22cPiRVC{Ll3nV@orT9ilT*z48
zz30u!`P>=n_y72OQ|n`m!*8MEKf><&CU4YOu;#jN*g3tp3CinsY@Z>f&-TTY$GPvO
zIQy2%=RHn$UYpdA_s!l<Em%8_YsJm@)y0!OZdxPtHd@l@NqhHF#@sGRr;ukyjechS
zaz1n7+V80i?>Z7soP1DpbM-IDm#cazOczN0JAUTslOx%m6#v*2nO1(>wPr<OPu52_
zhyLG^H&4x}2(A;XbF&K9yf^7^w7qilOi}G88aK~-gg-rcn=$rb{jHi^Zfkfl@*ZWJ
z?+M`F5`J>k!~b(Wy^PuzHEp;3{Fu<NJ<91K|BhJ)$7<f2YMamF+^2MpJ0qOOneW{_
z{tPFd&J%^#r6T$N+Fg1%=Z8a`R-K#QwT1l5w;raar0lF$+5gAFEo=?%i<<f+k~2>q
zXYB1yJn{X%r-S$}`x8BDQs<wF`O~u|hvPb{iD6q{wB|MTlE(QHryD=zH43s<*0$c<
zyXp0}>-{;ty+3pobpNsYbTewB=7MDsZu^3A_+EtBE2|&(iGK1!|HIWXTgf|87j)`#
z&z~yGh<3>TF<&h>RD0X$&WJO=r*C{WVR59rvi5Q#>s0AS=T)OaHOo$}+%kXSbmwK~
zpUycKuk>@3)<;(d{iBAfj!rLflRCaaba!gB*x?Dezvnfq>*_X9{>HZD!h2<P>nF8E
z*Pf<7dCKxh+cLN_Iiz#hgnJ*2H{I-!kiS&=&!hNdkA?jb%{uu?%U$yYE```DE2mEo
zZ`oq;?Ct4=Z(0>=AN~Jz*=TyV)2|!nPn_D8m*f%sw`b0uS9*Mzu`-Lpe7Ig-mT1e7
z*W=7gmQmJKYu|G4{E4(nk1kEP_FFR3a{5l4CAx(@Jv(fcod46a=FQS|9GSr~i``-*
zFQwTl>pq_m-n!-b|7S%-@9b5VoKKecw0o}BeQz0M-DM}2e>FDxw0p1B68*nDbKY<-
zZ~x-@Kw5M8*H1T070-U>TYh=h|NUl5thH~=QAvsR6H03_Is86+edl?e%ysjZ*v5)q
z%B`C;%WBEduZnk{s=sXe+cx3ZPtU5azmHAG`#$-LN=leVc}UIn-d?q>IWt5zJ69d~
zzsSfm(olU#^xvs^^L@K#r)++jKV{R*Jv%Iy@c*?d+Pd}8v<Y^fj4y3^bWiG1b)8$7
z*}?6tTW*~{aW$;_wsX|u^b})DL-Qry|Mtw8V|$)EGnYqsy69);TMzRSK3}ce=wQZH
z=cX5~{Ej1Y>imf(pB|m7xb}<jr6PgyaF5G>->d4gCzPK)cj)|y6P4F(KPi8(&yCu5
zyE=6$&)&-(HQrf`s!O!=IpY~TzPC=vuYJiSFC_83y~O7=&y{ooIgXbZT5ng?cid0v
ze1333(5pk1KWyu|x%pd5rhMl#-gL9pVoBt`N#{<TJgB_s+K<zQy4I`QQ{R3y{#4}j
zbw<ve#}b(zcf3(ZlDO&h(Z%EaF@uz~l}1bE8urvo{jpvq>BoGt@JQWR&QTB2Q?ged
z3I4?W;rXPss|5W|zrTC_#Obo<l9ml0o==+Gd?nra*MajVo~%0J^mFfT$)B&HKF+Br
zF6@!|xTmK0?f$S^XAQV!`%XQ4RLRoeqrUW$C*MCid367|@9)Q#5YO{+nS|S}ARWHU
zGM}EGQ5#j3NdK8VFY{!D=8}zd;+rm3SuV-_Cq8N7`r1s7+k1-(?_SpBeku0<jf(p7
z_3KZp+rIaF_r23mdb?#x5`Gtco9vu=boHiPVL$%7<ojqEc_gk+E^Ch-cjf;;m)Et+
zr7E5rkyea-T)O)DuX{Y1em;#M=|`g#V_(<*m$c-GQ~dnVxTvV>bD2l@Psy3Hv^E+o
z(f-?0;v2Vy>*MY!d1YnwORJr&{?<>@eR{-NvG>cfX_J|clsoUTwAuB=;P8g~YvtbV
z5f8H0ckjP+kALNQdv#0MIA!fQ|4$ZQ&HU)-5&m29<*PYA0`|H7*U+4MwyWQ1mtjWs
znWg5|*H3Bv-e0)+(c=keNi*(LNv`-6t7|tg^o0MVNsIiQt4!WWW*yx%L9FfnWAz31
zFZ`My_r1^E_?Kud$4mRVN#C{@E~);jVtebK&XVds@xfNX+GZyW`~Sy2ONrlWxg@jB
zZP~J@oQc9I_R8wj>(>_Dd6J&;#3g5T4#(f6b0;gGeXFSZb^EKGK5lO~UWVE$PhKf#
z?ObKqrs&SH+o|f_`4cBkzJ0E!``!3cXyr#&5B(pKFH78NB!edJw<$8ZbXQUL+wT*p
ztB%Uu4BlqH>C1#9RlP5pE5tUMFY!<M6?OCY+sVPT@25=KUU=!z>Ir&w3(D+tX9<-g
zx@!MsyZJDwrfJ)c=2NE@ob$i%*6{Bt8697b3)=q<oj##?oRzamvu~ofxLU@{`4i8F
zcXtb!7(Zh@;g*-wwC+2|$|FZPtFFCQ*0*2h$@wc^Mp;+S^TOn}{TjA6bbVs4O&0!A
zI6X}7wdbd$oKd%ze&uYKnHe)}!TT-e9Q^r@J>|68R?61I@VJYm_ObL*_bqnOnmIc0
zGZ#GGQ!KTZbFY@8r2a`46II8Z*OZNd7q8^}we5N@XLVH5rMO8monq%M@X|kdX3;YB
z7ZvZb&s<${)ReR8UVP-IP40Wb!lLSro<9}&p@&8Hqw%G!l7_~P-G6&*mgsQqIu`%1
z=;f9jc8=!1C2zjcDs*m|cI=5#^;Bu$Ek=ETx@oE})=IdghMn=d@U+g&Y_@Rmlg&pA
zR~_}`+;!>viPMh1&T`HYJHA3_yUL3!d*#_{g|@4`2(nj>pDDOq^@WkWvik3y+rlQN
z<LxhPI`T~I1)II{bl>hu&Ry64KfCmE&W!mBL=Ejyc%vpP2rcZ<Ds*qM>qvfbWG-iw
zdf!Cxa@7}iH>@$qlCaIYGU4+%IaRAI`nl>tLXrj>-nB69{hj9W+i$5X+m)}A<k>n(
z+1>?2MO`uoP-E|0uxQKY85j4zw#_;t@pSR#Jy&+8*Z$ow=Y`DFZSSuy-?u(PUpPbD
z#Yv5gPj-^v(m5x({N|kK<ePJ%TgWe@)8UzI#tY5At6WzEn=V?sr^7$a<v-fS<Cyk0
z!Ex@43=Zy$3|tKGjoo?`dGH;Y4D1d+_D3MLz#17C7#M)Iz?xg68k~8}w?njrZTp&~
zt$f*9rc&(F8bY?{c^N<Z^zLWzGC$4SMKK(XKDp1ezhvqKKKGer_UuiaacS$lq_h*l
zchY7vFZ?&xQ)sPA`j4RB4<{Y4Dfe&Xd1iN>eJYFOdmhJ2ZhOw%QQke@U2@;OlUEW8
zZe9z%_~2yHlK+#<;?2{ph50jl`l`0JOSLw7$Bu6ra}ysPFZf$7%X(ScU88R1@}sxf
zvR=Eko{FrV@QW$?J&R42Le<25E$@%qnXAA$U+rY|Q3h3~scX1*fBrb(xx|DdhmV|Y
zH4l%?dBVqZCpY8Z9+Nw7-ab_S6kN!#)L_!Sa{e^ceal`f;L`oJ-yl_pcj0!mGSzQ4
zo>tE}&1jvsNp*kNuQ?$ny+RIuEaNzTsiSKB-uw#h?*%t_+BYeF+dFmP&IdpKY<>CQ
zzn#!h#nP0bOTVNw?yXLrW2v7bJuz%Q|9cUR(^>Ytcdpp@1jY3jg<dXSwq*6{6EpXQ
zyD>ApGn=f-H|@@^`J(T*CjMqS-Y=M%uamGm$4Kzg_9GLz)*Rsu+FTkWcU)`1+%-vs
ze;9w?GroVUTlmAF$PMduu&DO`TBkQ>*S^i=3mN6w=Vu&_6A>1k;-4N;yGU4C&FId}
zY5eY4p0^I33-pTGZWnpws>Q_4j$qEKx#fFz*Nc@|?2JyIdUlm^)SETwKG%K(Z8leU
zx$xm5RYy(#2lIjs=jO6(zGKbUAo7>5IZIx)@mV_S$()X$V-h>RKRmK2;f8$_A6MOa
zgZU|^e+JbuhON|IT-5Ds@$2ai-O#_ULS-Vh2tNxp<6P-1d%M9VzJa+#``4G{Pc{_G
z-hD9dQn|#2;L|dKtsAX>&-}+Bc~D^8f$&cK{=XR;G@tL;m01~}>JrM~sQWr^30sQO
z!o{YSEK0Rk96fIsqcwNpj-RaaA0F3So>jSG<4@m(6<u6wK6Q5G+b(-#SR8Z6m-pF+
z```a?DMTn0-nMV*d$Hlv#vY#1dcSoNPq!r9G#2{t@?V>S>7P}#YwCjxG?r~WT=v7a
zdl&1}6k}~CJ%!|TZ{}ao=v<cmKz)8rP}|qb{8v*ax^Ip9e(kULmQt=oY|kc|y??TF
zZRb+cwC{yaD^AGSuixVyemx_H>p+FD(8PJ3eeV)pTbd-jSmKy$cJ0NS*+1k{+GZPj
zttd?U#%;59{cVr7Z^fOG$?IOX8_ZA0b67RIo1OQNkdNHSh}QHu+7`L%9JL~*9x1K-
zyxrh`CBr4gRpMvwP0F(|d6vFd_twU?!kDnKZBZqP;m<{nyxl7QC||$2$SB~}ytIl#
zbr!YX=9ua~HJg#`dVcjQ?=QRpiQoCB$eMpP=3V{t65r?Sw*k&S<<Eq?nD?;QFZrzD
zuH;W!_Dav)S@f;-__-bDRaUgJ%k30rE{k}&V`8s-n!*$5$us9hO?&r8F8PR!<g|Vh
z$2pFl0wUiyZ@uQ5p#SDUSeaY2obj!q|Eb>2N-Wb|9?tfS$@0B_)NA!0)|N!^N#8X(
zJ0$sUFl;&cx`CB{|Dh@Mfw#?T-^;IGcJ-3j_J6aP|Gc?+N=h;5fWX#drfV}wf82{>
zDF1xjW{0XBSNyYWukU1h&G&s$wqLYTg6p@4iL2Lgd7~ZH_pa1!?mipX-*x5UoA<Tc
z(z=CTq<Nm3wpBB4bY|-pb5owDqOt$xn?pudtr(jOyl>l{XDFJo$*_4#L+>9MX`A&%
zKN1h6rCh$;)Um@?vE0*U?aKMnGqwunt(DQfQoP#tKv9)zboksyH<=#?t_l*;<(zr!
zc4feFi8JPzGuN`L<T>#E%;d`}lhgh^J7oBBYKO(O?Wc|!PjtvBQti_=4U{Roo8KX3
zk$PkPjeBOSpCdj=8+YIS;t<Mt>e*EOvS-3a_nq=D6Aam{F5tO#HZ#X<4U?tG?Y{&?
z<>%jd$ZvjqgCNTrxA+|_%UpNQm|NYzKFvi;LVx}(+2%hC6(vQEj@rJ;vl}-=Z)y)<
zIk0%bnZ9rFheF$R?^*xIo%b$Vwl@FzVbP}xm&(P6uTNUD*771-V$2OD`G*tsuYD7H
z`09dxNxPn}4=9{*LA>N^%>VV(*@1he-N^En>wT!0-05=T_?4`rf7>c6uLKt_4^&R5
zW9HH1IPY<0&#AjPU0>REo=mv5Q>1~b<H+Imwm++w4Bp?^oo!ofDthK)?Aom^O%^YU
zF6sGx*m8E>zo}bK6dYKW##ePMKkC(|j1o8N{(WhWnH1lYEHznoVnT=z`%^2H(j`S1
z0+v&&%P%~>GC%lA>IDyma=Gt|D;?~YvA>)#=YmDdH^p;4zQ^Wke611G$aIV9-}}U=
zwo_T+c~0Gvxys)<t7?r5H9m-)vDp3XG26<wANs3(eVD4;H610t@GQ@o^ksXyr%he+
zMwQCiHNW>IE$y<}+nLR0qQ&)Vbuq`jqIRD-c8t@wc^SPnb1gVHYsS%S&udk;H)uEJ
zJz8})*^Id*EUx>Ty3nHYMz(uvtS<P@<<Hd1dK((pn160<fyuoII`u`~`!<_TtX!~T
z(p>3hFFLQaC+%H-GHYUa(6r7=sTbPoj-6qfdwGXc3Y*oAV~>(&T(VlWZCjPqy`9S)
zzw|wwcKm5uMSjcMpAwn-8yV_);!aMlb#&5gd=R4$=4!67Yu)T_@uy2Gze%yIH&cuM
z&hyn+@zjYWO4^@Rx!&I@652TVVa~2i-`&~|y^54ncG&%6@&+w?4Yp0+7jJJpI5UD<
zL$z^Ud7x;9QJY-W>kUSiE`3<WHcQvX@QV^>=wHvEr%y}U{(OC!5PE)FUA=37b?3qJ
zpZ*4U#rM6FoOW`KLg4m&3r{~kc5l8;vp_QY(b@yebG~)H-^TaKJ)%x?>$!H(DAg}}
zE(E@sdgbv+wx;-vCf8QWwyx1tf6ke<>{fe&qu9!}h1|auJSxB6BT!VN$R#FvwZFtV
z&e_Lv#pQpTFE18)x|pdhk6UPa`k&gh&0D4f@}D~_s%aY5aG?HYne~DPPyBaZm~^tW
zReZ+JvOPuH?ee;-TDUUIx6ZYhcJSQYWp8fU97$bYvzPV0ND2FX*7x~oms|d~l+XCL
z*2qfZ@Owomk-3-8wXDpV&yaI)ZbZU0Q;sbQ44M_Pe(t#SF{?QG*k9o%Iu=^X7&aYK
z7G1UDzIlg!dvlma-or)dQ>VtZ&#ZXy>u+%Vfh{6&Ek{j$AKu2*^Ow~ze@bHJ-Mj@s
zbsn4lsVBaV)UC8nI;}r<GsEufq5GWsU#MD@=rw)%vq_NuTae7;KCLrGZ&PnOFF(Cp
zoatiKyH{Uo_fGq==))T3=kZUAie}td8nZ|tLDhK%W9Ov(`!aNPI{%H1;fk8{WU=Sc
zD|>d7Xfpp^A|#*@`=fonLta4Zn_H%P-&}8fESccV@;})B{o2Dzc7@hofBHVPW{vfh
z;#>D-{g}I{H9S)3!bK(_@4M~)H|w}vYL<1ap1JO=|Cb-<{;g>Al{0zi`dO{{)s2G_
zS1#P`u&XKXfRboU`{u{mQF`;vSe3t;!RkCIKtLtSx!&2VQ~HP(m*Fav-o8@_*L(wC
zRj>AX;ic6g8@Z*rcz3NcPsz~(%HA)0`_G%mEoVL=wkvA2L%^ZUF8r2Ed)z{G+Ly;$
zlo*AIM8A$vkX*_)(TC@pI>W`AP8QJu+_zpF{QAl#LNLSsnO}ZGiQU>wKOM|=B%j>H
z8#Ptw+%vbvZ`Bt+hDxO`Tkmvbsn%u@IicUjGI#vDTrME{UT(uA1!?=w>x}JYPK^KO
zXeJWZV=(j9JLe7R1rFccjtQig{??wiCG3uspG5B4d&^1`X01+onQzt3Y3BcTvV29C
z<DBin+q!;x>we|qT6(cV=9{UZp`Oh7=!&z`j;*k@lYF3b#&-T~Wrly(S2C>La^S;m
zj{?i^HJ!p?cS?kJn>_tFZRW*a)<@hsKbB0o<nPk4+tH=t)$9|ReuhlWq8iE>Q`>~+
zSba3teW^ByFI!~(0-d|77in*9T>rc1NLr7>HyPanmc{!rxRs1Ws%BMib|gN&FLZHc
z>(AL2*$xSaI@P+^PJDDdUyQ9~6QkF2w^|vW|Bhvktg99?F6e)q#r}xJYooSgl+MkK
zz6BS#wb!-oi`jQJ;%5BmMZ%glbGH3mmMmGeMSV9*&Dxz?oKh^yi)v@>`SYqlHcfbv
z&NX#O##f&UmT=^rwRH-UWZIq`7M_(d>u1rdITxOX)IZ?=As)bWp7WYxOP=?)6W_k1
zGj)gNo63dfNuA!{et4g{!1-X+mj|{kD39C~*Pb?~H6dwpGMme(IcXBxlK!sqo)SCP
zscMFQJ9A*=F}X||&kd)Ta%#?N6;`f3v3{9A%(;iAvOcdCSDLk-677)mm0tH{72naI
zl;2;M>Fik@rpv;d6Tv6rs%O(D^|@~I`L<peA>CefZ{HdHw-=u6$yvRDZ}v^WZ=L}Q
z?yKC)-8-@M#l9<ZH&~yX+VyyEwb}_*oo}1|w`zHxsrH|D(dJ~`@s@bol85i##Wkof
zK1hy_OLFO)9Kx{r08_Bc>b^Diw+6gAdw1dedlx4f#U^nDPrmFoi-rAan#C&dLz4b$
z{3JYouSsut@1p;N{o<t{v!y0Jb02MGt>9jNI$P~X_tvLhwHy?Sc>X_VG>bERT)=#D
z<Kh6#84)|>^;a!Ro4hfTD|Vq##N~(?jc=5G|5J4IDdk@G#_a0(Rm<P|uixkPisAmk
z-l9KgHaFUrFX{Pfp3xKK%<|^gde-SI|HU`{Ro``ZP5Ix7q(^I3J!_w{Xz6v!w_J?@
zO>gh;g<GcV->`A<9=nTw19xn(nDvE6_OM0Bx*6ZN|7msqD_N4=cz4mJEALNP{AX?m
z+Q8#*ed;y#Q{5YVjW^9mz2<r1fx8@Uhu60~TivUgUfoc8vEt6Owp#C!(|W6Q+5)Dl
zy?M3nU~`7pg|Nd%8)g;!&T*TwqEg`6TJMH0J5C<kGHKuM$D3ZfWbSm`8m%jM>frf+
zA7Sj%3XfTzw7q6|dt%(gBkR1XRwQcH=uc=BP)n2!>xjsgk+}GqjmOPv;s3Y%f)@Lh
z6e%?5rEc1p)_j&N%c8qK&2CBFxg(DA47XWyN6Ef(@P8w0HJi2Pf%m;{>+D3k-ag%T
z^4``QmhC|;8*1!@rd@M7b90a4lV6L@8rLcn1?T?ovXXw>WGC{_HB7v0vjOvlXai5J
zgqC#XT}k>sI%0J`I3@QVPZBDUpB(@CkG%e;?{gkFgeh&VTJ^w7{p&d&2Cn6nw@O-@
zexE()JoW6-FeeqJSMr-Jv(rDkx*PH4`w^d&->!#oIxd?1>3NR1Z3?Hvo4(i8feq>X
z-7|LF;!1eb<DjEi`=#WJRcZDF0lS>HtL9Dl*?y8~uc_|mAIn~D*?;p(<{!3T{rpEP
z3zmLaev<7V<IC+|jz}%}Z=3X0_kr8=yWJO6=7n+X6FaIEtlJZmeg1t#mo3keZM#3-
zE4%kJtxG01_e@I^L+{C^tIUdzqnNF)tiSlxVy4gP61P=dA{?>OyvG&oIi0#gzlYpS
zIr2dEPO4$tvu`V33oFgKy6>`4;biM$yPe<n7A-cu)PBB5Hvjho7s=htLTr(W*WX@U
z*A;8B%I@!nWv)J{&$d0)*;x5$+UC4n*;C#sT}piaS6G+D_uaA$Zx?b^`<Q=s-!fZm
z{`2&QF}qsBrmR``|NEz4+Y48W+!p@{cj#K)*Zrov`us6*@fXaMzu2_DPnh5AF*)E~
z<Ms<)c|u|H7}-)}Z>&*d*ne5Fa)Cr@*n`#I|9oE4%la)mOYP{p^%c9~UPRwE?)RDU
zd%;ZUiU9RLQ(wGfTXZpH+u=LrtcrW>#Gd{t?SG^>nKSEr7vrogviI3S)_HUNO`ddQ
zOYVo0%@PH>J<?0Q?yOJKt-WeJ=V54A>C7eF)4j46?)(}s(@*ExOXua6!ta&|?+RHu
zd8gCFT#1CM-`3@Khm_4a?*D32<*AlSpQf(pU)Sa)@X_Hzm#@}Mr7w$%U&zmkR_n46
z{B5rAWsdi0vHOL(XD)4g+s2f}T$NES@VtKcv=zyUmd~fyU)XZfCMJfHC;o6w;rn;&
zVGEjq4qsd%<Dnj49Jbtj*2BLozYm{}@z<IZ=hu<rI3@Dj$4?Wab?!wSKU>ssbzzqh
zo6e<0r}?iH=-%9T<AUgYmq+Z+qbDCISexzGGUbc%^|kRkPjT;mBjcIn@~T@!a_y^)
z-;<4XP8v^2m}qP5BQ|&5#T$7k0goL_=iUvhdzn!`g|Vi+D(>IoXA|#PZJxF0-&H0f
zudNMcx9euxsj4PKUtYGraM6pKJTv}Ui52O6|Ni(O@48^lSxjHQ+Sgsb<g3Tw<uJo-
z<Nf&&PsGcQ%>Co87OFe#rn#F|#8#aeoo%agl;z+3=lUF5-naTQTi~q_Wrf)36NT%%
zzQ>fZx2XG8Xl@o>JN@}#(Mt=SuGVWVuX_=3{kWwk>z`>Isk>Wjh4qZC6f_wu_p1KH
zHL<i}?OXS4@|w<<l*QiEf4;UU@Ye3T;h#?kl}Jm^o?BCK;E;Egjoq7a%|_pymdjEu
z8g-oTD-g<(-SF=2x)@zv&&h5<9u}MycAqZUPZ9jyA5{~f`>c(B()Dk-6Q8#|^{~~J
zI@fzsR_j9vtENNGYSFJ}Mc>T-@M}fQM9T?HTW_>^1f(}VI=B0rr|svDP6>DK2uA-F
zUF+)nVb#ynC#)ZW3{SAR@`;}Qbk%9oOWu7^V(({Gh%7I4xN0<e&azF{8KN9-PSM(X
zK=q?)+;g=}H813~Q-n2laO&-UGL7l+$zL09Kl0YlUsjQNy=l44$K!@uTb_5$cvq@C
zNq9#8OuxC6p385ud@%WIqf>pkspe-Po7??s37c--)$))$^tknZhT5!|5y$Kpo+MAI
z+q0l=pLp-7DhX}d9k*ZYv9+uhecmJc((ZH%SJqb9#KK)pU2Tqs_PMo7B+CSCtlhRx
zJekj4^YV_#@;mpiHl|h{YmUDeTpw3H;lF%Ad53p+QQj9DF1F{+35Dk^ZziS9^C|M-
zYuuyO!nE_OZ?Rd>ly%SM%{SFIyq~>AW52-UgejJb7&jbf6n+%M{=z|W@f3k&uct9^
zh~58Q9K3M_OWEsV?72qYi*LrhtdUs6e&V!vd$Q<a_Zez3tzizucQ*X7*|=9B*81Y-
zV~iZ%cK%G|3gq6Nx<*wtakA2{8OPsRI<8iEVmwvK{(tSRyriG+w0A$qd8}vC^Y6ac
zy&2)}-x*0fNk1LayGY~B@(;6JBNqB`@6Yg?de>DVaJR@!_oS-6Gs!=T#n-&G7nWM#
zmh&)p%G^7LL*`D6v{cU5T(k4c;a{#f&$pfM>#z#_D6nO5xtFG%eXpC~gDZKOb6)&9
z-dS@fGBR9Fb8Ey`k9qT7Jg?2q+SGoId&L*lX&o&|d2IGp9Xr#HOx`1W-(pe9`}=%M
zx6Wi9V+cL8^-IM`vsQj)sk-zj)AaJ2XCL|A-!ZK~UBi<@+PT1aI&W7-PlrT_M}+i_
zcZ+{6ICJZ5cf^FsUk8)C1XegGX{6q2S=qC!`ybCqMlKueB`fl)uWh|m?x*3sH@1BL
z^60RW_dmD>ELi(8{lmK36`3AJiKi;WjSE-JxnVqML;URZZ{%vH?Yo^^wBftF&cFW8
z_q4jEt^1Or$SK3e$@}#4ehu^QY#T-XF>Uky+bSFNe#`0m?GMF$&j`p}-gj@uy~X{x
zEc#P)*B)7-F6;lAVJWZYq04pFu75rH6qR<}+#_o+Z;J)D^Sl0-OL`0T3%dQ^p=xhB
zH`K_hzPn^O=VP5&Z$D4X>r-dD7re16|H1y2t-+PdyN}y-+$w!_O+bCwJL$cbF2>ov
zvPfF^&~B^pmD9h=qUJq}75!_ex^|M;E3MGbv&)<c<^I{vNQ!*E$~aK%dF0Bzbq+0p
zyZUYf%y?H|A>>oDdd4l0fD#$@%sSTICanw84r>}kDO~#^r|g`6Kyrd@<B_nmn^wM`
z%2&&O5IH~XTdU9h_5bpsZa7|fZ7TM2egsRCo%o|l{>=BaX|p|-udnZ0pfmB&%2=1%
zdJh+FX?^(GWwRK!;+htNqmlnJcC|D*hHBi~D!9KzY^~rq?YsRy=1A>N;#1khe)g#O
zzUfB7lP`;y3eDJ_DLAb)YRbfN#yS192Uk4Zx6#Joe4r73xO(w@@v8H3W*w52PPQHM
z^Of~^*tg$KzPowO!RWHwv*HD1&S7_E$0rr!%DXRrqvC%fI(O@)PtD=etv?uf+8w`q
zc$P@%%7w=nZhq3~>?oS+#2r|$Kiez!E_Ybp(}b&CSw}Y)eE7Fw$HH%XMl%(e_0;Ca
z8qL2Y92lQ|a8Ey<`|K`nYw<|8(-Iz?+5ekv_D|?4{bZ}zculx(Zp+5_axa~G&kmK(
zSQ@=I|A<J<hsreOmr`=K8|*hYHH#&i2iht8>|i{6;%c4F`R)GC1eQ%a{8*#x?I~C8
z_n%gX<bU{YDCYFf@~d6s?+fDYUN6t+KH*!#miKenjm*&BPv5A#vhiYFYWcmPfa}|W
zQU(jrxtg!ER30-0hoy8J$x@1VFztBVYpY3zcE;>lx4wKj$K}plb9B!Nyzxu%TK9cw
z!D%Ox;JRCH_vuM;{y&>-@NxOV7Y=7{a=vap@iOJ@v#G}fju^CdSsAcstXsE>@8zAG
z{KtHiGXD9``!B8*&*PM6nYg>Mkg;&0HmmFMiK~A6-S%Pax|w!tueYw5q5SjIwx~Oq
zi%s^PvgrSD)vxYT$lKFFRx>|Nye2g@I3{$`XSoBjulw$eVt#5DcjD)2u^r2%#~8WW
z&TzMx^Jv)-n{|f{)d_t%7t^!t`sbd0!>v~s`6Q-3w7F#$P!jgioa@oT=_@AeEpzI1
zWk`R_uNO5_Q()69_jh5tH!bM=b1r!M1ud(6r+qr^t#f5L_H8R`W{O#q*k7%q&Q_)0
z9sk}gxz(n#`~Xk#<?K86f}b?VWo;J-TV5aca56X7{+Tb+taO;(ik-Mo$jWprd+oNe
zj}x}t`}ez`Wjl)y<8kiRB-gNW{R<MdhCFc&ypsL(vVPp&!y$}of11=xu1z+$Y{ku^
zCcSloSy<4{?awy^evtZnrRdt<Z+UAzPno&bvcp~SiN5af^YcY+uw`G^koMr2V#uU8
zF0;qx^_J%@2^)N$(*I<WTy4uOzAMFHCw0#szOC-Gzw-1fW@#;_ZH%QWwyl|M<RP%h
zV%PIb>$4a4|2oEP!x$oyIcaLb!}%)rFE@rfQD|=cv4iFDa_isOz00RgFp(<ad;D&t
zL)NPLd2d&r5wE|nkKJVlPxNQ4UW=Dz`;TkgV95_ZydwPXr&5Nq$6jjApQSNdML2Du
zf6MMgGiN+|+?p9>e?s(#t^A|o98P6HPhPmFZCfq9eaWN#nnW`JanYGexbr+s+cGn%
z4z(FxGFA{=ShD4X|KgP`J662bt3Go7Qk-yv_Z<FW&rcopj-smKFE4Vb3gs$qpLV6M
zQ=+CT=f7C@b&ilz4X+;D|HfWpdPDoQ_Ols$O>PZtr@JCOPNdFo(VTO-hV$mO*POy^
zcWuHHbU!`!S>-&H+2H=XCC-x<ZQU=HAAR!R^s486wnmpZdPKfXa?JhDeD~Lk{^QK+
z{%agz-IBLn|DMNOUjv@LX|W3$9A-M0Z|X?DyZ6LTZDa3hPg%8vdm@|H27Fj`g?C!f
z*$>5n)|Y2637%$Uc*xXp_423b!tFPL4~r`puP!|N{M&_-`L#*Y3OCosWhNJ2nYF-q
z-RjNrY>&GcKQ*e7Uh$RnO~b(;_rIUEO)L8Ng0112kC$q{PYs*;%_CZCVyaKfo+MUU
z;*=d_7q^>F<oDUf9~e6vo-N7nQC#lk<vJxKI_z+$R6+mWd1=hGlaC~>iENDs5z;U)
zzL^^9vgqjVdV%)9$OzSc?6cnp+`gFq<<Tv!(p?Mr<8Gg5d2zVAx8!_Jfb2}8L$m(w
zjo#h7XhX+^?8!1tERQlv*BGAPY4AUY<wfM|lo&aM?88TwJ*wY#_sK8ie#`I^s>Rd$
zW*+gAUZE#yTb9}O<<6{Ea?5Ii_8!rAx%Gs()SYG5cBh3-{J>DAwU*6-Z>c7iwq=tX
zi-5_o${q9Hn{v1Q+iG_0#<r!Cn*H=+@+-3w-8J&<YPNW*?LU0Y^TwvxukTGy2xBf}
zcib-icd?{~)$(JW2Ap$m>~gA=xGHgs_oU$#oBN76Cz|f|zGbjC+O=dk<BVk*TZ%qk
z{MB{;vD_McfrrxH%zp_r#a*;{7x;UHN&438#S&QzMi)}{`1fC2_u|_5hZj@tq}4|L
z6JnfPd_`67-WRX7f&$GSN9NnUUjO^@bIAh=n>2PTXINCkZ!W4Wnr^jSV|V^${`RIX
zTixds+}^zD(Xq|@LiS%1D!KV=#~h`bX}%ZZ>{qsQujNTrerCX4SnK|8-Y!WN387n#
z0_Q({^8Zq~UFr?r!VB@+rM9<xak(+$?fcaApF%s{UiaBQ<7!^r8ilpdOd>0$Bybs8
zeG{us4i-&(C*pt6E@zQu{*#;h_exJp-KMfKrGDLG)8kiUlJ<qyF+VxrsuQPi@uv2V
z<!J`*omV}M+ZVLmzpljbdUYVfj9tI~Cf&`udTHW@X>U{BblofX%CUs|z}Ic!x?Bsd
z@lX6)#^^ld`#+b(pH!><2TLToXV+hHzZ<Lh*0I~g<D+YxdshCwC=GM7`;5=z5+827
z$Tc@_>if^L`x%xLPFr|Wf_>Sw#`>CtpCZlfvz1)xI>A%3t4T@!H$&#coE_n_zAo5x
z$k>YM{nF<iEO$!ZCWrLocF6x<v7tvP`%BRT-U{Z96$~G&gdgAbW?tU3@*zja4f)l_
z6Q1up<-%O^^Zbb^4x6)dpN9$58LSplKDXrhwKvy)F5M}y>e;dfOKf((cR7FhepIiN
zQ1#@9<gKN@c#~39g3h<^C^+PCQ^Ba2slnj<VgKusJ2xzLDGq;s|4YAj>%^&t{rT=O
za(|EZw`N$+@MfLV)2H5hW-=Sh-Xj*s>3e7!SA3<L&Ud%YAKA~Z{}hl|_Jn2GhR9Tx
z-oHO4xqOrM32l{nTFQ8Yf5WS-KPNojV07@y)Qg|W^8DoP?CkpGK5xd}q{qB}+uv~9
zIdXC7iKlUOGc`+tUnU-(IgOD|$&8KB^Y~1@o=K~gZ%VW&*O9re6=e7_war-lfXKg+
zzB5y{cj=fnUFzEDWqR#}kcEHk#r;Y-f*R~EFE~qtIz8E&;E^2A$$D0PiOqY1Q0JCi
zGb;~%7d1(4UjK4Uw$F;9<2_w6&rW@i{9zLRZ4s{w|907D!A|ZGPcxVQljAPm<@5J}
zf6J*=2R=6bGJ3_k^7ajdb!JN%cfX!&&YvTq^2@F2RN=I|$Vx9`_WsvD8unx{wFaIy
z*>}>SlXv3HyYrp3`jV4!-^^CoxNgOrWe>T69b?#j-&mPplJa-oUxNd2A>!wCTfZ;;
zq){C;l_&OF_EWvGGvSFlqAXt7Zrb`^#p#Zg&uhsI^$aHuw=EY_aDSL7YuIa3Kl|aw
zea_6w+`cbWnwk8!=<ToDJ#5|z_GXPz3;(|MSrnUM+uq&%M#Rj_;nxf4n|D}LuJPuq
z5iwDkdvZ<tk0s~%=g4|YdfByCCAWP=O~`4cPfRQ^$y~3>z2Z9Tk13yJ@Gt&VHu>yz
z#lH)7s@j~4c{n4#x<$Yv$7i2VWJl96UB>Kb)8jR^?7BQVaJ&Bjp7JYuL)gCAE7<ry
z=$p{I_NvJ;f7LB-`uBQYJ^iW7TwXj+-+0%j14mj8-*CEBo3vx|w{XJ+_b*S(dG@yC
zt>ny(HjAGwy@y$@y!w&Ba8B)o$c~`6`$FrNi**GFHBIH^>e+uN@c7fi9?p+>q-U*9
zmJJC$mY$FmcgW{vdQEVV4)+0`sHCpKN8ZYp4>zA|zV~JMxy6emHgmfRFR$93H6_^U
zc>qt?Lv!2i_dZXHuS#_u74(~XU;EjbRZlZy{`als=+T_!`aN{{)~OROe1ENBx96Sr
z1u;2+@BhEtuAL@(qWAlfrqKK6ZY|9ekmkM1^vuPNxiSC#yty)q51tbEdOW1PUoG0=
zx_)N8qC`i6q`I_y)RY?WJDweW>L!bR9F22zY*;V)m)}#!;j}Q9_y4%H7sZPOo+<7N
zxy63;FYDun`YZaRdt}rrMdY4b=6Y|Qv%g(dBg?w1-;wcoOL4xMC(oRRdS{-`iA?<c
zexHbjyN=G+D_&c2qxnxP5j?DXO}_NXfltc85!qrYE3f4&tK752DgLI;w9psE(;R|t
z*8Om1T61*P>dVgp?;fe@|7e#d(d?(>df)oX5q-7!Q{ON-zE_i8wJfes{Tpj8i_9VO
z$G3Gh`sr_(r|0Aq{^Qu1Zhwi2Uw<2f!-^jsH4=Cb>Mv`!kt65*WN8k&IsIFdze|2U
z$?|Ra^+c<w^82iVw`D7K@3WF$#d+js)PmVg+ZHZp+MITjm-&LAzeP`J4PUkC#QE>{
z?%MlQY4X`P){AjR91qxZ-4>UB_}b3FS-2%y%iJnh+WB^!P1TXOF5R~e<$34DESmGJ
z;9|iFyFZq0x)mn^CO?sl%6U5fGJnefm+3nu@=jmQJ##OcQgLCz^ax)@*EN$bDmn3r
zHEBOle6T$D#>6RgTh~iFh|HOAdspuL(_a=^{I#2?nYTZ7=82L6k&|C;Ntd!(%h$pz
z|Gn<#qP1GbZ+sILRd)8@QMG6~ry~0*T}u<CEo&X`+Z;T9`=j_Gy@kB513z!|^)2PP
z5Nu*Np^ed|N3DO(q~+CmCYyA^{aZs~)VN;xRQi5Si%NgikZkqn#M>oyoFeniN-U7w
z$#LgY!uOj`<?cOfRX%QQq_I&$e09vt>Lc6u@3ithKVHD{enAVP;MIpyE4wDlxcg1J
zv?c0!*Ro@~C8f{!THfhqcih~%X!_g7GQsbEevOgX@3iKW`?NJ%4TAk<=cuesI<P?I
zBikBrt*}o*+rw{J{mVJ0Ynv0+aBAPq#gY|I4P`IPJNxk3v+(8KTt`lnsK}&Pe6xC|
z?k;TY;Umc|thDR=%WK9Ler(>R_O^4`!~D9lV(FjWZ&;!{-Si6AR4sX?Xpu9F$KPj5
zrN@NZ9pJQMyCtC3;yrh6$ck*c9`_WUiJ?h<Ua{FQ8=LIhkfq1E{76`@vb1fH$Ae87
z?0+vm(|p!bHETm$|8hS0Kho;G*(~kmtXrPho330xiCOf^3)ffk{`ueYt=re%=Jx%i
zoA1U$?`D7a$*2_Ff92(--xHVox0bE%QngMt`S)o3b$0>7c^{vqcUCs0vpjXbXY(zR
zF*}5RihPK9X-S)qPGjYjdzZow-dmrN8d$J6&i9n|#*eq$PQB#0%T&E0eLvs13$-h`
z-fDb$$3Ev2$FbiV+&r$IGH|o|(t7i!82kR%SvKqU)=s+nIicSAs76ItPThvm9JaGN
zRWqbdmj}1iyhv*lDn8T`VdasvLFsdH&56c&I!oKEcSJqhda85J&)!WB`-GjpzPX|^
zE%K|9@*6%QsT*Q7MyqcBWM%tc+ixdvIr`>`+r|l1rF$Yi+uu2Sad*eRx0Yx6il46A
zIE8_0GVjNj>z!w9nXk#unHtMq8~$efYpX&T;Z3`Cb2)S+eq3;O%dQ9E-=ymr%_Qcm
z+?sjV`J1<pYl2UE?}jUv$~by-qc^R8!=x$B;AHb`%IgA)>ysF4GAiv~yj#b)PWnq~
zQTc|XrQTJ{j~nmV{p~8db*rqvP3ULE*~JmnTkE(tWL>F~_&lf3G(+^DfW(U?%bE9M
zUInfAov>Z*##@b}PBM9UPv<YM{L{7endA1lV&0NReU>}F+9v%<)|ZKUE%CzEu*>3C
zns4IT4VCh2#_#56pNkVOn!vYb<?LTU>6Q{7_ogYR7MDc2yo|gcCEG3UuK(iPluP^T
zwi|L8m;U%_HO=Yoa>k#20Y5}4C&*k8kagW?wfD!fk9wyQZ(TWSy?nvyg>QD>)l+1c
zbMm;o<R(W>p7NMW#XgD)6*u{<mYyi|hS6dzn`$rHqU8M6AMMQc+a-!3^3G|C*J-UT
zee_nT_5STD%dNG|v0sa)b9laBs@n2pN&WpBef(boj_7|nS5@E4ynWZn>Xhs)9{Iu7
zlUE&<xD((mb<cI`aighQ1Dc+lTi?^*{q(BNt&8_2bGDZLO@DS^{w%Hjx1KST2WK~j
z=d>s>J}pUX3XA%t-cwY=)T78IkvVOi_=3$x8+xXw{h#sh>hbb)W+lm!1&0dn&uwlH
z{~=Yb*LCFbPjjv}IoxXu?CjG88@@#7@b5}pymQxsUF%OUoIPA1_@mbR%(+k3CSEX@
zXPXp#d6{dB<NmhK+a6Tjxg!3)@&9o{{)2D)W}ZF2elpWpo{!-N!&fO^eXX*TFY|-9
zfm3d`1&^qS-^J7?e{XO58>kYdVsZ6XpWptHK9+NfvY*ede0cL2`zbx<!lRySS$6wo
z3dG)?(-LLy@yxHXz00qgG@AGvt!nOhSMXo<pw2_3k6c=xFVAi-UgdsT$!n#8vG59k
zRNq$@FWln%v*kv^n{Jgf({mTwlLcMk)QY#tJMFP+dHd##^u~8{c_uO@$0>Xha}ArM
zA}5;@8>PIKP5xxy;>B+x>p5D}|Hm^Wsr{H<>3HP>%hhDTmD?NJKYfjnS|`JF-L>)0
z`924B_XG7iZhe|%A`^3GNocp@?)uOs$)D<;o8vc_H2z)k|KvsCK&MsLIa(^dnM$5q
zI$6V=<CUB3*<}wV{P^vtP_i%N%5GQL4ZeY^l++f~WxdKc*>X*Z?^aIP%c`yUB2G6&
zPZ}=VyeFa6r};1c$3ri~HN7)*K34ymuz8Nbqzj(kf@D^oyc(UN*8jKlz3pcHb>`iZ
z_M8rv`EvM<{XS!fsh>=EZcU1JzS{fBWIdyRQ@h58mpgSmLRZ<ct<Bt!6r(yn$|OVY
zhPnFkb<$G5=bT>QJn83SwdySy+q_l!yTz@}=9;gY=4KMj@?3AGX@1RfscP1WH7eDg
z=CVyGJ=e0Q%KlXLiOkK@CEK3!RQ0cTZQU4h-tn{E!##IRB0YpJt-WC<ru&{J`rpC7
z%k$F}cUc$&IH+pI_B`kQBALBAwl<hA!5~bh`sg1;xAm@4UJb$;YmZ)$NQtlHP1@F2
z%in*bM&;@;{;IdD-<p|*cVCiy^Y!4%=~Fgd*0gF}c_QWf)U)D;pUf}rRSQd>`@(v)
z$a-GO6iEx~+iRE{%AHr{`+a*;$^Sa1?fakZg(u(o#7h-PF1{R6+Y&ub^w=*o`6C{C
z3YhXOHk@uTUol^luZ*#_A@}4GeZJI0j=FuqKM%yj9bU3D`CX{#A=@v0vp2b@+vsmI
zX8QGNW@82~+lJzx$(JXp?LE8u^xRyH>!(VzbH4pD5^XDebMeaVbzkO69*y0UXQcVK
zrooTF<LClG;f~|XF;Yq2e+6C8@tLwK^f^OU%7>Q1c{9s%Jg;ZmsD5MfbwZm#>)f4N
z7oYxI`O<P_^}<JAx4pd(=ke>j(FONVq4Oo#r>X+ath6%vedE*#8=1orf3LheT)DP=
z*Rcgo6WRWhWaw;~*udE{weQB0ldBwzqV{&?=5G{!_~OFDg;UtwymM!%B=J9Oli4%H
zM)P~AO7!}ee!okrO#MT6?knxc2#GLB>s;dVhK;4^{Hg;tbk*epj>aBk<k_-ngILT4
zPk~H@2DP)ve**SpeLNI(o>P13((=i_oxe{Fi+dVv<JYwPLAi-qW!7F5u@q15ZBM>0
zuGm^(!o2wX!oGR?^r~mRJHUSba!Rp##lpSL?=xokeBZJA(f#&y_hzIyl}oZP<geHu
zdNy>~-XNiChl+)>j;GzSso#D#u)9Ul<?({*!~OnKGn=b>WtaZm>R}|m;q%w8UfyZ1
z{=S_iuf;U^_go3q=M%ccR28)wjV_&+Uid)kQE`#^ZUgTAY*oXKYyP({2N)M8Mo)S_
z<x<?;RUhr&r0PqlTt9V)!NdB8>xFkOTIXdlE@BdNF6%qF_xOuld-<52#s1wc8M0aX
zrMkR$o^8o@iTspYtNwuFv%c@x@FZ-H=-*o+smJ-VzD_K^QoL%`{#PaOJAdeA_uO5U
z^YGT30^7^qE#CbQ-6~ZZ&R(~R?Xc0T-4WN<=GycG?fk{q&*>B9&hJ|PwctqXqghtV
z4DYF}2>KrS-{$<5&9S8cIs3h(PTGs8-IiP8S9<+s=C2T@vPi$rt~ob^PM=zEqnznv
z_E(W<Pp?|Vl{@YbnRV`Y7|*xFG|%UXxAGl1{(fG(&2{P_ose4Y0JX5oah}%q7Ee2P
zF#6_!_nqbjh8?ymdF6Jch0Mt}_%~(ek~1uE$Ie?>v0Zzw5cw~$bjQCOulY6t+aK|=
zYqjw?s@^=dFYR_!<c*U5jqFw#Ot+Qp88<F!`z_bKeDUub%Y#gnh0zl^c+=&Q<yu|;
zZ+D+^d2Z9;C%k>WaW~mlen_1m^qOZ=?d5wu9`^QMyzL~KuDLv#W^(3c?c7Dd@4NUf
zKR2G==9XbC_;%eK&C2!bHMoB7)t8@f^I4!pZ?F7zzomTkyXM}VQESPOWwlqLsb0ls
z3f}=G^Ixa`$A6d{dvJDSSpa|f{ra@yLGQJgulg9*Z76^JX14Xk`X65p*q`0X;;JAl
zl3Sd(ug&}8%Ys?9b+-~{NNLP`HS5%}s&-%g*x<Fcvr2>hgf`dldQ{D@ZL84T?l||v
ztS`$=Z{A%ey?%E&&+L^_;TOM&rFZV^-tsM-v&c6gW`1p~JJ-A5|G%_MC$UWKY<+O8
zo>A?=k-0`zT`Y}D9%VVq<x*_StB71MS7Uuqrq`3*l6QCi`*Vv^x6tZ?o%7~>H)Bp^
zO%t1)^+V2WYT0{lyQ}doRbo4&e(ss_^ui*K%<{bKH}5&O|5|b4YF9Pi_DRO#F$sHw
zm(4Y-oEB@-{akF)oxq4*`)%_L_O^B#Z@GB<_9K&!-_r%XJQuDoZh7+h`hrVy<quoG
zxOC*UWsuRNx26Ye%fjM|HMff{TxTYm*maiu%$F498#dBW7u-)>-N6<bej-UKSoM;*
zU+1k9BPYvCjxjT(XTPja>o~Fe*R5OqHHAGzPvm00%(ZKIQLFa&-q-ku!$)nMW?h|a
zt^e`F>%?>W^SG?PdA;Ugn^gYv?VK|~YmV*zyYlP7_^#kQZR4$pMT#eOeDM4{X|>xD
znTwM@KUBB>;m;DYN&8VD+pbwk2J5A|yLGlbII@6avgH!*Am`t<$)*Wy($NwXt_Om4
zp8EKz=jEQcDSNvj8#)$zHM7u=P`bE*e?4bnUQ&HTf?KItbo1`wGW#y6%#_D7q<5XD
zRx#bUq-K$!XtQXor>SHAgJ=8CF_eAdo!uI{<LTK;yu#K_+$UYCV~jU?7j~|k_IH2W
zRK}^_*RTF@qS60VLhr<RhkhDen5!hLG(Yh2ydR2o-@4~!bv=oF`=3v*dFSL;4|l%U
z)R}N1*{H?8meD`>%z}qU9XI<_9+&dx+~-iw+#_8h@vkFMXlv%zy%|h}Ulrfw%W+Ir
z)X!eCuqfx?mHe$%_d1?;&X}ctb9%|Xmq!-84A(xZF?Yq4>C5j<iQkmw8@JU>f#=Al
zvVF%-o=}*6evke#&-VB0LZtQk+OHL;Fx2qxUwHhK?Xt=_7M(mNs+Z=tEqJ@tQ&KKG
zE$2&wU})p<l!g1RY?#Pqym?~GuXaW2HyL#gTAq3I{k|?(TwcG(sHZ;9l>cMTJ8kiO
zjXP3Xg*jCBzkX4CrugZEps1FBXE&awOuBCq&t7~uQ6)R*+=h;r8C%VsmY)9`^5D18
z6`|@0?<6MmPUrg&{&>zLy~Ltdt_$u7$Ukgs{w3JxyZ7}A^`Bept9kdH+O810;Zew}
zc=qZIo3}5K;oqk@EAiCKC)$_IV!rZlHZP6wTl_IV_37LB%SEOuHEsG+8+BmO7qv|X
zq`RDY%VO4g%Km@T&iadGhSqJfZM!ng&WV%r6Y!3hC-P6xa>>HGj>mu0$uTUR-?v16
z#U7hyd3!gQEUswTv3ARWGhWqoo5HMOelRCK?FoL)?rcBJ`B{JGthWv+^Sah-c=FuY
zkx68GpF*zv=WWkCUNElx=$TVio*B1Z?ZhuLwlfE7=89gh3fZe%+>mN#_i-1a@V;}e
zRKLY>?~QMee7@^zruixh+xkwPzmqdQZu_Stc&u#Z6eXWsKa;mGGMGCgDsS3f@c(?F
z$l}>~TO<maf0%BI*6}>~z#$}lt%%FkV;BBLPp*jl)1)con>JJMPFvE^IWsRF?I<~;
zxVzncXTSwkCI;;j%GR&<NR@u*{;*`uBU68_MfP&)YchFsY7Cn-rv&6$%$}(~Ww+Iv
zFY5yiaq*^b_=U7F7(Q<PGyhPkvqSdw$4qDZ%Uid+(NjyT`I+0Ql3r0WW1rjV)m3l5
z@7cQj*e6c&SNr2T)@d#~EWc*ymQ#CTGZnk`-QM#fBlf%6f2K0yD*4@qxb|HOJiqep
zRXxTfMGa9AXa8(@W|`pTb<XhEl+Ip@O|NP<r9a<w+ULOng%jJhP2A>Ux|Z=-{o`+W
zt6OgE&{nNyI{xvZpwg43;tew<Xs5MVy`OKnf<Ix;{j0y@UkAOln)!9glasAyx(nNW
zZTWO;fo37g3=cEO<)0oKz4*T)_m2HVQ-;se9<EYX%nWQl>&WNwtR$_#c%E+IB(~6a
z$EADfw|rHYd&Of?hww+G=Q-z|S3UBb>?1Sd^#kv)X}e4#ZOmJrlu4=V-)3xgU0%)c
zkFJ^=&;IHE%tI3P<;ZSn+QQbE_$uV2$nG-p6?sf5m)K7pf3bMSyz2W?*|*0=?%=i$
zuR1Z?e$Kl|GVX<4B8R*-C-8inFDRtVyLHC`zaI4)z87ZwyuAMP7p*Xf#d?x2CkeaB
zo%q}Ph_&2*Ri@gVx(_UJ48f)9)2G#Y8a^n!BOviReEMwV|G!qAIyq4`xU*R8#RJci
zDUFkLRVJ#GNi50;)w&<n^iq^pqW?$8tF@P3>iut+wx9W?Y&>V$f#Z`r?rEI-l(V(7
zwk^HK=I!~5kAzgDbvC<1NQgz<yI%OewW^i-%Ep?%8?P>}`4Y{f>|WC-a46VFL*Pd4
z>o$SiTc2z>{e4n>nG?I{1NRo+&UW?Unr}NBH%;fTG5xwJ<JhdKa`lcCH~7rM=gPm~
zwaF0I+2yTq`RG&0Q-2yF^0<$l?WyYVvvf$GYP$H-^f^Z>Gjm>?NOx2<?}_`S!Cbu|
zEpY$j*{6d(Ty_*mHJ5)o`_p}0Tk(HeN)DJTn^_|v>wRVWGaK#yvM>Hjxg-5;dz#c?
z)$JN;LW|qX!YtJkx_2*rZ&~@W$RuZ%(XJ&AbqXK6IMf=;Ft_2|zSOoAnzrFue^NY5
ztW*30Wp_NOmYZLD=*LY~-lzRXZtnT=;D_iuiJRWPl{Q?D-&HF4|K5!B(^2N;(m!He
zJ#Q;8*lP7CxTAtkqk2k~r)|#Vo<|F|#McKhueEekKcak&zp=R~aP}1s6|0@wvsu5d
z4S5vw_0Fk0nO7l(2b<+@J#IT^-P-?U{Q;lwpd&}~|L4i9-@U@FW&MerA}l@nkFRZ?
z#2+Q+?*AeuabvwnZ=87Aq|zt#u_5d#5-a|s^lx-|ylL0&ODA1l>qsm7H`)5+!@<*4
zH$~SO=01{IJGb%KBGXinli&Uq>r8o;7(Zvn<a0ZpM%}MizJAsvg)fGUk4$5-4_`Wa
zs(b2J*|Oahmo3w}=I@r<Wc{8~>Z)lJi`}>Sx81y98-hMOI<nz(-ouS2(vP_NZ~Gqp
z)L3B3^TWSFR*G<~G+t~cZlym@e+`Gko*VagD-P(V>aWvQo^h}Dr>CUyugmk&IFo`l
z)NCl4B67-PCC~a7v%i_yHy@jwKGV8T)_&P<YyH6MP1ieBXWN!_=FFD%zj$O#^{y0K
z<sYAG_Ao>joG9GuB))OA-zDDI`w^F3?F-}HrL(Byod1E}K2L1k8i|^{Q1`fMzV~jC
z->$FPwddwHiRQ^(IMc|vzqx1LVky0O*M6GbY>ACqCBHfIZt?x)f?|8T_)8YmxpvL_
zebRB0_Wl2b3-_<;|F-*-Cu4YT)<rgfiJyuVdfoLh`~4sz+_9!<?%8F1tDpIGiYBW0
z28w&QUg#_SeB*fM!y7x4k`*fh#DibmuhIJbF;Vd$e?Zu&;JY(~E-e*glv6c#Da)BP
zWnsAZp9Rm$`q@4-RWcl9IrZ<t#-kgHZtvV6Xl&O~a!kD_aZf<IcER__)+b5|l$|nS
z?9)`OwEf=8mA|W1Z2d9+K)7p7LaKPcgO2t^AIuLeyIPUbooP8eUT(#mK(<+GSEl{m
z%vLOV?0$iFPnWZ6pr6M42NiY9S{4cV#{#T298A1Eudri{hJr&^U8BUA!{v3y&c$(^
z3(>FLwI(vUjZ5CT!=-V3eRa9R=^S~ZmnW|Yp4hmz^}^Z;#~-hsUtZtN7bAB^{HvRZ
zOIO+ES-U;n-9Gv8O09g^mb3lK?*&h3xVqSBNtK{*^l>xAXDyeN#CxN!ojF_bf6BFD
zvlTy2_5^%3)|nyD{^g+3p3@3*R(&a&`dQ3i+l^~=7Saai_kR`WncI7(C`EU2XYIZ{
z0=~HkeG79Ry_l<@q-OejtM;r<QHOVPCp)jZHUHw{Q)b6)FEHNmtZP`at7&D|gCp(7
z+c{tASoutivz_>D^IMNOcNWE6_J91LO)1uTMs-MFriSr_$7OpmLM=9^J}<2Mx%A)R
z*6<JWpS+Zknq8J*)OmDEUz1k%qL253)50SyWPY6L&YQ(I*+(Jd>FdV*PpuvGMfUXl
zn2=icSy5@lv4;nCIEOFS^S{W&DF3Nv&Ce7&x0vvbPt)_(u)ExU^r7of>toksi8*`w
zS|^{H7!bepPaNCzH;<<MnICgjScv85p~zqR*XM3D*>szK{Vryf7rPF7M7L=#R_oxH
zvsmrM!{VFwIs&`8AKdZ06?FV>_7dxtyQ35)-TQF9==&Fzl()%(clxfkeR#8POI_}k
znY>>`3?rwkFIYdB^LA*AfK>UUr(KJu)OXZ--QD$X&W{IcJ3lPm?kpsK`0v}w$SI}o
z)l!NQpT!=yRdjv(*LsheUq5ZjtId4O-km&GbCa{`r+KVvwly|=YMb?<_06?oo7^W9
zN4=?CxI3-x>+6*VxCKho4nCb?<ERn(D>3TO)vWSOY0K27XI#`Q{m`W+#5^ZTBKZEx
zi|H(xYqB}ko}2pV<+GC47taM%?|S6Qd{oM>TF>OsDVDkoH|*v5W_qdWpR`>%`>ncB
zuj7g7z4ly;JM$w$rtkE+XUMpz_G*)6+vSZnCzf^`?o^QwIU;%G(;t%w8jF7WY+`=S
z`2IWR+w%b%UDAFq9TJ}FmK?k#bB}h~8%>e=7`Eh~McRh4Yd$<&`nERce1X)FnE3~T
zzBX4CFuCVHN{bbnbnx1Y#}nB<R46z9lQI8Xq>yR0HA?2CbilHP9YQ8X{}&0nd=1-w
zEA8W!eF6&-4^%%7xuu=#e`)ojycurwPm~3Xg5F)>)9idLXH)$`^cc5v-MZBO$GO}K
zPac?~_dM<AdiNH&y4eMH7uzU`7Tj6CJ=*d1GWNYnyMpRx7M*EZ<def+RrqreXK|4P
z_v37xcTD#-%}!i!{muMfmf#EBjBiT51gzJZZc({}abGpht9cL4UidTh(cKFFjU7@u
zzuWBLUQrei<9_1wmwNTd{drEGJ``+9*E;(vQ<eMO4&@uCzL_Q~eLC3rUrXJ&fpPWr
zk5VfyhAHL9w1l72kk(GwEA0Pgmw--v(ylAPOtT-Ki!5?p&$dgqZ1IJdM5}jF4txDl
zC8kd{{9<exA9L?-XNO|6huY`sXUxB>dHu8M!MbS)4D60f-70>~dl!pO*!y6D`l^4I
z^TX3Oyf0WFSrL8rzHf2X#Vy}=em1)JFTqqsdg@_|dZszwta=jHT0Onb*t`3v%dZCk
z@y0WDIbMrAy)NvgU)1IN;CIWJ<U~K2oHp{ls?}Vd=Ja&M!82DT&x+gmnEL^zSGZkJ
zTbj*1->!xQ1~XIQZkz4hQGBc8XXMe{<`w12*VK1^v0Tx`r`s7+w7~nA*pp51awpc@
z*u`b3cW>#rMRw0G?miwip|gK=P=eXYR}T)&IxcJX$V(%qeh=&7KhI}5ekxE9U@W)&
z<|Oz1)?vZWvp;u6yW5!Fj#?7_D(kKz+c`1r@5K)T*5#g^{pO6(&YYK_2abF;dVGK9
z_XC%?l)fvZZM&@g@6+~r>56vgNy*1pSHwr(z4=Tn`Ly`X%WrhH+??ROyX4)I$Ba?i
zzxI7fFK6E_Cw82(pya{5j3YB$jyUOW_m-=;c#?CeBv;lW)|s0NBbb!FynD9%Z9tId
zgzPhWOZVmVtt-~5{?C8<Kqqhf{nN7wdG9W}|8CuUMThgO7K{nZ=jAVnu?4MSk$<Fc
zvi9OvIVs(keVT1IUwcb0w~E=_W+(3Ss9>)9+0{lN6@|tV9&m&@^?o>Xf@AaV%9D-q
zaXsY+446zUf;LV*t5dq7ZB~63<C?EQo)%U{hgWUAH^<<U#oxb9j<afY<|N!dTa)PY
zG>e~YnF8+<?JDi~^qB{*YJa;S_j1MZFB?}EDw-Sf&6^>le_d_KgS0Z;piRm5&41J|
zNIN{)zklXLg9(vku_p@kuB<(*DSGkH3I<z;%@)1k9nVCYi$lY{*;lxkTL?<r3W!~4
z(2#hEDPMZ_184E<Z4=9`<h`hoir9Ik=id%?exA+yAOBwc{zt@(*MXt``kF-5moS>>
zXMTKDWor4)<DYCFd(g_f6H`>g)vK17sR-T(b<z>4ecyBP{>k|K9t9Owb2g>E!yN_F
z_S>X?IoJ7RPD`9LyZy{pc3fG-tE#)#)Zf2UpxxqaaH9O!vm5i{|BJtmvirQaUm<*B
zt-_ow8UOv=J<?7d&wC%19rgF;&;PFrRa`^j6>ikkeL1%&K%wDj$oA(iZk%z^XRZ9@
zZg+Z`iPm$G<xxpzuDYy!K52G9lcFjA)8^2UJ5x9Q|69+WoO6Z4V*90U73C3*-48Y%
zj=ImO{Qb_Yr_1*AW$w0{&`{UwsXS|nMv&lxYX++%FKcey!4+85Z1HgKt*;xMUM==`
z<aFcEigjN;TwL8G!8lJYPE-9(d#L?K=GJvJy#_|dvfWnfo~?eJzt#D3uiGj$_e0;l
z7Ud`1z4yKK*~O0{j#Uziqf?xWYd&tST>pJGv$=}*;v<d14)FzBOj^$tC<N{5I2Z6R
zBj>!(GEup<nw6&fhc5XE2RlXNnjZJ}EY9vu+q3@Jdgj$v=QO3Mam`q;qQ&JnYx+s|
zi+`MM2zYM&)AMUFmtpVKqElh(1>WpGcZFf*+I?Y{3mg7)h+T_p5LZc%Jv04hRM-4k
z8P4~ouWc)zU$ov$LFQPW>y2{)MTz&TRrcQax$gEtpVW&UHa`Na8#cztZkc@i)-3+7
zFT>8B+y7wYn%$R8kJR&PIjo#0zodEoEF%r?$<_xRW@q;MOyn?nUFyWf*>JJrOS%26
zss`gshRe?wR~<Aot=hNk@3PA-TWmcuKP||ro!BED(XWxX+An89XSKDD&4;%YO*_1+
z({_{u9Z!hXFrP75S#z82Dvx4w=ER;G{OtRswzq6%ywo_$U+8$K{L{69d1+ToH+r8}
z=Z>2sc2uQu>vh}c`i18!<1*GPZd^5C#o8>{b=Rk!%ywPzGe&j!v9x_}!UL=xv7I;@
z@_x|*^Xrmd>ifl>9%a^V4q(a)srdan-uzH{Qt|`-t-%lTZXd14i4zl$FksqnF_k-H
zh5v#}UlOOO)?DADy0p<u=DDWV&Ywj}`>y^pGzjGL&Pemy+Wok8jr=a=EjG$!+g457
z_-uWEpW_s{ifeOhw%+Pp|5@0U<@O@=D6<`N{5uy0B`d%AqF8PI<J|v8yxAw>mhPCb
z{&P}T<}c1GIn1@aeFwiLhUTa%`X9N#5F8~nLHWzfd5)D;x|Vm6;#!~AiYY1FH{+LY
z&QFV8V>)s1H%7Mi=kMoPbY9OoaY;n$@!t?WA<;IqJGY~3B5sE+UVAQdnZw*lbq9_7
ztFPCuw0AO{p?rKMyFtvt9d8*Py)s|xWcQ`({r(Rx*Bq<qc1agH<#t7}W0H907Z=^O
zK&679Al>tSHU;p6`sdfwKj-_o&HY~AV%J8&J4W`w%U&+)Ui#(JyRJ_M*!2wgYNn;R
znR*7^on^Fbfs>4k^sh9o2TbNhdK>DBuWQIHac$@k*wK@H$Z$pPbU)+cV#mX5>i6H-
z{F!IAc(T*K!UqSZKj2K3U-35JMZwO5dkd|8-dCwfvyO0@pyv_6cf{e=%;w($3|dYW
z?mL>79%|2&YG2b8&L%TKF1=A*U7i2OkHQl*4@G1%v`;7*X#S0`%&_|Ip{1q$w=BR_
z$YP;&EPvt+l^g-C$mix4g4GHu?PNP2ADiqDSzhw_`J4wEYBP<*)&9(ykj&S8{?yxt
zo0czG`eL=f;&!v0=cY;~G(Qk7o_w1ryr98fbL-5!GK->ZA;zcsD$Bq4ZN26HSIkeP
z*zfGMMO#_h>sQS2ILXl*KDDGp>{B1x3yIyvpEGv5t*miiRhZ1~5O>Q#d}FbSYkM!p
zjN{KQtz0c_b<&?R#?W|q{CZEbe_01EEGv}qYC98sL@R8mcu`?}@`HllARgC$SG<nr
z&0n5)?0rSU=>;DPC+aP&ex)rq{mD;WUW;VzhkT5icilJBT$8|m@8*jY@Ambt%U(76
z$n5<>5o^zg-Z;&_;@py_t~q)8+9deyh2A)3=&<)={13C+fAY^BRc<Qhi+XtK&6LxQ
zLN;~_AFZ4Ca&NNq`p?&*y~7t&uHLw8QcvsTj%b&_*IrfKf;x?%GThoT4|G2fygBtu
ztIq4UPyJrYA6uL_Yx2+ig101D<MyNkr%6;>Gq~iX&E`{%ahY8Z#WGv0*Ng4so}aO6
z9Sc{V5Iq>|zA>w5QsnGkd<(giQoQ_qrW#&g{A^>_+5Xyh=f!_-Ot_mL$q8Ej+H0|E
zrmk3@%~tKHH@@AD@bOr{cQ)mR^{u~M#m|?#>yys#I}ng9pI)f2gLT`i=uX#+?zBot
z*HmwtPX`V%znT<2yVqoY$L~PRifq%I!1;`SzC|SOOV=>{oU&}<?X?9m+Phafsho=4
z7T2tuu-n(w==JPHythkVoOciUYFYh<@7KP4&-CWhUpV|~_BK1cyOm-Kw(e3|aD(ZL
z8FNR4hrAkdww?FYiB2lUQ{GM9ZoWFO_bIE(%I;k|f9##d^f4sM<F#>M&b(@~%0sta
zGMeAh%UYLz=uPXDbsoYI<#(H(dldSZY&>6G+x**BX#d5&Gt-ZJzVOS)*x~+uMthrp
zOB?e|yaY`Hw%@y)|GsbXy?1Iic}!JU1hit9#n1N6$miHx@}PCj*;g$-YQDY-XT&E7
zE?8cpee{9Oz4p2(<~Obti)ns!e`w+_IZ=A`veGGG)#4m$lO!4X&Ia3QUEZ^#@nwLV
zSI-Lb=o0a{2VFT;Omx>BYAY^$w41Y9s{fNfRJq|+VIT7Y2jo0!8)mM3dH!36U+6T(
z3m^8Z3AK*Q5%}@$rDtN`vPsV#H0)iS$Z#v5(tEp80Q2<HA2t26H>Cxce+?5cS14L!
zzFIvd_kW0~tIVT$%KUn<b!&89I!*U(pRbbkxLN#JoOWcAj`Bo4Y0nIXH9Vhur=DA_
zTg?<z@^{s&%_lfEhs!zaOs{&x#VB#!z*u|o;z|6gzWlXW{mn(|M2qOIsHeplUms6;
zaEV8_IimT(>IYk_>iudJ9ZJ^Qem&(jt^S>$mr1YGmqRH*>~|g&-afJ-d|ij}(>W<p
z)h>T#l=V#GR}5LN@^{H+Z`mp83vRDrJ9*^8n}B`~t_@86_h(%c6S4U7BD7^!ab0fw
zBk^bVClwuX`%;s3&q&H6a1-M@_Do01$?=D|JY`;N*q*g|bp?Nq*osMJENg$x7kH@S
z%yzhV+FI^EpI7>HY%Ua?y6D12%jTP3+jR4Mf9Wdj`)*QbnVNZ~eqDfzhqseMH+!1~
z+rNbdb^@_>0?O`fmt>?FO{@xHI@w;Tw8a|MNwf+jc<qUwQt<p&kEB(}sfYVG1lDhe
z_<a4qs^1fQ13WWkHv0+QK4vd&dQic$=b#qH$K5~AAIoG}yLh`n_w6HZwgooa{_<Jp
z*u>@U3|#v8i>=h#r8eGQFJW`TIHoGm_VX#ZZ4(Q<vNkU^vRkt;aC!p!shbMiKg}Nd
z9Fz55>@2j{`P=fM#<GN4V!?fj=B$?3>u=#4@-2b)T#tsp^zTv!Jk1-7TV3zZowDfa
zZ*%G2Z`c3+xUg0DoNw8?P5;;><~^@HeEHquy7<+bv}a`<>Up6fefGo7oo4oLyB%+?
zS262TYdfeDU#~T7#|Ay|6YEw*Tfekf_mxZW!VhtkD^(j_+Z^55xUQ^k^}VMq2bY;j
z$~@Sg)p2T3@o%9b=9nnPKeH~#?Q4vj^EtiOM`||beK+0h{1Z+j_xk92EteCWy<>XL
zAH5kh2Y4oQI!^GZo*Cd0>g8_Px8qp#-oE(NmjyZxtTYbpIk)BT@6XM<7l!$sdzE3y
z{zJRYfro3ymxnf{cNX96>VE&rJGTDd1U(<+^Bfu6uM4WTORF!dUf9#Wd$#4O8kuEp
z?3sQTy>epb{oXY><f8BVbxn)%?tbDqfAjWdzo>J|8Cta%d=AM<Sv8-?U7nk{!&GpS
zv+1q(ulb~z*RPsftGV1h^<z=SuWI9K%RU)0>CWt${a2zy;!d93wfLLdj=J_9znopG
zj#{t1?7wld;r#QaTh0H}ImrAzW3u1aszKU1`Sj(BynDj^KjvTI3bOyN&vN)($a#+-
z$^2-oQ~4YxU(3d6A1wI!@QzYMglN&KKwicOKF?G7?h6h)*%SZop4Y)sHFG!wlKZOH
z-CAq1SEXix)*}W{bFMYzdB5J@+VYXN_OOiGno#~1&qUhh)E)Lzl&+td;C?6V`}yL@
zU!NB{9ygxCpqf<lztz^{i{8b9a|?Nzm9p(mbO&5{pYP|f=)~0ezxC7S#oDj@UoGk>
zcEQMH-;0K4Q=)%9ugg8>w`2Cz(|wPQH@ZyT@}~Bj^WTKyMY~_vRG(-HjK1@mO{Fa%
zZ0;<*w$>k$m(B7N(mp2H$NA&c^vpwBXBE`GVtp3-?V52L_vM3G+NZuU<P~h0u~u+*
zSEKlfdzL#cy$-MZV{}F9-`VqZY$sFG?o^lA-kukG<@m1?66=mDTD-Yh&Ks?HLB_?_
zFHqS2s;muv>Ujrm^BpW<3DGXb=WesfJhOgqEyQG3%<^X*THoJnxxz7J+H;>corAIm
zbB>he@3^xxIV`gDtpD~PrMO4Up@%&G>e=<KIx_7*=i~U2`V*cr@1GX9VZk+Tj>N9L
zkBuD9{?6o4ojT#X&b{NwcfCwzZ(b=7oamA;J;2Sx?ssvR$5fC07RRr#$A4=DGjVig
zmtWpJA>Uof`C+$DhdSTR`8|KO)W!OBZjA3LRoj!&UBPfN=looocOH?dFACDRR&6$#
z74&<hAEV)ubk;?tSBvd>Uj%*q&-wk&imO6VM_>Nm;mtLnbk~~$mnVO^*xIpvEyvPz
zUs{~62l`KFSQ+&5?<Kx;+jnMu^EkrtHy%3TqPF8qH`@YfN&V{mp)*;GO!9rCqNW?$
zRqi_TZ|!Bze4oR+qnEtadZWGj7jH?sf$h`R>U+cA&k76Md}aQG=UnbDO}~h%M9t)$
z_T=1}m)5>_X3U(rTV7|2_9KU;hu?Ojet-4z?1oPw$LwVI3vK$v+ghC?n%;0NeSKJ8
zUT4LU=SoIA*QW{jKb$#tLdw0*+gaOvbY33%EwrzT)!NR_ns5J6g;%W3_tInP9;UBR
zExz<gG(gbcu~Y#AYt!q^>H_(fbo^_76oxFX<={Mh*waIA!K`%wcRx3pBxSR)h}7FW
z?hlHdt*CwROWL1^ITs$ik-nDJ$asIc{g?Z;uOF<FKlbAAnk6Mm(&|d~@E^bYX!7rz
zgnhHEj~v^)sQTny6{SO;yOnP>@b9wGN}la9F+wg?xlcsoP{@`EN`3nTC;96uRkZOm
z+}GrJ?YZL8V~yEsmId@lyQjW6(_4KzMA>rPx-FL~OSf<7pL$wPpu1kt$KZ8iu=^g<
zNv{Q~B_DnC@ZA!xZ}Z;j@$X}2*)t}DJ(&@<Vspi!WjAHHQv;Oc%+2x`Wh=HUiBDPl
zIyNqcIZp0H0N3Fg*`MMS{k9gaezZ7hZBhI}oq&_qX3w>jtkUJ_VlsQ}e{GVT?61bH
zYJXMVJTg3=cvnvQ&Cb)YK4D#ZLQgS!oN72Ax-|CagQJHFzdA1bJlACQR9%M6Rjhx%
zZv1F8Pm6Kdu7l>XOqbqFUMO9;Vk>8E)3%e-+bX=Le9+r(6XvHg(bH{KS&Sw7UT+?&
zzbhLe7d9%LthjVn@yK%dTS+lOw(h5%zh1O2<LeW*){M|q^MwD%8y%`x_-XEj{qycS
z&$!uE-?jMNj15oD{tSNgz4z(1&-ac5axQL*KbfP$xo5gR$3n*`Q+;1P+$6yHGu`Rt
z)rtqF7fE~dH{|XPw)wB#*Z9zX#WiggmD!9pDqAW~J#&1UWZ1`WLcfAlrF#8|Bh{ys
z3LB?guQ}r&b0q)T4*$RB-o6idoOf4yKgVm|*hk(12VcwXY`dyz&9rXY8}+CU|73IB
zG(B9c;_k#pEPDJxLugYAe>eAJ_PghD(*7N?Gj5uq6%+S-W8$+8TN%d{mn6N;U!C(;
zbwk;y9Ulrar7b@SGH+$AnZlp>aa+P)o>$wMS4Z4k_5R1(JMV2*?X1wh%V|DIIj>iF
zj?AC*p5BA*+ka^Je5@7vdf@HNoM>Hl<EpC__ir@F&b|AA_jTIjr_&oZ#ow>uyKr{v
zzO=tnI}e@Zl)ZId`Qyp;iYqq7t=O0Moqw*^-tC8DPi*hpGrv1t!~XqGZ*MU(X`?jb
zcTpmK_IEZYv$bxMvcG#&knfb4<gd0VlV?lqnvj~BbzU@|<4&(fLKW8w-K#I(2tImn
z!_)G!`HGqa#nHBre2R|0&PlZkYR+rBJGbz5vc%Ot>hpIeZ|M-R);?*xp`3Y5eEnR(
zK&gWvTeTTZ#a3Ni@b##pLjlv?-kCuc7kJE%QMG1_yd8X3x=cZ$Y~S&iwX$x{P8{Pp
z{Y-Y*k54D%d+M~h7@YL0J@-~AF$VUY&Ci&5Z<4I=P3fWy)fZ;AX&jxpa?@w!<+pfD
zr}gmo-ZlF%kHtgphOCju@zaS+e@u56Y}_L9fyYDM{>t;?i(lrZKTF!4tr=DpleGQE
zX2;vspTs(tw;mH%a*Ms)Xlj48K9}m<luPGZGaS2Z7_W*4vC7O-Ik&j8_&K*;(I@MY
znwz|82bZU9`olc?!SB5Jb<g%>Kh>-kyXw-Wtv>zwjPCZvWh;*`XE{YZ=vZ|@Yu6IB
z0KeOhzZw6D^I81j!1bql_&&{C@~6b*v*bA^Ug@k22M@9))-U4muy+(*rWMotqWWBk
zyxu44njSv6IWwg<&7H7)iPDZkXJ2K<aqNED`}N?QS4Poi^n3LpJN0XRDr8ozx)W|P
z<L~KXeK9)^KFx?ezv=MRMNY=6jQL|`=1)?2_~LV2&qwCkpBsNvXzUkd<XFG0C2f<7
zUagg5>dCsyz<*7b3w>tD|4?lft&{j^(`SC*-5JwgQIU)Cw%nZfzF+U8&59KgPj9Ix
zcczP1NBquuul(oI?k4g5eCHS6Z;*Ix)S_It!Ro|Enbv8`60{hn#l2}=>2WP&Qb@vy
z%d=;hy_z@irdCWrb@K_gTirG?t=xt-@rf0a_HL96y`^>U{cgcoi?q`(1$#_y>FeJg
z8Iar|mRMx6;r6G^ZZ@LZ9USkx?B;Jd7XOg-Ig^vzHSO)G?+zbGn-Ow%LHHRL<&PdQ
z{T2>BE?QHb{<~C}aMwLFQS3|X^>D45pJsj(3^?LF$MTEf)U~gSjwec2JfGBlw|tq*
ziQG?bDqdxz#<~_?-6wjlQ{+p}I>kxnR>_=xvn*4YFYtHy9g7Kq4xj!UnAE^<O_1T~
z)dOwD&UWt_e=py&S|sg>+3mwDh2OH}m4B5zdAezj^xI!AQ?u>OV!s571Qs4+ag&b?
zd9<>5J->sXk{i$QOB}uXjN7eR?-%}Sl}}`MTT-)cu3dLe?}pTb({Il_Y?oW9rI3Cx
z>*cg_CBflxIou0`a`x#|FiSZeEMa#0E>~_IJM-F?Wak;@4EA-ud^j<_lwqlF`L^T(
z(F@EpCLUFNys-0sRa8U1-<Q@+OZI76xg5N<*U?`<*QCn4?X_)n;!2+2Zxd=XHimr>
z(~bMVCOSp`tz5MTD~s20&VoaR%i0QkSN;z-|6eWIBs@8hZGXes^9I?wKe*kycP;Ez
z#5aj&+SM7t=KY_(sT~(gtGv(qE%w|bsaO^ZrK59~epBH{i?}Sy*%G`{>i*}2fhX>?
z2Yp?ARpBX{`Q=HcZspg`e!Ksr$J4pzFUv2?4v8~LQPp15aa(+vu)6qXu}R;IRgRQb
z+)?e>t83cUT*Xuw{r<q7DN%aSA2r-kXHI@*Q~5@SNB8-!C%YaT;t;Cq*vx2_tHghE
zmX<m1T;=KO1#X|uJko2^(=K%G(c;O^o1bw+`xZ_3%D?{Fg6Y{eH-6T-JW0d&?tvp~
zeL1JZ*`CpQSof0aT=ukC=jYrr`giEk^Nl5P_IsF4Dr7yb{xs{!f8GN=tEOH_c(wkT
z+LH}$x}UzicF0HM@RE`RnOAwfF;3_?dPv_nGrM|8;`co&Ig$@<Db0CdBKE0=QDl+s
zQ5XGNk8BpHiIm;jS+#g+_p+DXM@~!&=&o{|#dUn*jd=xKGQ3IJmss<y_nhTr{`P@K
zehO>u)aprHb;o);m+A(}zWN;M?`y(!!T0OE8+C=ZAEqcp=%lR8Y4q;Co;deRMeCy^
z4FSch<7H;Qt8WE3n?89Z<bQ;D;%wWso_t4?AM*U1e~c&T-Zh;U>rV28-+QCAO4CC`
zT+U86F=abX#_P7@%o|@9tlH?iRx_^Yi`t<NcPG`}PG2e|!M|1{&EvV(tGmx#0;f!Q
zevyeshF|u3+Lg-|0twp;R$6fsrYzaD^hn|Af-4`iGIv?ds;PCJ)Fb;foa67;PdkI`
zyUm(98Xx~yu6gd|(`WW<%a-*$_%y|G<MLW>X%Azw2h;aBE$^vWY-29rb$`hg3Gqwz
zzeG-RcbI*y-kNC_wC~wG<;^+`TD2$2`#%0zAYwAxKsV%@zG+H>{w1b)`7ftU*>3xZ
z{{iERW3r3G-@cmrI!$qp&&SNG(={I}Rg}Dwal7>Ro$__vec547*Iu$@t*d%<B5W_)
zH<M41uj^dBj&Scz-emem#!l!#{<DOA&O$Cr{_`rFX-aClRVQneyS6MkJcw;UeZq}1
zr`SaG1sNB{a$J>adA;sbj8F7W_K>uHyOvf5zqoX5|J$o<YMXi-bh#2-a*wJ0?y**X
zQ~6u@)}_Kt7KOLDd45lNz+QKQ?Q4Mg?~i#iPu8VwZ{hiIIL>kN{3Z4ck9paP-Tq{E
z*xbCipDX@s_n+rK=Kt!veqz1S7SGQQgr=YT^^A|Hh-H~xq1wiS){`zA=Qx$R$*$~@
z*UsJA3-nd<_`PJ7_TP9oO<~cpgZWp5PQIAdYu%Z*H1hkW*U#*?8AZissYy!BwRca`
zGT6J~9<L7XdhWZ$Kd0_mel7M?S&icnpS9sn3(FLwer<1@FQF$VHqk%uppcPv@lUyG
zUC-4!yH0KN+HwBX-LRcY)G{Px;=Z{s9enfV=+v)2RM{#o?$s)CJn(_nC_VoAv#NHj
zdmQ=x8NKIscKj*kvhup(dO*G6e8g4X>Wc?9PIMN~DL8y|^56co^{Z;tm$1d81SSL&
zRO%F+>BvkezjI#In5pUH!{GVTuU)xo8L_VAi+$X;gqPNBE8@>Nb9r$tT9n!JPM|bm
zrO$Jf9X%hTe3bV2{Wmn<_m9y$erd<UA1AGYe7P?iX}f#0=`)|BoLW`U+xF!$iEq_9
zx1Fim+W#(o&)3TB##<Qrrm}|K(a*SAmj7`n&mmDI9><<ruNbXvuXH`}v+-X^@yk1}
z7bz~Q_?J|7qeSL!%0w2uHdn`Bx0BT^JIW2cOK&~ZNdC+w@xo1PO56v5m>-Mp{Z3gB
zC;u#_FL#0wf5oZC_53`FnNJ?C)r!lW=*I0(l+~Ve>Gpw>3fceDPnx<^JQhm5!8G-p
z*Snhh`pWAX54IHqKWkzP?=LG3-1%Uk+_NW!cMKeD4VUiee8Um-y2JDcYk=5Nf3D8^
ze@;yeD6P4*Oe$97&x%a_tLJ9^UDBkp<XZDT)|4l2z8dfLniem$BH+hvFRlHuR!#{%
ze^OkOF3X$T{rXInGmxG8&<7Khgc*X_A2Lk0UFd4tc$sTjYJ*0I1K)y(X2Biz;#{Sx
z_slLYZ(hFb`<G=ozk;svDyUrh-sCwg$2p<;*_sP0HyWCqn$mP|)l{9#OEFg^E0XyC
z2Qqy<<8@-1Gq3sU2HlBXOWal+aFDVW7k!zV$siXH8&I5hL1Fnh;j%}r$N%ax22W<N
zEV#?J{E0=-%}?p2KNs68bIE;6;TPyXGvm^VACXlDgdfZ+&-f58qi=maB<bc~d(++1
zf5e&IxZWyxZ1r{D|M73vS2!u=Dad}ms^0L${M@B{u5W@zf4;sZsLU>RGED8{!EM`<
zMeY}D`>i-p;lYk;JB0tt|Ix5i-hXDRLHTAeYo(75lBE24I%YP0`jc^FZM?<>nF0$2
zztfW!KNJa*oqi+rt>~sF5upnC(ZWY}JzBZ1UZ|HhIexO^0n_hu%TrH;R99}&^|%;)
zkb_Tb=gGU;Hoi}v-L&Yf?ztM*>~;8GlT7+V?!|Vt-(xw9#Am4)Jx@BG{%XzX7c*L)
z2(yZb>aB<lGw{3iI4SSmqxd@oLF=EzwXzp`I8Q38yK!WPhPcm`0IzxKJEHEVpHWDf
za<JsF(rmVco8l!HT`U7MGF2>ooHQuuJ-?Jy<NPhhuGs>nx3)D1HEviJ6UyH3?!=B{
zRR{n0fc<}W^03tM#Z9|X{B@IFKeO(%E$bUS?|J`GKD|dO{+aaKjX9<sbGIb6FN<Z{
z`nPJ*4XdB7Imc22W*A?8J8xOm<-@!9)bHtiIS`~6)*bS`^7-@4nThP@PHw%Gy?@{H
z#Ot?T=ZNtysd=1nvE|iWm$H=~Ivf|t3a?{Tdj2luWmk;X_iy<oO{X5q{$rlA`>%hm
z|GE`t_PxKc^_l9jjIQM&jI*a4J`*%=<->?y>{mKp?{+hu-QiZ@%caC49v5xqy{+Nn
zBButEl`rk3Yq~TSDm=<vFpvH64%;pF1G3%v1Q|jypNpQ@SathYb==Nmx5}O?oAgAt
z7V$J+ekRbnH0!Cm<@C!FeQ!vaPFr5@b-R0xLOU0Oo>a>7&ELeGSz;w-9<;yv^v~i)
zuV<O1FuZ9~T=e=BQ;;HmTYZMc<j{wa89@m$+HG~y>Pih3bi|y^)_gR3)pFZkwL7ae
z%y{Z#d41unU+ev!?f)F~tKwJboBi_JcciiJIdjY2V9NZ(X^usQHteZQe{Qqo>&}Qx
z6VKgvyx{d@yU2E)Pj9zQHRF3eODOa2O8FSY%d-7!Hpe<`4j*pInQ%0Ib%g(itc$_g
zVsmBPLt3kHCa@jqa!NX1zW8SRx5Zjv2iT=gE^G2qda}+%D!XoSc9TrPoRW!`y(A1h
z11yyyDvp`o<dD`?uMm6KQGZFYKqE-+M66tjY4)^#q9z+(`l-3Py}O^Y;A&*H>eq<{
z3vwnr)4D5jPo=DEV!^(==#&%=?wGSj{Xc7+a$mThL!4LPXx6p!3r|JgyzIfHopaG<
z!tGx(r5G~ZGZw9Q<kS=9wd>zr&%I$e6QY=cgwH%&C;XE?yxApsuiNw2Rv#y`8B47Y
z{4+1d=k-##ld5XDQ7&a-+g)yp?^=6ZL*~l+WADH3dNtE`o74OhmJbCF7th!!m-l<a
z;a$(1)oYzuo;&heoOt{7A%9i-+Y6JX9sXrLtGZ@`?$MTm!j&4FjZahgPJF7AGuh{0
zx30X)J2dQf%C*?$|J#M{+y6Zt;a#*OFe^2A4a@#@x(D?Cy#D2UJ>pToqbEYw3J-L>
z{6B$n&9#}19^!VMch*mQzp2>o+{(A^1xr}j3xhr#pBTZeYP&!G&-Viw78e`N{wkij
zdx6Ta=Q?$N4|e97f6x5>s_EaHjTJp+_y641J1zXC+_AQB_hXOT=S*kZ+1H%@mN55#
zDD!KPd`su4x35ZEeb8&pEkCE)Vdf4sQ(-gqL&3VAe=wdn^X3R+=k^DEscw89t$tr!
zcjMv`XW#r&s`FhRPQN_S^|YWY-`#Tu4o{b_?vpbLdo1ItEdEV8BPT^p=<utFzOVC?
z?Gu*$QPZ1udEs)-#A}%%ec9jLIGrXt{A7IlvtUhGcli#TO_@jigmi1$th~!ayf^9m
zd1JHdwa{<*#VhR^b?<F5SZQD%$69+fH*VPxAJ>h`KHfh0zNA1&sO*B#Heah$>(IV`
z<^j=DIyx*Y=AUOfqr&p<r|CPZS<k9A9oKl9vPr%0e&1f5g!XwnQ(cU?cRL14aqcy`
z;pNzv`h@cVgTu*7tc47VSH*PfxngGf(X3Qq(N>xDO($2LTD|+~LGEdMvsVb7zWKkY
z^3cI6dzIHLK3LJJ&Y5s;@3jusSKXQpD?6Y0FVCIhoVNVDV`!q$!}{eHW{ban)*C$G
zd16#}>HR;eN^3sJewhC%P^!$O_vyl>b((QzZr4BWyOB~VUgi*^BJ^_So(IJ@7WmqP
zY>;qYotU_@t0jEfslBQXzHj?-d1>ON+@0ZTQ`gAa=PITsx~xfF`F#nO&WDmN`S}L*
zraHS+r<MK@-8_3)en`J0?~S%)M@;^$n4Es=sq8WSgtN`Ln!lUA^zW=r;B;#>*0|oK
zP+(*KJ2NQaH;a|^P3r}}KJqKioNdu1#qi~Q(U-(2>cuX#L5_1y6gzFK)Y(?je5Yo<
z=mTjLF4@MmewkI3zCN;|yCX{z@A`J^=?OYI#ngVv7n5}DogZ&5xAcDdR(6rdc7N^u
zf;UYzE&FD;ok`BSc7Nv83D-VtS|??7Ba%t*@*EA93pV;w*jrxTmVWE8<Ydd6tp#&_
zn42lpow)io>gVP?(cdnqc5o`6aDLwVe4dr)?tS|2quG|v+VVO|Crfm)z~9{Jc@I^c
zy6O(sl<)n&DJ3cH+lPOJ=@+N^y{SI7Hzm6G|Dm}Da@M`ka^>o_oELCVkkNj+(Y<!n
z^~WP@(_BPcweJe-bUOSiLihjoH3#)<)_;=OdsY6?#3mEL3lAJVv`n~gEtPYhsqa@O
zp<TDCP5Jq&7VNWLSXJ@EnaLz9;7e{eYtX^nEFU5dKHOgab%9KAa$R(m8%v$Lx#)+#
zWmgYR`Mbj_Ym56AkDaPh-h3()J9J8{?yuUl!1BP_4b`{od0nh}OpG@QZ=PE-*=3a(
z!;C-m6VrX-qIl(|v}F7`m&?q}TbcQ3gW=QinsbjYJ!QSEe$Lc=nzGe8hK)^C$pV@N
z0#YAuKMk3ANKH~W@)N@n)dky@?v0;vz|!i`qh)a)Cdo{W)@FTHn_j=KqS)No?2qk?
zf|Wj^Q(`lv=RL@HGH<OK<37Gydna64{Lk-#^D4ufdvd2mgXi_H^Eoa1fphBDDOoLt
zw_f$Q8(64R%y40r!sj=y_gL+Jx|DIPF~=8<6y+N=S6`gZ)?MLee$pn<w@!!S^f|_a
z>sPZLd3l5sHs{n#c9`dP=hb^gp9ju4>}soCiO4O==9+d(?t@8bb={--zw@5W>Z&)r
z#P7Lb`|pJkzG@TOS8phk=w{e{Tz%?{Z`+^tzGZy9*rxo7bnAs>N$a@Roa1d=vG?zV
z@clOewr|p^S<|}wLEfRpb5aK$uiL`3D(u_umfH2#o`31nUpq5e{_*))4(CLaRxm$s
zWMH@5*lBxOFjR{DnUS=KnAaxLdd8DCyOi$j@?g1lA~W#f<h2*u%#TG}{dFUREl5xO
z+<NcMNAFgv^XjR8;gvDZ{UQA|b>5YR#s8R9jxN;><`GhE<Xp}YHsddwI`8MnSKps@
z+TPS>G}~<^v)hM%g1LLI-eXn!UzbyydNHKOUuTh8<?r;q(}$+lZ#lyDBje=k2dma}
zU*wKg-o;*^cD>YQ&+bO8nLHor{x{@h9oFYhNZt5Lmfa-cql5I$?^`ym+PU=1pBwQX
zt+%KLf9sZ%SowI>%E_CQ+=P6pc~2Y^2su4z@}bMs7ez0G-0r$yIPLgGMzK#9ZbivP
zl{pzk^TjNiAg>y<D(wF|&*Ba1|1qC<oRPecD{@&${57Sge(bNce?(>dxV%GyH&x4b
zr;YswezzaKa}OR7Equpo{hd8-<_3oM&vrPahR?foc7aa7^|-r+FaAAIsO3Lqy!%v%
z?(N5nXX?u@?b&{MOBzR(UZGSG)43Jv-HzJp_H{hkd|SMuIXnNx?K^uIOjtgK7V1s?
zQ~7jux&KXxmmLSP`F9xyHNCag>a95=b7-er>AsgX%im3WBA(SKd}r<ztE|srMfVS$
z4pr2ee53Hm%fw6b_i1k45|`mDb8G9V-IgLZd*&vs|5Y?eipiZ(V!EXJk|*1kw!8f`
z*<t^9|K~@X1|>(LbxmBRWO~d}KfQ<VT2@<dMundA&poM<R|HL;TI`wa6tgnp&m_gG
zXX1A6J9*k_!3~a$B2^K`*%fA&PT9iI6I;mjJTdrFkJaS^x$Tptm)=qM)l=r(z2kDh
z>J5wk?N!(i^;To%ONXrdPXRktthSP${j_6}^!-agi+iO6mVNd6otye|g=yL(^A?9b
z#u-~#T^4;?lXvU2mRWG|_HR>P?rmrMVDT~`^pVEnL$|-54KJ=u&*8GT8shF!%)LUT
z&DQj5?khp>d1d~-g%*+=yEuhk2~}E&Pq(r<eo8v!@eB3V?{BiW`PL@r?_Tv~_B_|}
zGW~Z`4V3QxF4ey^|B1?-MR(iZ?s%&g^?Xn3+xZolMOD-HtA900=G%DGXqo`G;?=;+
z|IOkO(E_syG=CfZ4OsWJM`Y3TBs0Hnx|hE6@AZBe<a1Kl(1%Ub_};<za6`V=i;^>>
zQg)~dzu3L{igLw1<-G4MAFDb;V{c1*5bb4q6x;RNnfG4IR=&{U7q6<cE}lPkGFRO5
z%lrw+PS5yw)4FzYJUV)u$$nx|!jZdMU3on&hNrA<*f=@Oohe&#f8hD@j|Zk~O0!D1
z(>B%M^LAdX#+?OKmlPK|u+Mq<=veucJx}YG{(Z(VCF!hpvkRB`_jwjQ6#+AAZQf6e
z_sjgVIbp6ef6|}mg3Q3<-(GBq*gi>q=DMf3r_;8#YR<cHm4!dQ(aK^|Z_b82a))Mi
z{_%?uxb**i<%6?rbCs2=d)%#B^1~l(kGoycl@)zCYq8WrU%gj~^QCllJili(iBZI<
zmy@;TT_ityQhxl`HO{?vlY&<L+g9tF9v&A_>gStn6cROCcdghT726%AE}qj24s@{8
zteL)J%1QfxhV^GQRi>NX$>uJMe|vAEoluwN#a&4<qO6%uc>9ztI3G|iXXM@FBb0vU
zb5FpnGuL_fRYgu6X{_pfy;y3^0tK7jC$&}CjeQqfceTH@;NsJtlfS+ZQG4QbamKWw
z!q($IV#D4nQ4RW<v&nYz`^$cBGMO*mPvboJ`Btrspj^AB&$7O(fJ4W7PB))OZI%?a
zy~UgTCSQ(!ZNZ0^2Zd}kmH9p~U)}LB%{hF^uO|}s4((kPcZr)*d+FV;lgeLdH|&d;
zIzd=FdD5)^6V{%0{c9)r&@!j(h4iu{_TwrR7LV=il+$N&s&W6a=N5Y7D)IZO;+u1M
zW!KIZy%gwqD*kr6;ak7Js)W4{OEVVnFIZ%LIXbp_(%<-1^DZjx<}|5X$L?6exq6R;
z#`i6TtDN4Ri+Y~9TFh9=s%s0|$;%G<vqIc+tV=8-=05Q%aZGIHf9%k+`n8bd;qy`_
zdZV>UqO_UjxXlgaeCippr9$f0jx$M<3mg7k7h9jJuE5)MWn*MWys6QY#xuW|vZixg
zDagLh_2kJGPs?>?+S67a)ZV&t{nHe~Uwbp{Gn+bD?b~zK8#v7u2|l#_vwz;V%OzjT
z?-nULT+2@s_T*V|q04Be3H#d)F`kWv`%cJSoptEG^2+u~;}7dzZ`rhDn@Ngd*w^|L
zmz})6rG}r5C4Lj_{C?#{kV!znhg-Ke9=JSHKEV1`u&&nN>K2O&Rmw6x=4L@D8w9p4
z<Gmbd@wz@L@D2YdZG}_90-RQ<7FSwpvYyW<%(<_%S$^@cGv{9A?31#Mmn)p}X);S3
zPjb7@K8H`u+#9YvanE?Ta9Ql{GZTZ}c=E5U?94Dt`D|8UJ74KYRPLiEx*4}@&-ic(
zUJz58xcIogw2{o~lNT4h;r(@fCd1F)1_`dtu?deSm>*z!a%IIkT}jr11sVoS*0Uq{
z=SpdL|L5zUxwk|}YWm|}^SfrdTn{%CmVGN<({^vlv?4v(<FghrZe01VU4K&nXSwY)
z?K!uY!e*~kaXY2reEEn`(LEjRiJO$9!aF6D)OYsQex3NnYYSKPIc^cpaEsS7%pWvA
zZ2Wla>;sDrM}N*cv(j5AC-dUr8Q;A1CpzzSJ2Y44p##(D1=Axx|M+tLq~qz0-&lif
zU8c7fuax9Ixm4ik9FC_)UC;gAvF+9ZlaGfqpDwH~%@=SrwPU}W{vd17%-Cxp5A9jM
zY5Es)oaOEoza4ordnUu$o~ZD|??0K39PKG+h-EjdJR4tG=GYPVnBn{PTN~J%_h$6J
zS}W*eKRro(Z{>k?d%6rAF1GxAdaX%>XZ<CkLteADHfLlON2t77czutumCBU6Axz)r
z1<0Bon4Z!)?E&LAm1$q<RvpZlb*wpH(}p?SCSL1Yj?YyVmwA0G>Fq}AO9?`^cE}_!
zBqZM2_$m1$lV-2?(gj<aUx)nH?XZ+O$SZIu*J0iLCc^}kxFa{8PD`*|P(M{@i8phE
zw|Y->l#+DeFPW0J8)7$9IQM<FYW}#0`G>e{EblgUxA!6K^WOaY8kF?ui;x4;d!_T!
zZS|Kfwrt?lk+nJ2cxmD^?X_<zR&Bjm?)au^m;1k_9X%1twfZNdY0We0_!RoKS2%0p
zk+e0o%-7y;QonB9c${(W$?hyOwts@x|32EfNK?a2)k#3`<Ugiqp2i=GUH|^=Uphtp
zsOQw3vuzxv?r2FXy!VdzzaOW|qej;G8(Lq^d=cjQ)2W`Z)cxxJTioZq)NFDWzH$Eh
zj@O-;GtW9Gdxo*|{MfCcQll3a7JVu6&k@@xsd5t;_GrzyVbNPR{lSs0+nx;On^LyC
zw3g{oj{A6g8PmZDF(pN}AMRB*l-{ngD}M8pvlR?)Hfp|h=lK@1>#laEpy4~Yl!WM+
z%(tW6KL5P3=ojCHH!WM{2#U;pc&tH7rg=)f4WC|Rb@1T^)w5QrU%KRYTh-_4hRB%5
z>pQKTan0@Vse>_r`(9j=jTFxlojPlVd~oUSm8GW-ew%sdfZOcAe~hg`PT_NfPi{O{
z^z%%BN`zdwvY)xLeZOv3b-`Dbwf|W|w~2ld5t|gsRLb(&uWv6OhvjtD#eK>CXHI`<
zdvd#Q<u!{vNsG7b>REm+{V9X0q4#XLw10W4p6m%<xwr7_((bI}JM+s7#rK$+8GU{~
zYvB^}r6!L8E1ueDOmq@b@vp5mQkT2+b^V%LiI={s`+GH)Y+9Er8Cvw;H^XiFTKAaK
zH`s+1Ui>9=#xU;H#)(Xq&YykbKkL+=*E<&X95Y*TsPgXVYU5Lj*>XZ3|Gev(uxSaa
zW#^a7yR6C$b6nN(|5t`<v)JcLE_1j2nfrg?SDC|ZCsGyndo<0hzV(*rvYm(m)9c)c
z+fFB34m4$~oatwK&>&9D*M;-yll^Un!W{j1zWnYomTFg1uZwUD%xrdD_HxFH${EW$
zl(stvD=|qL#s#z=3*r*}F-zuO?OmM*f;;Lo)8B4jWh!<}yJ>OY`_Gfh_BvnuncH9T
zCVRpB2Qn(wMO|+Km(_~2*(Q{3HeEiSk+JH@h6#VYH})@f@00D8pZ0(+B`qk|&}^p5
zscEfU`~4HnzB)OhKB%CDUFy9mo8a}(nKCT<*SvklbSSphIC84%^mBGz>C4!dlumz1
z51iU}_H0;^OwRE|x4Cz&D=*FZ{p^j@{%;2#`R<BWx@%`6-r?#gaK>&nQ{{m(7d$G3
z!k1^<oy+r3b0#ZaorLdCU#A(5j#nML#d1IL_S6!e);BZ02VOn+w>0>VsM*CwGx@H)
z@_zbtO2|ynME|cH#?=x5T6;Z3{<}uCZ?%!upRh4mcSGUt-YeVAEG{+c`RaXFaof{1
z?&XRTQXfAqI^`8+pnUP9d&twKDR#!!KgafO)Vq|k*1_&o#@@5<3^Pua#oXL2wbO)K
zQN;h&k^NsXwjNImoE;?f@?fL;tC>pA7nbYsnoYd*ChT$aiH6<W-ySd8w)4qG-}&Db
zpHYd7nYO9@u|m(HbK8HsR$zK=ntki5Tz{Z$@3|fSUL3okd?Ptv%e(t>Z1+Xl#dHdn
zGHV<+xe{I!A+(;QF81Y3=AE3p!X3G)TDJdtb#Hp-Gg{4d4KVr<zvi{#7Y6Ns6YUk7
z4j#(=d2M50Vpdz{bY^9J=cDPT*|cRQKXzPww#akK2B(*dPPJ?IYFfF93qCd}U10y`
zh~KfJ)wiQ^c8Sf|y5Xi@$mUNB+X}wl?RY=^D)XH)QIqO#-k7_7-kU8Crb&Itwas9B
z67oxSmlFH7>o3;ZANsx7z4_0P&r+(Vzk3KW_1*axe?b0aaKF%|*>m@Y&%C;ImnP@z
zat4i~-{xD{biBFN_^9s8KhNfw%hoMze8aYzbGhx8eG+#ivUjYp?TA?Nbot8a*v##h
zwKIcW3cTCIEX9)$n-R(_-DdFXgx2mU%2{$B-m-aYPyWPQbWPjqu3BH&{?D;bJ-e@X
zT@2Xw*SYchf}TxVo2Bv<k2t!0aLm7*`e^3+Z(GmlDF=vbx$uQ;vi=d}cU@&A>h<qt
zXni?(x3^Px`S0nkxf5M`{Y;tF-X3;5G)avA@$#La?^e!oOgKL~`ckfL!Q^ZE8T~g3
zD?JPdc%Pq^GVjoC8!f#_%a3oE?v+2wezs;md%fO$C4=7G8<+I!w*LM6;^2&F32&!J
z&5pa>zW(Us)rUA=#BLLRB+vUSeN}6;Z#=^U?xPR%&29f%-?iLRnVf!ObE$3j6O*@5
z8Jsq=B6EbFBu?D?YuUEmd4WZ{tlN^kf|$Q*lvYn%7*Y}?GtY(b-|hZ2ecM)8E_qkL
zo*R+&WQJ_<u4ms@t!bNgDfxMtPLQ#Yr%U<6*zDBcy2j$@eN!C%9#NdWCv2uu?nQHh
zREzC;KQ&hz3UpJCKj%O3h{5-({SO#f9zWaq;?|yMLk_>PuL|pLdWcU9^7>a=SoAB}
z`ORe62|kgEp7#wiPe@$Z^7r-Y|BV;fY=ev)da`!be>)p&GE35q|HtQ~x(ly+s!tsd
zowx7g_T`IsqT*yq&8BrY+LS1~WwG=9`|Z58MC0znx~9TswtDv6U(EzRuUgjqerjXB
z+ye6zkJe7!y|vfxC3nBG$+5MUQ)Q-CFO%PY^K$dnS{sRPTg{#vkF==$l9QXxTVJ-J
zA!(=f$;}RXHnk@E@O=%K6I`)f^Ni&kGl3b4YvuZ*bQg9O81k9F$WQ#_{bySE#9s4O
zn}cei&mtAdvtGt2zEwK@>7LEk(=t3in}QYWcD|kJQ*Y25c`G~R&E35VPdq8EF5KU}
zj={t(<Jr4EiEsaRt!Lr3%QG>#y!P<=il?uqSHJ)CZj(pRhxg~V)*HKUx~zVgdCHUZ
z7pM6Ro!A%8Q=J{ocKoz^HlHQ-xlN05>+A`g3llE8`}G-kxF)_RNu8n{?2@@rslk3;
z__U=<kF<Rh5&v`XG{X`b*$rEt?_0dy_EgK}&nlZXu6nW1$NKpH-^^^GyU$B#UG9iV
z&%UtoW6oS90dB<u8j6=bDSd0Zx#!-)X~tXz>iVXNiq=o|<{9QLzS?%g+i=BIw#xs>
z-QtT&x>X(Z*6S^_(pLLn{MJZ6^Wz@H^4%weN^b=I-1ObFa@}g(x9)bg4aGMrR*Bwv
zYi_-#if`I?@7w}A!@De#9!qwHvUDXB8^k&Iwi`|<Ts>{u_7k^0YThnhx!+Xpdt;4t
zw7|uKJEP<`pO;X(RhLkgQ`e;Sr1d)cOlf(ww=;~@0&;H6SeG1e@ytKbFLUL8&PqIX
zc|u$vmv8aRbv!G6TVA#;Dm=s1{d=ZO+WnKhYu9>yiW9H>lyHdirqJJSY5gK6>^ony
z?y7ot{L_7#7B{^b*IMRfJkl3-p1(7}!gXWlt1i>6m16VXGYWZp{QmRsk3Y{dzn$x!
z+kb1><&D?0OKq0_UG)E1)!o0Wt{>{=PSh<7e%qDvG$mWA`xN(*H9-r%C@;|t{Bpbc
zi1zLU_A#yNI2@B+H2pcF^Yod*&kgtYt`_o<P<~u~|8(%pn2Xzg{AjHEH?c3mz56=H
z%9+ypocjwL7p&lXVJrI7<n0F`r#4ou?C+Df{5%93LruH>%cSV%O_TQBKc}d=yfo?B
z|7W}I+uSy-mabyY-jY%^^Ne-rk-xXp7i_6qQ@ncrS+1Ff|IKchTXW+*%i8W*CGHJB
zP6~XNSl7tRQlKI{H|x6mMSVV-kKxaMFAJ=*d-uBGUE2Mv72AV^uw8y^nwV_R!WVUb
zOJharWaVJ(`!}ym<8S{jp;((4DA?NIu=C^k%N%c3t~r{yIz!lT<E7>6=84r@bG-O|
z*=1=aqiW9uB5SL6t?O+}e{{Q(PwnNhaIXK{j$S@H_WpC5<`8AuI_H;aQO>8ft-sl=
zu6}-XSMf<%SLe$j%URpw7cKJsdGWeuo!75(Da)_FI{vmzwfFqFKXyugSPrc_EbPSU
zS1zG(zwO8!g`C#bclBZ`TXHAXPEg}z`=tK%#!~M|!PV}@Y7Jkl&api@Fi+*8M%usX
zV7osn+tke>YhQ%i4qx_S_WHFVw-#@fbYW=dOnK*gOguluaHGuo+uqXRKUPowz~Fr-
zD(Jx~^VgFmeXw3_JSpq7N6Pf>)(J)DFYGD1vUz8P@R{T#4;>=k)GS`btFM$3b=IXP
z`SaIP;hQJdhcw+SQF3L{RhqHUD%|7Js&%DDUe1%1Xes;o&ARf@oG6u-{!i^WLTA5P
zv-f13+U0ejvu|=u4xX9zD*UNy#qHb6RUWR+>dAL%G1zhb+gfe@*<KgfnhZ4yf^%xq
z4y!I@YD=2Il(u4pq36X--z}d6`nqh2@8tLse^bO$>i+*#JxdE2gI4T`kmWx-vGS1P
znn@Qezh`{^e@S%Tt?a1Ui+8U-`nc~H)BoJCh9sX|-Ya%<1eft&^S;j!n4)yAeXfdW
z@=fQ)#kS(Xb@%*s$*SC8;FMhuU;So{sO#H1CuLdoa!;y%>VI_2{qhGLhWE}}R!z|e
zes8W6GOfH$aMk@pXYQS!CET6}=j3JtyG~zY{qFFacV;_764~zE-ke(UzN1QQ`DOv>
z^59Pojm~XZmfk#VuFb)_=Wnviwop#`x9OVH*+<b;cg%KvYOUx<n9MSVp-oKV?A^_$
za*sGYm*V1j*&JJD6l;0@OT>Dez1KXxmT&G5PbxUiuV3VTYq8tK=6*?KaasL+{9oe^
zIk7xfJT{-@sfginTSq?Y$yfQdTE5I>4J<g4=Y2%R*+}Dl{Za+x4SYtGM<1-|n}6iY
zugW8`Vz$z`neVnd=jl$-*!tJ?bFvEizIs33S0P-B@*M6gkuF!?y(jNek>$bpn{7UN
z6|-4=V3aR354fig=5kxz=HHPEFQ1%G;M-s6vsL-<2BD(r^vECXK}&R7doI1c{?1f-
zhWD>O_V(=iE|ywtU1*&6ydY-c8xQvI48PLp+g9(ql_IP+&1Y@;*Z)>lEwkf0*|?=0
zx9e1xPPN*QK0jock&4V>|0^9TCY@hY`wt}EwUM~t-*@iJ+t#!#V!Fv<GhX~TROb2T
z^tD;>r+(~gm>K^)!uH(T&$(BMv=r`({5g5}+~S$P&z|ZGe<1QdvNnqE#=^#%U5RFr
z$;W3c3bjqLe-|iX95!#3{i#>~_Utd3^E1uw_9ccZS$}7Ef8%Jqb4{%@^UImm^w}>C
zt4<e6)Xdu?``1Ngf{B6Vs-qXvE$`W!>QH;9&HN*5xk6>d{ENZY<eL60O!}%grzyK;
zapb(o&bzPuz7g#asP<}JR^HLIM~@wl6Or^t%}jZC)Gyt2s>jAdDH$1o%n$v0WqFl)
ztv*k*oHb+Hd)^5%g`Pd|emD8SiWaVZ&YhbtZQR*eezE3-_MB^Hyj4C{?s@Yf`}Vun
z2XFNsdL^ikq^WoJ@?yS*S5<qTPcaF%=}0ZPXO_(%I_=r=1qyfge%{?J@I>fJ;=W|2
zSoypkrfYfUxr!FwtIRt5Xs*Y}?J6a<<tuf=?}xfdWr|)l=6NnIVRb1$Y`N!4&-kSV
z>tlBt26QLzC;O$aSmvKS*woFiXs-P(N9L;6Eca^JJQCkaoUM<H4BpdfFkyDtk5fGp
zPdAGd+?3tcYW{3pTAxV5m#p4kX``jL|H(bQI5XnM56yIi>+^zwW_`|`?o#$eMUKPd
z^6IxWyWfeoSw!7*eReZ(+y5;urvw;$F3Z}rxaHt|_KloZulBI5dg!KX^PpD8UFFEx
z)yx~e=&Of_S|7f?fbq1qarIvJjs;u%^;D|`o}GyN*=cezJL>i`KMThTdmqYg{TFrg
zQ{crj+K1Q|h(5l2*DU+dF;?MI$7L-fmu2qSm9qV;a`f{Boh)uHidX->|Mz~q(d(#c
zu_Ue4UUSz?+^{jtT9C;)@~o?jK<3M7mmbwz5fwcoXvNH^u~4Z&({$52!K0yaC-ioH
z@|-y-k|!ZwHqqoJ=goKD9(P|<`H*BGn$+_1l&VVNPVHj$_}uqZr?0I}WM`{*D%Gj*
zga2d-m%*a<r5UXKs<Lf&+5XHjIZ)U3iA(Gn1E1!yxIgY*9=poY5`_ZP-#^Vy3SQyz
z(U$*;Ija-XY~Q&;wXeNqtqlEp`;qcRm88|v<z^k5D5&8UW&G;E%^6GQMPy3smHPbZ
z#@;lE%VrB?MXvHSS=+p?;91Vq`a?FsLnBmoD?e9{{>A<G#BM2nJ!zpL{D*;Weu2IE
zyQWz;?Ov?!d-6`}2Fs))GwedP?6tkB`Zw+K3GSXNoD8fEzxFfi33d5kb~4=1^6%#h
z&K0pM!#_WHXv-zW^0a8qiL)#PAvS?s0S6ZHPi$NsBDpm1N%^+Jj!il>OIhDVXxvLb
zw(5x}(}ARIw_e`Ab@ARk^(E}nUvsbXUgNon_dtq#_umsW^CK3vT+5rX>(F@__9m@M
zYhS&vIWt**$(Pz+u@4<eC#aVEe>fxLVE&Ekr&g_)tvdA&W7a1_gLekUnU1JyJLs#`
zop{k=+$yj*IL9pYsehm8N7?JseM_Bh*VV-TnBR4Os<Qg8?rvKa%}mqUEut>!0ez}x
znNMETc<FtmWxCqIY0LUE)LyRI{l|QvujjOrl8Q~GPGLTubdPN=tPgsy#d*t0`(Ik0
zSYxi9e5!DuXy<XSPYY^%Pqse_VD&f~P<VXWt~o{%PW#u){j242-qL=8OQq-~(=TU4
z6Leh;ByB#X>c87!`+sfQDUW<p^>n6gUYz(q@<C&lZ8Q5FhKn`xC1x!=y6m$^azI&q
zZN)B2hQE^2Od?Jdy2|^kIlY=?<=@+Vd~RjNi~F?fJIdeIM?9@~aB}t6mfz9o6*B{V
zFzVR{1&LZ&SO&$!^E4mlU%$VyLAoLFw6tHr!zp#gQ`dWB%SuLEWIOj$=JWj0cE0Bd
zq9R41&kd%yi=;XyRXt}rw0hR*&*D;hAD;iMzmnTp{8MxE*Yz5m<@G6FBt<=f{EjT0
zUFN{OclI5QM$`0&j#KMcKV?4iEBL7Je`1roeZnXIC)~YX?G_3pUjE73bAH<1t)8<g
zeLp<<ZF|Mkj$5>;GS9T3G00%|m#@1GoZecjDZjI<%5i(QS*7I{jo&-$Q<K_yg*IPy
zl2Hzb$UNJ|y~sg_)A-^KC4<?S3ISbHBJcQ?c{GV{J|irBW}CtThq7I31ad?(#2)(;
zDsXrTvu53w_xShjmy(mE`ah?l?=ROpP^cEX*SnGJ*y+n|C3}Mv-&#89zhgSSbjkl{
z&6PIyV-$Y`*}q#WZN6|pL$vhayBjVpSiC`4YsJGO{${$>8=qhOWByzr(EnN1kEI9i
z7c(CD>S6NichQHW{hz)bE^*I)D`_s(^7Omg+?~}%Y2H7-2*%t<y|VqsOx+x%#hPU&
zPn3)Pttonb{N_(Xxf5@=x91&NxLWnPx9t*NoA#MewtPF5iv5|+YPr6A>urgGd;1-o
zTbO5axkx^6ni;z2_?}H~mNs(seDAQbamu~vv%2uJ;tAKO87u3mS`TrCxe2wt*ybvs
zenPn1(t2I<rx<SS+H+hn*0mddY6lwJ7b!}fU!i(IWWj6W<|i8~Tu=CTa+~OA_jKGS
z3o8#_#T}zq>d&+N>RFGX)vt>K*ImqeQD?|;W^1XVTfgR$&5;~_F{|cH+LE|$eno1f
zt7@C`4%R2fPgR`s-MsytMh?%_-G}n)-#<(2&^W5rd~re7`mBG)KWBERMkcB{-nZhM
zUly>bKF!5g>hAevW@0OzKTw^$L)NU}!TGi8T}pNcxcV_w2uGb)pX2rI@eIDI9|!9t
zHrz}6pxMNv_s;d!`BcG}1J{-@ID5SQQ+eIQNG)Ysm(0DcxBIv7=kDvcZE&x;@5H4^
zhSqLn3yOng=WXjzI8kt1s9v_VJHy$26^q8XFY{h(?`D>LohDp(e&+7@RjM-%cs)+M
z9?8tv{X^@~-joyDwfvO5PUQW4{xr|%>ygNLr*_#}>z)4j`26Pc!I#50odV`PzjRwo
z&ZdFk$Hiwg5yvfOhHcxP)#U0s@B44R=Za;gSo~scp1+*tUUvHOZj)PLKeKMm;M=sB
zDeu(LGdIkNBOdO$^x8?bq5F>0P1Wxg++tj(+VO_Z_&nKm=J)7>-&pfr?YcT+O^wL5
zb5FM=@@vkPe;#*wpTS?{*RSsh?2XAYTiMN}zNO{Cr6m<xmaB@rm3zWybGPPQ%!Yzl
z4sSQr#L37kxfU4xEKMu6rlE6LYohGFbJ=^}@B8=X#0Jj4zbkfKOX1c?IPO_tbYq>$
zs}+ma7T<V!Y*WIT?Ol`4Z!P>M+4V-PLiqA47LkN$;U63oUpgC3)ClP|yP3X0Y#!&_
z!jH}dod<4|XS&b)nDq9{SKo%Rnt;HJ?W~K1Ts?QZ^UPM|pKF-eR1m$0SNZ)`*1(JG
z8-8Yc&YNc8r}6ORUXPp1p#d)E82z?}ycQ_dd@XZaprOopljZLGongE7Z7gU^KCZFS
z=_0S_1{J%rch<dmV`a>+OM&~S%+YKc&f_yP>^HycKkxYC?5&$wkB`|Dd3Lky5H@=2
zU&*vL&r*Mjcsip^hGfP}ll|@SKZDA-++>SfziZB1cH?ZxPhR!9f4jC=@9c@K&X5YS
z4!!Hj!^9SMSmwR<^i}Dry3>^W?XI&ZaR)zXpRliSU6A}TpT|c#cbcEJ6D+uLy=%{H
z`TU-@37?~Xo&MUx8gZpds<ZX@1=;8K^C~NABX|1Rm!8<S&cxVl`RbZ=(~>6g)~$S;
z@m24#jl{|N^)jwTL6a_<*iYUZdu_da+U!nON5SLUcWm!XjQIHBX444;1HlVCbq$YM
zBN}f^s^#DCS?+7(+xL^59!QDlaj`caaND#ZlJ($zi6|afF~)^qPRySro#!wo-LP=m
zJ7e>sDocY)DF?Q2)ivw%oC$Z^wZ{9@l3%lpY7Wl$61F_@^7r^#M&|;LH+tJeP8SkU
z*veVdowcMuo3pC9L8-0lRhEu(L($bKMR#tNYfA2$vbI6OR{rz;iqFSV9&{d!i<zL&
zW^wEKDov?lmzyuNC(6(M={vJ@cg}w~Pm?Ccc{lB*J?5@oaw2E4>Rm&L6V<ZGXI*P$
z>}xx8ruOGgpL)*niM+(}7w^i&m-`-2bNjTw;p@hU39^bx;^mIt+KacV?YL*Ep}m{Y
zKgKQan2qD?8Q;8{S9ku~a5L;ddZ7E}jJQSLcNlWA*<EVokh$pLv-ZN11uBW<cFS3;
z5BuJYNC<s-XF}KERmX&LjcZDmUTix&&HBvl)>T<sMdlY9>Pm1=I5^#O)92!ysX?(P
zn2%XS-B?zTzUM?~b>fCip7nBEd=1Sf9j_e-F>+}soL14k_n*0s&FbGj&fS@9X>Zy*
z)$ISvLuOUd{ohSCZ00;GdfV}eJKOKv`DgkUdMwgSD=dg!Q=z?m!p-8NObl)b|L%xN
z3mU&+dAv?Bs&sRV>7w^L7sWr+xv|9M=Bxh6ufuN~_lnrP<gDqsg3Tw@)+h95N1ZJD
zt|C|_)iE#q&$7uz_6e&k8|5_LR`P6^@4Ux0`C{j0)_#8T@V7_fC%;qA%MCZme|LH5
zzoheX=13jcEp}yZdw9av8ClKo^<rKB)wZv_FQImJXLW<h%PFsZH(JdzwZHyUe|m)U
znQxoa5B==>6#T?HL~D-cp3EPHjnfz3pZK3Ow(y_Sx|)dnACu;Me!nOEx52k+{lYJ)
z(X(~j{QsM}>xJ(7U;2%6pM<hm_%?yw+1i$#4>p`TReSYobP$i5<;LyazUcx1tLp<9
z>+;!DwCyruYb44-zc??LdhNq~?W{!+d95DonU<M94Fi)y?B9H<u1ggA-}N&}Eh*yA
z>XiZSj~`p(a(KS(p7)Wbw(iz+`4XM`HU9G55{an!rKcVUvFkM)UiC#`YvryL4_NNp
zTPxGLI55g=o06~Ig$0JEn_9}8dM0?Z?D;TB_apoMuut`(HG7*YpHFh%=gb!MG&+9O
z^BYEP87>`8oBR9iBp#%lSn&P$QMG%&H#Za+x$LrkH+lJLQ@zw2D-T_6>HiE37oV<v
z{PNhgTt~jMkt>rPezl%ubeGL4KQ>Qkndhf->ymbCyncB>VJ5?(OA|GXxzvhGI!|1Y
z4VlgG;l0_7liDj+WJb5Ec=8^S33sr!Sy$IN+hS*(x8;@tMGpVuyz1h+Y`Zzc+wz~r
zx^VRrJAaYS*pR#Wp;T=k|MkYz-#PW4J)S(}$@BGLH;tR7R=c*gvWZGKJ6X1NyZ*Xe
za9@KvE%i}Qg4y2tsc+uBdw2EcG$#Gji+{8&TG|fs-Iq_Ce{bj6eTUg6`J6RkX14ZP
z@q~M}UBy|w$ghnHH(1$(E5@pR&`Wu_?e9AU%aZVNh6l;ZK5<;#%osWE{e%tTRZ$jY
zp7{#{HcgQ$@_G{>xZ$8?>UPPJ%(D4v<qwZPSvqz5l}p{GHd{+Gdz5c8cytM~aNkIM
z-||{CDm3f=Ol6*X*ULi6GCUf#Kenpan%r>s9`lVQHF{QccAjRBUvKljS|ZODbya57
zYIAu7?;G(O9^O<+ubg+uBHtjbakpDqd77P`?4AjXu_YhgpDOG4+@^hd!Nw&l=TwwC
zXG$Mf^zucM=Ip6`wG3t!DYZ*e?uvdWd$>cva8Be7m$o$(e@$k*64-NO#e>RC2?}TS
zT<%{MaO?DO<>Io=ww)(9g4O5g$mi*;a7jC(*w6Q<f>A!n>^%qbk>ne{kE)-^P<X^y
zcJIlg9gBbQW=}dPXFtbcj+o=AA1+sS{yq8Y?R~K)+#CsW<VvjSZ~R)yCbjFPvPFFw
zW5>#$UUxmUO1)f}oKA^NW{{obrqJ5GOI0suVcMDq<)D}w6`~*XS7iP<RJrQno!C@&
zerM&P??>0Jj{eA^U!(L$C3_pcVbzSdODAq6Mjl(*zIyss!9terj$hKgoM|c2kX=$Y
zK}9Y8oot}-tFU4d2a9iO45z)F#`5-q$pQVXjz?PZ#D4crb2_l^bgtHJ;R^45y{~d!
zH|Fs>d}6EjUY^+z`bc~0b3eAZ#atRHH>aQaxheD$Z;7MYgufB$hSvqpT%Tz#cqC=t
z@*|Pc`8*jFZ38MKqOE?i9IZMqU2cQWc9WazWdg6|`h0KC->g|C`Z}v@!SX3D7k>P{
zPT|L4ftb2$N8LU>zcBS&_Bk`YS)n%s)9(8H4!vGA*V%Zv(G`VBkylPVVpMnMo>4g^
z?Lm3VHHC=2Rnxyc;a}^%UxZ6g@UZULA9Ia0-Np05nw2%I^J4wierJC8>esV1JV`I>
zQ@dN^=gIucSS9-V$*Sl#ANprL(3n+nWM=AL!9}H81=;Pttv5LR@N{18KhydZ>}6dC
zUF4?zF@L!A#S(+dn>?SivtQn6ddjgvHh}3O<Fa*|{r@BzuR5l)hV6P3*X=JcpVw^6
z`(Uy|bUpXivk{M$8ogWnZC3eoy%%rJ{8{mS3rFtvAmQ6lxgmKE0~h=*x4!3~!TVwF
z%k<w?LJJm$2(;O0%r0B`mtnWc;Yk*8b)D;H&MgbwGULP>58+yK_N2ZQ(Q}&F<6B%=
zwXSKD@qcnNs^0Nh^i47Av4YPr%@TgIat!UQW&5;SuUGGzQ1~Z8I(xIeJF{u(vV)Q{
zxTISe<{!})klm>B`Djtg!)bNruiuC(`)wDWXnpp?ZMB^X9y|I8&(mkw$)y+MdOt90
zf6~@j@|@8ZH6O`l*Xh3QQa%!Oev{v(rI%l4-cp;b?=Gj%yy?5t%QV55x$2o8UnouU
zyM4Xl$(1iLEKiPv9rA9qh`#1;r}rf0Sj{TdEMKmelYe(K3Rc(N-g)&}yWs!FvJ2i^
zY;BqK=xr05fpfd)YQL3xesB78Anog47sYOa#MZv$MOo4XTIPw<Pbm0taUM3<w^V<D
z->p@z4cHd;?^@tdl&z%mZ2J87-jz8!_R0k?CBHG|@8Wou>Mz1|w^A~3#U$o-wMyGh
zaRQH5d9Qaq+<wdX&gYsV&05-rjk-<qX6c`>t)06^h0$84&ao$%b)BN(O{=ms%l0W<
zRk?8ZoLa`4Zud!525&3$lqc3+nbB^=lecDS$-60m8p#S%4lH_jH$mt|_Thg!&WUt%
z*#>P1n<&5$;#9U&`k}zW@0BMe-c)t8l@idtSJ!kX{D`OQi7<s#O7<U$A~YJA{+YMj
zYwMWPxsg94^4q&vTUFn*`5s`KHfMQ-yX(9EPrUMP{y6`?Elt05!a05;g>C&^KiYYN
zS**X=-^f|0#JQ#F;S<4y50?b+Pvl>qRJZJs;_Gjx9A+@^3(e1KHw*JPxAJ`b!W{OA
zVJ!M;TJAe0AFh6I>1imRdfv9f4V}%+6TVG<6aKL*pq)GBbNTcSnpx|#92E*~FIzhO
zC8zcj)-ra_bcWtbK7pF~r{1h`pQ~g#_aRen;_G$l&a%H3OzSK=Bz%PB`@}g;Yz}(D
z$6mSX@0%p7wS;BE@$YeS?$>oH-<7qq_qU#LGwk097I7t(!!A;%W``Nhjehm|*t3$}
zdkHp86W7Z;^P2N5nEBYM{naPdItUyz(LIrWe!rAt*x#^Um(rO;OnHp+g;O*{6Ad@J
z=vPm_mSc3?D2|;`eRX7SYf_w3ns|1goyeMf)=&Pqood-6TP${n+um#LYL>-|8?J1N
zv-!B;c3RJ~+<!0AHgfV8S|rVxEFZGywN2=TeZ^;5)F*mzL^gf&HGh7qH7++a{PXcs
zC-1b}sB;WD{Qqg+?H8-eSH*W9<lDSEp=Z&ou;16jxi0^oD{||m_>MK}<fN;%9X;!P
zC}iT?JuA)2W<57pkoNR+!i;zGwD?0-z4+~ar*6ZIJ>i`W3aJ<O2k|bKNL+Aav#CX?
zr^C8iCsa&3S3LY{p7e2M?fIRvE{VMgYu@Wn((~WL;K8fxmX6#f0vi{nI2C+;H-~xI
zrNSByu@%+lQoME~?>f)ed~wnCxiJeCx_2hHKMbzAH)G`*i$(sXhi@I7ckJSQ@5EF7
ztxkew)9oUoE>7Gr(PPRU&DlD=y>*M%O{wggyQVPnYLrUZ%86P<>bC#(iydfq{P4*2
zoLGSy&x`Dt4tVu8|5*Q`I%DQ7yDu$IJ2C_u)2B~=cUFUa-Hbwsu1X`LzVkD*{=b<c
zYcX$sg)h&d(@8Vzjk?kqcE!(1;GM*={g<opybNQPWX_e}Co^x5I??2&_ql)lq{Fh;
zY%R6k-gvQ7>9Ie@3dy@qRG#j?y7A^r!MSXc^LB_R#+5flyt(q=q3lLq4*k~0*F)_O
zB;@MIY*+T3yCIup`@8T=rbeZ69aXL>$I})%O8%(4=YHUV$Z?Zuqu9TDW;rYjyA+kL
zY9^h+`hZXGTI+X>b<r!%Pt56;xV*vWzkAf1S*xFZ*zMZCpJRK_bDgfaArTvMZoRqP
zrF-}-%bFG5ivzCPF5Pe>*0HkQv6o*V%ILHqt8IhRyPGqTbC>Tn7YU3gvD)jo>S@x8
zL+joh@w`?tJv#Hb`&;XsNo)B;_syG-zc%L19Fa-sb!u;$68N+EL?>=L9NQN<tuLXP
zU90!}tJ_anw3jl8I@nDO|Esw^>q7F9tNA)}e9r_OW>wX=zJHVZ;st+S<nP~7bR+*C
zdywXwACt@0zxf_wdcbncF7}h||JJAOTf-Q%_Ik<#-Sa8MuJ>=sn#Xh9WbQiuK+tG?
za`CDiqMYA09cU;uNnx7#HEv&k^v|hE(@(U1I=65wqnFUWo!a{MF6}$<*#3mq$IT*g
z?@S+F+5Gk})BZbhEjK1PolgB|w=s#M&FS5RLm!V_=-U-q86tFK%e((-Q&$O<Jes$K
zbuGiA!|{I}>p1;y<_JrC+uy|3Af@j0)WrVdhUQD@zi${$U6Ptud#EANd|wDFcg+R8
z!mbFRGv$v3q!)8*-KzZW`8hh{@8z18#qQ<S3f13i7r)tIe<w-qKr7$zqT}!88vRWV
zdls4Y+UTX$=WQ}izA^9pypXLgnj!D~jtMzB$`#x7TQ_9#nf{x&E7H0B)|5#~yYCxp
z*ub!|%{ntzSU&Yr{p%pRuFmrZ()<_HeK6OPEtUM;!0lvE)O_~DeXpA<qOQv>DVnD4
z`|tP<R<VBFG##eqYpqfjlVY=*I415CuaY%2P1~&~c|HB}%V!>(T0zsbUHTq4ZSCF2
zaI~n`^v~x{_a;r%?ibHFz`rzSz2V26+uod_=}nt#^=w`hU2nW`Oi`<Xe+SRG#Ffqd
zDLn}*6E>_b3!7nZZPWey^}9On{>XCLF-Pm-u~!^Qt&*2#$=nlYvR6}D*%F@66Z+_|
zew%n^aksOEZ`YIu;!}Umm7E~hv~%i#`Ui^~TaU_^b1=5N=W;F-+P$x>P1XCF-w6&6
zspnyif#RoU+z#fQ8|u>hY5%K>X`3xqf1R?uV(wR~7d`PcVJQ`Un<D?Z+}ADCezoE^
zcmD#eh<(Okc7}r6X8e_z{mSgH4(FzMm)8|m{uf`h!8KeWYe`YxPKWBeucr#{I8^*g
z$^KaHx%YuZy9kGu8^^uP)dIJ!ZThuxZmN9Xk<t${Pj$yUdg)l1c{6<P$!R*-+itz6
zkWQXa{BDz{#82}r249QZw5+VZCO!OCa3K8tL8g@IV}7dw<_buZAKH`b%^SY<(?k`f
zzpwrHB%Y^Vlh>^Kp|I(oi(pS>*Op5YMRfKAdmF}NpHOG#yVPLGdGg})oWM!4yZuYr
zn6fwam*l6gcrs{w<*zdCx0O#gy*2eSV_8w>*55nKBY&<IT<&5zZ%Rew5}!WCQ(x~0
z%!*E0+jwQ!-XG0-J6Sstj#qFh9J5Sb6x6fTBFb>d@>@5o?E)iaDo36_f7+=*zg(ax
zigE7lpwnz8Vg)j0YlIqC^;TVF+)?#*Tj+;{@*&TXmP)!mmPi!+ckOOveD{(So7Y92
zpRFX!k*mXU_tAH$?8mZmnuN;c2z2k~Puj0C^QWi4(Ta}|(lh0Me|%p#jq|7dz4I(9
z8U-C>U&m+XyngYk!m{YN&7L=jYb6(Cm3FhLx!RvNtXThdR+&w=+O+9GD!a2{+2(yd
z@6EUA<gL&JNvu!vt*2Uu3jf%a*K~JnsoIod1<lJcbB-tmZ2Xu1etIBZ@81vX%TGvr
zzqIy?ul=gOjNH=xIu`6Nr3+T_M#mi3tNz?FedRx+GY1*ku4s$?{L->!ttHFeT}MBM
zHqCjl`RL8%`4ZE(Ud@$$)nC--R(SYB<ulf^MebP(v@5rn&(yuTCT!oWmKnbeKYRXR
z%dCz0Y792+4gTqgslhQJQ8fjE7d%$87v7i~?0VMlf@o%3p!Ea^C;e$AUtfnS?w+Pu
z^T%^jc6>)>6SMEVHM`rUnAtpc+!~Zy(#Q4q+iP$3w16)%YU`q(NcT&`DSP?tF*{$&
zlfP`XnxBUDz3d=^9d|z}t^FKhR`JTfWLtIC+Pf2{Y3@295yhH#YI7K$_1WO=R<6i;
zle-MFPj)=WW^1fFc;snVuv1}%L!8{~q&Kac?xvr9vY#_=Tel)qJfiY!hvmANYo9kL
zPYT?qRPt@rv}KD{JGC4?DrffVd>KoIhJDcY%%8LURJWEJPn%`A&)~`qA8Czc(|7ep
z&EnQmQnWvH(LZwz|H}!ALFGDcjoTz8u2*wPvfDD>UY8=)lA<HWc2PVu#V|8NT<NR%
zwCp{V(<PQnV-`K0=YCA~xYFhy@j3JNi0K_@`ejfUm=U3na*iuXZtpG=s~J}U!u4mU
ze@S3i@I+A0GFH#(w}AO|y@JdeaWD7$(0-I1;Lc*=S!^^v^6PAA=?B*F^6Orw-nTi?
z+m`eF=@PO3-Rn}9`~8cU$oRU7$KiYV{pbmyhuu=*?$4Therfi+wF1E+l4%t}Y^;3x
z!n}2Id-H!w{+cgj?eA;0VA{^v44gp+S1&%BV>{#2b6?4fTkm6Lrt_`b{`<o^f1V$5
znffceCan3@*xU5v`=efG`A&C^jOpBKBvU3NEzH_w@o)xH%Q8LtHPcnXT9`Y|?Oak~
zu>QpyA9r8oxUY>-`>*whPyF@l>d}em7nUD%S9Z)zG&$1bz9}x})v`K=-h<q-txIO#
zzT92zXf0Lik-NO^;S1%gsUHlkYx)%E9G@^F=-?ld9by4XZ~wXQWvk3o?fRgd^FRGO
zy0LP<dg?p*D}Nd*pWQpR?e@brBI$|dmZwa<RbBgFdaC%#;yl^ZDB0TYlVAQ?!}UR;
zV3m%MM_z2;mP-rw@3lVvx%ukXyAnwSA7WO&@z;n-O<Ze|d&XnMd3A;C{ZoHB9`xmX
zI8Tgo<F^WTd#Sx<b2_yzY;t$pq#ifb_D|N1^LI9<KH7G<zczB=6PFhfqVX#x&->4H
z|CZsc;7nnCYeup2Yc8&O)3!bI+M?XEFP+83w)192UE64y-4vj@&i=hKv-_R3F{z3<
z$6psE_-?3OXv!uT^d@Y}mX&`rcdO1ilg0GQ<?^oTsjEsJ{mNltKBg`GCY2@siypi8
z@_hk@{hj8e!pb*yKGJf2>YT>AY`co|qUA@GO+T16U(=PCUgx2w%>Ac!)A}C8^&2!9
zFWxAe`h+?EnNgCt`BmL@7iuc}Iay@v_S}Es|HWiO<wV9``+k?@iS1s^@r`Hh^VA}{
zRqO5VYAu^O>Ca}LOWP}b0*}j{W1G!z@wI;OCjIRd%NuTgTs1-1;pfF#yXULdOT3+3
zI9Hg*@cq)C6YM3vZE4)c#@2u9H8ZbsM%;I^r|QzS+h*2RF8cR;!EvXn2P+my6|*bq
z9&b2qkWwHyBa=t_aTC9Y^7S?PrQf$?39}T;KYaexk?C0*8LJlFx|lAPD8y;^U-&<Z
zhMDVD+p2xW^?MnctJm9|v`Eun-fdiR!)85yva`+XjGYEfe{$3kS7fjKX%}(e`&_o!
z)ib*G%_!ZRC@-+I>3Ma!;oX<p941%$bvvus{Lb?`yd}^#c|-P(x;4)~R0)R6oW1<)
znypoeG5h!<Sbnnzww!tpvv{{5%WJXgJCbDV9C&xkxF{Uwd_~)MgK*T127|zTWjxon
z-QO4*r<iYgzFhQOCj06CXC)73Oj;y#NQ&i?fy_+C2du#tT|Y$4dQ_0Iur|1y_fykH
z4U_zxfr4C%1;0!%a*B8*;~n^8+vFdMo@tx9y6;;&>Aa=>-&Ic!-C}x_o%#3F2|<pQ
zxDCt2P5<kj3S3a&RxKx+bak0^M{9I@A8$c&^UcFQIU0C*-!!N+MLg5-l{$81(v|zW
zQm1o%6mQQfnK!d1{59L}=zE9mH?NS~b6#`SlvWD~r*zgIHaa$o54CMH{S?1+$+fJ7
z`-D{<uB^-N>6Wz4;k?2&yJwpzkG{vkKpUlFUK*8;uL;_{nz&)H*2EuK&Qnx#ek}H_
z2>!L{&C7EK{!hGpynb19e9x;ewe-)rJ70NRKK`+Osb}Jmxtnh(=%$=EKIV8b!lF{;
zWd+NR{ZS|8d^7mupL<~&$2sr(dI!U)&$J&gU1Ztts&_?}=<;Vge|=0(pS!mte*^pL
z(+y8bQdifka$5EN@T#l79zD7ktlV}yVUK)g-}fsw4_{fuI$Nve#nyjyoBzHkiWBqJ
zI)64VOJK`Gfn%wcD`kZ0j%^B_<H^^O)o;~#qg&iOu}Rh}{x{p4PqPi2{djHj7CP-)
zz<n-DW|4F~XJXGHxmSmcY_=TTZT%~9p?JDp`ir%}xv{%nMrW%>oDW;kc4UwHBd+)B
zU4xn)RPJR|Dl*T~J<;BEtKg=rfkV~$x3>;f><boFU9NjNc@e|m*3Sx`3nkxQnYY~J
z*@TV%_IwKDV_?3Z!CMi0x9HBDV;?ssDopkdfB0<C?q>=!FNa#y7s#GH_Fg$^L0xRg
zZ>~cx%$sUiyPmN-vNW8wVpW_cKBwr6i~i}5?5rPx^Pe4g67W^$^Iq8r1{dd>zc5M?
zIOK8fqGE~3g9{fQFc#TK$+WBqx}zJJY0o0M_omD4jG9Nw7adx}Ec9%SOlt>gP^_eH
z-_tgK^Sb#@9|&seUoW}V(|-1Q|MsQd=E$A+a{B^TqBYlj;bQM4nV*d!E&6Z9#2q$_
z>u0K+VL8`#5u4AeC;2NTNxpLpVr&TgJv&6hdV~D4@VCagx~FB5WsMm1T~8m1HrBOj
z@&6=M^>*{2o5j7xe*)i~yH*{$<Zpe>&%PIza`K}X6{hwakaZUCt^Cs|dg|+)>6csF
z&+aU2V{0$1;bw>zPOexW9rZu{(su2=CraKNmdb5$o_O2wux5egZpCj;EjVN}S3ipq
zzSb{%s^j-DPR6BL%+b#bw>0+#dVYxWdv>Pw%G3=n_pI1H=NrqqLo#k_w3k@SGfj8-
z{YhBt-^u^y52mI4`F?4ukw&kwl%IIZXLVnmRxNhd)?cTXSJjrXu}pd<WEdlDy!x!8
z@8_jf8)Fx*sJ}4hYh?b8a(}i>M+%>u4k^zpI^kGfyZ++3qI;WzPi8+|zN6mc;Ie6Q
zGZ+hQ1?%56>iM{rha=u=e&>?aybspxxAhd3nByK$%{BEyo|B%>r>{r;&z4f#WMin8
zBY4Us(Q)Qn_P^&cQhqXiUwlrXrSh>P)1%Z$fw#M5`KIUJi?I-0yS&iUYmeWJNMG|?
z*}_X47biOBZocCD_sQ{fYuryhvadNXY0b4Q+v5B#c&j?+FWnh<=E>T;??L|}wk;HC
zn3H<gyZKYl?vHJWSsUcMPWfh6&2Xw&c|FKFLc~t0(K+kA!@owUJ2KC{u%G(7#wz2J
z@HMU_TEFHsw%svdKOrtQdo9xkbxrO(*Sq&4N{)C6E>m<kv&Zzk?sUZ#uhoHmOPxP9
zN?SE7TtBCOxuu((qws~wXX}!7%RQ^SZt4+Q|LoM|XAf^FwBO7UZus+^Gr;!M{3|yO
z9T)$2SMOui;@CGL&OAE8=Z)6g*udb$wcxXx{f`ry4u4o1AhzY9M&cHCIg=-|B&M<M
z)lcCQIA^}A$0xt{<b>m=1!LBhRZP9N(xChORKGK9U#}eZ(^zw7d*|bpR?%rq^LM|Q
zai}D9UL~8(@zt74hyAS$?&gIWY+Pj6vBrE;)q$JWUP?CZ=v&gDC%|w}-RRiAHKDGG
zPvQ=23aL(7!ZK;*!!yT@8Ok47md8}!r#ks9gR*PvMGdc+YkkyoGN0>6tWw-G&sVea
z6|-^+r+s0@(N$AVuiCRBqJD+L+m^#sOId%l)OG&|DSJP8x8l}?E-dmkD|z-MW(e%y
z`8sdSo7vhG6C_e@Y`GF~Y0k{%Dc3$<@X5H!y2SDE?L`))lld=v%kZ4P?ct&F*>hsD
z19yM?`n*f>z@87v1;^K3-}`CTlS6CM3+fgHJ}9kw`D%jRgTUsc(lZv?&FhdgG5&p6
zz~upVj*fiUl$^DbrcRZ*ev`5O{JGwW1Ag!Qo*oGOo$WRA#dXPmy7+g`k|l1(m&`I#
z*E}Y9yes=o&P~tPZSjv3Gdi|A_ti7aygj#M^7<e1S||Vc*y{amQPWZR{m#qc4!N&(
z@H)FmdAse5vprdA>oO~a9d#lLG;SJRu`jskB$MKGKjVw!KefD-f8EbM=UU5?oAy6-
z;i|1|KX?BKXIL4Za7pzecWJ6^*|pj$&AV$>3QT&X=2tRfer#pu9>HVot&5YhEax++
z{pza!utdbFyndzLvFIgFtmZ9xG4;(ty=me5&n-Jy{_)GI_63iVc%5ImUwosyZ_<-<
z>Vd*uhc5S>UiXRbSnu?e`x^`8`Exmn)Mmu3(AqIEzgOYQpZa_AD)OZ(g)U9ZEsZ#E
zE!}+QFQc7TUu-{n)3j0Mq4Jz##uMJM`Yp^|bJ5$$IY&)PKx*T}R{O;o{%aaG9ZVEo
zwVAni`G$&+T-l5N`S0wEsP^1(=uNfqhgi4SE?y!3p7AbL=?)7y>{jxa#XjH?Z&RtT
z%+W*pMFW<6*dS2QJbSInu9Uw^BxWXBF6c9#arq1XT#-a^LC5v4cKut?D$ahE#VpM7
zY+u{sIVSZ=T!kt-AIYBo=r_Ua*U~Ou`Lk8&f6^MBIv=oPUVOwRN$d6F`2WkVe!38L
z(JfMS%aYjjjz6bauQZI5-`?nJw>qHxzXz*iXXJuC9;d&2Z+&uflVc%6)0H>Ihvx5}
z^5pdzo?kj1I~Pnh)y@5v_DjliQOjfTui1J#g}c+f{f+6--kz{vLs+mgbKsw<$GN+E
z6uw3kg<Cyma!~!7&a&#I-lS7EpDdV}ez@+BtwX>HJD#hvXP@_2`Aqo8jKn0BTls%h
z_VhcHGbf*2R`>RJ*33Khp{bviW-I;{T^(?@MdvD~Y<V<S^2tRjtNJIuIs1U4(~0p=
zi)iJeDYI9Ei_ZSfmwDg#y7|qs>D>B@R>!OjTr=xIN=`&)-NZ)C6IC5ggYUX-%RSY4
zR;idtu<Wti-3uI#yyAa53H#kXaA5D|_RUvHdl(X0pG^5%zcrz2&2GC|{phFaFZm{C
zerIdBKjC+Zz=0KSzfQfVd0?ByJ^lMHy>^Gre0V)Re*VN0=Ie5KS^izIbp4*{^!54E
z{!Y8oxr`_IIbQ0m{r)UPw&iYp#ZGIj7aqdT*w$pvo*yLrqxo%~@1EGSP4$0O`W6T1
zX#A?b`Ej0rN8Vu(rPG;(YosJUp8aFoq8af*|NP5|OXU(P4=;OQpYZKS?d^!KS2hMr
z-+p4pjXmkRr4?3uOwQf3%YD;=gMll8;`^Q$M0}Ny;IMw@WZSr`^8?p~$9Do|*%fc$
z2|Q;i@J+S4?NC(Twc~*g)SEpIE<PlD(R9`C%@ztC3+n$^xe8ftW!&n1HEoKP-|EQP
z{>vN{C2`+u92YgjH9bn@oBv1jl){2p@)_lBFSri#)Wp1>#(&!}+3NYy#S^E#nc)(2
zc%p!yeyrf^m?Mhp(U)FXua>&tv&v_J(Nnvt;u?}R8gq{wcKL9I$FDx1NsDp!dt=7N
z-417$DCR8KP<wEF^7htM%`4(9uQ+;{y}P-#W7(7K&$e8gdf>Qsdq1Q7r<YrW%-s!s
zZ8g5iQ6tD}BCTy1@4?ujowH<)!#`EeXJ5UoY!2OxUwT+}r;_dalb`&&HIvGI?K$-)
zrO-n;j^U^vGsAl6CzFKDE?k?RmUE76P3zaRNe{TX7o|n`vGa5I-g0`(l(9{@#Nn9c
z;W+NMGdIlh_nLNcWsQJ9@@>J@%t5z$ZhZ)m;<H{9^7x-<m%wYm?|SQ$uLg!q()@b5
zs<}0b<w;<25Cfa}lBSdo3>ryR-Uff-f96!3el{(JZPVXfOG@M>R75?$nR$8JHdnDm
zHNT=s(yNwMYCJiUuWps;C8r(}FlF|ye<!3r+HUaSx;$S!GI^GTllU9ua+aw_xwo6_
z%3xE7S)9Bgcg1p^j;yox-l@57`_x53Djc&Dmrhy05tw9Z`*!J?ME|{c1{YKWuPmQv
zdtmXDf@R-oEBgwI8C<S3cHK<&x^=TzrT*~`-Y1?9r9_?`-81p*wL>Q^@Jd*6dwn}x
zd*mhO!O4@jR9F5fUoty>>IXLUugxAydd{D`)L6b)GIaXi)wN01Nj*k|!5u-`mlLIC
zq&bvps&{R_etf#GXWhcQ`FislWeN)g{kCOgZ#Z|<^nTY1N!<hG>>s?Y{n_37R=%v8
zCG|}D#ecn@i}qWW-neG$wBcv&ho!UZGrqj6Ir6k@o7MuG%<J!p0vAQkGuANMKY?wM
zeXr~C6+f-y8%mOu10CkKFs?qG&$?oQd(s5|#630JPkd<=b(V<A&^0{Ve0A>T8##X5
zA|7V5)EPq9{`=hh@AyLSRn=UF+0z+{Z$I)dQ?Q7f$CDHDQrW!bLjF^AuI57~_Q%)!
zyw|<;VT=0bqkXonPpYk~*8cL;C}2^V&zHmeVC(ghXFYc}wJ0uFH>3EWqSDLtkJz05
zaP&Ga`I5H0FXN40di^%v>`JRorElz+nc6egP2PL{HRA>Am5s{_*DcrFC7hr9ko|Zs
z<JAZWiJmOOsVlD~X}YZn(edV0bi6o6<P4LRr}^vYTv3M#_HS5N8C7q(>E)&-r+e4y
zww()os<Atwy;@~5>vo%OwY&?K-0l|mvUL88{pU~eN$u{wv1t9xE52*(<{nJ`zI)^I
zo7261{G9h&Z&o086aTI0=3JeuQ6CC@S}sj0_<qiVlV@vlX}|RzW#@l!++NHqhnY?!
zr_9b?e5qIPlwzjXoQ*d$XL;Cvo85VHLoQ2if7Ol^dt6$crIf9$x%rf9?}gdgF$XWF
zn*H-%nyM9Frq{FOc>nCn8~0WAB`v$nZOO6n>gO9dKJ9O3e5i1LTli8mHEFlaNyp~)
zxl6Za*0Rg+JTP+F%T;FKd1I=!wq^H`NaOoGq6dFoziF(nS^qb$gi<hrMnvAssvC>t
z!qU&}O<!r#&@g-YlE~Qyesd%$8|2^AnAOen@u<&?Df~N)%GW<FbJfh;`XZ9!R)n6L
zN3Gq%l-~&!Cj{)<B{yB@O4?)^RN{a7@vkLKjx$pl_TH4*a9Zlz=h(NNJ8vBMe_bhX
zX4fyD8xvSpGHusBvhY~;$=ts(sVTS0i=r=msM1^H_Sjx^+TP3e-YjblNuQVNw=hrG
zWGBn!N2(R)1Ac4l4V8;nw62q9QiW}d<D<Jm?|dwe`f(+uvq~QGai4zow$e(Dk9>2V
z=_oCFwMTjKUDsuC;>N-6?}=>Dx0{!9?t+)oW92go_RiscxMp!Hp8(VA9>*HjVwG;)
zg49FFOLZTed8+c;wy%M8g7S<74r&THW<R8U%Kb|C`sdn%1K~O6E6SBx{yTLioww-R
zc&O|3{`ls*Q*KvWsnYoLC28&j)xT%F!#$E>S0AXBu3aw5C+;^<TcXuCDBNjJ+|>}l
zhGzzX9oJV}zj3>d!9qFhzRX6$pcN<G|JSB}lDuem=-6GZ2=PMC9sGG)#H;IX^_L#|
z@9S80Fd^Y_%-Q{xbL2lhs53p*TRchn<-OZGvRGnj-c9SaJ|+1*`0$z+YuQZNY;CqL
z?L1uG9HAL4znk~HPXwP^X|sA;vfjh(H+)z)65gjV|EZlC`@Q4wjJ@V_{&@a*HTmUl
z)4iu2TxHYmJKwn_OZlYpst-1oeCOL*PtHB8fArn@-?u;T^Yg8Fxmxg}z!_=DUH;P7
z3YQ(SdBC~IU$}{7r}FQ!ot16<tzE}YT~sf5x`1QT)0={^(fz7Xtxm?r#EwOM6FTTz
z#1dYvvi!-%`kK_e9WPVD-iS{vXls3#dca0q@9MAgLw$EzZkbJxV?X$NyT-1UEl*`+
z6n0IoPLuoeduP}E{fS9@caL%U_SvuAF^l=cW6^Ct%d}5ktSpUOo1gajOOoKNc}d(m
zb=SAP%9molFRU4I;mE9(@K+3d-@Crfv0J0CzoSa}e`VoPQzOGkY(g$xw#Sw&K7Z<Z
zoY;c?xnHIUW=_+)so10O>)uAGu%4fN(ku78XwqAq9rlIy#N4_2*5BArw&U_M5A(D+
zE0PX2M|y}QZ(jMTSkhli)|F@J`9=KcX*)D*w)y%R8%WPvGi&Dtrb3QI&kkxzmWJs^
zvZn5@IPJHRb;E*r*S{zKG3frnF7is^>Bc#G9EG>t6*K)<tMz|Hf9DyQ=;fvN>OHF%
zvoiFq&fe>j_~C|f72E#}KPMi$^Q5(We$cv4rEes4^|+I7Zk+v;W4GQrQA0^P#a`LP
z+kM+B{XTuz^>P08-~~O2kLNNcUDeLFVmSS2TcDBA?p+HHGy7D0&oeLUbo}f7?BG(%
z_~bdp9$RM~JHn#2Gh%vc;8f=4ywS&VzALjb|5UW~&D{FS*f26*BlLkkgJi$%wCf8x
zWj2-__G)ncwR`Dv_sUlvlUKg(i2M;B^SL-V<$b2X(kT-|+U6WNEU8kwB<bI@8*h%^
z3VddI;<0RmQ`1|AUnaL*a!cl#X=gU57SGa>KYBILZ}IPh;LWL=F6SH0OsjNsI&nzo
zP@vL9-s*b^$7aRuwzpTbl3x1NZ<9*v%Uj&?t6QGTSIxTEamP0&Pp3TLeyb3h!twrh
zpQi3|(%OA-Z}p_QXNKR`8*Y+U*xSJ(`lIVlb^i0Ux~|!8n;t8ctLTP5EBndZr6c*C
zbI&y6{dr9H=PgdoXHdTsx5OZ{zBgleV@AL)^+N@fQXa~Je*<G?)#x6$_TbZu^R=F(
zyKRq62|Baka*8|Gyi^uZ9dCh8uFLptYs?lCi*l@bbw|3OeEQV@Ek1!CjJw>L*9Pb-
zy$hW9dY<gF88JaoO<cAw18dk0P4la8+SFV1cS(Co(2v@E`S}xW?U{Hl?y-j7rJI>f
zSLWCHnK-;&U3JVy>8Aez?#+93d>$sKm9HqSFD&VA-@d7-t1WhKT;30MuWDzrg#q>w
znOA<gUd}kHK1o<0iJxJ{iI?ZrB;E5lr+Vk$Vy}Q_-1EXLq?8KZu4KJ-<yWcks|^e9
z2yL4Xc>J?U@YGM)my>IcOgOx?CFO)lIk)U{fso=eH^aj<M5}J^`tepI^jPz`SnFNa
zLVdTb<-FDMT(QIWo8XU2@iT*#J@~yyc-{(&U)4R;PdS7-b1ef>TQU-V*BF0)%k&}c
zM)AgKhJERKk}e*SKQ!fMYR19i4?ir57f^lW5G5jhU`7zPcJPW5uNPkw%&m%;a`dd+
zlcy{@ex7Wc(JrR`Rs5FnvMpb<e@uFPsx|m3OH=dDw9Pw@@06OExLm)}(CdXL*X0hj
z{g2i9wwRh~F)ue~t94tL+kZv)`o@Ew_fPRwsxXRRjkuGSQE$4^e35A6+hzmP*C8nm
z7Ik8Mm30dqZq9djKD*Ampm2^$$o~u}8=lFJ0yfpCuKa8t$jZK9O0m(I=9rov+WRMz
z-|;{5<5t=xyCfe*f4%JeEhUEop39{@Qkwa#Ip|cM?%_oVhC8KB-_~(Y+?%O7Wo6%*
zebWuR5_EkY+H6#kOStqnjVJ5l$E6!>bq=YW?z@;d_fDIvg}!u;B*V0OJeNeT*hOmn
zezoXtrcG7Xx1hIS=DS4q9Z#!v`Tr;Jp2%<Jm#y(h-+K;tPim4E|McH#)5Q0owmp6)
z7ZiGa)cnR6ns}$yX~*VyPQC$;r`?|)!>H(IeydMr{?W4uZU?u9Y*6mt+*Q#3e8()w
zsMb_}p1Z3J&0G%l+<TZTu<cb_M^n-CU9}o|;&(2xE@@cQ^}y`$q2?*k%Kic$7Tpc_
z7w8@8aPC%u-C5Rv1HWYtr}XMBHTax2^;bOq_OsQFRXc@P&L(^)=b4jO{^P{rx_=W|
zYG>$)i8pvXy}=V$FMM!SRC`OX{uTa@_j$KOzL%Y<tnr!mpvpzA@}&OH77TLlwfjBN
z4)tE2e0JVp<$41?9w(uLS2q@ju};|W^ybFM=}N3#1&4Qq{8Qlce8l%h$}p~N>-2V+
z31_>DR5m<~Fj{v_>6Mr7<EN(!4HvUJ280(#HmSSGulez2Q|hZfjCZ2-Qj$egi>^=J
zR(kt)?nk-93sOzRs?IcQooMy<@!H6lE5+5X#}sTn$)n12>gR+hXD%qdb#&eMGd6R9
zgr*T!*n@EO?&<fdi<@5T+PExwugWja4Q21&RhrFGYGp3D_oO?C$%eCN^~1Azyajd4
zOOsPJrK}8`_9T32w^gA-_>K>(+(~s&X$s}h^5<gs(<J*ie;Kn>X=kQg*<Ta!Z%ap}
z`ldFM=bFvWCz;hQzrBA}&)dSc4{ZBA=2~qPf2VynwPWe$*{S=B%D<S({y4eDJy6QN
zFjbr(r1kndCq9!|-e#f<i(879GgbE#hyANM@*`#Y0=Gu_gUhX${;fMSu_WzDQYiml
ziC>$THr;B!UHVH}^2YMBwtluPtDktD{5J8tS=h|UF=kAce3;eEI6Y!Rj~(@FI9cL*
zNm=#Hb@scz|Ce(|$FH$b)2ZM#)DcdautH%`{ySYhhRX?eV!vLz^O|L$v&Y3HLK6xP
zMLdkikIq}&X?O0*P0gsL<tHxpr1_al3)9ki%`X4pgu|Q{c7b!(Z<+aPMS=#G?CXiz
zcecj`xZb(7Ep^6)19?9$FZ_4-w!Yn({S3*Xhgd(lcXm9Oxb3X2xn7f##iJ?%=UeIm
zp)Y$S{=YvE!N2m{TE8ZPwc`109b&broj?9fi8z$~OK#`FqSupCV=aQ-=-oc_W`5M;
zmT%@M({=Z46qs{dbKNp#FSD8aGd`D3kt{#9E=em_@xY_&Tbp?n3)^<8uh^|IZ-Q)|
z%BdA~(Tm@@Uh(^z%)8*>*~@bC{r8xZEY7*`^0EIDCe90@S%;@ySasl+_S9JJO;d&5
zFuZn~5bZ3uXGvE(*URu&n}nsjj9a5^TNK{DoVx0H#@ZZ)B{?(f7k&(BTmIPZ`E4yt
zRgWnfpUzn_u`KO+c|-q&2b<Tc_pJ5%6uv}tf_T4tc=(L${Am%n&Ax3y+3&Bqyz0Lp
zcsD^j=X84NVkhCksKtu!!tZ?f5ND^j{e0WhHl?o`_X5MWU32E2<#^z`>{X9*i#~74
zSu7B3pu{?%{E5hu-9_3PUMv(&iki9a%AUJ9;<DFk)=cz?`2BM+uZvS>)4{t<9eSHN
z7G8TfQ%mUUUb6`o+Y<F2ChTmsT*|h0?c3{z4%o`>*r+I4(#P$Y?vj?lzCI>u$^?1S
zuiiG@&kjDkd%8>Zj&69G^PP)p4+)e$)e*d6$2~{bq518J)A4tbFUK`MUt?6;$$HcH
zWyQC>N`lk>`OL@&4e0L3o1Z)3TS^9(>`jAbs$FL%cwMz^z4O-2v&iB-hw8rMoeXN9
zr_VUi_%%so+pVfO3hM%=>({soc}%#-6MbtgzpbL(%I3}Q+K<inC?u7l)taYyFMPG!
zwG%OdJ3Ft~%59XF<@ODnkfvAvaYf<AW9xoLWHZb?U)(YE#;oH#W}91P*gsOwInjSC
z<UkMa;f_B^LN*gFIvD6Ye!7#*N>z1l;YG$zdsiC0j{UahM&SM>8;iOb-bJ$qFL~a2
zhH;_nd9AKH8#@wSsfI2+d3LQH)3SeZF?!n$XT`95FN&#r^l<KM^*3GK!Y9x1``!1J
z3!4&Ad;X?z`HlC#17$hp89hq7FTXYI2bZ(V@yT;lJ6r7DzWLKR|6gj{j{fg|f^!~!
z65M+8=95pEUk{a*uD-7!5%^`v@~3Bx#+f)pA9}T|{rehgrgZb%yXQ^@)Cx|%C_aC`
znbaD=hy1rM`Ku^3@0-Q3e)ruO&U-J~YUzmX;e7omS7ehz+rvY59N5=|&CE5~$yK;(
zMv3Q3O%|p`wX*lqJ3@;3;+DFwUF>I%<DMdK_N3U@N=YVs;i|4|v7(5V$&1Q66aU^m
zkgT?!>+~9rpRbzgUY~5Yb9^2&lPzLecuTj{oh$2u4P^bV%~xCPH~n?)#N4Ut)^4{y
z;&rZh(Y9Mc&CLh*a`KowP``C+Zo+z_vt~9%m12g+V~^jJ>yOJ$>FZFJU)h`YFN)8q
z(6sS_);7&g4KH0D&$#Rpchug%qvOW1d4Gj}%BBS`TpF5t|5t{&!%J49<BP8SS|`sS
zA+%QJ>y_m)yA%@EhQFJ%$U^A#!--~#?=5oPzr99Ut7&S_qib<*Q=iwiw(TrEb=~&@
z&+8Sva!MycJ}Ts{m?2nUA-Qq#&ut+_zi%m?KK(f7s_nJP0~cn!4E3_uH-+~=VAU_H
z+@=eu>$WaVE$xwC^6&TKayEwASGS9N^74{y?tbFnxXN{Q_~WqEkEPlaS^hSy3~%H3
za6@RP<awEiHx_3kN6GE?wcqY1G<`>^`?t%#80vP5e$|{O>p5+vfZfe)M$D7VnVmLf
zFOTd<o$6`#sX8evfBTya|2Mu$H|#GHTw0yvt9iS78BdLP_uc#Y&+qOoZ%8hd;7qW0
zNs#@y{cWS4#468L-fJl)abG+`G>+xk8}F;#p;3Hp-_msf7Q*uimNuB(wSQ~(yR7E<
z{c|_vcnTL@{Jq*(_TdrUrP*a4Rm%VIF=>aS^GN)8)g)NI$5?6QhcvTv)9R;IiEqk(
zzSP?pv}wisBXaTf;{0J8R)Ig3&M0r*<W>2oE?-ociC00>>E@+>>Km`;am+O>epjA-
zWBT?h>n9afB<%CqrD>@yROB(;bOO_VK^EzpN4F~7<Fy1QTI|q2XdSM9tYAg&+?`h=
z9Cll3&A6prs4ZJDr{mDd>PBm}^2ZsK>yw|XOwic+RQGm5059`rlQ7-|?aTYsZW@<b
zDt=a5p7e<?_@?>c7tc<=oq0fo>$<F6wC0_&>u+k;o_O`3jXmMbH$RI9tNl0dudh)_
ziq-z=CndP^^#9I_m*4ORJ$YSJ`~FmmifVh>+`v~MIx?v<AHUt}^YwpqQqcSQJKS>H
zwzs}IG(}ywI&C*oI`ffZH(MIrT5kI!ZdhKJeQwdAX{xFFxDH4~Uo(^mIjqttHEq?&
z)a<7XDur8R56C(0zHnvR)1&ve<8GG)@4A~&IbrqQe|w9xR)}*M+PHsS({EU3@+3t|
zYU|Fs24Ah~rZ-)vS-1Prl9*j*O`m71vt-}C>z!zwewo!Pt&`_^p2pZbJL4hA{F%$v
z>0-xK1>Ru0P_0)RvP|ytAL4bL|FNv8!uB4YfZ`v0v*f(3i8fO%U$?&aK>C5qB?jsD
zvgfy-xe#Q*DS6~*@PR7ht7TV|yQXd~llzr8efG7?$6ifS%Fdj<pC8558`rR(+4aTy
zA1C<4&+ke68xwx`&%CgEtCXf+JsfpvXJxUcK%Ut1>X1wWd4pZ^cHI22?GzuIQ~1u`
zv)HA-@<(2qaxLU9Tf5{NnYlh4jE7?O#;w{n;|gbYTh;b+uZ}0aJH}vFY&YjtSVqsH
ze=9#Kel59q^#rSM+h@y-+|!<{|69y`MsLR5t~I~Tgj(=0a<h5P*z0)xT9D;2of$O>
z6E%*XZ`oOY@hOkTV)gSb@4rUv+OmAI|A}KK4xhfF(V(A^Fu{D8c}TV1vU^NXtgGI0
z+9j@hR=V1JO_^=myCdgR3j>*6d_R6<#`U}kiOCxd{anH;W#iV`7dkVuX7bZ(2^SBa
zHoqhu${_9VWPi|p$(!p0*3H$46Vbf2ytAjE+j^=-KR@#wj@4Cy5*rI%WL%rE?27Ti
z&Fd0b9?y5V^tQd===7QWOnOf@c~6+))}MLf%m3P2Hu~mOng=pZGpKJiRjpy`EL?c$
zi(u=iCkH+>YNwY@YgPI$5wPT+S$_7>&KstQVqUuz*BQ3&Q}ZvXJ=76-^YCBpTPKc&
zYFGWMs%L*D7_`XhUEJ;IidV&sc}_I{ZPBQxtZ?SQTZNu8bE{NNa7r9&ZI(FbT9jI{
z;d(xIn^x)lr2Ll1rOTGKS|1FN`}S)0GC{9>fBhplzI{pD=s&wF#>wII5thc<JimYQ
zYpQFi->>QQpYY$R{laann~prj5xn(Xi@bd~P1}!b2vlx9d2{yNX^p!NOxl~3zbgLI
zmfk-vFNt25_ItsFLwnyBH|?2wUcT?%d^u;97y7Q;E}4tt-uH%|DDk`b?9jHb%}Lqm
zysEFm`?>p?zS}ZZpYKv?j!WLWz+6C6L?gUso7<wt+Z;uYZ+rLrVPAo^{>)a*+aa}w
zU*B<hc6HW+DfZL8-f`QXq&1r<XYIG+cQ+Lpb?lk7E;A<E-0h?GhA)zRrzKC!3Hd!m
zZuxsb4%^!)9p7d=ZSmT6Z*9T8uq=DK@UwG&-Z3{lrJ!k%^(nV{U1_MXWz`4M*T>sV
zTH4RNpm3UNufxoQ<i^Z3yVv;!g^G!orfhq3AhMt(S8DsKw@E7s4^O>PUlVeiX~CQq
zdjgvi)FwYLzuLfRUZ)z(Xq0LyoNX!FaxGr+Np;^2gBf{ucE3#BF(-lXm&F>EEIG}`
zJ08wIG`Fjuf{C^D0fXUQF8yb&w{><hm2BT}>QXG*ee-MI^m>d|o(T##o&0I<>5J*N
z9`Dia^E*}h=kK%l{f<Yqaw_N7MJZ>bNC?DBr4-D`V1Hlm+WoqQSj+3_1_?T^<F_q;
zA>-%1{sPz4%H$cgPgg#w34X*Tq;DGeY_%`TD`y3p@Yw%FS-MP<oNqbEIbJs2%i;3k
zO|j_Qty}iXtiAG0j`{fVUzZQ_Jz6PKT<TgIU|t#8|3Qi~{N{0!R|3l_HgjrEeZf7=
zcJHDWSKOSHm)mZSUB9lbe9yvv(MOBJSU8S;{ds1gPO{{Emay+`^V<EM9@jjw^LEO&
zZSi|`zD%puENeedeB0RJ=2@LBndKXugZH~_-y;1lbbY#Y{YugGwKJBgtP{%@U*Pjy
z{qwvQjX;H!v*$!@`t(hH{*(4?`ZL02b6Fmq`EP1>dEeZZx{VRcx0Qch^a#7C+^6zO
z_Sh}wXMDT|nsz?w*}m<>JEIJXw5P2KTO`i2NpCP-^Y5lMPwWHfXTIf;lkWf6-QuvT
zVV!}4`5(9V4g;=_KMv<rrdIR3crsn-*1Lyliq+niQ-pp7J@#<<w)x|RYL|uV-16O>
z2FqHf9FbK}vp6QJ!OaxOJL8Fmf3W*u9+r}u%Vyn?kn;?$KfXympDCiZwW{IQ{E!<T
zr2Er9e>>84EQEi;mX;1{cJtS6Q#ai|aB15c%lw_u)ly5_Z%s(ik?mM@h`ETx=VSDj
zscS@jXZ+kZ>BWENd)Izv>~sF;IyFh{diC^<J;yKb87arNeNX?M=AJ(Hrn=DqIl*a%
z7JJ|2y{n`A?dD9g%)>9`Jys@eN%?rKa>m_E4=sI`q>xkPnMYEcesQwiO8UnBZu7<+
zLXRHKRt{No>)rj9nj0p9J2G}<be2XmKRn<6>M-MDr`I#9_;*;loxJ(2_MylM1J1YC
zwSsj0on9_(Wm$i$?CO+tM+7FHUs6<?KDSHucg73-AG`L1`*yA3TPqP2<GFXj#~{|3
zwGz%A!m{dm|4!^o`><fbzZVRQ6JN-(^c*>#pP(fEBdeQxt<WqR0jZi*y$#KmpR=1g
zMa*=R)cMbxyRh|*)|0A|I)^yc;sk}o=5_{0^^WANzJ28EGpR2J43s=p2sdAlvfu43
zf9TvK<-=#&w300O1(TM`6t3%<*qXRJ%YA0~Yr8brq|Es`?tWJqdQP6oZIAbF+cU%b
z#kcc)6U<)cg*{pSy{k{*%Jk;QNb{EG6?4O5BU`O?WrDU`o)90X=KFSCwCu*C_gA?W
z-DNRLijv(B@aK8l%<^v^7IHYgHOu<d_O7hq&)!yr_iJ~|4imhxDBD)z(`lQYQ}@#}
z9%^~+KG`o^pi=B9_gLQeSl2U=y_>zm(r5l?zFxZg#G21vcWy3fcIw!}{JrFeh;;a}
zPxWtgW=DVie>C&&sTV6b4WC`+xoMEkRTk8e9rxec>WIXQHLIs>zM|oG=8*D(0Hsf-
za#ln?eqqb>OKerE;=!<K83r<{%Ou?P+D=H#*X~yLEqivc$m`vyzFQ{pt0u>#cxog)
zwvx|2@?QV*r`dlJ_XxHY-To>lXjf$Yd+wRo1^XKg=1mlNvG8ZKd&nt~1@Q)lRV2#9
zUDaw>U#~D*SabGCg6=Io2d*0`AK50_cO;n>dIUV*65bxN=IP8y#VsLXbM5S|EVAG$
z-qPqG;s32NFh)l-*7H&2Ri~$iil4v#Bk=uUQ{ASHBB>Hz&58YI+2#n<h)(W#zQ5$S
z)+~n^UR{675AC=0%nSSQC-9kW+sTLA{G0Vwr|a#?cz$}Xt}XY?7p(JLy>cI~(&%yB
zJHPa_$R68ItdgB?<Ic0$8`{{b|6a4Zj8W`&qO-X4`G2upeL5^JzUDE;3vUh75ml3m
ze&q6F(|M;kqRDI5)^vtTCFvDK{tNC(ey_s(ST<YLY}&zH=k4}=u@Svhy;`#QaEPCp
z-q($hwu=jGW$U-!`Ssz&_o|->=dPN4>wW1|^1kMG&@Z=Vt75#iE_!mIVBxI1-b<QG
z__i!=@4G!|*@Lf>YE!=VzY>06wS2`cm6C03#^+vty|wO(?1~9HzZ{Kt#Q4)7nP0B+
zK;ZtX?OUojYd9Baha3#*Jr!d8Xu57jZ|<3OCd=dHKQ;ESSe*N^ZE|n&y+^k4hvW0U
zFW&n7iLTbHdY8-)=3k13SDb3oQm!fuw9!0#=icE9f?e~by}u`MX7X0+TB&tUrvKCb
zS~HvLsN{hTm7V|7Qy=XU^L>#Ta)<xY!-sR5)8=U(I`BdN|E;j}{P2SrqDfA<|Mx3I
zdz!1u#9m3cy7|Ai15Z%FiFC$UJKCf?MZ3Zyr#3&SW3qkPQ>F95Dv&{B)4Xh*4o&l#
z&5S4R_r5vo)L9lg|2+3Xxm@{m9a1@p+P%+2_Fj5$@oaJ3n{t^nW^EZ+E4doEsLvW{
z5^0C*oO5~>Bo{at>soOg+F`t+LI3B<V|=!guVw!+o$nJeb;cH!xl03Xq@Ku=Hs+S+
zKfTfX1>^l=v;C^Rf4gwt!?g^JBAZPcI-?9d4X#X?)wO+BnrlhvEDd+=ggNIMJ1#3-
zsyJ@&$T#+ekF&+j{BGyQcYf*_Ci>p*KXb3Y-VvexW%<RU|G!inzWig^scmMnwH)0K
zZ|<x=z|`ouTa@jzX#E@i)0<D<^0fT@Yrk5MPJ5xlBYnrM+pm^+G8Kxa%($0-<J8;a
z1$_4Mj*mr8UY@o(?BdsHZGx{{>-u9C7fQ^ok`;bD^IzcflnpOzmsRiVy|kVuN5?W^
zwy{x&LF{h5c`UD^-<Yy3O+NWJ_2rw3vsfinFHXHxd4Acq*x<&KyO$hT_#}5R|8J9<
z^DFm1@O6yd_weJJOU{Bx)B8=Wl|pZPj@O=K(V1FX{$KAgcZW;7(5c;XIF~L=6VsA3
zsXUqHnYeX{ZyV2c-ekMrhOl0SIc57L@7abOif%n>G3mAR6_pj8d%G>zIeDKOmOYEO
zeCcLMg4gCX+#Aezv)&1=`FL=@boH!RiPMudNqw85xc3HoiEg!>>k`xMIfC=!tv^@D
z{+{jDcK9ntky7^B{~VvJH%}7N-|}ox>s(oeCE9k=|IBT%DNnd;^Kst3^PfJxJg;=}
z*ThSXfgh$FE1N&_y|JUkIvKm_mWr0^PdKHSzM83Zw*EbMU_0}nwjcIi+S-K=vE~Zv
z-{TG_P%?Szvh2#0rVG(skF;k*J}8*;$9Lu4CbkNO-T!a?$Xjsq##V**+OEtOYgRgF
zviCbEMDc6B64*T@O33wiZntE!u*u}xjVIEWuHQ&9iQN%twoFlP)8QEti#4B#T5u`F
zS%2-C`_%epc-Vzs?@pV~Kiu)Ymu13NgCOl+jLGsl9Rmb(T-{#S-<3T)$DrmOqt#a>
zlO6jD**Y%g_Q&Vy?|Zb!yx4(3>(JDmpaQ$-Ihl9EZpyNinbvNRF*_n>_s=0rW$USj
zd18|e1y*m|SM%%UHty>ETmCmpub#Q2=k0;rMVe>lF081qdziP%!_8WW^Rj}6_1#C0
z=D%6_%=W{<9LK|7G<wzZg}3(c+}-x*UZBCrs{GCCe>}FAIW#$gEz=}r%Gnvr=Qtex
z#`Jhy7m>bn>)rVmRw{p@MV#g~3c5cu(esk}AElXjTGLb}uJn#Thx!Na^ZR+E%4@#r
z{BgT?$z8$jp_!+qPtI``hVt!P8k2vC1#U1`aBqKqX;03ctvyA%x6Hp)FYfU+nLlT>
zr2a$O4@rs}Hg0$*Df*_<uV_lA^3<sBIy+Zd74`?4NVH7(a>}&!r;(p(eV^Rl;<u46
zuXJtGpA&UjdXb)ZWL4wG*t$N?dFK8$j%s_?f1E78nQ3Bq-R!Ho-Yi%>NyGg({|V-;
zXC#Ho0#-b#`10lOrMkcQ%L-Dy9W0l*w0Pzn(^GFhf7oUB`TipPO<(`8KbSVtaLKaj
zClT#a>i_!A-RGga+(MIm#*9Rb%jvsi44ilC^t||gP5o~|>$N&o)B3`7wl6>We=nA1
zNxmq!!1lkXgUp-2?Kkx&?OQclLa=hpH+ChKnJW~&_|316ntaU7eZEQ#Yxvdr{J9(!
zD`qRN=U3gr5&Ssi!}W+A50`YTR}Tm;J{Y>@#_R*O1@f;aPY%A|eL1G<)q`)&hvbAM
z9@#HY_-s-cCc4O5?pNurb#}!k-#_@e$z(^-V;`9XKY6EAYeuGWYe~x7Xm>m0((3f}
z!RJFOQ*txU<X=xRJtWx9@77!%DtfN+(dqg9nzK8E@8&Ri%ope?KVH|B%&K)>a3_c0
z@fFKvy_~jf*3v0wf1Wj86RjWo)>l1Z!f}RFC*HZd(sLeac4+AwD^2PXRPDaN{qC%;
zf^e0I^}-b9+Go{ld(veT3ohkp&E>QZn{jZL-<sLiJ}dm;)75_>T)px%OPt6zzGJ_-
z-fQ+o+1lMceC)Ku^#j(wcifKtmiln<G~Tst+<y}*9&?%ePH0X%&b+{H|Hg$j>u;|-
z`=~0q#(Q>^SmMV2TeNO|Nw|12?cddp0m9KaH`A?`?)mn5#ko!TGIrA<6qse~wdC2N
zD<=H;^X}Axz3(e+KO6sCc&>3-%bY2n>l@r+IP0YSWHsh0NrnCky&nAQ;e)sxk+l;t
zo91n+?5_&1kIh_KXa4_OXS~(I)<2s?|5gV)KE`)r+4qR;%yPviJ9GG!$!wBsiB4kL
z7<^J#_QvdwDvv+1ySFYk*!}zYq^!UX+lp$vjfK@%tNBif&i^KKOIN(zGt8#bfbsSd
zw$dNm(|hOFM`;&0@oW)`e-x0!;`y&DaH`z$88hWGT=cBJwj7>V7T6%DC~aA}G(LjS
z`+k4Qhdo9AFLY))fB&Q&>YB%Tr6s9lyPuf$hADBgf_WP!O^^DUb9P#B<Bhrb_w<<d
zJ$$B+_)K)a+Vnq6X$L-j$aZsmVEM0qKkEd|<OI_dv*iUlp6$A*G&N4yXpzOLnb+1Y
zKKp2wA6qNa?5*pTZ}}VbV%wFZ|JGjr76{3Cme_tge%DVdLHTF$&b4uRPp)@YeCTxz
z4{OS@y8h+a-Ty^()3$j=B;>CB`YZZ)VCjeK+m~-u@|-PFcWHQdch9bUD&c`5|3$bI
zb#BUEVODS0DXpb$n{#OW%N4f17PF@=dm<}$z_N-#`$L|XXAn<iWw!rKy=(p*R<loj
z3SgV}q(5dVbJa%&gZ(FKJr;^?*!V<nbHoykN2xDvX8+BzQEcTcsDEGJ^q^DYnC6@{
zSM!TAm(Ar#VeC5cC8LBRIrfXka&dXXn6O2iMh?r(S~fjzY0OH!HOsNU`h?$_o3W)+
ze<mG#^L~E$?;DOMCma--+aqkN!4>R%`;Fzjd*c7s8}fV#{<OO9+vKGWBU+3bT_V&U
zolc#2s8}z6>*Gq*E8i<P1VlXS-Tv>X+ud}j(_qSznMb!b@rAPG<gBvo;XJ<b6I*MK
z{>vyfV>|Bu`MFQnZyoNRe>VE}jF&f~SeNmNX4<bmJRyS1HjQ&<n-7Cx!e4e~L!~oz
z+;fkyPr5hXwO_|@lX1`)t9=)4tys2j>ASDHrarrU_d>uWF-7_Mq$?5MQ^f2}dt6i&
z+F*X5<%EP2kC4;;3mMbR4;`wCC|^DMjg`^+$x|1Ye)r{0y8At8OT?BQK3<=Tk?T**
zn)o+wN>9%FuwR$fUwUmH+1lTla;jlz`wU$l9wy!s+m8p{_xjj$tLj)pZwuedCk&at
zonIcQ6konh`dvhrCHIy}<M~Cme)o5Zg~`3<_J6Y=&g#q$rPBdc+E<?oyvRSbDPjB1
zmFD?twpYjQ6BX*2xo6ec-s=HT=I=Wb<wMktZ#llzwkpZyD1T$A)G|l$gGOEx*qa}D
zHD`)FxF+y#2Se1CiP6vg1-k#Zkfm|$Hva{?U12}k_a<M_5PWFBaLMN1uYOl%rpMVg
zwtf%Rv@TgF>(rvOXZKnQNB2obJNTm)D`Yb*e|4*L{pPsPbY82EFC5m+*bwLX`{<*)
zmoJFDxpdD;N$v6Z{l4n^9{xYyD|AceZn{yyoFBhu{IKszy1lN&M9eIPOX==pE!I`n
z^(37C&hrnIE%u!>|Bi*BeE6{)T6f=_3VM27Nd3~(W`UmhHMwW5=QLkEs2%a3@O4At
z&cX*0{?Qv3YL`!r#d^YZvbn(*uB{x8c2&&FNK)N>e7pFy((-#|uPQ5-GbjhWd^CHV
zKU+1gjb7ifX}9NW`mVq)U>16}Y)hWc($g2X6fcSIvRG?x5*<9*;CO^-{SNa?_nE@a
zHl-eT;jzT`f^S#f#RmUl6Hj&Nn@{>Od(*UE&()(n-|D|TWmTRXKI<V%_9U)U?n&DY
zZcO9!nQ(9Sl>XU5YU`dX+PUJX#2NOUJ<eK-WplJzZto1(Up71Rxqj}|*PA*1h-u_E
zDw}Vdv~ZnYYhi_Y&FdY(Cu0Pfj_gidxcY%lU4T&Pb^kLx+XN147yYY{jyrw9>8WJ!
zoeMGCEsNMQ?iX>@|Ge$hYWl_7=cYDevTn@Hi8b%v?ff`7|HL(JBYwptKj#?=y+2f8
zF=5r8medM{MCOJM|E#!jN~$LP{&}--i^80DOtuPl1CD&jviq0ZHC3mJ`^|*z6H~Jl
z5AR>e@oe_9RW`Q_R2i594Lq)-y-)WDT>NKm;oe6N1%LF+xnZfMxv_x3;&6na;hfd}
zmrZZ8{F)cxc%zHK+{3<DY4_ARjirmL<-1B*9v001AK5&?Px2*8#heY3wd&S-z9?Um
zeX3vXR_xJP6MI?qot$fRs^OD=UHyE?h1);29<D6$y}kdupQB@K;suw_XVS#CT%Xs$
z{POVIR`nGwKJOOYH;MY^D{dIr6LrL6mR*8p<IM-%#|zkQw;h_aanF9u<yL8LLoKf)
z>|fz#-<W^$>)E{~1+8a=mI<&+Uaz>Vwa_nr%FR7~e>0~ZWZZ4)8olN9h0C6AcNH^8
zEJ);u(JIai7Ay{F`u=%wTE$r|m+6OjB>5jFX6A7zycBPIzwbAT>HM#GR|{SUJN)`<
zc1yZKBKyOO?U$CXU*;mfsQ0>xrJ!}P)q9CYwZF0ir!+H(Pp!W$cJIWT6yq&fFXHAZ
z*j1dDZ{h2jT(qEg`u^8h4m*3AGdO>iOUycP|IS+We;?)^)nCCV87y_m;tz|S-I7xl
zQ@ZO7zW$3jolrS}QGVAPOaFagIrlbg(onc{<H4Kr*WYGmowWUV?{e6kr>&FUZC@>S
z>Cp5Kx02M;rsfy?PRV$@>sp~o@~6ugyBG5MC+fGA+VL@Hc;DJR{qwAAMGWgd{%bGF
zeZ!#>$S4(`Kj~@IneNn`XI8QKKAQ5U{)?&5Jkx^{j<zhAS9T-#=lZIOe+PSXe^fhp
z^Zu$gpJ{sN*vgKV7hm%}e*Mv9MbKWG7w^udrzkFz54hCxG?UY3`J-cZeuyk=y>@0z
zw&2buthPrTyjsNB-g(w>tSd>#j^<X1^58!AATnz)&-B87IZ=Um<$P8PdlFS`K5J&1
z7u3{TSsgX&hj7}Hdi}@=Cu)@x0}njn^RlR|+vTuU|6RmHW0|O<rKXGQ)6T43wtbmU
z+2N%=yUnIa#g>F=XJ4OvQ0JZhRn3Q{^73UzCR(3cpKmVbIDLZA#^qe=e|dGy{WjZQ
zx$gem$4BOsXN0zD?2<W=cHny85x13`0yaA*`sSw`D>QAGH__FurE6cE1^>zwZ?zU3
zI9Rg$Wlp-s7M7iF<Q&B}@BG=Txqru{^DD|DrcK>`Z-z&*T=})FMP=-j@tOOl>9_pg
zvsu1NRX<|w9Fa3~oUYG%;`mvHhvVIXU+*d<R!uy-E2GlZnEQ&!wk3NaxS4j(UUBdd
zTRDgF<ws{2kG`qQ`cwLAbJO-^a+RTbS8hzasiR+g?B3;BF{M)vG|l)O<dIw*=d@Po
zXGqg|p?y}8dlxcn)4i4~-?}h?ZStL4Jtpc*9eq0wxJAi7`D1Cf@?zVC)@obtOg~ZG
zR}oE(D>v`>|L@Qm^HlGY_2IeZO>2(z>(+&|@xF+=s3pzI<fUNydI86pY~D!?{99%#
zXSv)oI<@X*cG?C>rC4L7+CJ6x1v^(%2>e|gcA}2q<*#2Aek|LZCirjpdSt@ZkO@~>
z86ywv{hrYHx8w7<+@F^Y9^c^M_TqQ4!OiCRmEV-uOa<%qq@COH>v+<i$u09D>b(w}
zYf_xQe{$HTm?fVbg0Ji{Jo@{c-D;Pm^$gE$pHF)fSZrps@!j&Z#_HNfU(Gh|Q*uu0
zu1XdZnj+7laPv~@s=6tRTl#{fEM)>TL+sk`XWd?+xpbw9*xCi#nU<Z3NT2z^-0<QK
z)gviQOD_01UVl})s!if$)E%3R(m|%5ji%bxJ>B`Zbk5gV{<dd>PQTSni(M#nnQL-U
zwA-}hp`OOoZ7hpJwJqOilnIIb%bjwQ<I}Ot;nO}>N3G}m(cSnXNX{`!&Rogt)P~O7
z1uidE{;Iq8%&R3Ks`TbT&84YJomM0|NC@u}4`bY&!d}nlTdQd1Htlv!fy*nkZTk7|
zL;RZsvp2FWU4O=0OZ`rxtM9TM+!8k#<FdLmL%K5>g)ZF{3Em(7*H(11<@qMj<=^Hi
zU-<mT?9#8*=8vVF7!pz$Kk04JQakxjIPk?aBRTiP%w_&c;%xPYK612eKX@nf?E|}e
zs~-n>Pu&x!)A#oHMd8_cG2D0l?NdH&wKVw6rKs&QMQwO1S8IIPZ~3Wq(qtFDXSR=?
zOFHRhrMGgu&wL^Ad8f$Rmcv~IO4_?!_piQT_@%ru=G7+ON4N6!AK{*}>hYYsk5BGq
zwt4TF<}g3?$~*nzLSL6W&RKp{<j~Ww#FgU4Vw28%>C%r)TWh{7=obU4xX0fc+b>9m
zeCaWGal6qX?XLra%AKFfFHNoXzoL8b=YHe2UGvwe3Tn?<U36*V<$Y5%zdzoxf9;2T
z^4cd|GS;?58*GXw(W`X3tbFBq^M}6b;(q0Yt=FdB>h+!dDnLW#&YoWDpBe_Omn9XB
zPiCI><Sg%LkFGabPhPLIKasNW%8hM3no^UwUaN(ztWLErUTrq}LRaGk2eTWW8RT|u
z+SO<?{p`WK5WP=7R&cC*^hub->d3Dtw<KRxPD*dt*0p!L8-LvW^)CGH<lOilC{GhV
zR+={H635S1QwyY|mMqjx<hycCH$~Cln(_`GeVM-#U5fs)^jcW=&QmQ6nk;2@_1?}4
zeAkk1v30%<yR$zxclV4h?>@9$pOpTBcWvmDrVak={zrpjb&p?MsHoE^eYQM6`2Q8T
zTW5aE?&*rwIA&t#<;}TnfB72T+5auPCVu`a_)J1}&MWhkdTdATv~4rk9l`uM`}n=U
zqO;R4-4|BkomAoFs~CAUUUF`Ym14rfJHqOf$DK{Lo3m;b9*EMo)A>_Ed~Vvx`$|Gv
zb+dOH+~IooxNq6v9g7=!L!;ze8Pi|6e7?>;afwdm7tJewqvveXdcFMf`@kf(%d1qT
zmfTaCvgUw<R{zR6Hr@ieYy8_<tObpV>en}J$U48%r?}7F?%5SK6EilWR3=SZ`6~i9
zF3o;mHoZyJDbIA*1e5gOnOf4EKdL_n9A<E^T)wVD)_q~(MkAp`J`Xi|H7?yg5hAxv
zv;5fy^MySOFS=QIBf_4<CAzSk+`ZGlU9$5tqpP|p>wB#Xw-3(jA5K4>B%Jg6p4i#N
zGE>|R&zG91?5S<m#CUe<QZBiPKACl29qt_Y{X!vB$xLsR+~NqXXQ_Xc_uE}b61d$p
z{nw4>bq`Xi$_kG8`>m0jx<~Yb;j9FY?HgW~9lo~re~jIWr!DJzS@_Pmvd(+DZSKlz
zFPWqkrtkjLFZ#ttUnoN5@NNHZ^Az2ua7=!uShIfi9f7{Uqux#PzCCiP7g(e7W1htR
z4~cuS-K`J$@8QVrU3LG&{-iY}%O#~Uwz{@8y;`<qv0A{&a3}M=DIHeDrA*0Zzw8a#
z|3h{Dr}r<IjW{&FIoh52<k-_%`t`JAR*oWr33uq(`YU_xtyFE2p5j!PFQ>onym9Z6
zhRIxQtv2>K(moeDCzO|1YnfL~$~<~|?s4hR*R}<<^RvW%a=*@<xctL}^*zfTYoFdR
zsm5rX{XWTTRV}YgA&cI;xwO^BTXabx&l)+K&NgrTgI$hK4!^nDkTmmTir_rHrM|W7
zW=^sU>d_|U52QVcE{gOA<(qHG+)?1K6S0?L!GZQ)M(d+|{QC}WTp@n>w$3)!om&-3
z{%_wD@j)i>vd0b6^NVG#?M-GY)pzPntvz;Lb@H0?eeF3xQHGy%4sEToTV)z(7XJ30
zklN0>++oY!Y|hl2c0lBe^xhOB^TXLIzAxtd@y2InyIY({dRp0|OX(Ao63uRG?uZa;
z;(E93nw#02zrmmPHq5CzWN?n-XsWt-|I{$6_xZ)wyN<Cw(YX8~ciGxgCA!-uPH*S4
z{&;3ZqUFsTA+?1m)@gYUc(^N_PO3bNozfu3CU&phPgmMo^^?-S&bnSDw$*c1_p?oW
zncx|8ym|T3Fi~fYYd6pNo^90E5wf$GzOwP?O1Aks<j+Y?DC5n|*nc;zW-aUch`rv~
z5^<{^X!OrK*nQ`fMAC%?OGJ)5(PkCRT|d>=L+)kJr@s@$4;Me<SLEK<Red3#RHvym
zrf`*S-KM$bQ}=CO;5V7&*V8ple-l=y@%H}>+UJrs`Qw9SKZ5JxH$J?=KI4T+#ytbW
zi)BxAoDMFEYqOCNbYCRkE@lyMgjMf!N})%cF6*@0^>JHP$!Y6f+8`O&=y+3A^gQDc
zbCV@S`%HGTY~FM`Y>n=Fr;4W4GsSY&e?EM)Ue(v(XesO67mmUWU+i9Si64?b)mXz>
znR)uJR+{YzTaGtXrN)U~xvm*ma|7EZCc4=1&za~geb%e(N@c_0+mW?`fl2>1);^Xi
zuRrtr-~F!8P0XjRh<AV8ubV4=j^oABn(*4y7w74I$vsx25*n>kxMEiE9F2OZdE)uC
z&78RbPmDhNKN#2cb(2l#g@+58PH`++mg>&C{DfPO>nUf^lBwO6n=|vy_xq{-+4aaF
z_*ce9s~zXm-aN^BnmTK8Kg07h#aZds{~g{Ea`Tn~-!A5=&03%4ZA|^tc4A&l-oMFj
zN_KKG8O14SeDJXL^<VbsPNJl(<cHsv{>z!~GyB=Ms`kvh3)5tU3iP&KZGIbZ{g~A0
zH(NUs9eo+MKPsE|=+Z3ah~L7EJG{@#4C`%OX<GPCnBzvB{DzLH_g&(4U7EEio>}#^
zNzJiCFaEYT?7CcNf2Ks`f!Ssw4&!IlS-pi(RwwN4iR`pZ>UWPZYENnYXmBM}erLNx
znc(yQ-c$0Q%{x7vxi9TKp7`{%iP{$brd67&FIB0A&)U|wNO0X_%dXSkQx<Mo(i~U!
z%;9#7nsc>0LyFPXfL%-?IZ0o;UiTdI6qkvU^VlPQz#(Ju@7KHRQZfuY{_u#dIc!?%
zRWtM0d5>a_`+D8gPw(Dz?Yt7;;$LF^E;;Tu%LiMzB9*1l>6dMt<=ra-RxM?DH?5{=
zS>lE1%XZ01e}2fh!<GMS_NwRzV+BsLJ2#?!?U|9@edEN&<SZ{X(G3sxdTk5!*WCJp
zeKDt)>bWalO0VVq*ZJc(C+gI5TgTZJ>P9ucAN}+G9(B-SsiER#!xw2ef2uYvahZ0(
zL-=+<ft_$d)26Ha5~pl?3Uj=-I_WSd9_GosB_lTNr1x&#V^3I)Hl+o6wfX&b{qQc~
zD!YFjm*}zUr!&5s5}ly>%kpl?VxD~Qj%uOr2PZ{6uemER<KC)r(KNkPt#wtZO6Il~
zf4`}ep1jicg_LxQ&IXSZuVdVrv*RwY?XV5q=waiR9k%vqLE8GlxQ+?aYSz{s@!*WQ
zy{hJ5_|YlcufOCA6$kI`Z&KmT<gEzYaE|#);YPz9of~>CBu<z<k#*tkuiuj18Wb>Y
zYD&5i;&1ov8TXxEE;R{jCTIWKzP4V~((`Udv37gw(jxWEvKub+I;l=f`lukZwfm^=
zvUS-GA0B!>f6-}p@p@37(ARIFi^SYw*`FMYb^Km(dD^O(E0*s1YSqAbC*t;@uE&kH
z%C}_7&zN@DsO-|h`c^^DRZU7Iw~`vWFY?L#Fs$Ya3=ZM#n;36>NcL_1nTVS<M~pYf
zHk|C@F;EkVK4p_PQOktwU1(GLPwDn4R!5^Zr@VW*sJH9mjbEObzFUfpKH1<Iv+~r|
z*6AikV-%}7mR{P)zQIo1Tlc>V%b^6xyh#nsvw7Bjjy?17;L#iZ9xfGA7YwOT>Co`k
z|K|JO+I|}UeM>X;308jJ(yG=bt#MeiCFw@<`;y}|KVICF><xU&IPLfT7w7NutUeoH
zbolp@Ji!ILL30gL3kBL|Hf)@ixT0IJ!czF+l*-$JH79S!O+0DZJWIdp=jUkaAA7Ev
zwrlC#c)y}HZFbPS&|jTyGgl^5m2<r`k3YgJ`nNam^xp+vIha@M|J7*s%|~Zr-=PI7
z*DvgS!R(v-sO(<h0WQ{=FU~UTioT$_^?gv&Dvv+k<y<VTzQ1YwEIM+IvQTxRW>KDl
zBxjqL)z>NhOx-nKoV-{0-+OaWI<})-u%W*C^!JKh_Xl#Pqc-Vp{=>pyAy7NDl}+|^
z-}7njYSt!fJP~bvaq=UR2@4MNZ)nfdow-zP$_W>a%f<IsY3_bt8FQ|-mgOk-bcXY}
z$N%#G{OqlG$Wtt+X2+$)EUv!Xg=@~XY*Y9?CBVds(MIxMda!J#qEGaiOS>MN-r(|Y
zDqo$%4gNc?AN0gc{`_CMH#srhEL5Je#Kpj|`dP?BJMX2g|IE|RC)(VT>E6_px@^Ho
zuNjKQ^Uo%2b)NiKvqML(=ebVzXD90|*IuV`a?6F>;(8XF+_EM9`Y)Dgu@?lpR4>n%
zE^bj2<;fJ3W%}~Rt39=O$BiyOsoK0~#-cm_bnX>zJN#d;!pHH&pM$Sw{tv$YZ_3K=
zpBOs*HVGFRDbI+{O_|EuF~w-}hZUPDg6<rM3AxkeXdQMTI(Z$B%C0qiyN*7tJaBdQ
z?tEztYpeKw*VT3}Oi7=(M!4Hhyk@7}&pwIjOGntNRcsa%8|y3B-0L-(8YR)1pCf%(
zWcf;FmR+%YpSP43AO2mP`mxx$=-=UYeC&Q6AA;VxzhA~)oNLnIq@>)XVP7DrdY$|9
zeZL7!NiQ3}Jms0LvpMX(yXYg)>MDl7Pr)pg!(z>(Z`ULTu3YAIvf!vxg~t+xxA7;O
zS15m)t+~@MsOX^W#o4Q>ejMquaKDn4C$NU;VdC;XUp411*c7(<vzef=`o{7jf7Z>O
z!DC|ZWWI2NVe~KFPf|wnPgDvUUg{71d-Tfsr}3rbyY|+c)A)7rd_e%~bB>Kyg9Z9d
z#P==NT|UJ}=&S5&`9_E6`#gotuf(=`rBod~>ECVBy`+sz``Z3>FHb1=i$vA&RVYvN
z;JjMi#$*%8*m%fI!SK)9J>IDdZy#OzG^yKGVS8P}RYy<fPcu)M-ikL`|9o@JI?3*I
zUaL13pS^K^Cv4v8@$RUOo~w8#|99VY;s#ITBh%k+GiujU*VnjLtEAk#>P47a*z7Iy
zzx=t-7kaVbviB3YzOXN*8$NzC59N2wK6Cic+N;v`1%KAAOT8Pz@om>sk22<)+kCiY
zMr2wx7|ENbMjqc?7TDmV^d(;^GJ4(ZyRRp=O}q0(f6^qmD`Cyc-A`LKX>RbAKDCr5
z{xo+=;f~|CPVT(=dUedwZy9@Cw<%xis&JbW{!-EEK4Yt@bAXWe-m;sTlhq^oYP#ib
z<O$Eavq8N>=%rbO9{-C|uXemodG;|wBWvLvjjxs+NvpmuPBQm86#Z^Pm%Q-B$()AC
zl9|mF3;rICzkMckig&6S%WU<$lWLzLuSKi;*W6;RaB_lncW#2fRI|XM6|DL*y(IXe
ze?MC-KhwsBVQXUgq>0a_G$oYvEHu2?IyYQ~LsR72=apY|H6|Q2H&NM^y8Y<{!D)(_
z90F-pC(lbdBy07v><DdhXPSD!vF@nzL!V_IHIG~@O$s}adr2*sv7~=u>io+~7MRKR
zGN&FBGW)^!HR3YwB?o1t>uib+Z>nd$lni9DD%@^e-)4B<hWVrjTgBDC?^f2#dYKk`
zU7kTJTHsGg$@}SI&9N^J?&-baWA^af(`E~Kn}?6y=}vjjv(fRuPZy_&eV&^wO}?n#
zntbY1ri@HO?uG@jT#p}ZTp}qsbKBDToQwZYTy%JU_!YzXjfO%}+1$bR&IEpZaC_~J
z6SsucJU7kqn>y(<ugFE=v$lrU>+|>jx&FDS`#P6Qy`Dwso`izHroSB3XUvzbc${YD
zv;Il@s-7MHr@G&~Vf6YsxAU!k%p$+%_OH0OM4UyTV7Xt1!;4b~H?dC9bzCB298xbC
zu^{@1)#E7>&Zln<sJ@U_UMUgNFyUAFQoSDQC#;*!?cubOxZ#|BZ>olj>=rkjg>5s7
zJL|#@tCh*dO!Qf!*ng<oE=5}Z+NKG=gudO|`#Y9}g|*w^z?Ps7YmKa)H@}$kdFib4
z9}4$pUOShZ!dUj&>waT~+NWmyPs|GrrN7cuYg{0Ge@=8;_JM1@8=Ic4VO+&GYxnEQ
z-ln6kl;;{H_vXAj`7qhhW$E6v8S5LKZPGkBM>=%5yrP(O&?>W-8S{B-ciZnOo6gDl
zTyC3kx~KJ3!$+H^+q1A=l@sJRaq+r9tt@+cP)65uSu^)Kj>fwwLUUqfZZmtJdNJO6
z*R=1I*DkN#XdlWs&10jh%z5duH@2&kvh#v(?ppIrc3XDY{(Y-!_$0rZOt_f)cIp~;
zZzGHFl=Ll}nR%S+=Kr4huC63r%Hyli<ZVa1q=l|Lmx!L$e$b@9lv(q$F5kx0%6-Kr
ztrd>lJASco@e9-TyU&fSRm&Q+`WMe^?$EN+sbOK?=(Q$f{mRE;$Cu4u{3FKSef>m(
zP}|I>wUT0Y<wZqU-tO{R+xemK_>sx7Upg&*N;WX$Nwl7k;1-GTQ{<iZMfA1N-IzJo
zBwv2ow=ZFN`}BR%7woq?*~fm-`rRL@=%qD(GefOC=Nm^c9v`#a5<cONx3YO0mbv_X
z@6EF1HEo-?-L|+{aaxu3-_6x5_Gh^({_yA5W2bp53w&zsoZ2w$vZkO#YmKVGf+fp0
zzcl&T>%RG7K-M=~-y4tD&r^|D=2dMTDPsG_;Zxt8NYjYl=dKym^fh1HwC2ulMW=(u
zLe2_!|K(x)G`mD}eSiNV-qpJnlxiPYm$6-E{wdk$iz}Iq&ix@i-7w?E9-+<@%NuV~
zE?-%@yPWIo<EWQcOV(T|+8JwjL1Fr~RhesVOwYg5dv8l$d*Gure=oWRr7B$9ANgsa
zFpJH99&t6*yRX+qmG5Y)2$Rsr)qCl+@jL$<l}0<&Lw_#@`B&9dOudo#Y+JOZtJ|Yb
z`*wbFp6t!(bM|Dv%=x6e_lG-KGZuF--_?4)dD?Y@FQ!}P-Rt~w<oYgM<v?zkor=1)
zLVvd}HWRz~MD0mIdCbTCr+0q$&t1H^HTcJ5p{Q+EimUJ6RLwTp!SK+BFIHD#;+yB^
zI5N-Z{mEYRimPD3l-ahG``_-$H%tisVLioz$wGDCV-?*u$4tzR{FV6V5EC)4x9pLc
z_oAQ8{zqdXr2?M5%h}C)prrbMV$UAl;>@mhSvO}}EoW}}p!ep^+?&g_9T%JvUSRw4
zdjB`MIKf+c{I9>#*{^Tt)V;Ih^Q8@^cV19D_3RVp{THDX2~*Z6T=8N1Taj1y`sfOF
zi5Fi?nWuhgKdzVdx5Cvhaq2GitoZl8g=QBmvooIX*5`O!{Hs~)o$cmc=NEVs`5e$S
zSvRe6^`Wb`of&@wJ$<Y?nbqVXQ%T#!xVF7p)^_;E{*t@?s<g(k-2S39!>w(;N1{z;
z<h}{ryg&Bi!E#B_BPLIbH$GzcaCo26whOCe6#poPybYKtbUgBk-j%qICv7X_UH6=*
za#*6i=wJ8ZwKY#-pS1rFe;Zp|@SAo0+1_VQj@L&7>^@(*bJ?6k^}ib%4fO7R$S~$C
zwo5xG@bTf2A1jw7&I=LDU%}=iVI8-Hnad<Z&}Nar-PfvV2Gc(7lk*cSzxg3Ey@++k
zJI9T&(#KYvQ3#$@VV=9Hv#n2C&yh`{v#Lr&OG~AA&%5%c8F|Z^Wv}v03OiDfzda+M
z;+N`26aTO6eCE9xce!Ifc1t`xv}UcJ*^ZsLS8vpYCmfCvb~#~SWV6<4<(%2?uC;x6
z6s0308E(2N`_U8O+mjCb(*66`R{3b<_JoGadG4QnDy+`QQ=c3j8S=nw*R{M|dDf+;
zdY1V&=t{qRX5rcV?cXU4J7K49;g4_bHjKN;t?CuV{&n-chS@!r{~mAQ6%~q-Jj!LX
zEa_v$X6+SGE*&prit1Of?lV~MaLR_;maAg2&x@?y=XgG8R^r_w-rA?crhIjq-ESnH
zsQx$Rkx9!--}Z;T{hoXIDi+IZY~IPTuVPw5%KFu}*E#j1wC85;a(+-5`)Bu2tv!ON
z_s(qTcJg~Ed2hRN>miw^e|~iQTDb1#WPxowvmbR79XNl;al6$G<40`E=f09Rb8AYE
z`qLXybnjm~*X)<y#J^dv?BX)aUAmg(N&9A(ISKm?oGSV`w}18A-c`qT&(oVJk@Kg2
zhSNQMn?A+7<X5fhr#G+b>g2Vq6Y*w}4*a9Q;mHv$%5r1%rI5>)WSG?^3gjP1EUjKK
zO?-BOT~G97mtRYQo_%mDd0M-#!aXZMlKbaRp|rc(Qooc>R=9jOwy?#mVP0NlwCw}k
z;JNc$v(A3H_m)AKLB#9ea)0fa$>n|7oXR<yjyPN?u9|1Aw6xJpOzVxCG!NU2<5?0d
zeHx1{ZV)v5^YYC8(3PjQO?rAcrhawfTSnE%Q72i;!cI+E9Kxztn#pm`wLxW1RxayD
z7mkZEJnGFMoWUn<*Kz%S$UOgnOU-(VDO)Ek*=yOl_*LGlZ5F}|dV#y2s~5Y+_)dGW
zW&5l5U90$n9`%ddcvtdm_68N#-n1?LJm=3=O;6o?qwIR!C&6+}`^-J_*o0l)SkL_9
z@~OdE_S~WP?LOR|;$QCF$#uxi*6*!*QRSPu`(4laH!IIbEH4n<eB@iv%hW%|1*Vkt
zXA}#S>%H5t?l_xWsTNyBLC47lOU^ZUY>A9sx|`wI$<rchB$jl}-FR(Xe8%UdvX5ae
zbejVsjUSxpXlU`Na=rLQCQtNO;e&^Ljnj5@#ns*`xukege1oUSwGT<DdsshQw%;@D
zq}{8j_j4{~d#1IlR68%PR1m=Rp6fKj`!LJ83D4UF40&HnXzGkBoObKzI=%PBpIY{H
zx`b>uwmTTRDE-IxhqssLeAvt;nK*G~mF%lH4eOlb!{5yGPRzM#I_GhFNz~rO&r6MK
zHTO%-2ygkBRCQ6d|H~y)sW&?JZ*RQCp%yo3^Q_8hlZvDNUoBB{&-b)WJhr_=_Fc_`
zAH^Iuv~@3+Enl+l@#guAf-#Guw;65N&bjb;A)|{~)2w8PaJd4`xAt#t?!BAXf91W}
ziaWCm{0xpvscX5H^JaC*RM#8!H7Cx?9Tep;w%g>grY>;e*4`5vw-&Mr-cViA#k`mO
zH-Ecgii${H+1rz<4`Mc6jW4hl6TJS-*K4cnm5#4d45ux!NK-#7<(qp)=V<@Zb<3`Q
zpOYqV=7{owOD`0TPp~?D-SehMd+Ap5$L_1GT>EAo?3r7l|Lgj6?-Db|pDD$yTF0y`
zetnBKe?CuW%GGMgee-V|e~_jYnas;|Vs^@dT@7`njgM46x(CI_v+1mMEvdAxl6}Pc
zY0~QL4A$(NTe{UJzP#{utEJ&ImOy=emYa!9N;%1H!u*{&)t8djNnGUYlKvueZSOye
z&ZX=%vkx3dT5|c&YUxJd@c9N4#PSY5Kjwd>gl9(l;XO;`CS4NF=3LB`c}Z!GY|Z^O
zuRk^~bg+MQb=IUGCC-zbig%=DTHQ@-xSQs9dh^|PS}_;bYvtxjS}$mFU&rtNsQ=vG
zLo2nkHFBajLbW$Mn89IaHG9?dqlfuaeM+*<Ou6MQTY1o7-m3tGeJtEJL>D}GY;xnY
zb#Td1WySg5HYsl`nZYky@y@{gw3XGY7Y=nHL8&$AGty7r-tVIGW4lI^JlpKmJj@?s
z5}b_gG@opHa_7)#*X==We`cruu6u5LYxP!<9m}#^XDs`Di#Pp&fy=&|>c=PB->`2{
zDQlfrIyJc8T*)H!YQOIL_g#;@q80wTthrWxQs`S=`IAo7-*0BkddNNhghhYm`W91l
zDPJ><_3yMQ6{B)?1{-%tGhE$tMrHl}-A2C_%#B$&<AcZkr>+yM&OT85(;4=B>5f-s
z7vCzU-pp{Q>oyFwGg|mOKU7-ndCP$lE%IeC^Ie}Up5G;6EWbdUFXWoKrDSAl-73~h
zwcE_X2CECF3Eg-+Yki5uM2DIs`>K@<R<M{`{bH+`QgTh^z14S-Q;N<lh7wzZrk)Mh
zY+5RRWP+sQ$`%8@pyU?epd`DLsVS97J1T>6gE}Tl%U8YJ`HJHUn|Ph|RnKpo7yiim
zu3orpx5L8sE8BgVGkV$1E!2oSmR7eW{KdJua=$bSTP>z<p7O(b?!*Q8t_*(9=M}G*
zuGeVvt|a~1ywt29#iPA?nvY#em-rXoxf9lX?#eQAU#;>IHq}#6O{N0(82yCUzPL<&
z&X&O6no;ydz<QD1ubPB{n^T{*?LTob!tYaU$*0$ep=adgJuLtArCRjAuKt=yywQrx
zdg}bYr1{_Yv+B+JWxR2P&C6HQGxyhhu=My)AgOrKYPZRnh+Bv6xpZ{=RN^w_ul-Xc
zBikI~^68L;|EDiJO4H+_+8=gpU8Rx}rgA1$b9?@r?IFd?I-bntZ_7(#cZwh6|M)-H
z@Y)H@OO03Mrxvt+exxGS^YXWl{n9Jm|H3<dC3l9sFpsxiwd$DG?!88Todng|KAdox
z7+540|L||A>yo>6`ZsHRj@<ETHu&0JGoeTMef<2Ok5kv)QDfPp*tN+^ty-fdO}l*Z
zX<Od28?yL%InE`i&6^j)u^_(x*z%X#eytI|by0~=|K5R#S)E>wpRet@voOGL>fMaX
zCqvohELUmVy*f1Av-P#h=?e9YRmV?;C0}*Awezpp#_Q^DAM$>xW?v*c@ubx9r9F=I
zE{x*hnL8!e?Q`x&iOm1iJW*`zp))e?o@I&HR3x5RAa1Q%pLC(q<nHE9o)`R<x7S_O
zN;LYoNqEbpgRz+p7vHR2?%c;cU1h4Y!PHB<6?0N9=Kj51%;fc$zvB3;cdPFF+%d&}
zO|!5;OmTWRtE0$up5j-#9-b8bqkpVp13%ljjXmck&a{~Gf9usRk^(HV?q0gp=g_TC
z^6}}T%R)cS>n2A{vs&i5DuQ$E!!v=MbxnSyW*#;XK~<Y7?yHEL-57T*nA_j**dFyZ
zwl^D#_j>I*_pn!U{k>Cx+!M-{UHc{~%zP)bwa?RGwh_}EC#RIC1(})#XRdP0oqNr}
z`Htu{nR{ko*VcaP%F{a4DfK^dflc4zHl|C<GB)YXu7AqN%@Jk#Fj-YgDz*OVlB;^Z
zy89!-GB@8XKbHOXT=?6@X!ZO=i@ejG%HjVm`sUX5T51+-deQeF;p)8t<t<--y}8Tq
zdeezh0mpZqy7Q6CyS^;fehX)VS;WEHT;dL&{=WD2=I3=-So!+!fi0gmuwA;iAg1l|
z^`$M9Uv}7g|5ji6sk`E|^v?e-SME=(apKWly>|K>`B(!^(J)V~FP)O#F1nsy+-SWa
z=IOoZ2ftW<&($|vkzVNZOL8i+Mc(^Awj$@5<vwLO*ge^__zaKfMBm&69*;Kum>YHB
zgZSPIv(=qz4hm(mrb&M>k*e4Ka4h>-xY)Uh`#GEuY_Xr`9=TKVYPaj|Z0_r+yS`t4
z&o<TSt-Wq=deFQam!BTzrX9*kow34%E#$85i+PKWU+@&^NfS)uyS8V^t&8FhK0h{_
zzplo?FZN&f(i0Kq>jhd>{%1z}yx_a&)WEzi?!9|Qfqyht*8hvUKR?!FDXhKpINIH2
z)%M3T0wnM0DfxN*-Foxm@-K}CSmZkvh|fruy;<RQdfxZAbhcVKqa6ne=88SC*y37J
z%$CRW_-M$q=BY=_9+gWeF))6Wox&~Vq;X$B#%#UvhgtO=6%TE{%1`{|QT;NqyxVZ+
zsmhq6DW;JhtG^vwIz>owiF%nov#*Jd&26cE0YA-|vZpeaoSSn?xBi-*T>bl!AnW5j
zx$6^WM^3!UA+Y<=!}{%0&RbMHzB=))a`O@Cuu#p*ry3JA_dbdDe|@Om`lCR6+b-WQ
z;kw!0pTAs>x#sZcrC7=eHFtT(hwmRPyPf;^!eiMVE)FeDv*s=9I{9^GWaqv~8GDjr
z&L_<L_0l5#&arIQCALvMUaNLYTg1Md>uv4(4|%&3V=X^!+cvT8V?>e34)wPgR-NAy
zi%y1~d3)|#aLe?lA1#Mg>|LhxmMcDg0gLmyas##p{##BnI9vWcJ}YDDnqJe}E0%n<
z57w7%nsM>XE}mk;f^(OPkJLKbdurF;N-E!P_C!MLPuU$cX+3vuUc>a>w+aDgZx}5%
z+N68w>9WWN`2}$|YxCYuYMaK}A~jJ`f9*cU_3OF%oBeVaD~*?y*LI#=xp7)S)5nId
z3zV5zy8g}63gzw%>~qZNk#z4aUDk5*T%MKZiPHv3D*pnOWQNx>W$0x_INW=(`pqnk
zJ(1CROV`Q2>T6=^eJLZempSR@_Qb;~?oJxATQv<n+dFsNn6u7+Gvc@Y4v)oatV3Pe
zjMe-#fAM|1IR9Fq_bTn(qFffQcHCUy8z^<s?aWlScMUT=t_fH@+~B6zm3P!_<;3Uv
z_av>`C!qZ&a;=a}>wDfK0S?nkUflX$bflUyGgs{DTH6^?<{wXri%V=Wkae~=e4gRK
zb^VtQE^s~IIA$dE=*E3jrsJ>Y^4I9RHNCj@^6OQ-@7W?YJ=?diROq*3l6}a|2X6CP
zcvm>DxhI_&5>UqS@5Yn9PYS}HCg)c8Jbqjz_5b^i9oc)BE$44wJ8?qs=O=y*9k)H3
z+gp2%N|r4S_tA@7^&;j%5a;wg%|G8H*EM=QJ+^aI3Y(4JBrm0E-SQ5#HA2<=o1%W+
zQ(5|EZ{^W4OS?LcUcr{?`D;C_sy2PnHk?-`W+r9al)kA(MNWyoy|FYd%h%fWdTr`H
zb{2on3;P#+oi23CfX$k}J8AKUPQz}Ox#22HFMM5IRqgH}G-2XG4Xp`VUVQA>dbXzT
z>D{)cF)Hs@>3_&hTJUjM%$eCOOFQr0(ss0;)LNeVa@T5`r?Y=&?~h6S>&?m;_*~kJ
zJKXP{pIhGse#sQ2lG$fdeU?_~Z24^?CLla#vsu%RwL9)4MMjm#iL(6aPjI=g>Wj8`
z`iYXxgHpOnrUr?$6;x^@q)dr6HQ(%(y3lk_uC$B2*Sc_xb9)&bzFd>meSFlg;UimH
z+Zx~BD_if$itPQ=ydcri*p*$NJt8u5Zj|ElKT;<aOnauczRR(ob^WD@%KPm%>NEa7
z{fNO~ZR9it-FfB;t;@dF+;Up~V_nGSWkyLmB{RA+Pi}wK^~&mB#uxr0k&e?d%=AA-
zUVlC1!=(BjkA8K=TP)DJs~7zvxqJJoPc!wZRtWtq=b1hK_qpVt7q$t1*1i&si@&7a
zcxU^@;O%~v%oWm%i)5bG?!LYH(z@>bR?%52OO?xQUtg)(xqb08`6H+1ch2~0F(Llr
z)s63kgN|HT_;gl$cKfoP=kpV8e*gL4(CYr9ZzcyOUC`)tpM4^0W$;X`j|cNsyb3Rj
z%w#_hHQ7n|O<dKJ_pE~1GOe4M#BU}lRP%fCgbFZ-IK1Bf`fWv1de4IH!yBe)@NIs*
zGM|}6qwSL2R^G|#amg1?$HzS@y86<#{zR%jd))uk^JRS0H%!<0m1^P`S#)0BJomwz
zM~6;GoU(B;JhIyJ%PHRZ&z)X$eTevz7yj~N;I>F%d(E8(5*XgSOFfY@r^9qb(AVZ4
z$1f{OPy1*Ra(Ks|XTkMS51nP6bxrWUcX|ISH+3fSPrHw2ZwlP35OOi>nqa(hr~O{1
z`(=%bt62LsUTZK>H#?iCyY*Pmf`ofv*?ZS6-)LNt_O{>TPmI%7AC<PuyB|G2{p^r&
zRsAErQ?Ev6QHD>UiD&hUmQ2-)tMxYBo8GwS%;65-`>H2g7qvBRTpQH;=->J*_D%AF
zxz;-$UJ19&u~?LRIHRn2)p5VdeLkKMfj`{$o<C>s)b>dI<kIx*hd25}y1z}k^YSbA
z6`9Gh55LI1^?5#jSJ$}}J1aZiSI(@+y(Ir_?Jt{W0sr|5^`-qeSSPp{^q+o}F8wBE
z+Pa#3OV4{|tVug^?11N^Ym<B}N`h~F-pEtCrFwzHyg0d?%}fppOAZ7@eiTxaRDbzo
zW~=9##FzOM+0v3bcU?8opK|m@Y59$WtS}i>sfsD`^9~spd_GzsVRlBpp-A8PKEF}b
zH>uj{beSrS2-PiKNi#yb^S5TXL`|G`Y2g+X$yo)-jtTGInY=YkoH6h3+10GSm);4F
z;COEL<j=PmnVyAbZpxdos05#VA9~&3gsG)z&2EjgrQ+|h-Y~vw6T0^6L{nk^^4spI
z{>66Bm|x~z3(H${_D$P<ulAW;KkB(_94^^4cJwm57Os1IcK^pGk-QR5?%t^4SC+cx
zx6E%_wbO03%7|+}rZCxPDRynT_B1i!`>|IEz2CRLyK$vhY3I(Rzd!0enxe|~m!;T6
zvf{$I*jC;<GfeL8y7y8uJvXdo#)~~WRrDKW{=Yh@e8Hc4?$RVrp&thgo&A?AKDYXV
zZQ_<%t}>>n+fUZnoaH=sa?3N-i1&#*w`SZ9Dlcy?x>&Blxx2eM=fKr#_n!NEGz%V#
z*|%TQI`5pD<Nsflb*+=<sB=ux72I>EP+;TriA(RkjXV4zc0yT({Jny!({uM<-{<ke
zKHEH4a*}1#RlD5k=1T&*zpYNbQFNQfX3{IR`9IWBCwrev_1bRO!5h~7=;GIJrx)f)
z?d59KePzhp5P9;B>7(zb*KSjDci;b<t^DxZG}EvL_n)cxm>W0=ecbpj)bL#4l~spM
z@9VR7X8yQ*$)DiVN9#<rq$GlBm+GIGrW{yoW%<Qx+s#V{IU1eb{fK|bQhV-ieZ?oy
zFq=guBuuULP0U$4amDVb+cSSGoY=Ud_;F|YpR2F(r|eIue!5RFrvHQ3`K|Z-8|Tgq
zYVvRD^;Hko+N93-@@lgG-e1AB=V!()IQz*l+`*cAz9h5n>fgqXRI6U>Ui;MhxA?2Z
zCO*^ka_5<4FT0+z-IcxjMwR5nu$+?rsRDJsUU<*=(EoMm+7E))XZmMaojTQ6;mnux
zX(C_cTc3dc*SVXQ?Alnu=RMoJ(9ZexN6|@(#JCmBQ)NAO@ZB%!KU{nLHpBC)X5S_R
zvKsrC>+5h{fB!r1%bUB)RUR#0Qf;s-(&WvhbPcl^wUg%A3Pp?dOkrjWPYs*2I#I~w
z@_x$|6IPyA=X9LGET|tTI^(#q^63b*7l#&oloa@N&MN7H^ugVSHt3iy+jMmMxt!8<
zvu1oc8*6*Yso1D~SMdL^MQc_}$b5ABkYV=&7pbxpbDf^vTHzWTv3^C-A?Nbk+hvb5
zTpe=Kl>^v<pL~*2(cXCN9qYtSUfV;fkG)7+XLtU&+qvR17v9bgQA<@#FG!5)`MB)b
z+LY}wjn`i>Kl-zKwy)m0^YXIw!qx4|JVO4RxLIWT>$UQtN!cz_b-Ff*g+F+aG%I6%
z`>z#?E%ZB!V{hDvy`r;S)^c9Fp#KEV8J-KwZ%qg)o+S1Ah~UR=(~~n?4=|_2J$l9~
zv`=j5&K=7CWp{hbb<Uh2?Y4f>h4i>hXFA^G96ssS$KsasD0|xLuKqjs-sjwpE56(%
zcQ8F}v!1$*6KDDBE1oj(&A<DvU0?g`;qqSI_$b?*Z1Z1!;_A<rz18vce%#fM?`<x)
zRYaw72c}H^xc2$9kizS~5C5Av`=a}`JwoTtpEudJVE1u87W;Uk%gLXuq7!m{MIKbW
zx8TVWe{G>fS;8(tM@?i>>~^fvW1m$v>Eq+i#t!P+CS={7&6%E5vEs#nd2Ak`UsI>O
ze#-rfMfqg@2k$L>9L*80AARN(+q!exhS#5;IdEK>^{iul?PgJBt+f$uhJLx5zR&zO
zP3!Lq{bT759NsB!E!>jSFz=mDVSaK{YiYrZ4ZJ7jajjfjIYW)x&dW349cPEUg+$S|
z)9=^&R(2cT5?*6fZCvu}^j@Bb)N`KsZb7yI?|EuY&tEnFKf_$d$LlRmI^<8Ee)aEh
zp^u(rb2jmJ{^dWu%wfA;x9zfoZ1p6cuo<Ff`SNv_DcEJR2S}}1SE8`wkl4id*=B97
zy8W8021~B}Gq#U*U@Y&9ej2#%&hlf?fqCbAI2z|yOPpRHxQHib`p45vDO(Pu3O<o8
zC}ZAVZ~K+=bD&n}g|5)~3XG3tpL_dr-rvhBJ~BVnU1GiN_oQ#yv!~8lHp9qq_PGZf
z#g%953yU0XIr*sAbe!36EbjX3%S(-%-z04N`NOl{h*|#T(HmFzbHBd3vOoXupDPWl
zKMUGwrDiXkXRgE=-`};bJ?EYF%9XpL@`EngZ&zWBFUa8)D&1h~pA_^$RMA}F^OGKb
z2_~JB>VXO?B&JlZX~<WZ$L{&NRfhX<WzcUe)&Ra{U*p65S03(Oa4l%Qxxvw=3Q76i
zLDy6mHf;Idw{hzJ&D@{O8D=K*8oE7lXgzWEjyT(OmFur$muy^mN2>Se)pVt*&Bn^h
z1s7d;d)R-jh~E7#YdX&PUN|9mY|3GM;WLcaA2nEgY2^R?>`%G6^x^9Bh30(ouYRAO
zHRZs8l@ff+Z&sb_4q|@yO~|x2j`#C}lR?2JpLVO?mwOtbE)nB<cJ9vjo&OzETNWzB
zRow|+D0JfaQ3-*`J_!lwyyrQNeLBUhX&!XB%qqH5<fZQQ=l;_14^>|;ci(>Sa^b?s
zu9o311$WKv`KEE@<>U)nH9n>8;F12MwLg6Kg&l_kPIxk;gugzQ`_%IHquP6Obw8)<
zJs}%^r%3YM?7I<{-W91<E$Oe95N4^G`Cro0PUF7v`}xa#7A@gB>1kT~B{f)b&WR7r
z+q+J_E=|xcs-E=ez(El&eb${K2i9%ay)DN>QM6^<B^U00PS2LRuUcX4{x#?PO5@A3
zE(H533rMMzKe{F?{h%+Q_pG7CStaw5rM^YMpX#&cPBiD9)UlOE@vzF*r>7o@)a6W-
zT$I_<Td(viSZ7MNtR#!cyLtLeRxZywt9J^0?pet$DH|ShlDSONjBD4Oy(Jezo$pV2
zto2Q)Xu?^+!(t|?HzVhno5XV1sqcBd_-sXiVZN~7RhHoQ^<0ay!<Y_E+7Z_%)NGk>
zou|f~XTD0v5&ji*qA`!A9NfD+?$kVW3FUX$b1hvenK-tdt&!9{u`l51jSqWIoW5|+
z|H`EO>};3sM}2%5WO$KFtXbUur-;FW+(`4t-Wy%V+yAs}&nw;4$TCgwXrHkA)GiZ6
z-@>{VnMG4(C{}rt)h?JE=jNuV;r3`jcG296mn$c(_Bps-`mSr;&DW_fOLf1@Z`ty5
z_u5p>g5t~?=}D@q{)AKu*Y25^X1zruwfA4M*yP&3<rjR8DNhJhxSDL&8Nc_E!aUoK
zy-eO(wF;51(lQRM=;4>LTlLX^>HLZhSzo7_JBsI?GTS-lti`_bN*hDB*l(#?^!n1x
z*pSKZ`BFJ|@K^dYb>Cfk#^Z#_hLf|FY~}punt$`hcT25D^&kH}&^4L!`d+k0P4*?(
zlJf>vn^);eZp(U_C!okt)+-otNPNSW!u>*yCnei{Z@HfLE1;Lj_pH|HK;dQIPA^!f
zSvya2mv39*y|&GqyxlrBIk%FkKI-ial-6f_nX`oXX?{cXyswFWF2-yRD)O`Yvd1M*
z?(|~T)0Q@u8`kp&3bzOL-m{+fwW9D*cI>31vcKj{6+f7vbyX>L(yr?bE(bOpm*LG6
zU^r*_vEuCAXMY)P7K<Ejkeszlf@!U0XzJvk6xPL0DoRUzOmC*HD7c*xu)tiH;l;vJ
z+g|W9K2^H>cSHM6&S+Wd9tMY*zl1hB+ghBve|d|9x<K?=b`j^;8F#+*T$vl){my|k
z!}C+c#<Xa6lMkE3yI#8Kan9pBJjrAu`@4{j&ab+*f9#XYcX{h~?BY(tODAjGX9%Qg
zb;xe?-_>%fE4<$S-F0@sIQ5NREfUYV>D-ZCZ&`gL=KiE*#(v!)>_2{guc^q`D;vE)
z$IRGrw#v3dg|1aUI(1(-2JD=>YiGCwYq8qFj{5Z>E53hYUQx(1{cm2!gftni6H1$M
z?;Lt%)0P$9dM8#?)ZA^-#ed%`eQ(dduy&c&jl;|M&Za$klho(nCHB9Cb(_1jg)v``
zZt%Q>ZBZU9^R4QyO>H+`G0A>ydeQy5bRUyP2fpomJbT+&{tw+u@zZwg+Un|mGjN}3
z^Zm@L^AhF-h4KG0*nZ^Qyj^)A#d<S79^7!}<x|m2tK8kYR;A=HT6R0fyUt|wugF_<
zyY<iKC&^1h&TrP?I<;$`|2=-OU&|k~TmL!edS_vX$$@;6uRZgg_^gzFaclRHm)k!2
zUfvQV6?UaRnaQ}S>DpQguD9Q&hVJ=NzHQ0Ibshp94{al&u10$YPO$i#c;iM(t5(SQ
z*HhEo1QV<tZZuGvRn&ap$MHw6W_w0YpVw7(!A9`W(tT^xL=H;NxNg>W_NS25?q~kz
zkEY~2Qtg_4DsxiqxpH&$P4ZuSa&PIT_a^qPH`6~g>xlH5SE&*D<!+)S38{=u97+Cb
zC!RgU_(!!aT`i^I_Dcu#OIjklGuCr7Z0-8DJIGywZ;PGKm#Yp20u!u02eQqs7OpzZ
z{V(inoj~%8^2&s!v-wx2_e)2fy==15<?1WZ|JB#te2jR#_3!;twp|WOHEL&?|M7U0
zuz6+qmFE4SVpHRS^mGJcw)1&b)hMkN^>?oS`jqkBe4%+~<$`BBoeQ3yDg1cGftkjf
zOCK$FP5r!XkD}5mr!FI-_ls<*Gn{X$rF}5SaejX?MQzFtrrJII=^~q#KYiD@`^$rv
zJx|)tylV;ED)npOh37NEAKo>Kxnc6ERXER+OMay%D}T__2XTRhZZp=I?#Q$`!M*$B
zM*UAu4;V|$n80LpMuNS4+T08Nbx9Www=<Yscr?8|FX!*P*No;owly+u=BIl#?MQdM
z|513VqVtZJE%)_ocXw=DkT6;1b&yRTU)QQ6kHRe$Tc+PF|0m*a(VfTEyKFh{qKkXw
z_C`kAmNa}8xqI|)p3v(ZC!=mkH;3&xwB@y;N<#OP`#Wn^tvp>RbBA#W+nc@O`<mSU
zbUc@~{#Vg>@>vpN#>6EmeOGoonEZ#|FvReD+Slc)iWXG#`1eW1CdO&Dwk-ePTo(FX
zlU2MYFZ<)J>6i8&-q-O&>(dPx-}{lK*Btpa8_jNSKGMD6ipdi3i^t71wClxhn$9z9
zFxzLFX0c=XUxr6PElf;LKJEK=i=lqWo29dI%hqmgkja!+7ZPcIowf0{;TfaJ8_lmi
zkd!*By}#|y8AI#L(+a$&0wkj(mw4UTl+bRp`%iMo;`gUm^*-FvW=%44{4E&$_UEaE
zT#-_<4=xK<mT#JKb?M~XcDH+mJ_Q`bx^7Ym3`yP7&+j<u*Wg~*(le)yaf{%lqq7!w
zm-p_^;0Stkk?Y=eg+e~%yK|QZK2X%ZmuT@@dZXLxoj<u;{uvxuu4h?r<<(=8S@%{y
z2n^$Yr{z6=*N5{Wc5Myoqs?A?(TS`0d$m1D?ue4@t_?qay-~Z|W#GS8ec~6L{u+U)
zFLgLi?w_`GUS-C-&moJ8Cj5B#!Jc>H`m3G3+wHBgR$Q?Es{U*3>@9j<Qg{2j$PGz;
zY?t#Xf4&RXlt02bm6j1tj7)6uteXp6o`;z&)w#nHxl`<2SK>tHy>BfqvFE(B;t;XR
zx)!!v*zUmOLLDy2Acga>C#UvI|Nr{&51BV?kG353Il~z^?OWOGDO37#KZ>$U$>;fL
zcqcDh)~=f`o5y5j!?fa}C+oxys2it$RoK7z@bBZNUfy_j?v8M?g8btB7MFggB?gAd
z1u9x^o0Jj!cJDetZkYs011{~&YRQ{~zvND<y|JRhbb@Po`uotrKYiycPi5}WOVXK=
z91-&9;m-5yyCtl*crq$nx!WrAzG_RErP`fad_4h1PG>#$OYhlyLHCf@uH?URioI;p
zUU>Ww+qW~2{lNckjt^x6bfh*I+47g|ulhK5_RfT5OG~#4uRI=9Wd8TtWp9D53j(vA
zES$aEf8Es{56gdlyh|TNNKGiJyY(ZH-Cf0BXo_dtS*^2YRdc7;g}!WEZF%{#N^{}q
z&7N<~3$8Dnf30?n#&i`eWAn!+{i}FO_5WFH)=c8vEH9e+&U9Iwa=?+L0SU+W=82{X
zPVqN%$}gL?!pBF-#-YhrDOfKwNs@(&ZRg7geQ%!{#1&e8UUE{SiPv^k{IoC6a;Jzy
zFrHpI-+$)czej&pb#z|HW;?uqIdsX)-^a5f?mppE`jG8x#jPHwTUsENZz#`pVpfdU
zKK0IaFaJ{A_Y04vmrPpldiL!#S`VLUofP6~3ELQ~GWSx*hRhd--yRm&`<gc_M&-ur
z*RM0BX06=MSjKUJXUX=BVhqN!F9@Dq)PK0~X{}bmB?YbafXz#@PkuSG=lk2=)9&;3
zgbEfZY-RSo9q+oW=IvpHzg(Zr*-FgJQ#s^#CUDAEtxx;+9=)^OeCh|IglLZo!S58p
zSl4ygx~;pwvcGJ`l9!Y2YI`4fv%+F!=u0N2*`;iTskTfB3bqQ?>w2~7G`aKZKQmqz
znCx<S`@u_ZkN((Mp?5}0N&T~$a8;zOez$ibukKviO*$?vse7vTM;-Wj;ze)3Tf1x#
zUZ-MCnevrQySGGt{rDiMEa}Qrzj+1;rKwA*I~Jc#c~?1!|Kr3ts&}rhTs*PDB8;yg
z<KNAuW06jOKiS((TO26Q;{4YxZO;R@h3a2a1l}#MHkaA8mgy>wUD~fWv8n5oV@__E
ztKDTEp4MzLe*<sK&-)Hs#SWY1@;9a>oSFD6%ILnijj{6jx$i5jww4*q^W{(YDN-a5
zq+jwm`tXH)!p(k@CM}v7{QIuaDTDi)7UgqsoX)=Ry)XOG8<}Z+^1QYuW>ji&cK-a3
zzH|2K&G!N&nGV>O-1_Hm^;WQh{aKkv<IS(~Tun2i3T-Eff0S(ZQQ8!H#7kh$<S!@k
z<5OI_f@b`(d!m&VytmlM<b9bslf-n11C2S0xt>b>dAFmyDy&)N{O98a!E5fx%opBu
zP1Sx%<Ma1jrN8U0`CU`C({anMD{<Tz)38mTpLIER-MhJGw>({Jtd)^FwS7n6uC9v(
zj!}u7J+IBQwC()%9M`!y^YurquUluOZc(*ft9W?Y|93*Vw~l>xk5uhGWV!dz@mr2w
z{g#~(E^Qs&I~7!W+#d(^djD?YDEz;&?b>|(i!sK@>A|uUN9sSG`7+HjPyGL#>gE;e
zyK*MIeZ0k4aQ4G|bB;%Kaf^FR%HG-kwp^po{4(lTrk0awRXua>QZ|trWs|fO&Uw3B
zn7Kvqa13W*K-}!Kr;<0ApC|8*n;P}>;`fEB6PzzsE{(hHb*uHr^`@{(Z_PC2(=}!{
zoqSa-etT)tW?#YLj%za)vOE6Svf{yU)lYMUB&TpxU*(f(Q1Relx9FNB{Y}h&Nn|gB
zCd;Cwn-1^O67`s^&6~b(!et*e!5vQSF74YQ9DQWonom8|zv{oEQ^{J7;QPC!Kb*bM
z(6B9i<x`8Gtl2NMUH1rHJiDLa@|iB~=_2u8Ot}Oj6xaRZc%P9pqbfl(%!Bn?MXkol
zWe=`AK2i1k>x;$S6BfVd+%Q>;Lw(uvwB=gG@64@Ok8u_3U*4p9n?=G%zhiHDqjmW*
zk%pr_3tRIqv`H*f{XF$sSL-wff3@IF@t-WOMNama?J#aoe7WiS!Jrgb-U}>`Z36>B
z{ol+{dgge0$FlOe3Q-ZuYbsMEUM*X?HtO&)!JCayFBF~_TS<8pnEA47OsG8j<VcTj
zXzSGrKd+kjPP2cYpu*wzyR&4KS-Oo4w|?yDxRVdU9nKl&-FdBOT>9-cpX1|wQ`@)p
z%hzpgUC}U6IyfTpc4ctizr4~Mk7aF(FB?s2jJ7{4H(l=GhFlS+vP1V2c4z3aaQ(l1
zBgi(dHu6*Yr>gk;<}ZiV$tE}7SKn5X$oW(({z2f&65h%k)07tryu2|b=%&D&4cV7X
z@7nBIyDYBM#Qb9UiJVJr#vdO(JeV>6{yM{=H``Wid2g~P{Joj}&D~5jGnOa(ykoOu
za$fwGZE0GTvhxkJ8aExNzWn(H*X3W_ZM<)FY7PZ@ERkE7leM{C=Us7M(33SH$@i}r
zGyc)~d32hB<MKb=Gfr>b`-Atb{&&^sdY>-*P`h!(RB)=g>DSr+j^(`;SpB?OAc1ws
z@AMs(62&vsug@(PlApM-*!}9OTdIHGOboHkeP1Wdseat7&F<tpvEyZypFe!#<lOI7
ze6W3s+B|7-)jyB#J@^px#wDJsw`$U|MRO}BZuzpmV_y83fbDO!X8yjn<GFOzyq?${
zAG-hW+<v^)=Bm+#-8&NI$&?+p+kepFWlGZSv{E)l3!58STfXhi|Fv|{mVUvMKO2?K
z*j_GV3RGb7z3CILu<5pzKlej!Y0-ad`SU8W9gOxb+xqgjR@vGPh3X0p`%RZEb{?N#
z<DRkqj*<0kRqIS)+YMi|4qQB5TRGiu^?`kk^DMs9u<Tj8cJ1^x^CvxBa71(a9Y@hm
z*TTi@m6s`dPnA6#y(C5`VAH&V-OZD=b55w9O3BaRdz2zl%6g@<D~;D}5AVg$#nTes
zhfjLFaQO_i(xq-ShYlKQt>2y2<MG$Ff1&I4DN}wq@-E40XWChKVgAMT`Ry~hFRc>}
z+@p15yByDlhU8PvRrj4VRGa?clCbtu_Du1t9=4S#?^;j2c6cGQYV+>GI*sGE_pG}U
zy!>G1vDa^c-ZZ9gyi;4do;9u{VAJ+BkIOQ)$xRa5AFQF~&8#}nu|kg}#`T)<ZiC&z
zYkFqdWwVF5uAbEO+dL`csEzpS39CQNlsc+(YFgBurrDPB*F0KQExLw(Tj+kDzC58_
zp5p9nyZ01sDGuL$-eT5H-Z`0fZ6+_y35)&o<>mdk^7jsRosIl-s`&fuJvaPg*cSb^
zUtMXoab@J**B6}(cU#^wcsXG)qor!yI_4(D%`EQwz5m2k6|622OW`=uc4o=6M|IIc
zA?3!`zSTZjcCt$T!Lh`u)1Qv!JyUUDU3As`>@}xze|Fq?<)Ff>^O5EH343ox@w-d<
zrH>bSKjqZjlC6Dry4j@iZOQ?wUPo^%y0Bu$)d^g`{_&Yd#(!M<&#=x-X+qxYZF%;u
z^C~tluV20@`ok^vPVX@JWN*F6%@eHe%Q{_N*^<zw{_C9o?w_am3S5>f>6s-XpS$(a
z5&pQa)%#VRZmV3|-)S%<V*km`0Gq1s8{Q~7=FV(?_qvTMy+v-Njm?cq%pKi!h1%tZ
z1T1S)t7imC?D};vbasvIhY!3<woEiCoAYte_p^^QHMWZ#kg|GdDskTGr>~l;?Z)t*
z&+;GhPq~)fc}(Gjd$4eQpKh)AmvuY)CEFhrWFBbW`i14_HP@!|+<r~@Q)agHIp2Qz
z_~l1km+Adg{~a9~W*+y@ZvL77`KG}cvv#Y0?`GcnEoM|`sJFYs+l=u8yT8Y*NqR~0
zYu7!@uJvGEUO3&a@4cN-XfpFQr)+_ZGiM*JRyi$qzIu5-o7AHAn3C3m<}50+9DKF=
zR<75-m##Bw{pMvpS_}6CJTDS${PelrOGN1B4z7pQiGtO~jwP9_&eThmnet=JjH;8$
z*E(n1dh6mDC;6QxZ;{mGV<)ExI*Tr^UOs=Oo4MQ1_pe>F_txsG_Rmfg+vUD0h4;h0
zb2dc{(e3_w|L$AmSZ{w_y0YFw=E|b!UzBaP-C4Ow{J@9JnofT&hNiV0+Oy%xw%LDT
z4@vB7n9K82;E4%a*W2GMF9KVY{do^<c;z5!acUOx*E973!O1e85^O|;E#qPw+KYoX
zyUE-r)Q#c%TXAVxQ2pH7>#M4FmcC$hYhLfiouRMOr2i!4xvJHTru&blRVi!VOV+mX
zo4rb^jo(BlJ9x{zL+^tmGitvXtJW324ty9g_evbojYGQY)C?}13^9LjRw}3avEsuU
z56-lECW_u+h<M7m+3mKQNw7i6ZO5qf`DfnBp1#qV#c1twPAOe}5xaigjE3)rBA=g2
zcMR|T-1`5KrQiCnB{G+kQ@!3!vGdv3ynZ!Xi?7s@hgI{|SxTJSGi8#SE%)aSy(cHd
zeAD~6gFDJ!UsbDXgVTrkymPDGuHoP|R@(B0S^G=A$hkv&zvr9^c9%P6^89Iz&0U39
z&3nP?))l+EtzYiq#3T4;j`YNN8xP2}nHT0<-F-b%es{KzO}o3{hmgRKNq@51PM+^G
zRf_Yob`^iPlQsTjPLGiFR-PT6?*rTv_wHv}_+`&C73Uj^8+OI2Z+zoyqqQKf-moe7
z+r>8zR{!nKc)qx!VTJbAHm<j(dTOsO@3lLyBJv`8Q0rv{)jRi=WN%xTWE=XY*H5MT
z^qfEw--iqC9aZ1T<YY8gSR~6hw`%7Rk<Zu9vy`PjbzSi<Gy3=YpH_YR@Aj>Hu|IKs
zvA|=#Q?>sWn6*5ezc%;lwFT;lcQ-xbvW;7_Z-QEVqFb~2O=UHu^mvy!*Q;jU&5Pm;
zujdh1(Jl9@+D~QMchP(4i(>yKF{CYsS~Yj;t+dRaQo=KON?*vv<QhAhCN*Er%_(y3
zEQ!)L^6~w#mL+G$)qiVqBX>$zE!`rU)E8d3Ff$>{@I~i=sEMa5jC;=CJ@A>QMv>3U
z=W&s<i$9mn-ePIKtH;HYHP{?&Ycly7KK7@aQ2LcTr8emKdRxtaXq!ro-CN`r`%U5B
zbLhORsJF@!tF3tj&!Y+q&Y!Nhc5|lTmscN{3>*qRFjY=rD`l1o|C!}#_4kX$@|+9r
z_>IFjW!EVSJ7h1)oxrPqDt^(7?vO1}(+Z|Ly{XWQ$bI(xjF@3qcK$~JmaBUvs-0os
z)Q)PH(qh9uz1K+1Sz_k8ZAvb7jvwkZy7e=7w@hVNQj&9Dg#WYeobBN~d&Qq*r$;%;
z&OC52GOyzNvg@z+y$+gVzNXjB`exF*KM#{~{kJVX9=@2#ZkGH~xru_EvkfLLu`*@L
z_GkA#b1?UswasSJ$!9BGyyt&+qxWN(%$BG!N&h`Nrg|!Gvp-hT6PuCbcG&sO_Jt|y
zeHKl3ynWH_)9cWych1ypHcV<N`EFgLZ{c;H?O$1g)%L}<dvCgnUXTCGy{!IyZdAdu
z({_@cvE7`dAC8I4`NNQVne+RX$<<sLa+l9@MLrIBVs!Q^{{*4d*uXE{O`c0{>sddG
zk~PWa5pQ+(JA3iV%srvepSY(zjI8v!ufKn$)BVB}pVB+`z5g^k-?LSJC)-7%Q*W-z
zU7x)7wuFqW!Y;Sif@{U5?T6|ERF5SYozYore@_2k#1#e$ud7_4KmI@2XL4v^XZYEh
z(^A;8Z)CaN;7|W+9ULGq_y2tbuBjKIUj3Z<=tfN6{D%Um$9O8-3jaUmD*PsSUH5~>
zmm<@-4~p%VnB0=M%DImJk;tjvhj~|OZsj_s$<jDAW<sobmQ2y_$fOIxYLn(|c4}Qe
zJ@D5*`y=~ZGo$!lzf}C$9&~|eS$x#F)pyU$mo%Jm=)uE8uHSnNL~9eLbzWb0tI_wA
zmCQ92-_y?|LzPsvCgh$pe6!`;<;Wgs^}3&&v$zzjwN_6*|9qxNU-I^~Op`TEg&&g+
zt$$T#&GPlri*I)<Pk-b&cQ*b}ba+wd<g?6yljC=+<1#sV#O=&s-vs6N@7?%P{Id_G
zG~Y14zyFlRE`jsjySqZKiG_&9wl4a@zT{2e5qpW!wU<s_dvd_|e@DZbH*%AF_Cy!H
zW%BtHC>PS#+tPX`PkBv=^s2C#XDlTrYjwKXWiEBw6*$%A_?=6M=Q`SrYgj~bwkAz+
zRgQDNGciC$@Ozfa@+nCZ-*cDt8Mw|^pxAeC?*gW5rJC%98)t(T{QP^q;zOB?MbaeI
zUy898{A?VI?S;QhZmR6MK6Beowy3y&9xp{qjRHQXZD<I)W;J7dZqS?mN>68s1{{*!
z{CfJ)C8dwHunU~MA}OO^vnKAq^kY%oZL0GUO?G^^aYNwMo9*k0BK->w{$^FaXq?8u
zbxZTD_4i{P^?`T#SYs3lgnKI{y^&P%{CxcXhU)6zTiP3z@6#&j*!{36u=U2)(x;9M
zuT17O?=ka#baP3~yWPFwvs=uctS_1(`a9*zWt0D$v!9%ax#DXU=os;F_lww$CAMGg
z==d*8I=x`R`@BLOrY^4s#(_Jpsa@e$5v%pMV{pwyk5}>MAJ(U5?m9mGaQl8jQ>}<_
zZ}am-|F$MP@X`)i=KB8b%DoSs3K|`%i{;T@zbE#G!jd}fhQ2fnz8Ncua{2UBx2yQQ
zERB4YpAo(2YqVvLrRkq7&O1*`IC^Jex_4cP;)Rlk-Qmx>(sn;+zaMt}M!clkg8yP!
zb~8TNq<U4(KU-mSea8LF!rqT}=Q*%B&9ivMdy;9r@4-hu=SrHYopM>~bVcImHVd8u
z7I%zJn4Vkkk>_)_i$-1R`#r*kjMfOvv7P?yoTt~mt$pvzQ!PW>V_b9H^ccP?m;Bv%
zZT_^}Icyu61DCE^+?Mg_E}!%?<Bpcftw%OR78*+&ddzRmEZN5W;djgb_>*c=K4`u8
z{U_{)UHG}bP8$q=dS%T~i0eKP`}w);{sa1sVF{g%Pcqw8e{3o|`u5ZOqZ?{IeqHcQ
zDgLB&r!w!BT?hPGnQ~Iky9F;>JN*>5YC*M@ziDq;^87j51f}hy%wDaz_qTJcLfwkQ
z^ZY9&K2tYtUbIZ}QHuE9wvPFM<r=)5AEKvtZE@o&VJWW;*?X@<-sjlDNy{eoUH$U@
zToix7jXjg?4_p7}y!|7U^^MGfC(27~w6EtMTz1<*+2i@*Lp}15Prjdh)5opo_T{9s
z?dGhuJ*>`8?u!WOC0u@96tcvYo9$%VhU?MAVo~SjyP15^*b`a#_Ak#jUx)V>*GgQQ
zyTfKmJIjyshif0QRDHVXb?MKV=DxdID-Zv0oTVoB_T?!fR-r3;j`Ph_-iw&W8T6f8
z>;A5kGg49|X+DqZMTdyO@Y4tUKNy@!+U(}&bYa@($pZgA%>KU4qe$O8#qZ?{Im6t?
zdM|^Xa}-JlxT+Qj-2S|CUf2hZia$p4&!pb?b|n00tA>W{^P}rnJkRkdN2~Lm@_pX>
zdAeh;vdS+7vHEh?!lH}2uLjI0&U@xuB0u-Zjwcxh%KQ(%<Shwew0t{B`|z^J6WkBi
z#_!lC->J$}_BN=n(!gWg7W>3sr|14PIcqeBr`pFsLg~W1&c-?1tG}uHD`&9C=I1jP
zRSB#xo6Rz>^yYt?JBmMdmNLHZS8j-?d%U(ee`AAj=4Y3k!J7`m@;v%6Rc&#Wk=rir
z&P~t6?`|<(@L=6-Sq}R&&WI%KjbXEoCaewmayworcrV+%x<>QR`*t0*-_EXXcQJUF
z5p>vfS@xSP_hM|TKCWib{^iI$?eLNN2@>xG?`DTGiM@=L&ReD#o>jN1bef2xa@d^c
zd<VXF;xi9ce|}n}FSGSgQE^pxSlfMtEecl``%BxaAF%&;`dN6p{c~^I89UOrH!oIG
zyUP@pWE_@jRs7jxLbIZx-t4WiU6$NW7Jom!{AB!FKQmr$FO?0EjWP+Ztd4V+AIf2l
z&Oh#$=&l%76O<a(<8V!G?ZlOuc^vwi*rIOUsjC0JWYWC{FK-@bySJ|DW>~^&<B!R9
z;_5jPzh~B$2J@^v%52HF$>DE-Q<);S%(sf5k13o?*>}#~-ZEzi`{sg2p7F<9HZEE4
z+dkZI$DX?e@>apjoxYaa58kTx-TG*KORecX&Li5YTT?BP{be#PxUApOIGyj9kX`-y
z=qY}pTLdSyJ(b_d+taA5vdY+Dhj?|?ah9NI3H<kjFV3=+wkch*(s@w?kCxKkZo?%v
zW_xwNzP!SGTjI*Zq9?PWC3W)(JGZ@lfA`<o?1m*5yyaVTTEh9>tjanxTe!2k>JVFT
z2iM8zwGVd4i&q8+T+nv8cUQ%EcJ8gpd6UmbdrzM#6Pg#|WcqWzB*T`-h_XeckACtl
zk=B=)VR<cl`y;jK>u=3Y`_EAE>&`Nb$4BxW=qTi_EB1Py^>f9F*s9Q0oh8S2t2!?`
zla!hJsPKQ)_qiKGZW}P&7ZJ2)`M0on*3qXje~(Js<Ex))C$r>Ed+G!!{iG*{4h!^K
zGw4*6T@u-x{@>E>h%nQ%%%Y|IWjiw7i<+-U{WRr;()}pL*t@Q8_1B&1U%BO>p5v9@
z@~1EIR0d7GG3Nk>1|xgC``%p}6emp&3TB+QKi>ZbqnUG~!J5s|8}2vW-jnfbW!2*_
zpVOI7Epkk^wexCC-TV6r-=%U#1C>|zv>vHP2sE^6&Hw55v_LT{=cmO{CiZ{{uU-`V
z(DCfsdc^bk>T`TQFHiPQzw<-nV1MPxZ(Sdj{CrUOew)O;4r!t6xqb8f3p2BJpZ<SN
z)xAyLTdb+#c@u}OtnkDj=9w{hJ7Q|KANgyh@nOM9G3!SW<qKZ-zr4rXz%$QOc!FR8
zx4Tthx!?7568azB_)RwR*6dLTlD2(mt{Ck4_r%q+uahQjDZPI8)r~pp&$q|K_Q(rJ
zICuN2`nmj@{)lbsJ{FgVXP>L5zq@pC)t$K2-}&G3Z-_9RV9$7x*XC^1rj7Y4w4Li1
z1%k|_AH36D`DgO+T&*<|s`Rxc*&Num?udDTu+*(3fq!mGKMd<_=~%~bv~a%A_u2cL
z>vlc+<*`fb!?CnNw)yHax&GgJCB!;k^VT+(W~l>SBECO2INQnhzy6l3r(e0LThk_|
zkkO_z<@<%h3Eh%2|F_F?`}*##YkS@=wWM{K!YjVy_-8sZM6_<b_NsK*@<sNA*zRph
zGz3;nS-<P&joH5@eAuX+W|N(&JJIcR-L8e7?gX}<`YJZ>wM2;Il*+BPO>AqbjgMbE
zk$XdT&#SE|sXLl91!pO?u>Rz|K20*<;^nOWfA=Wo>=zQqz2~%O7sIlUDG5*Qm?KVF
zwXI{QV-J$%HjZ(M+3lxb`*h1`-6<Lm*O_E1i!EGSCKVBV@qKqm-pwhjH+nt=yv#Xv
zZ10`b^^Z#fUP#&h<d50&dh@oah8eT+K1Qydd`qv~uGVVBA#Fip=I-5D8U?35-{g#W
z&KrGd+T_}jhfRNyYcJ$&DZYGl`7fPay3M65C88GWUN^TNEIt;;)S)v&dcW)M9}I2n
zVy7-`JIr#1;o&5qcZwCEZgwHLtY&f{iL>KAS6#5GyOft)w$^AC^X9M5@+WfsFOirn
zu*<ypoLG;SMMS&9;<*!M+-JCUuC)E#j)yr)ZUF)hb-gcK6q>m9c<qU|$=>0uUxKZ5
zwc;Xw6;Cgg?Qjrp`2C3U)a@OQCNJ>(opR*S?Y6^5+7{gj{2HILMZw)9yI1I1&E9Xk
zeP7g6tT;H<e^mCHw?2EvwZ${Mu9hqjJD*-ts4Xkm+mvs#I{3~_u6(ogHaD)VXqp^$
zw665*SGMR&=D`O04WhTFH68fL@oG}^%df5uS-kTl4Hma}>ulkj>U)saLA=K2mA$TL
zqt2TX7p8s+{Ne7E^z{^1;tI{Z_jF5crB0Mm4UqA^H?5Uvsz^s)+P(6x7h~9T^kkjv
z1j1sk$eZ_S>BTr#{BDtav_M5RV!pEEw8uH~#9RA3MD!IHUT=Rr|NZgDTi@SpI-nx6
z`N_qfifSpFnIxXD9NNXXs{e57g}#_`q2Ge-<)y{9-)wxPnE2q|=ifHzS9Q+hUpv%s
zeEY(cJ7=Zj%|GHYH?8>9;R~sWi7CEQog8n)ACSEiy^HG;|7z{V8pc=c8Ot2xPT4o)
za<6^XUBCI(5zUVrT6<oYxK)S;87>vRt~uFVP2#zZNW<(h*Sntb(f3c3vGrQdwvQ>V
zIOrx=`LA%|oa@XUA7XBnn*Vss{If76R_=qvYmP#}$A=|#m^T&Q&N?8t_sp4PteX-R
z)WkBpEHxHCmD6;tjI;X9uR6<@AKmWAuYUZ2IdPNfvGp;$%MH9gEO_-dKPKXj^^1sB
zk(le|=l3sFFK=O6xXrdn_3cNmrB9L-Cim`KlmGwRt^d(E@yxS1SDJ77nEdSS`}QAQ
ze3Go1O`imf*8KYXYtH%K658>-clO`jdAV1ru(NCnuk!UP9vdA`a6JzYymh&)?EIeg
z@(9N0^=(E$pReE1yTq-w<8QD7*BQNlt4~7iENm%HG&uaTspnT&rRftx#mH6rQg$6L
zIdyf?R^~r8_80%Fm^(gsdh@I6iM_>1Wv^0qKQ}aQ`f=~@^iLxCJ7jm~9t<tgu2ReV
zcxG2ba@)@{P1`T*Prq?kf6M)yab<-DMlJ5gl(nO;ZJod6g<|ra2P-Ud|Jj-|2z}6%
zS#kM;;n%#=-n?~lA3eDAb_3Up=XQ?$jR8&~Z;kH$+4*edCPf$C%{vcyTXnVmn=<9L
z@!lPWZa>dDQk>R5|K*v}t6pckPp=c#EkAJQ%D;~ZsS#_o9bNDF&b|KpUT2k~=C^I!
z|2QtH-naXVo8sDU+_xvqQ7N`_ul)5Vq_|q3*U4IY?~H9}^R|cooNL9zS|D4}%n`n2
zwT{G*M(w8?Tu;`%Gnj3Bb)Nj8e@KUsE2LUjCMqZ>C>WZh7&IT}H|Hv;<GZs<_+&=y
zixS>7O`1AN@wMufmyRA;F}W~5?~KyUDZ3&Q)Ust$qED=@`NXIAGuEj5-Rhaoq8^>I
ztX_KJwwGP^6H8Ve=QYX#rc=e$r=5EBe=dVc%bX=&V|6Qb9FM6I)t!>szO_z$tGZ<H
z{KC2)N&ez9D`q@Pe>wNJYsjs>`OPz#za5*{ej;E(I_sp9+_CwO7fvk|-&NtSX3qG0
zf3SxJi~5EyRafigaOFLVO_p8rsddr9Wrlo?o*(Y6^V;2*74X~e`25HNZgKLpOW&2s
zUZ4Kv{{j!Qx=;5Eez5I)ZoGEG4$t)~mv=MP22SYp+IeUT(=N*iTYdNMo!6&#>QKGs
z^PbHqFBId}f0hbS)qArr?&Gs}qWgb*NzLziy<EwUdqwrZAkmZJ5v?(kPTYGbwf=zZ
z?ySX;HA^?n?tM`eS$!kD!!qA<^;413jq};M*jL`KsaBugds>%yj;8eL>%X&he2p(L
zZGE5}7P08-ZQXL4_CM`k_B_?^wmBCf^ui@LTWVMQ>>kq-pS$l!JUa67<;L`nwH-Ql
z^V?$hP6bSQl5^v;7<<X43DqkK>n_xqRH$f~Ew(wc)+oqe#VUJ^g+@322;a39;mpzt
zRqlTMH|$=+rem6q=G$yjtoVM8&#ThV{Md<$O!pRSmvGu(asRp2jn^kP7|n7OVOevj
zOZvBXY|)nY=Zcdyo%#@UlgX3wtb$T4lia%9iq9g2+rsC6G+C-J`+C=ezh6YBvTO77
z7~eZOCrgIq#P{_}kB6=PoV?4ilJ$|@B=glJ{2@V~`E|3EqWY(IJEd+vELQnF{m(av
z%@^v8-Sht!w_Ul>pkHitU8~P2CPa%ZGeLeuPmFy_&4s&nGno3%J~atm@netr(kP*&
z(eEd<d<^-q%47AbCD!u$&Dq{2{4D3#d3m|>r}M&Vx3kDOvwzukLtNc%vSk>j$&zeO
zzSYL_Iuh<)+Ica5*MrwZ)n9t-+}CT@Fnw8Q=hN%w*Y<AOC6)7Y8#4|+dbIcR>^Ccd
zs%&2u-Z)|WG4|+wm52J1&%a+>x@B*yaM04+#Wu5epG7@dJ+a}N_l4}jpd<Od92fjW
zU#{PDSzp{wpJTbDS8MnGvr_tgQ_45<sz{Yz|JcqI`)18)v3sFwEImcye+I?w`8>n4
zclF7=zphxWpQ4n}H_hqRX&GNF&ZQRL_%8-b`V_y{<8i%!u*#0!ID_mh)k3^~0ywsu
zdEB5-c6?#mXRWzs*7YeFEh;^z@}u>Xr`>)9tK!$!UjLkZrJ8eRx4b}CQO(|rUpsZ4
z$Q;@`L!{#3l=^M!lC~te6g}d1Tc}i8Qt$UIb-uaBQlUgf*WFLjr@x=taCiTz1<O+U
z)V|fbn(Ao2e)7Qi2Xnpd^}g%(65dG~KD=L^72tO0)a}N%S|I`ZjyJXNe>s*X-+A6l
zpQ%FRD@V*y(GB~QzD;6Z%kpHc$nUOyVvD}!%DjBQRgv7TX)Wkkk}&O@xN6AipwDJE
z_NDTlY`ZOS<C;>Nz6?*`_nBFajX6DY-2LBt>*r74kXv8W9HTn9BVzUHM|<~&2JN&s
z^SMj0W8RF<-U1f<b$UlmJb&K({P&%EkNsCV?%ts@zqmZ|-2V6bc)l5*=u(RGIQsEs
z=j#b7IvutX3WHmIzuPKaY~~hN)~opJOYy(5DyO*Z+e|eRSDNfPsPX!R6OWs$dZ-}N
z2gMl|G}rArVEOURQKmTsod+4bx5@2GEM=1lH{X0tFg{k|;!EkK&*H0=m#aGmG#+1A
zEpYwh_OpvEUw>iLN_{R~TBiGI?Oq<{#b<7bsh__Vxi6gk#4bPk&D{Sp0~{Bo`A+9b
zs?dA#>#<MZp3G_n(@pHDdCPD6v&Lv&=K8mjz3aE=pLPv#XRZ9$xpx#w!rB)tpQtjC
z=l{BSL0O;pTJz2<`u=0mj=zqJGPGqCeq7prQ$Y5uow)o1g|t7lhsye=MtGcC*W_=+
z-{sN~&CFK$t+C&E;z|C}>;jHchF_mdWi@kla&uBzz4q3QjXjq=5;x^?xa&L5>s7e(
zyJ7!-ZP8MXnFa@RSFEqpVVke;>`kzgL9NQAoPD9P;)?H|3muwhvF_Ub^|7t*X3V^h
z74Da=Wo{U!S}k>7`Tw`SS30+Dj=Wph{pSon_wVLw>~p?6PcN-VzW-|VEQ`x*iHX)q
zNl`(wU#`6|>%)tht!r<m$MF98fAvSqmomAm)fF~R8-K@q>B-2joqDS)Q*PCk+(jQk
zn<tw6JoHo~(bH_Z?CV|Zkw@ik>2{VG7+yXSRrn~)bpP}(r<s2mq}gpzJMVa$(RC`n
z!U>rfo;`A|vw!S9&K46_dQ>R*a#!5dmy?TMb_mX>YCf5L@1(<~4Ix^&6Q%b2IRB$;
z5!?IfgY|AU2j+awn}1w{Uw?)!XMnwI#mCdC4-QY<Gu5#2oMisnSx0xt*RlM6CBN+0
z%Ai1@Max7YJlDAtUKchrYyI;ok?DU>`_)Y0_Gz47I)ofT_eHmhgnv|7o)`FS<DBWr
z;RzEaK3tu>aptci&y^NAIs0er*vEgCqf@N(_+9CbZO-v^`(<`lOIFS0$^Z280ypc2
z%kCX#j9+|W@(xJ<nf(9eg!B!@4l#00GP^|DP9EQ#ZF?|&!cqpN<EGIjC+0ofb7Cc{
zwEGgbnYYvA)Bfqs_p`JX-#Me<#uU$9p}gj!!j{kFGUH+yMK|w=c2ilj>SBsm+<~Ag
zZmTq%@9^#7h@75wVfFj<yR8zKe$RSk_Bm<Fo9FAk?pm3;aBFa#{^{*fLLs}4-(cxf
z?0I-B_Dj^O^A~@;w*SFZ_%%a1!B@U>b@tzR(o3#PTdi6BCT{z7=8seD=Gh*!xOgeo
zf@{U^`n9uGFWaKDL+*C$+d0Xavo-X$pHur%xiV6ppVw#S=B&!XwAV+@Y?12}{(5!N
zjQm^)o!7RExA^bx>ns<l3u*Y2e*C5DTo%DoM?;S7eiI=t@Mjk5%LlhIw(Du$OnUTT
zN?Y%<nx2`n1N(H&)+L=eSFYCHCUK7;z2<R$ZqVO5(l(nuu`B=D`e=gD1%(KfRm;Nd
z4L9$MTCBS`AgF4^mdi>Hc_QB1m0bSw+9%=7%s1~uIrb$?+CH_tN~kT-@rO`><b?G{
zm`whDl1yf|zvlfQn)h^fcHfb0m(%C48D2ho&+Gjh>5R@mnf2=zrqs?7w)kcqqPpJ7
z`mO(mb9(g)bmKW6Jzc_cmM5U>NbO$!ef6ETJy#mytgL2Kxov0K#=YKq%Y?2+C#G?I
zUg!IDmhaz_b89$rS{`#;`lRbwe9QC8Z3XdSo9I`&XDTI}3t~Rw<F1joRn4x$z2VG^
z`HP!Z9ANt;fAGQK{gcf1yL}bvEf1?*(ehT(SgB|Bv8hbKf6Kl8==&ZI`@H!0=U%P@
zuRlChv9O8Bm7f`!ZgtOtS9Jc;C&8{vxpCWj-{j5i7Q4FsnVyaFO&`x@onxmNls+EY
zxn}RtOz!Fw^&iq!Tz4iezm?tAlW}8#qlK5*^&JK_tgIy~U;MC>nK|Xr!t+;CZ*82b
z`pT!_)#W-{E9O=9vLEJ5^*=uC+y~9ipB<8aS@xzGsePPcw^N|?{K+3zoMUyL-#z>6
z>n+!$bB7;WOuZ{}>*>U}X*qAg!_4YdC$c7eY%5e;k@5THWWgFCTc=d_^3U`C$j3ha
z8togOdV6WI>zci@os)N+Y*d?Mp|@#r;u4o7UbhdQb`G$deRJ)loe!D1dv2DAdGK@{
zn;Nb*F@9mka)rH)Ufm1Ve`JYLD_y5!_P+5~R{8n77Yb+YS{ikfXw8{rv-=N^;)!|1
z|5tyy6V5pA<)s8eRln|C^EN(j&tJx2*?;T3k9X`3!?&lVPdj@~TCUSqd4k0X)obA$
z4N`UUwLZoAr0QRvd|h^z<YKG;@kg7E6d(CNrS0FtX^e_u;;RgJ-dN2DP=2joK0mx=
zp3C;RtjBv(pZCtH=I>ly%Bkm6H>vU6rq>Gh7@j72Z&rBk9q+=CKULpPBV*;k_CT$5
z#~yoeII42n{R(>cQf|@4eQVClKH?Ob=f0hf|E15O(^G3hryjZW??bnCw8_Q$-&;S;
zd178Z<C?0Ed&$hp6=s(m+4Y^8Vh*qP&M9A8xAXkN(vWFJ+hiYYD(3tBe3?zo9j>a)
z^ShI_c^zJGadV4NP_-N%%MXU8k5+Qxj!CMfeff_CLJmFDco&y*;{$WF_lvId(qkc;
zqJ7F^)m;4b1KV}>R_1Vqnz%FF_-A&<US)sU@+m9J4%kXs%+8*!sI0{4RnIm_$a|~J
z)m`4ElfO<=VQuOAt-C;N;=HB5{w6Q*VV$ALb;WeG>C^AGo~lVCPB0ex?e`)&o#C}~
zP5By|z#HMFHq36$Dzhghi6>2+9XI(wTe$2B3!QV(S{L0GR&7)=UbFG>3$c5gE3#gC
z-n>0iZnDU<*JVO4ZEoD`SQ&a+bxFhY8O|E>-UZ&A#1OHN(ejVGwq8l~2E!@os-{0S
z9}Z{!erM?iE>#USm8Xgf7b^EmdbRP)`wRWMV@pjQuk^okM&h)~2AiIX)+QZ)SIb%-
z@;1ERXVQCE!pBq6-n`rB`qXQYC%5~0Ton8)WntoWHsi+q0$<iyZ{&}$yi4oWPTQ)u
zI@eo2VDs(_`vp7`pO_z>ta`C}zQ=5qMK2Q=S^jM}f3~h)p3~v%uE`&7SWNa5v6>$G
zqSE3n^Vuo38jrpw-?|jD&nxhjr`!DrCPIgH?pnZ=(mMUbMy@kvAA(h1um1Iv`_($`
zUz;~f3izO*nLMw3v7p1MXZK}Oy9JxQp3D+XSmgIIV&lL2Gk?xBNt2W*{b*EBDqwUb
z?)^>nI|^Kpt4<r5+x`-q@4GXj=%_>g;rY7!Z{64A?e;92air&W=eE}#n!#_2jkbxD
z{$KXz>*dHr;m#?sD_7rKuE=!6oPlNG*;SW?Uwz!B(ZA>5RsHiT4$u4X=4E#8^H&!R
z6iGbYwumYA!nrMLJ9Bqz$*3{<cYIn&WzeisUz<N}y7#QcV13scZ4S|iNuKj+MNKt%
zRQ>LFZE}5?wk$NU+B50O{U@q3JGVu8Ilp?nKgvaN*3{Y}U8%pE438v!9sH%{)jIw1
z{TnCdF_~$tUgaQ@TxY!IyxF<$Yh%B&hg<)8{qf=c>eaGxJBohDO+6r?R%p+@S5~ob
z#mtshj}$)2)_*foSn5@>;%0_tn@Hs%j!v&FPXD61^jFWmyW!UI`?b3pe|z;z4m`>I
zUQ_MP+zQXhOdq>MVnRA@GA~+gzinX*>#lhhA1%oh*;Da(zmw3Pt4$N{Ief~GcD{4<
zo|L>eV?+o4GnwkN7XM#MIl2;O?$}W8v#;iV=$?=78C%!Ad}okU;d>>)Fo`?&`nOWE
zd*@l5Uu-<5!+!olsb+;l`j><4r6mQ8Qmc1u|8?x`i`>Hps$%|4mQ8FISRZ~%Cz97=
z`SO<cl~NCWws*DF9R40?6!9&#=3s+>U*R_Ejk{hfk`{I63)kULxmhx8<59bFZoPHs
zO|}BpcQx2;c)b7d{+J5!$lv8g9i|^I&5N04E5fbkqZ7j#pWl^TXd|^k{fEBP)s^mM
zu@c`*e7tsjh|-R^Y-q)M%arjIo9IvVs%596rq_Pu<M_^dbp_i?=9hMCM_=#XvElId
ztrl;rdOq%HU1zjx?Lr?;W*5inV&<=RTzkXql4>14=U3OquhOaZg_GY-{q+2cMwfgP
z=XBdQs`F!mlOGr{?mQOpg5#sb6#c!QUb|kIG)?DQ_uHMe%jWB>^7T`w^=J$Dv)iph
zHbPa0<Hb6&d2gQ``qB5ZQ}F($_gXDmE02o5HonC7a)WlL$^RxD?Q_2JpT3^H7Nn%E
zYwvYcx<B~<NBG(ccTFnR7N&OCY?!$4bFb{q=>KMx1;>gUZDg2r-dG9Fn=|Q7)Ay)1
z8z))&zOYGli<}j_Uh!wY*n#C+N@K2ne_=GOxxjc&|B2}TL5{B5`8H_&dmT9OTi4tf
zPaO6&b1{{#aCBOc_h{LI87sSXW?i}D_SI$eZcpC`(SK&XkLTI*Ha?SO-M_I{_mf^+
z(quNPqQor5Uf*kFHm5G>^Xf#FuVCTOXyf^|gQMK|x8EG)jT;PoYXnxw^3UD2RMv}Q
ziGq%W80X%}$G(`iE>P&)(tRPc#r5-s<Ce$m=Xv=CNh(ZiT=Rb4B4yX++bXBHjSozA
z?|kt`u*9;@lX<uGa_hh{yI228a;~jCSzMdL{3tYi%Y`2wJ(7M;<@~X}X0hD=p90es
zS3ccTQ)ITLB<hV0S75{MSCaE5>~!QmG_~_z`szm(``^v|n9NlFc?Z)&!2<iJWnyy*
z`z9~Z+|9yUI%&VM)7H0>k9D2MOF6yc@U!D_hu`#nlW260-ypK+$-GnRZJ%=VGVQv*
zo=HH^@!G8q`)<VeFrDZT)o<UL#aO*pF0LulBF=RAe2+O5m0PCIy7A@jxm70;uAfNV
z%yHeG`<~XNi3@$)kJQCY;!SV)GIhoK{$C3WYWCib*it%`t1j(#&!e9U+MYf-d#+@G
zF5gG{=&NRJ)9$w>eo9gg<nWb_{d4f;^NC->!Wfl5P7=+ITpcy5*7(fv`HN@77PU08
zh|cTR$}2m2bJbN#)-3IiMLI_&HXhi(@Nwb<xqCgWcV{?0yQY0{m71cdhs;(ko}Oqq
z>GV|Dck?FQFmCqX5Grf*cvgNgtS!|#&QOA3LcC<IxKhFMV4VfbSNQ`MJ(4zh@~1H~
zeyz<9&R3nYt87*<bVhvde)H|SQ)a*q!EFBWjF9QxpVp{awj^!1=WuAIM@iI2?fsn6
z?2mGOUCaA*Wva2$k)3r?k(U-TEc<(YqTkW|o4AXZ4{o-&?-Tk}M#cY~<%Jh361IGu
zuG@OM`OZSQrwMPeexJ=VsJQgStWWV$=KYd}qm_*eOcri7YfoPCdb;%y^ToxAkvBB>
zUztASaV&eR`m1-|jA<snYZkI>KD2wf>~n*-StcF_xL>B^+&s^&9H8>d#LQZI^`Z$y
zvm_I>|7?EdQf483rr_8r#ZwlBscUuw{f#`mPqWp{^uDuPlJo3MZs$4KY_l1*_Z*xa
zm*c6+>8`!~@|ib#Zp6NhU@O>neC6!0pm}xEI`=*7oUmuYGtPu_f6pr=wh7Cpy*(KF
zWx1<N$(!X$ZnklMYkwc^RGlXN;6SKLHp2zC3--D9QjeVO50BmPNb)PMdzO*(Jyqp<
zH`4DvcYERPe_K`FZH3FZrFS(fZ+d0Tn4eS{?3(QLq(<m}EAKZoredD0F&<MtA1LVa
zJaqcv&hEBv7Hecwbe_-n7&B*gl8pVWh4Ys0b>lm$#B|2_h4{q(vJqE8Ch`f0v21?l
z8S8&W_#}7Pg!gk+*4Q1nVEX#DO&?d5PKeyckB;?=J}rIt{rAVC^E8sm6LXY*-W0BT
zGIMjYvzE}~Ov$M=*AAxEm=qQt*)nO>e%-EfPalaXdMZENv|n6uQdqULuwJ?K@(V30
zyS0AvAL!9uWWtg3D{O<))(GR@vJYp4l$%_*xaxQFalI>5#yg)Wu>QDjlfL=z)<tiG
z9tUi-XD^uDTfE0l<Ms5$&?|dAJq5l#S~F`;uw&P>|Ht2!PU7o+Ugas;A@7``na{e+
zJoI|qjLAV&MGUf$X9WF(B=t64U8}wSo+G1PMM~@D!@5E1?RY2t)jPhJhf)37UmrO)
z?k|DYy{=z5;xqF}r2A%vxnk+*4c@=9RlN?cZPmLqi(^sZDJ88EO^0>oTwd&aQ<K`o
zY9kVLWu91dZeXXBvhE#06EnTY-;?KNe|Bs-`a)^Tx$>7sm%5ueET~ZXck||?uV%7`
zBa7J*gn!=jsI9(xpxo(1`1y=KPi97NPM3bj<#YVJim=72oDaJ5j~%uVxjBpT%j84L
zH%<D$?f#92N9w2c67?__w!E^_#$mG#nlfvJWK3GCwxL6yfn~oR)1p5@ca#3#wPrrq
zdmwjC;3QKk$7IvVu6@qarDg5=q;tKs|Fp~8w)%2Z*F}5H49Wj19FB!oI4SLzxTjrn
z?!D(6(&c5UO4D!OJ>@XBYF9;oH#3U}0|;#KtBTt1`Fn}TdIkm%=4RkzNKH-;&C4v&
zOHD}&4Pj+qo^s%Ey2e)hzYGy7D;c_GJdx7-;IU#C;}xb$TR)gEN{0(dI%oWSurTm8
z+omVK{&57Ay`N&_8DY5Nt94;SjVzng6UH5k-Hd_B9~VdnKQZYubo4t?VIlSWU4g?T
zRagGSlh&Osl(?`ULsd4zKtS#FB028-j;9Wst4=jO>3(0yvu&>9<PA(dZ6@!kOcaiX
zdOry=ZSC&Jh;sR;@{&(`l@ezY*MwCaN-jJs`{b@ve~zxOUBOmsBb+>IUHjuI6P3`@
zYFyU~X18SqScI!iWL=v#-RI$*RNpJAhTD9?ZoWF|c;$`bL!JdICrJo3t>zV!W~#MW
z7qn8SwOHI|Tk7<jg>F%y8!Tc(^qLrh55{Or+NC+Cc%4G9{3hMaDN)SRd^Pl(x-+;W
zLlYk8%xqo9e^cyYmh)pt6X8?N4D145i#}}dnVP{j<BX%*qEx08OI{vu3FKv-Y7!cJ
zII+Poh&N}thgso`Rg7$&rWZEI8b}4G=<*reUK%B%GULSq#nmAOXC^lLY)#N$?@iv3
za%)=Pj;Sj;cd;l%Z`I)9nRR5zBufpI2?c^&zK1Gr#$G&hR+M2I!zar$alyzPo~8;9
z^(3~38nrJkyuCC^N21g5GgCoap2)g`K1%#I8;?aQNin-+7hHe;%<9Ct>$dv4*}PLW
zuTs4&a!xen)wWcrmP4W`GTTl}3lxzEky4u0+1A*xf+OUW^HQE`Ym>_lrbw=}(@B}U
zs$gBhX+3^6&r<H2OSG6e+ut5mVq;2~Z?`l3y!yl0`A*YxG*ur89MkxF`1^a+^8c4N
zc^rNgaBv%|Pujs9a#t8`xJrjDQJFKdJlsn&et#K%?7x_o0YZGdVynU;S41rhQsoXc
z&JdEgWO2-h=dspKmu)_2yRW=$ny4`^r6Z;L@SB_ORdw{Y#aK>N_AK6~sa%tvcCXfh
zX-VOY{OPk+Mlpv)-e_BRg_|up;>+Iu?YW}uK5Nw`bFf9ef7`4xZ3F+M9V`*eGm${0
zpJsB)gr9p`Y6WuQvrA8>@NB&$X5_VO_C}Ty5^OW?Hw#4TBr5hs^F;S7%!*J8-g@%L
zOqW${p<+uKjwN=BUMP&WUmn_4n;~GJ!P0yA6)V%?0=BbELZ*Q}Nj@TN4UUQd36o?e
z6b7si<zZ!F6yKP+m2t7D#+=zYl8n5}7iaJpEIakEV+C^)Ux0u};OfF_BBpt>B!Zb%
z6!x-R*}-<@dh?{PB^+|x-=FQ5yOJ6@Jv26~H1cX{=!MkK^QoaCPh-MB=v{#eN1=(r
z+0Di(Surf8eGI2ICisMuItqQU5qjb=LD{kI3QwktYGlvL%sZcL{2oiGvz|M1ai*fN
zbKRdGf%OybC!aWNq^Ga1Z_VF&`t)gjmEQ{|O?vRp^68u}7q{ojzs<dM`$PKW`^z>z
zpA+mQsX9eJc3X<({3%6llF2O}AAi0fSX5(pXu5$DhrCtJ2>~a|c)K3{|M&OL|Nqy2
zx}5Bx^8EDrcjxVXAGo<G`Ec33#ox=fGrzSGh|RmftM1*$^Yf-;mH)H&GMVEGFV*PB
zx9IPcw?8>?UR|)zho7%w+t)qb(<`UR`J={)yKsMtU=&wihU}{Y%Y5hm`}WQ5Z|yJN
z@3Vu1?!14ud-pwoQk7N(u0So#R*m=XK7Y?B|G)QN{kOmN=RWK7UaYd;d0y2`Im#!j
zxzY2QGUL-3dapJvkmL5*Bxf4jsiSd6HAq{cr|D2^=wZRcNna0WoK!kcBqpI6$>-(t
zi;0^d!IwFPLF2(MNr?wZDjP&LNL^;+S*4`I=+vC$(#xoqd2vch36oCxN2Oz5Z1`0|
zgIg>knhQNIKDF53y=lp+Lfdby=af7|Q!FPKFP~!Q^yB@#vw!0B=e*Zs`Tzg_|92qp
z7Y_cw!TbCB>;M1z`}_O*`yh4y|J%7J?)q&LWY<3>_?YGMl5!A`Oztr}_5x(YyLUP+
zo(2D>@co~Ayl_r>6-0jdyD34huH0Q|t@=>Fsa)dW_TA;b-x+;2Qhg{;RZ?G8?!{zR
zUjF0WyYq@Jo+suR9r_9e9YInTJ9Zs@W3gN3@topw+b_J^cf4?4W%((N-PTq5RTn4k
z-hC(7?T6g%-MjD0MsfVJZ@GK-?g!I9&+pyNuil<~{NK0fyteHN)uZi>XQutwQ=+-l
zTUjc1i=gU5fwJ=NudlC<-`^&9%%Zw__w@U-qu=RmIbC#$<NoZ*`~Ut;5j5JrTqVU{
z@}cvIyXQaK7`k!DJH43FlJnxj&nX5@FE0MHozl|6Uta#x_Or96h4qu0@9(>pKf7DI
z`?hvs%`N}!^PTdhPhqJ!$JpkuqIucd9z_#nC8bF!noF3Q#T^VJBn0@573z3o@(Vj0
zWI9wJA;8gkFu_28gN5l}Lx6+;4;TBx1PLB4)<y>d2@9b|U!*N=scqjhe@WP<OrI{-
z6>{YaW(*r=*>`mx75gS`Eaugce|y{ZBJJCi($QPKzP&MTnRl88lYH0Pg{528Pg^~8
zi}c!sd$0LLdAcS|oO9JG%GEmK`Yq4y3_;yhU$X)Or%V=@d3MIF4Q5Mxw=`T^J9mrp
z+QqS3^_}0V?>n+B;q><VW!sZhZ{KZuXIa6^X7$Wfwrr2Ya`mio<JHc(*B;ouDZ%=-
z`h)m{d;4_n96JAa+s?16rfrig=e}K<9ldw%+FSQ>6IZW4$gftco5yh2uUI>J>)f^X
z4w>Z^T7N#>nv}46&e?4_rMtK7V7*;=J3rX;W94&S=lP|kv$}<9FC1=s{jNMSeKlLx
z`Y$th>!ohW-d=Ua^77TsS{r@ye>O`A*r&~C6<t5cSmT=Ks<(d1o-Y_Ic~^AC&s?5R
zIq~ldi5%T?{`WT}=q`=j(p<K7uZK?RqvuPPYz^TP+nciS@|EW&o>_iL5^nwQWJ_=K
z`IV9fjIU3-GbxGd<DTRBPhIXLc00vN9!-)tu}sG#OI9esH~7}+WBW5(1KLkzZYpT)
zk6OTBvNe9ekB)AE4<{z+)UCL_@guu*cjDhg2U2gF$qTSs%M@;Z7=62Id-IKl<x|cJ
zzY5@Bl?td?m)fte%w)1q##}Geq*a0D>VnR5uI_!6{dwEAjMpqpO~TprTUtU+Nq_qz
z#GS3ItLEJ`DN1H>@7ar23>WInFl2TrvsPSq?DW|MMoM=DrZKuc&|c(_doW6K2S=_h
z_q{i#_6ta6?a;lgbEH68$~_|cY1y`4-}ptc-yOBGSDBo*`}UPGf!jwX+quo#_aGtI
zgym_a#v<p5Gv@uuXkYsIT>ht(oC_q3r3ECoq`VaQDy0HCuWj0;pfIs~g|@rH13Sab
zpPOT3_bynu(7wAaqvlq8^NBZqw052PBfd@f_dlJ^J!^ArhgDhk-E~r5+7rA&TP5*W
z^{EXRjxH)09Zt4ObVZI;yj7jNM)c{t3(xo7K5#8A+K!`S@xya#6=%O`2yFfQFekA%
zIJ@A8wr;v<Xx{tY4>fG}y%>&q*KhmE9JS%#`CX~LQ!h2!2s~e?zC*M|Z|jqsD?g{m
zeA&;pKiJs$?-u<ZwFlR7Gd1mEZc<8BxnbSge_6XJA-n!JSK*xfdR+&?{SI#5cQwB}
zfd5O+=2j=RkOn6Uorc_P8HzG9t64KUmpdFZmumZAbYAcOg~T}alF(Dnd1nU8U+A0j
zQ)uy{dH#FYmM;&#_&{Rif}=%oe=4%Aqg;Mx?2ni)`b{MD+2o0uZ`W?idAXp}?d*Z$
z=OXr+w`_Hlysz=(?Yt1y+kY203j{fZGIwQs`W7mY9MUGuQS@Er1)q$3j2lzyjDuG)
zu6w;>SU*?5{@cd0y0>4M<rGVAPjE2ge)D#Pozi;k+r_sJNY547ThG%QGk>zZtVgTH
z5~nz3EoX~$+5s0|<TkI>I9@;R;*S4Q-yPDOb=mY(@_Lo{4V5`OhwidAIBRcDvA(^h
z_Da&vk5$_{pX9}wzszOs`_{-_ZU4KFc~w_diA0fi%=UE06~Qkhf@G}U7Su54oe0>?
z+Hm#2=eG<3S6d2Noyynlz4qw-+bNgVd=H<n_Um&MU(4tzm+u-foo-s_Fn#mq?!?C_
z*Y)I?5}dshPw?pM-ZbN%`k~*?wqH1BwpL90x$2^?zIWWROE#>sUBR+)s@SddX1;09
z7jW~Pi8Whdvh_XFv5Wq}TWZ28A9_TaMBSR0o_kFDcKm^zqP4xo50l0FGHYyB@CX&o
zE%g5WraP;2SA#2q?zJ{8Aus*(Ft=@6&+KqG7XR|!&10vw8I>1Le=|qxPS%0-Y$5`@
zL9EJWTe+5hlhmkSU7Wh`ryu`?&?8$st~I+UAM?5{xA@}MRA;NTGZN<(Et{6(zf<en
ztIUT}B^W}*XZP(4^0A%#F1!2d^N5$}TP=^>)5&rA^Yz4wOM#7Yfv0qh7AOTTR9hW<
zX497^2NK1+1D<ZQEMaoUO%U2{^z$(H$$$q}?}*Pi@x{d|^YILk6JJc)HU_I!ZYgzA
z*wEE`^Fzv=X_D-0hwDTnxHrkhU+QtXzIKhqhf}Y6Bbg3_=`km?6)l|qW@Yo)$4~tE
zW`A-zB+pqQ#Q14)*45-^N~-&;A3w9{Pu#Uh(9nIW^*xSt|MYU#GP_qk>or`(pf+uO
z@1_R}WW|pjEDLKDViS3l&hBKeSnbRdwK)#`9S$w!Nwe!Mtd>5Mc&a>c=Qh#$I!nR-
z;)SQzr^cmtUv5)m{nm55$ja2$Kq-5QaF^v-*;luBCuI97RjsW(byh&LdADX^R{W_g
zvp(3L^!z?Ye%aI)QWFDK6smr7jm|SMaShaniD<i?uiLkE?h4zv83KG&QEHI^Y3GCk
z1(xKzb!_zxJN{@3*MTLBultX_3KQ6K<#*>w=0grVFP49imwzfy{Q1Y>OD!&@vWvtO
zEVs|`Ub!c4ahw3}w{5SO&+K6F3q5Qu<t7&POX5?Mfd`Z70+uID>n?9s>DOPxqcY`*
z+RO<XoOQDq55?F1KBw{4i*M4>`JbbYO`dVpNr80>!(K;Wjua*_fwgb__h`*Nz-uFR
zEUw|dHSY#PhqqDtJ-XtKWxSdE+ic?LTJE-8PHqQx&E#OY`1>m7aVN)T7D85TqL0+~
zw&wKb>pK-F@Lp*U3kccwD4=PRNJE2Zm;a^CO@gXA5!;w77GM7@{AAmyGduoe1SL6|
zX)rrE@MT=cd#b__eZug_tYl^nLngyt{<kNbVmkifNMdn)+}5i5PxpDNIb8QR8`@#S
zcJa}y=Fn>q@2mR#7xI)ge(q*`%Cy4r`18mZA%}TQ3RX+Cm#ouvd9+k>59gj=-urmh
z26G%y+J1D^UAKK2oAemPR?DA^e=y%`=VWht&M49N{SQ*6vn?~)x<`3|_qv>|qT;7Z
zCf+lf;b8tUzQg4DJEh)7Df>QrV`O=mcjWC2eXAo^KWr`CQ!1^t!*DknPi4T?#jTt>
z?4K={$aBA{95KT%Q>3x+ZQAa~lhpYyvrdng^!!pzl-7P>k>#~97cZ{*To>*9v0}cu
zhmA*r8AoD+=ejGqP1<MPOkh*=^5kRpT0KKy^}!W8S+h>aAARMS(Pk>5^j6a;K0Vhl
z@tddT%GJkCnQolDo#mwUhgTC@y5erwSp8nA!k=ctSE9hh#nY0p_Qmv!OFUn1y#M+t
zyTo*Iv!i2j;Utk?s;sXZQrGkaMb=J|Ixg#a=*-33ZGV$u!)2SkFS7mr_*Yc)@_<J+
zd;HRGS7yvTx8d`_LM#2EHs11ukBzmLDXh8TJx%4&{i@Bn?93N7W&PLv7MfMWd2PzU
zw=Yc&#Xewd$YXk&S@&)F+nJnkB9pnibtCho9;9yC#K6Xr{pZ@=J*$)&^jJdtH$;j5
z|De9pq|0G<;=Ecvf&JSiY?BFFYO&{gzyVpyy=mIBHhl9AV7c+v;j7D<dDrd<Zfl=1
zw_oe?L;1ZE?ilX)&RMxil(GDijd0_q?W&KTFK)=*SAXokXwD|lCG&3{;Ss)k#K(sD
z%^rqeix;uq-U*klUao9X-K3qm^{eBQb1J(nCOkf{>UHKS{@Z?Q+Y7ipCkmWi{bVv9
zmy@F5&Z~246@;!Qg>+d@mCJw1B;r1!_nMW7;fvDTV#R;2Zt_N5x5?LjY$N~d0;5KW
zBy%1Awr3BV=frwGy<T}hV`{*}4+=I5O)lrCa{Mi3^pIRQeY)on)26%kY=YW0EIfR;
z<4BT)%binqCM6Vfq(|O}7h8EtD$S>V)BNcrF8my`{l#_XpDQ)+jnHA=xw+!*X{GGQ
z%Dt@DlSIoc7oMM0VsK&lyyv$IIAlsE%oPjz<grbeVba-a3&o<e6Q!mpoj8+qZ2GN!
zUafN>JMMHCn_Kj&y*U!v{#@>m(2{TO9pn~%j8RtIvov%TucFu7om+(Of7+O+KH<kQ
zze91EaT$}XzCTO3{>S>@jR^lOe*cAY4mT`{{^NYmASbYFas8AVhm}(EKY1U_^9eS2
z{$Hf$W5B}MpPVxy?3}k4K3L0mO1h}(y3%@HTM5xXw%*@Yo1eIRY_EIP_0i$Hpqof6
z<GvTHEFoe?RT5-1QfI#5iECliJhi-0`p0*koBK3wK7I3s<@kl5TOF%QpFFZSVdVVz
znCHHPsO$1JUtJ8|2;Nv_{z|3s{%*E?GnaTttf_cjxbczp<}9hDayBz3nw?cp&Q5;3
zT!8(^#z#(Tr<RqiEaQA?QZKx--A&=^9Ys-@i}n#JD}y{;6uzBs(PTRk9UC%nQ?rB6
z#-O0^c}jaCnD!sB`KffMHIG9qBhWozVS--OEZLO#H&!2V3H~-=*Tm~gp+52JY|p1~
z?r6I`gF$mm;ld7vTYJ@*T34FB?#v7F*{ra8>YjI>mG7%7hbFiM3+G%EblWpa@Ltr4
zIV%>#*RV%zdA9sX#{Agw(+ty0)G|0rosS+m&9*t@N%k|3iiQJ~DhksQ_&+}O=e@E|
z@r?X=kHX1u`J1)9D%3+HUFOO@=GOM?of&MW$X}|Ys&;MHg=KGdb*#9!sy_3Mw&lXL
z91CP$zOd)jn;@qx_=UOi60_TtVil{>1Ls!QyHAd0y*;6_tW)64kz=;9pRblQ%;fxa
zY0*Lt{xubA<jNKte!;Qobc?sc%sma?J~?Sf_E)+cP}Easy?AxQ0bb35h?eg@pLYc`
ztohe7zdO19wf#k}zmbQ2bbtBZvA|C6e8T4sW)sCETCUERIZ^!Tk1H0_#flepM)Xd3
zea-HqX844^=BMs#^1IqERupn%R>_KEPVZEMwj1sGk}15kts`lB$KoWRA_Kt%Qytz1
zZu{K2@y<rED&qu49;U6f4HJ}X87KYQJw;^eENd~(ayjK~|8@WF*mI4km8)4V@00t#
z+5=}lnQYMa++(|pU$}n4iA%087KyE9YbbSPTl!m??N(F97faO@7uHtqV|d=lb?0fU
zNUV!31D6vIyHrB9<0ZxhFNY;=?q6ouR&gj+pPsVHQG|7I#~g-I24{v~F2&>!!QAzn
z!Kob`Gqo1IHC-t1Nj1?V>q?S<3pbme3s>`@tk7vog*Y#U`G0+KfVFwn%YuUqHpYwJ
zE3^o3xiAHCDJJ)5EqYs;*2>7jBEb>haG;Tul}STGM!@Mr(?Jo&CRSFS3lY4B4Ok@F
z3=LVE92OWIcHjt{(%V?jpx`o9GVS1kG?y-BBOYc!6u`)_3J#nW21IyiE}aqtBLti{
z6kFf~XtY5BM!1E-fhfYji`#N<gAsVpVm;VoV=RCXERRG$l!Db0Niaez!b2h%Pmsyj
zlCq*WN#Ao)qOr5A0HdH(s({O+RqVnC7!EchC<yRyFf}SPC`?dba8wZBU{Y8j(A4Ch
zAi%=u<lrbESDzjK`L22G_t^V?Z+$<o`u)Fe=KFuX&9D2uJ^rU|#h2>+zq;1%d$s!h
zuT#_aG3EVxt6%rAJO1BO^SYm{@odNUox1%ctYYe3)AftWpN4)}RW<eg!=trdx%dBE
zmcQ@u?D(H-6`yDC|8rEo?q~P?KTpN$IQxFy%HQ{JcK)wt<@-KP&2KQT|J@z`=h5`~
zpS|&Q52qj8xc=8O>3u)f=GQ!zj{nJ9@p$|GA4lWsK2E>?<Eeg~pv>=8`E`$_@Bcbh
zUiVq_euH`4@9FVBo{HE1oE`t?k@&%l@xPCm@B6s+e$8?7_>aCHo|)JGY>of-Nqqm$
zqx%1ZWImhbFW!D>^_Q^9srN+JZwh}J`lnC)_3QW_$F}eLIQM?dQS%4A_y0W=ulsp4
z{@*k4{Xbj_-dx}Ju{XcwwfX&zXTxiR_xwBya_Ig)NAv4GcJF67Uh`J^{*SZfwV!40
z|2buTVD|k#Pxb3Qo{s<XOn?6e?}9Ve>pt_|ueof#|8wv98s<GeZ-X4V|Ig|CKcBew
z^YqnTHNXGiY<}%y>-#@W<sXpV|M#f=zfax!{~Xh=|2X@=^!gt`DHFxTBfsw3>GCjU
z(|wES36|4eSU;cS_FU-CwVg|D?`*kmJw3!``Ums#s!N{>{eHJo<<rh1`)l<)?We!^
zeqL4bf+`dHt|c=Ucz?2f@eO2o{m0Ys{~k@R=WP4=YWKd6bMt>bi{AHfZGMCK{=csA
zb(g2_|Kl28cXRrK9qVhJO5gvvEx!g7<YE<<x5s~ZYF>YHdi<BC)9X29exC~8_f_`(
zuVd!>zUtm@Ft7c6H2&AK>9s$X#{c>>{lLccwMWhGe_Weid)WN`C*F$B+xPugnqT*G
z`o14a_3I^NK8rFt^L#Owy#8<m@8o?v%&{|Gt~Lleq!QoK$d~p@swdRo<n)9EjWhQ#
zCG*bsB9@R~%-VPH|IuUS5eNQFs@Z=)fBzXB{wcCsMGxto)_!JNeYC<~Kk)raRgbr#
z5$OqA>jYbTt(Pf%ko5@TOx9+~SUfYZ&GCnpL4a;jir5;CGSfFK-X<zPzO7~1x;LS<
z@YMM&EZP$P(o(*x{`!08$pytvvaP0F^O&B<vM%mLba~Rf*K$vfK0osC$LC$Y#eE$z
zRb;DYDm(72Iby}IemkR;$NkHUAAY%22S?A3ly^;Wk2vrzw*RR1RyQe$Xnxzh8EFk`
z=31PWsPGGL<GI$~8JT!ka64b*`u9_x#fdjgo>e5ukPxuGKX9U2@m{w{A}8feu5ekj
z?wah=pKcOU3?h4NlS(A-pXlRPbB_;bzIr;pkV$EM=3H%i!-~Stm)~YGC^DR~7ZTy$
zRjp)Xug(-KxR6gu?2!HP3HHi<EOR*gINF4_1qpNtJ4}C;Br?S%PGi1sv&OTYih~>i
zPjz|Ak4@`&rn(?J_~O#oX)lsCJC~mL_Wo0qjF-ZRBtz48UwV%>i7d8notbv5b}x5m
zb%^Zy`HCU8_Ata|Zaz}d@vbBx*5sm~a{TS&H$rRQPb&KFH2qfR>)@9{x2NA-{JYd+
zr|!fhAAf$H82SC*)rSYWRHn4l99qSC;Z<RfXT`=-u@i!o;{v|0JaG3~z?!~o*>z>h
z&pX`~UT|JyENpp4*lyjui-$@w-dZsnee{U^MlDM|*J}Or`a}JjWPhs1c)FcEp)Pa6
zzhbUVkzqq#d$zGAOHhTMAD1_)u4*^W*AEu8^KTgJOEb*c`{Iyiz3eUH(;?3@*Eg-b
ze!D7B-*o-dBeh3`1UIfV<~o`?Nzi(YvCjcbP1dKHCFetyWR!UL&vZ-^xu96^&~L(o
zzrI^Jay>uHPqGtxT=2wmE|W&M{bsGG3tT07b0@^`JGm~hS3R#Op4;`k)^*Ao<7PdN
zheuvdxqHdha_av0Z|hh(X3t2Q7PrD(HzFfr{ujPEQ;Ng1U)<iFcXLr`^=aMPxAX7s
z+nf4&?c2Ax`S<g(UyI!?s?OcN_ixS_3!xG@hHL)=*)Keu>BQy25yIfe;K%^4!q6G2
zo|9C_Ljw4!uPk(Xu<$XeM)bOCH9i|wty;y1sE#RNp<9d0XK(%+!N?%=<Nxsl<EH;D
z_UiW!oc*4Ezy9yPzqi-N?{B*JKECenueZDN@9+D~m3P1X_qVsR_3!Wd|4VjH?f-9o
zufM;)|L?D_+7f^NJ-xpE{=VPe-tJ!i;M?Er`S<tz{Pgzs`uhiOm*+q2y?3IzVzK!r
zxqB~q_f_`h$M66B?d@&z_<fDv*Wcg&^V`?k<@fj1va3N2xWB*tx2R7Ik>-=-+;^^f
zy1svK%YS0K=c06F|NDD??rzV&Ukmnj!lU2Y-|w&c|L^Vf_3;N^eAnM!_xBrEnsxj8
z{k6Zpot+K}A-;S2>VN-x{r&y@y1&0fd+PpwdVM|re(mpXcf$*Q|GT|C|9;I+PzXJE
zORRC#+WBAH?j4riI}zlxFK4rBe*Xg5yYJ^G-aYky|DD|q31Vx5pAh%Z!MWx7k3#pf
zn^!5ne+Y`jn(xov@2ma&4(x4K;rsh*|9}1cJ%4}QUoN-(^*_J9-VT=5-ckGi?d|RP
z`)YpwdtLtF+uz&Y<M-G9|MvR&`h+jPr^oNF`~B|r_V@dmZhz;<VgC}%kma$5L)IZU
z$l{4aTk5UjI?Ac%E@)0s$@Q8fd-MnI^u!*{VumdY#SD{FPUcG=^YPA`#1SdJ3ED)x
zow8(x?<6i!tq`AuPROYnFB?yL6G@6!QN^?9_O{&Fpi%^!3wQQv<|m&zY4}v-c%cj`
zG7%_r5#kZJb8L$6kz5~3PM3`betT>Z=xxn-GsRha(MDrdooN~_+7k{mG%nI`aj^<G
zFhM4u;lYJw8xakaj|xgkK^@1=HH$22QSsDrNj|=T>*5VV9bqLSjvYoW4jTj#4=Nfe
zDmAxsaElm(6nJnjI3yfkW@iu*ILgK=Zs5|uAy9bG5mekUA$b56M&QOghB!$@<IDg5
z|L+Hxci4ajjM6}0S?=v^x}IzpeS^!`Z3OAZa7J!4$l0JECdxs1x3}eTx4W)b+-BdJ
zvxYHQR;X!0W5=1!j#t7B2O1g^7z_nCSU~N12?w^OMh67}9+@TvCJ=*zqwUakt`7O`
zYo>d(Yqj@mySmF{ZQQQ>Ez73w3X4jw_A%mGESV@B{$=eK-_=={U#%;ty_lQ2HR|QQ
zD^WN1#BE)aTl#j^V)NL%r7L%TTD@p%?AxW6{w(^wD7z|j_2sOsuamN)ZihyfF1_dV
ze#a{DwdJnYxo;bFE#|q*89s6C*HG=N*K*g2?!8o+Ep>nDKGCS#xAJDK(%-8W6&xLF
zy>|6hJ?-UJW4Epi`<3=(+0?4c+VDNQUSzF~y1ga7WbK-$^{XCj+gG;s!tAKshaSpY
zlsvei_uHb(+RN36rhBh!eYxw_%}u7EcURrAx}3i>JSuehv+AX<Tl1n<-dy%=>FZP1
zvQ~@czRtbgb?fCWE#LK__hr`Rrs_|<Cca;M?WNUvv!6|snJjZSqbq8ac5Qf7aOl2}
zz1myjO0I{l&Dj;V>sra$=~0=h<E&S|@LeCh^2@m|YhT<7dwpp~)yusXX5GuW9TK~?
z^rqF>yrsFZ7q<sluYKkHdh4aDY8va$bM8-<+P~zKe#+eVqL<=}zIsRfZoTwdRsWxp
zMg7UwleDUWuZFEYt6!_XYgzbKtF>Xf^|mdW8oM<%{MV{4U8}1uSB01C-H^L_)vc2K
zCF^cP#csWnd-qz@MeW$_i$2D_jt<<q_RYSRn=@)(mddIKI%iZa65PaP@`6>Ps$|Ya
zEuq49zhW6Z4JS=JcFke;X}jl-Iqpizt=3<{8`8*Jziz7RlNRA=B@-5G-fj13;`(HP
z6TV4a#};0A?Do4sjO%yI(S<x4KTlOG+h<htT)U>$O<-0?BqLkK&2!9i-D=Le{ha*E
z{)+$Y)nOkm<T3?z{Cu{xhRyk*bcs#mmdE_1->3d!e7?&1UDbkne--pE+_QL-%)oW|
zhiLt~kh=n2f$N`rD_&eL@+SSItk&1fda5}&?F^4DJbRvTS^K!sZoY4}r_T4pEqw7=
ziLce}RQJwf!7Yo$Lz0xfZt+cA*H-rbOl9hkb8CC<6z<QP_WMa!+WKb~e0SZ?Hh<fE
z&QzA2jjO?E!F&e!&s(K_USFgi^JBGC<Ia0hYnl#iDn50Q#aSUi`H-&s&YgT;pIVfC
z{;j!^f2MhLwboyQ%V)DM1Su7Zy$d+4)@(RMIf(s?&4b%-)N}UcY-tTu(ztP2*XPN}
zy+N$)W!YO&o9C=`yq#LUI;(pI2iLdFoW4J%^xowAw23o%=l;D@R?T@?Y3q3<r1n@z
zAeVs2Lr!)1obCM%3;v|)e0;_DZ1u!TA>ThqEZg$@Q;A#L^6Ps{5}cOBDLl$?j+>>=
z6s&x=V3*>pLY`~C7JiRnn;obBF{XXe@soM&ds-}K-utuSPGv4XUrX%e|Dn-m7PDQp
z`>9#2(70Fo-dv;98xI`qmg{&jx3Mmq^0-gY`|c~5ORw(7>eu)i#U@m?`DF)PJ2SH;
z&PnFoas%h)U>39E@ooDu-+bM0E%)6*kA-!Nb&B&iLN*<=U~|`4p&`+fl2e-KAj&l%
zl|hDk!MXo|znE{_Z~xO<8F|@mn>KTlNXDNVb52P5B}F;kZFDO;U+F&iP0W%`vB2v`
zU!3a8)Y3i_=;jz#Sn~hs?x_2F4Ie&TVi&G%B{pp$U((8b``eWNTQ&T#Whi>dE}$#W
z5G2LaqQ!J!sj|ZX4+f`9&IzkI9j4D?V032WSgPr;PKzOS7ZXblt3%aZ5uT;jJslPH
z#&LCBI(;c&LYx?DlU)C#GN-OxA}m4EJr63>#&R*OJiT;5r{{gQHl4$*5(}$}B=dT%
zdfndB`CKSj^I4bcig_Vt_NH`;#T&Y?a~g(iJ0h{NxkbV(I?3mzqZ7|;UBk9F3W{xK
z-%Vm@KBXMP!qs_9(_C!ll{7W3BO59OkDcHXJaS`?MsiBKMp8~(i{U0`7DF@rQxZ4%
zU6>d*oe2;u(U1zhv&C}B&V_~oZD+kD+{!!zjy+q-qinr|Bl)vX+eBHRmW`E~hfmZg
zaJo!zZR=X#9N1BG`IO?@uf}W%I+dM<llV9dukAS^;o06I;T@OMv&Gq|M^)dj?TWq%
zBg@7+9)c2GQh_;ZoHHM+O;$K|YMO*{=>&n~bnl*tRvsN2H>n;wA**sE;-*mYiFZyy
z4g#lpyB!w3uy}gRYRM4`7ePa__#+ZGom(Vk>n8QQQFQ7#D`x0cCZKriS+|7pZoY{O
z4%1|{n)qCkrOdzS`mWPpJG7;;Gw~E3XX1@LM+{QhSrT*NP8n=+ZZa^_Pn5W+uENN%
zX-1%+g$Y++UIb(2<{CqW%cs11G)p}?5}&Fbn_#8VvGG&TF%MaxBN3IH$xG}MIUOdX
zx^*46u%aXB@FX#2!`y90BsMj-%rJ{i;(^A&GH@JBGjJ;to8-U}sTRY+)$3-#sj_TY
zUF4a=vkZ9F@pC8YJYL%x`6WTICw0$OgKjyUmW@5z#L_Odw;D_fysvcQf>ZdJ1>G?>
zw3_%lWA0nZB+lUD*)+pd_R|bj_SiFv_-fBIHS44Ww%eo~bQItGqR``k<7x@5CN9@x
zxvRWK3Oo5S0?+rz@|@U`*`9KWP5s1;EzKz@&CDq|w;YW&9b_~zdnwB(GU>F0poa;U
zZ@~?Q%-?ev4KANBo}*b}FeCAa<T(K=i5Z5Ue9m#m@|=;VWJ_<cJIHZhf~%WL!bKTb
ze^V1>%h$XS`$fL7DLEYTD$`IlEl@~SwVt9VZ80Hpvh1k|yfP;?oa9SA@$8UbgKzi7
z#*PIRfr}?DE-Gt(BehXb_HA6A*@w@Ixa!0nTmOi>(=z|)53xEi^TX$p>iF%ur9W<-
zasSBqN6UUho_YT$z0kN$?DOp(k#}V5yMNaH(aAe;KB-RGzI*nQbi;#_=O0}=Wxng5
zusSi*)8~II``P<h`myw5>Ce(n%%4afH)pZX`=M3W`J?Sm+s|V^*gp1t6#dEjQC3KX
zJzi>`%D$u;<^4`I&UNiI$u+G%+!*@~ujh)NbYJ1V#C?T*hWixvEAC6Ib3RabF#Mo+
zZ~l|=j{FnrC*=367q~AGKk<RZhqV{HmxsTo&_5w<b<exn`B?SAdlM@4UrL{m&f0f9
ze$&1s`(5^L+2>K4SsPsM*%)|W{UPz*{Eqb!_fNbRxIZCY@xI1B#RG+h!#{c-F@Iuy
z!u*(dM}ANKnf#9Y2@foOT>7B;QTDO)PtixxpR}JyHwP*f9+sKPP}Tf}PohAbHIw<=
z1cy{3mm}dVH$*0hWUUGheaP~V;Sa+z2A3<_a&Lp0Ug*s?vF@Wu8zXeYx{rd|gK2Is
zkfw$J%kcDd|KV+?qe<qY+m5%HsYEnb#Ka_HZmc|I#}V&E_imfa;tJpR@Pw+(!y=K1
zTB*&i+mt7BYOU1Io0Yagr*-m;5)}`zwJT4@CMtamX7hg4yIU<NoKJoBQI1r#ur;T3
z6SYjE`eyg`gm5Ik3U*mFMI^>tcBYSq=iI`}Ca<Ol$C%4Va&oe%I5jmU{WS|*nrdnI
zG%v+Sh-U&%s@R<~YD?yHR%|SiDY|o}Bf!<ANyub%)eTPr1E-BM5)?oK`J6Ku6<Z7x
zctB(NhgcFB7|v}I)Sa1jIv_$w;cU%`(9o#`tFoeI`kB9!;bFNg;IP190|yt2a03IV
zkzN|Sb0Ro0e*ORd9~7FiJ32Zvc5eyHl+BIKl+E2;q~f{BZQ2CUCEis_JcZVob)6KO
ztg9w{G-dG(v;LX43exP1gq4kZC(b<P;p(EL6f{xjXN!{3)T75bOt(eosBMfmlBBvZ
zB4_n=-R*~6LS8Le6%l%M=O5AO{|kSr>;0GKwth2H%sS`#x18H4Nn7vDRtpO6lfHT8
z%n=s>LETkh&vlo*C^<D_)&?2%*~dK8qg1=sOcL4Dq?$daK+Wx@*0EgE*%N2Z@H?C4
zmL_+2=J_dCG~Y!n+dOmP%;N>mmU*raO5J($j8Ev5%KIiE?v^n*7D_@_J62t*JZ}=B
zDb5J$s$Adp_SU-GrM=UpnrY>R-13s1Y8I8bIQO>e(q6CI>n^$7-WGd%TlVp7x!P|R
zU2<C*J4KqyvrolylCrvryJE8wTPqKjq==D+V(#?Z+slL|x~NQaaY<3+(gO8dHmPPV
zmf?~VndGSGsVJ!u`M9gJ=vd$59GT?1+kC}T1J#eaOnY*sO{HDNbCROS!U87^r$i1{
z4W2{~0|_3s=7St;;wb@52RYd8Cu|J+I!VjjGjvLbt7qty?YY}Jb04Ryme~Gwlkc`0
zVUyP;8Qnaxw(Hl^x)~X_cjWHY>DE|rrPG!@SVoJ<Mdzu6(NtFkkp@N%7A6J(0S^g-
zfQ|?T1_lNR4Gj$m1_p*52LdE^?3lo?z(K(v<H}_A*B#39qaLrcy}e<M;pYXf&Z=(o
zT{~5_uzFRrU+Jpz+b;g(Kc~HD_qVfVv+ZX*`n$F_;=P$|`sZBk(^vKz3zyuwWfsZa
zc*1nUy1zG<Juj0A6RpWVX8L%={#*^?(+gj<Tkp7LvTd$sjoyu$QGYMDZCq>lp4VyH
zk=yI$=GK;}DSrL&H|b8wwzKR%)F&k5?WtJwGhvOax7PG$o7$i7F!tz||2k_{wUnKA
z;ZC)NcagU@-QF4^_4RgE`fRQH3D2b8d9}`OD{gzeIG4k1x|Zm=DvO-dZ8JM7{BFK^
zzDxVcnx5RnFY2~R`rKK5Y4f&|pUydH?9X03H@A{6@rL@Ey?fJc$G-pf?7Us{+MLy`
ze-3u|_DsrrnPa*?H)72ur4EMF{L|Zh@il&%xId=$hMUhD^$Lw?ADvwDXHPw~`rH0T
z!VX{e<euI5-t|Rz%e2!Dvv-}@mfp;-w4}Z9&9htE_UQ+NX5W>+eP%}1ndNNHG>vb6
zkzDlnE#nrk!)D*b+81hzte-P|ukyBwzgiO&y>)-(&CYof@WZmniDB`Job2O!uPcPB
zaxM6k7XA2!Iaf+xOGDYk+}GzS*E{Z$?_&6s5M;8g^!c84rAj_kh5M&BDRm@q%rkn=
z?6C7m*_ESmcAvhzy3W7#;?`Oo*^CSC*W5by^M}1R=h9sJsw&r9t(P|>U;R}}={<Jy
z;`OZ`wU65g6fmizHuCz}t?8Yon0#(#=jF1kH{X3cRWA1W&bB7ApxIUrGpC5x-K{p$
zZ*IDIZC`!;39(Iavu~xn4=_k$XxeO<yZiYHj@#e9JPF{xk;CtHM{VtmWt*bKmMJX>
z-P)*e@Y$^z*6l}b{8vjZza_HE{NnAb+_URhyN#~tZLRp%qP%-s>wlYdVF$h)zY(bv
zHP8LFX727P7DvlM25VkMQC-pfxnC9}m)_cFzklt!>#}Q_XY73c?%egX+#OYR>%w<U
zjQo_XEo6N=zq7ux<lBUd1q=eU8(PH5&C1?y$gMcS*8Fqv&X0!O${%;>=FW~(l;%lp
zI(Jt1O@sc|o@qDOt}f?&X2x}?LTS_O_3<S$a_d&zNSHMF#k*5`Rz*ykohi+;a<<>&
z!eFhRQ~U3}F+E(Y*4ZPNA$&w>?d)cmW1B<{YVXSB36eK)ymac6R8RZtbw3N0G_xm#
z95egzBsSYXtze1%%7eMmFYcE){JgCh`157)?Y7@r--_I}oYG%6Z$TUP_t@2Y%+!;Y
zq?vzs*d(mvwlgi;xNcgs)`jWCQv<EH+VTW0Yh9@rHuvp>YcE<d_vhGm*&VD9-2W`@
z_sTz8V}B(_Gw$2Io8{*Xv(Fbj6tr%<v@UtIU;C+zcVF|pgxiY6FJElGta!lul$r9)
zFTRCU%3@E`Z?}1ui_JFe^$$8CX>Gduc<${&?kB7aHpMOS;y+k#pZdX_!jVwED)7av
zTQaBj3Dhq!V5v2|_ueU2@YNiNmFm2lP2Y5{?VEFBzMS!%^hs$wK8JZN7VcXS=AL5}
zKD%uJyB60+=KJP3x$=IAPm1<l3zeRC{PG8<W!gL3vrg~K<Tna1ii*7Vi|zR*1?8+G
z@5*wI9+kTIdiwwAA@?R4US|53u*K^1+&@jTH{G0hbB^cj%|D#(Ea<S5xY}-I(EqL1
zU5?|;?Z{O>w;n7!!Lj|;&SmT~580Pl_)k1NL#zMZzsTjjLAOg^z4l1ly0k^?Y<r)q
z6HnOf9^=Q)s`3oC|4GeIYd^fvJ}4wRXanzuuQ>}&24vkX)mp=lT)Hi!q%Ce`TSntv
z0sk~!o;Cj@x%RA;I%>U7clk%jn%qZc`U3RNP20O)GCo@9&Ey6phSvtn*=x2vIrg7X
z|NZaj=dZXOs=oEXXjjwCGzreW;MdJkzg|m!GMef5XJ5tEbGJVAUA?WtD);g8S~Ist
z&vwY}cwSzeX<(idYH#uB%q<P+>pF-2%RKXYInVY+^zCU+tg<9>9-cmQGi!J8u7yh5
zT6n5mIgI^+P8nq<-#C1ZDLQNC6|?HVr#u%QadImB-TiN?F3b6!KCc`uWKF-RR<ibv
z;<PD|x3dKm-u^tyV|RzAc15p!<aO?KH&$&**&(%gqT8P5n;9}z=W4WHcHFZ=Dj;`l
zYLVWaJt8HJ&3Cu9?3!V%6!|$|>7MGDnF&u@4K@T8&a(>F;muTE%&&FTpyXhrWO<Q+
zqJ&Hl?|$!tXQOZLe6v!@fZ2BczC0@v)yEfaJ(;b%O#RyXhkldSGfa!pyFLHN^(8MP
z4S2s~^X^)fX2g3!?BlYz?A*otVw<y^S#PX~ns9jC+AGVlKEGVlzoSHZ_s*_=+E%Gb
zUU_9sxql`yUd*vLYazg`%Pn~J{F{>&4HGg}dS3V5TDnh9h<D{L-K-Z=Pj&L$2=>dm
zAzsKR*&}zDr`KicytQXk1h~A^9M;`lzxC{m2tI`)zc$3~JeII$wz1Cq%|5#=e42eH
zo4l}?eYEQg-{GFF<lI#n95eDg%QbWF$Q1HTGKtB1{6uhWqBp;Em54^je<mX{kuyvd
zvhPwKuQuy?EqUkpj)m#flNnWJ-decLrd6qTM#s$sS`6M>L~@f|ltSd$<!*AX_l=a<
zdG-5&7jqd^Uc7f)YP=`Xc-D;QbImuV96hEl<GAZLlYt#yf~?BHMXD>z=gF!#%DD)o
z9Z(k*`tecSV9xWoo3^idcRl*QORQ@8-tSopJk89xcCq|V{*c2rmp7?A&iMH)v)xDb
zh)y|s%f;v|%a(mMwv0RSs@KLI3*4;vDRsGNLDLP3sneb-Z<%o@$8UD8=KHs`_x9|}
z?cbW__<e0&ev4G`rE6JD-R(CHsYpz@x83jab-U*pY9ZSy>}QLWF$%w59zREXSGrs6
zvpYSCn!*Y$&bKqFr*zK=5<T$lneX1MH?N$%C38o7U;b>rqqh`p)wixpd^b~@i(y%G
zzVcGP)mgVLt*wZD9PqP&&FyQ4={nOt!ucV7oqZj>Y?c3)_Z93e&U_mhxi<X!)yTD<
z8s>hxsU4inu;ktf9(&zMmvVLMKkc0$&3bft+}6(i;GgfG$w?`np6BX5b^d`Z>&||h
zo7Z>mzhUgX|4WLQcTcI?epUA5e&gpCX6QWW>Cn8v{jyvs?ojBBr*~aeZ!L3QdY`kf
zH0s}-d&0>nH|Ou(5FN9+*ya0O8NcV3-XAfF72TM()FIAG>*v!M(vEk}9^B?rb?;uI
z`=h_J=T1&5x>%eUU6#3XVt)CxKPR59Iddjm_WqjIj*`{NR@2MU#jno#^esrq_VUJa
zuD9pP?$?<dB;EgXSLBzuQ|!u}?9DGe)f1i9E#Xrts~_*G6m@yg-0pd^zl)u`?cMp&
z;m9s;rsP!DIJJjo7k18?y>zGW!(#O}k;1XDu{Fw>&s~1a5vwnM@1vFSVTaBX_nqrc
zEL12KbGob6n7s5*UTLvoUawr8E`MvpIvL%?VzI3f+uypS-rntfviHyKDS~{iTxzB}
z0)#gIopwe4Y^MJbTZ06Zo((0zDZaM_rLsOSuX1{vAyH%f>2+db4{JoigxA-&n^^K)
z?3o@;>ssScCezqrJWKYFu+B`L-RtcAw%yw6le2#7lh9t@I=QyD4<i<S;J>ne)5Xp}
zuiOJoi%l|9uGo36n*NN(QMc(P*WArp(><SFih10+@SGLrWPu4sn&pyqutleMo>hx^
z=TQ-}I(~Nc1_8~vOSL8Lr!3|?F#m@9$FG-E#iA@ZyiZ2Ittx!8`mDf&@_niv*Q)|b
z_EnvZ(fn<CS7gVMp1Y5ZZ+J3qTe<Qr5s4emqyBESZ06J!m=mhd8Tnu#`^~*tD>rNQ
ziX1x8D6{^ha(!b#-TZeghxu4l<rj)+vj%&oIlsR*f4%&l<&z#Z=UC~?G}x)eY$<U3
zT#4ysncZBg!=6Xnera{HO#I=t*CI8#v5B?21=i=+9^_oa*!*Upn4h`o#kW$iak7D{
zmh7G#6gy$*`iE6MA?8)SQ~WBI?-HwAb?!>=OSzg=tKS5dp5vbVZlkE@_5WrkxZ{}w
zZkzteHqiK{9-qiPb>fo`spXDMXVi1%wrIL6_{#B=d)lKm^Qy<6{v;TFd426+>pwYF
ztt#&)(-jig58W5ucEv3?jz{v%2em1o6EArdaK5aFoW}I&{7?Sb3)vPMeOi_1p4RPf
zz4npq@^c5c9vQsRk_@uqpK-GK)_sFY{)`kcw)Zn`PPltFr`_pk+*<8Frw_l6ST5UA
zG9lhL$nDwd?aT%xrx+XZU;KzX`D)T6rlz|3-6|&iCQ0uX|NbK2Jb$BR=Hv;d&vY?!
z{@1g2IGNw}-9v-Jh2iLmlJ4%Nyx(5#Grpf|t-H?ACcSjY-dK$<C$9&UZY)(3`Sn+}
zO0Q+<=F`R*=KmOP2Fgcnj{5ZX+sTl+Qybr%;#;SiJyU;u|K>km3-#me&u#f5DN-t4
z>GVI|Ui|H^$2$A-?rgf=KYQxMcdx@%mA6`lyfi7<di-*r$jRj@XUk+xf0F5160<1X
za;lQgB>#;{%O$6r%#eDxU8jGOiSGuR;3Fz*cP0CLi&fGm_m<fNO`PIcsiyeER8;d~
z&NR=+ru@ojs-8;zJD0o^n!L)`XHDp>px~^nTWStS2woPhU{b%tsoSVtDCBoRxQ<Ev
zVUXPEPc40UHK(2~yLP3w>~da;@7*=h6ZdaWTWUYwG5Gt-x|{mH&E~(mT(?R8`(L}a
zlS@6mF6s@@5A^)1G&e+FdudhV+7SIf@2^d9tHiaJRb{5H5?`_GSMK{OOCzsohrcLY
zY!(%(y>HvwtIO7PZ!13K6uUL;y4cklSK?l-k6OEJ->C&QjRF<3f_H3MGi%w~?p;@Z
zg<ij!bX9uU#?@0VZTDKeV`<!%*M93)-OF0FPlqe^tyo>?Dc9_;Y3rk^?!H_bblvOW
zi|Dm0UN6$M+O1u+_4Za@ed(>?vs&Y_QqP-dcrKJVoW8LyXZN;@--|SUlwB^_8Zp)H
zt9b3ouWPTZUb<4;cVqbMMeFCR%3Qtc#ckjGtyQ5>dm}|mZ<|%EzS0`@H7!2()vlMT
zmwoG6^dda=;_24hEoI@avTm=Q=O?Ujd;-s$RVUWz-rA(O`%!#p?Ao%efxq1}Uz9HY
zk`-y>d)xfis#mMeWuIQ9=aqcjY+2mYu$N(bFWjDcFRONCNbSQqd!d+?T@1Gh8hFGe
ztenKRzu%NsI%8qe1l^@Rn+z4~wI1(fIL>gB;r6dT`Ww?8dbg-p9+`qNQgj10j<jC|
z)KkASNyOxhh|0wZok|T3E-{@6D{gFC-E!48=upVbs=Y3kHVCz>(wVdB^2(@CQS*N)
zlkRMrp6aP0B>0oLv3|+Lo)ag0IE0@~S5ZoQqF%7U;UE($`>_^9motf{isqQfIUVts
zu}Nlmp|f+x48dhO$M>doDm-j!6EGBRdwg$`l*$y(6BA`TCn;M_QU<wYlFG@6Dkqs4
zFL_0UmMVZmij2hSdRu07cy#C}wt$vxvaDPhuxMANTE4B^_xGPBsc`Z;s~HIja^6x<
ze|+ZJw%o~T&)h{-C-QPal!Np<H18}}KjpZO_aqS+=QBBXw*CM5sMJE|q>ZNw1Sl!F
zxQJamvB`-;spW%24WFU2&r#i(Mbo2|ozDnd-l-aG)HWliZANRFbI0nPrx^<;JUMkV
zXL4sJU-GiQ|NpnDbb2^n5}Mcn0z3;_1e_`k1vMJx+-8CWG>nD>IN=H2C5Fc&l6wq6
zZdYuXF>~h3nVGk@<$6w1IhlKV+uQ&DK}&fA1qCN6F1w@T?6Xt#=`;q31ct^221XtM
z18l&e$560o*2}j&B6ofzst78vv9U4pu&{6>7#yp(ZB|%-4it{G%=Ge}SY7?vflJ*%
z;FyF{%Z!=ZCb{fCF5%>K#)la+dWMX#Pi3Q|x@~YZAlHMUmT)+zcusnP&<8gFHv?t-
z)V`-=g-D-0<7X)o2IfE!*NG}EF|!y&7}(gDm{^&aIhmMwc$8Qem>8HiIYgv5nOQ|d
z`8e5FnAw!N7$3UV%CCF>-1ZLl!t>|<{`+2U@5fws|NX~*?<-{3|L<OQ`h(Rm<9X+f
z|E*pB`PV!KyZhgN#vgyVL*(E6@2~&;xm;=V;^Uw1Uw7&KFM09*v)%tnne)O8|DV^}
z-M5!He(?YMk5>EN|Kw|~+4sNtU;pb;z6!hk`+x7WKeu^NKkxkO-*carez>1{ciMGx
z$!8CLy!&5Y^Za|-i|>{5{#W)r=R9!#yY2n=*7F`G?!W$V-u>@C_?!35|6lv(`BOXo
z3Y+8atL_~ymitpb@A%iB=bo4T_`fUu`{()nlMkH#ym#I8z5kXw|C|54?)>KhKbAjx
z=N+z`chIEn`t$nv_ls-V9rxe=Z};zb>0EX@x##uv>kb#o{j=|X{`K$j&s8_-<KO@O
zJomWgg6E&(um9fn@3O-F^S}Q+ue9)IsJs9F<DdT(GVH(iKL7n^&vAR1Pk-y)|Fm9z
z-SWXhgFoAnC1XCnmplHrV*mGAn-@QST>raI?|<ft_n&S4SIYGZGyeZxz3;xg-0{Qp
z>pxrBz5mJIT(kdw^`E}ewQ?19{r|tl9RF_f;{Tlf*PrJ;|N7y5?cVRt?d8=E_CK$W
zeP8<1ul=9g@vn1_CCrff|GVb?bNe}mAN;@m(eD29AAHUG?*A|SckZhde~r!a_jPgY
z<#J!D=biuh^ZfJD8{c=``~H1?|76GWkN2;?Ui){sW8M7k|IR<In8WaI-~Y$!cGrcT
zY<qcc%6z@MqMziay%YPn@KUVe|HUQk=Rd36&HOx5;ceC@=Ow$H{y8tcn`ifVQLJy>
zvlr`T{5Rbd{Y>4hy!FrZMY~<=0!qS9)C=8x_*urK+}(Kdso9Zl&#n1#eoa9}Se=P>
z%q&s6vs!_RyBdA={y0#x&2N6a&HUHD_Vd4U+wi|8ru}<W{SiZl{`ddSAFsTx$*eH{
z@z1^IKJU0RxwYp0`=58-R~S8K|G)lvsonP9CqJ?M-+TV^9=rGbKiFP;y!XGrrp`QF
z;YsD3?XQ1Yoo{}sH(%yw!r{9|E6P?IR{y&9yuyF}kEn#Ri{i{{Q~0v4WR=P0Gym{P
zol!A8(~O6$eByQEHRm3!3vMvbS+hO*?z(%)Kc=O`9cF8C6yW7~D!<_CpWUaf1^k=D
zILp^G`R=-};+Y5c8+_Wg>udEB!FO`eKUh^17vHhVV70lzajR!a^iDbM2MG)ejs^xZ
zTsImt?TRa!vMktl!{Qe<^Up>JYgyFank;s1TGg#bKQG(u{7`dgx%iy9+g={}tZrNR
zaZRCvguVXTkh!_nT34mdD*d?f!I2E@_2JuH&8nF;s3bjI%w0Ci_=Aa%^o0#yyiG;I
zen<Y{To{|^bCjLsHgksd%QZ`XT)R=fOlwt#=+*hIyYEca<>D4k{Mj86cHQ9CQHk6b
zx74sNlC~_p_u>}UF3XfY*(&WR>+a?DUFK&~pUqz7AVsczrX=0^w<o7H9Z7t^7NBr6
zL7<tpazgh7@e@ax9;s9+bbr{R&R44c<o&C~lQ+4^1!bD+30W20f1a{0<bR%nx3K43
zlkImO-j5M=HDBf7>EO?wWL>wle)b%(ZFlx*dLMNU4lFR4+vf7bt;^;{ey6?itXp2X
z2ZI8Z=v4*!q+Vr76h3EE?pC_SUeiPB?Zo;c|7VB1(sx?yb3jagHHWz9gTs|cOIniG
zPR;&P)avNs|JU+=(>wjImtQ{I`&~0Dvu4Q#ra#ZmrCkrJ-+%sxjR~`9=BI_dpI0t1
z`1X9+YXj50kG`x7-=WhJ**Qbvp`+E$O@`O&bovZ#m&h{qaRdu&SH9nO!6{8l`ng5@
zvMJS!%d{i-O3%Dm%f?f$P+w3p<Nm9c77G|EK40+pHscD1R;g-2u6)olwti)g4{P<3
zKIDHFU$WD-%6eB@Hs90qd0s;Q&+U$yzCq{zZ<bqEs^8UpyT%tVb(-lh^>>C(4cZ^q
z_&AsuNY$`ae`~#Z>tB_1__@|4?w&y@1=07mh_&?!y>K|qU7xA8<m<Py(KR9^F>B_{
zzE=0c?azGWrm(FS7=@ND*m?h{$5Y|>g2$&+q^B*?J+#<BD6gk0?9yDX#t&I39&2Cx
zeLb}*eV1i(%gVh9>o3gYGVpxxk7W|0kjAV>Ys#;^Rju3@dvURlTy=I?)Kw=o1-&+V
z&KnD#T%XZ*uxQSHyPSh-<a-Wgr2G_HyKh~D`V-}OH$82pX*`&J<<X8dD^5vv<DWdS
zy4xjZ<{owZdgL`1?^Lgkr+2Tj4YLgq;NZ>l`BxGb#Pj*km#kO3e***}YD@1`d0bn)
zTKM!mGc}f}FLtKpa=04b+$NpVw|^a@@!4uQNrV4Wn#&qCN@^xJCa(IPk+yb^%Js~i
zk32>Erq_P49a3{n5x#x3_x!QwXKrU6R`wk3pWm^;X5}~a3oqBVH#ApGkz=o5<-Nvm
z^VZ_Di3;i$zr@@+=oa3)Z$it7D);7v2U^R^mZe*5(RA1!mL8{4kYaqc%|lTC@u3pK
z?3>rGJPwRD<lWz4p|EU2$Wr~Dt=DFIUGZf&+oU=F)e(-DcF$I=cbM^oP3_7IN4GCl
z6<!B!yr{36(kaw_TH&<7Thk{Ck51lvi*Hx%@1;!Hu8eUE%buBKE}eXL-QtIL?mo)e
zTW_4TZMLFRDwA^e9QUVhCqx`<7hCu}#O`l9>!Ibbzf}&u6%8+59p_c=<?&jJ(@n%q
zP$5y?e?g-8S;vcS-%n1uJ6k95_R6HL()N<p-1>IW`+GCRw(WPRSw8>RkLzuRGpF*Y
ze^}Vten9l?>798KKh^skea6`H<EdD}W6k#rhsD3JbKKjjSg>_#K=`|I;nnx%8h`u!
zFKCU`w97xrw+HB+`O=aw{iAb8>5-=f@AcKrEo==)-1~-C{<Ze&!pce(T{k<Q<vQCl
z*JZw0`2NH)=IV@NvF#r=E`6f$d)Xq3lBLzwTNjIeE-N_Adg<{!=bFVQHU#d!^!1<n
zbU(N1!`Jjz^iT1vkGb!7LfLN-pOvnIto@^n`7=&S9D89pd)AGsa#<GfC!Xwi!?9bV
zY!{!FSmlRL5t+hI%g>&Vos`b^LbkNpZ|Pc@{5@07KRVm9qPP2-N#_1s$BPS&nx4_{
zYg3<DF@KIcd*S-~o0zPuZBktC^)~Y_(SH3jx3tK>yk=XIQ(sf3?5>A1yW0=k?7gw|
z!iF^d<Bw!TwUYjd%wKfIS1@^^TTf*H`|nN7yly&q4wF3#e!t1MCY#9J%D7<qqicTx
zB{-^NHvdYT@bLSzzXGDWE@tnkls*tP)#s3q{x@c>WB2b(=kz+_c&m~*qKh-4!}BBO
z_KSAzSqE?HtTWjt(6Vri<+3j;I?BVjo$lVNdq2-5fLpypD)!xs5}w~H-IlGMJcrBr
zno;nUm^-&lZeFZ4J#&frYR%<ksl^-Q&3}1Jk@@y4<FSFoQBz~<+c(pk!`!+aCn|ZG
zZQIMXpd)OuZ|d&VAGd5<Qv11Uf}lOmu}qV%{8!U0XTRfEF;UewyxRB1(_K*|JN;Z-
zPsuu2MH;fH-Ym4a>#{ucnkg^KjVVhs{oj2xPdHM`{o(=Rgbi*_oIH7_*Y6EE7e7-{
zb5W=LYCQ&{oo_=9q$ly-{cLdNs$8FL!1<k0Qo7qe9OZP*yBWmBa_Pni=8GPw_9mv*
zwILbLmE3ZZt)G0~tl{Fnwx`jtHd=Xtk|O_;n@&;sj2asq8hCFkcrf$Xx$G1c)1JMv
zcb`#te(mBU&j0_one2amUwZxHcY`zalG6`Py1ajylu_xw<xQ*BUAn^g!b3pid+3=n
zH%e2l?5#Ju@ie=U!|#uu;f}TK4i%EypY7;At>#pEBYeKSf8yHEium(&y{&VvY4*nc
z=zM+B`)0+I1?pun_dDwsKMQpZzw*uU_&N3iS`R-T^3oDa*UI0?#mq137@z;<dzI@a
z&)JT5Zgrhk-K)O5V5xAK>y+mQtV}&VviAQl;P<HV^=XoKj9qp1`yP$y60_7x{w&$9
zckyukyB}T0csO=c9QXg5DWNQ@SL$1Dw^T!O`va95IbBRDdNZDV<#A4%<EH9cI-SvZ
zit*mPd+%|4jnH5_r4V9zX0DLh#`k`%r3bq<AGMhE_4*=FmkGHWMY)w;`Y)RPcCk}I
zJg>~DbL=k<K3U5mV8yZFr`xh;0;Yd2NuRNIeDC7TSLVLiUG=XuH*dX#>LY#IECz+Q
zFWFc8n#X^`a5?vx>iz6xi?W*DTq$DQWP2mw_WN%Kj$P>bkf$<BU{ZpXkGrh+6I(9H
zRr{)WwVzqEf4sN3eeMm`iF1`i8*|(z-T3v>=Y~W`*dA$dU8hM0x?f(j=Bf0LcDp)#
z#<4ER?BqMIk8BhcElpWoW;5aKM1|XR?TTh?b`#5$cTT)ekfEV+(32~ePg(!xd0uz!
zWyi%ulk+Vm{p9?5hQ~cEW69c1v&(k%=2rKt=9C(5oikC)=egCETL;TCA9?QL|GMQz
zQOz0YxVpMShZa2KuvN^|zH{Nq@g9%kt5;{-wMjL(q$V57Uq9hPtf{AGxt5o%or79&
zbL?gR6=yRojJ~Plo^6`-t+MOVM={T}vtRo3ZZQbCzR**2pZERHBo77Id+9-2r+yE5
z^l2k|=}q$+6?<EB;vWkN8oaz_vvKA5+cUbvC2#JET%EI|*J!uA+}wvY%Cmd=kEVV$
ztF6APXr0&IcI4XDiahm$xpGl@`qN#6_f#<a;$HIQer9!Zg8cmh3wAQre^CG9XCbGl
zKV^HCv|p{0{Huyy!Rd4TvZCf_Zp_!pj-25Cf`93(2mG^V8&2~$SMxeC-D~mr&m6t8
z*H!YZ{kLm-)cnc2`zp4^e?OZMVK%ie=aE2x{omhAuU@P2m`_ni)ZSx$_@kKa5v8*p
zg{5YPZ`|tacoC}RFz@Rt<@b{wPS)P`N4%+R)pLem*;ys?_GT4jf8OMiKl#atJHM41
z5<dKkdhb8~%qy9C$$wS{H2B_m7|HMYVClax>CpBBvl{{bPM$U?{rol3v?{xJ!>`5W
zU0)=OTjJ-RJ$c-@x7=J+a6`V2Y4)7QDV~mn^G%8}gkHx8<=OW)%~SO;OyOIux=VYm
zpQ3r{jkn6|*?fXh_2-=*v#;xL%L?Hw$at;Wpxxxx{Fy84LEevBcQS2mdf)Il;i#$j
zWwvC|r=*L|+NBSh-Z>|=`EsA=yZZuMEBb|EPKT%ps(ouQo)x24toGev-Reo|0(v<$
zpEbU-{aAM}BgUoN|ABbv?f5cFE^h0tlqI%VPu|PApVNJ~W%bTmg0;^tGaXyuZ1if%
zb(<R(UklyY`R~g9!&i^3jya*>_(#vJ`O@7=j;+7bxJ=7eWPQnxDKdH0I$5@Oz0=BQ
z_Wut1P3K%-Wv$sHGV7VN`lc2alQdDC+Zq!#_b_OG-^mfS;z8Qw{J1|akNI-u+cqv+
zaBsquhtiwZO^f{VNp88pqU$OfY#X0t-xm>CJ6mw)3F8Gv_HOyoR<ipX!^fTWt{t~*
z#GfbFdT%h^X}WmAtdDA@`zP%#H;fL7Ue+bvu<B^;m+he}ukP$S@yY(rKCLyDs{H8+
zpDtS2c3cS1`@L_%k3*}AA9gerF;58SS|dDf{pSeJra*^IsY2hcm!?QOt21HitUYM7
z<GxCJ-lgB0Z9*(s*4a<8pS4@%(6x-3FWQU~mwefM<KcUAVcoz_ym#fD-dM2~-*&KF
z&tEZd>7TZB@rDw+)Vy_;z1YkBjc?`5FH6Mtv8~&l-u0mEQ|kuyOQv%?W=&`NW9`T^
zQS$87hKsyH-5wJS`==NlzqUikbJmK(N40w2yCp0WI_wo&a@BABt9<TXN1a|?sbFl2
zbw1GWd&T)|%SFZS`+v68F_^xQe&yA8_QAA^?>-d?EX(*L8eh}ie=>evMup{buA@sm
z-(UIKxQ(;(Y1hsARm!*C{i*i|V`9n<WU`LAc~@?~?XTuD9GQ-df0w6ZuI2x5Zu!c+
zhvHWJcK+pPFo%2ISIgob4(bzT@7(uWeE!v=GoSxgVR_T*th;KhZ<$Kz_VxMkpBBtq
zk@cEq{g3|VEuJ~9S+j(j)ygJsOtSvyU~u2H!Qi3y&XzT&t+~I(U)#vE^lNS4_bnG3
zDmsOGPF!;Il;xUnWwX(&c>>|>Oif=GeBa!%-lOe=eScKcn^s5T_@j3ptMykI{o3%v
zm|r7M;#kO<t3B&3Mf4;zrXFXXy0CfifmebavP-16`k1)Qgq>oVE1Dw0s+^B_wHN0t
zTX2}~;G|sD_j&7Qe6sGjD9CaAY<Fc+{<?hbYl|W$scRQ~d8J)+Tv+hk@AH3`J6(@x
z2@<sIH1Z3-vN+=!)9<BXnuX^sr?nZ(V|>y1F;;Bdk(2M5c)z|paKB{B)BD@&T&!8Y
z_q)3C_b+|?dQWBbw-q{uLNA;)i7mN3kEuaL>Bg>-b&azE(zfr;x1Lfz?WCj8+phC}
z{hcab?^F6Py=eFM_K)$pb@%_L-+8e!@%p-g<6hjib+4Wb@nv(_eV|fA#^=c#{=%!x
zpF%$e?UwZCUd#9L_j93RhdDm~zU9EWR5c*G#Oj;NWsUZ`#|zds@$A*uYZlF?eMi;9
z%Q9y9j6$2}9Ut#64U?X`<BliCOO~B-)tlyQG@s?lyIa9Y{#wYF6icUki5ZeomXW8-
z?sF&T{8Cd~R=_UtGcJF=j|=Z&DW4uA>9*8WG7q-C=q+lymm{RRrmf@GjmsCMpK|Pt
zoO4mycTw9e-lA_0Wkib?=bkw@cRt&?3oe|$0)jVAKf9=Xm*PTxYuPGSODFv<SKd-b
zC;hyC4LnNKn`f*~e8PKIoM%$>JlS4}Nt3t(WuBBhf6FJCWZi8dy6X6qqpPMf-M;tu
zykXj|ig1P7hd$n$c=#o+c0=y_pD(8zzi=-0pjov=b!;KyU#m^ITS^kQD2lCF-JZL}
zJf$v5c>cN^>55$!xa{_RyKR)cJNHxR$93Pn+>^b(E#j8J4!^4Rvgfx&y)ihUIO}^~
zzI0Enq)Y9kwU2L`RVTOcT0V|DDPh%+`z+aYf6TT&Q;(T_D_FFiv3j4^5wj}_i9Adp
zjSRC2XYhy@cn7^Ok}>p_C|j|8O0?R}%Re~&zGvb-&Ty1rH-n&H@To8!@W3Z%PtP<J
z&q+%<Kp^M#wp?t(nW7;9j;wG3ylKkthyaKV>~IoUB+#niqR0heCV|(BVkd5qG6d?4
z%^4`8t&EH)<FemigVR`PVn?h&A)%S-4jE1dkw>O%GF_VEnkdo6z|QW>c+kN3Vwj5f
z#N5gACrJq&zL0RhfX9fZ(Bbk-r`wIJMkxXd#iA4cE>LCN$jsix#Lg#^V8FxD#>|$Y
z&Bn~YCSedTqa%@ngPp_4!GWWopg}-T(Ad}*6bD3y4QczTx<Ii?CO$#HO}d8-csN8_
z8M&oY0yZpgWMbvux{N;-utv@Q|NBicCTIu>3OeURNF{Y7N(d-8G(1pP*b8>IhX;si
zZkg$1EpkG_MdbD`T~!qxD-REk7d{JRxl(wPx^n+cOA(xs=;7fZG2y@hhXtIinyK1#
z5}INQ6D6d4G^4=@9SgzO6}loN%bt-zS(b^>;e>`WkHq5&W)TJk9zF&xHZ~pxHV!Th
z4$vY?1{OXY85RLG1wJ+o1_lEb6Ar$dck({b30dA#i|qc-t(~H5bDICwS~<5xd&E@^
z*#x$~b6@px*^R#&|2OS_sox>%EfM*k)b439H;;cP)0#DZ6?77dPP+czT@jG6(Xm=d
z?T*`Ci5P9szPBQWOqHK%w|-g3dCL3p=NUF}dIz5^{jlQ-OMl1V1;vFfdgiU?776|I
zjNzJpIx%6VXU()(6V;a*R_Mt!xq3g0T*DzJ*naTyvyKowuJgXCmppg!*iUy(C=9I8
z5p8roRQMsrgk|>WQ=2^mYlQR<FFz|787I=;<@I1@f!HO}cTG;Wdo^<IcD0C=2VN)<
z=ANb3lyO>BZA)zHp|;r`8M{S}=;kfF@k*^@%U!0#)3F7IPHcH`_KQZeRO=*#WWkQu
zCtF$>9j}W`_dll4QRHJK-u~cO(91WOP4kZ|{BXkT&*fFq)fX#xSn$p3o}N$~S)r5J
z<ep@<I#7CF<~RSdT4K4eEl0OShuqj9#;I%GwBw<k$gG*&^-<HcE*Td|$mRDrJYDu7
zB!p>h%i#~jJ6XiUkDd>@_;BLoMIM{8dgc7@c5pKISE$XM*l_Gg@AO%k=XwqvF*y5&
zu`9E6@wMvTj{LFyrqhnvtn8BB`*9h6b?hw$=dY)Ak6&~%|Mlbgjc2{Jy*F8$kDuX<
zZS6jI_Rgc|vf`S{T+a2U8WaDo`jC^!Hbeg2dG6a%I#)KYXuI3B{@<@_cD#Bk<bG<h
zbu8K0nyw^y-CT0%YF1XsqmFe}H&5S8-qZeAZbJD)nF|wZWEn)#mWsSK-S;FpHY<=r
z-%9P7P>upeNETZf&z2e8#(eR6pPnyT$Mr;id)V8ot^6FjUr4-MTM<~_RPy6%*1E`p
z->(L*xM6hr$GvllZijicXk^B3+nFhz@=7ODWzi8~$(-{s|6|k4nbbD4_bP5>o1yzS
z{kC`f;?NJzm!I<BQg}4kyP<U}Ur)mCNeB3j?TFO=u{Xl_-p0WD42zAnF-@AWewogV
zy82>qlM5U#Ty7jZCdYR-Z{?&<2Fv^RKP=$hHH|r0H~N~!oc9Hbd6;Iox1CM&>TtdE
zF=Xc-&;04(vAlC$6+GwJ6C{7KX`bH7g)X0i?3BENFTE1jGH0Vx`G%!4n@joiOy9>Q
z-H6|+l2Wc)Ic3WhZAaH^)fK{FTvNmNe|+lU+BKbNMwBw!1(hEUOa(V<9h6N<;%cAS
z%xBDN=OtBRV)>(DUdX%q|2^hU(z-OW+xKv9!K%qRohbrtE1z0SiK?G><<Rf-wW~_3
zicdb_TjudaL$ttf65F2Tmo_ZVe#fa15!qGM^ZTN6&;0A2|88u3&eXc<^p^X(YWzN3
zS|`V^5wm*2kL;iI69p$K_3Fkks|oF0@ni0zZN0sG^K(83*GMS_Ecq+g=9DqrQmZY<
z=caHyEAyqA1hs{XY%hKOyI+aF+-sNa`~Qx<yZknlIlKZ#_?me?PMjz9NZIzT%&eb3
zHC5F!wrB5tuNm6-e1@}2<MW~$9r5DzQCrts5>(?pB(`}`2kSh4F0oIhX<<4h2RA=F
zm-~L7eDU6$_blGuFMhwb^!nS6*R0<4ZvM7o`@P-I@9bZ9D{AZ2cfQr{@04HLoxINU
z_1usD=6=8THEpi#xn0HgD&L>KeJ|3u@8<h6zu)aG|84xbC-V5->UXu@?-f0pS9on#
z{=MJN_eI~6Dt^1;-s<<)O21EwzP@JXGyBi)c7DI}?f9DPYc}cstiSKweRo#%8Pn(M
zito?=y=`||&*tYlzuw#Z{@<r-GMkT8*59dqe|Pg8yX1AI@7Ml5U!A{IKXkU{_1$03
zRsKKs^;+cSb9VW6E8p+gem?T`8p-o-%iq<0UuXV%M(MG=kMG%k-}m*LMDh8G{JZ7v
z|8CwVnclne-<<F7c7I-DzdS2?>+e@_)!*-YJ98`fT50~g;{S7Z@7<Yp*7n`(;`=+_
zPv3nl#`xIp&maf9{brHfSNVKT{ky%}?;57fH@rXl`@O%<x0yea+WfBa_U`Z3s@@)r
ze7&YJfByG(d$#Y{`gl$0z24{ltiR`NKR2uPO!eb?#qZ~TT^E_&^D}?X_jkL?{~BKJ
z*?cax{$BO>wZ&)VC0{Flz3=z=>bI-RLQiMD-&KBoXZ_rr*J3umlmC8q=leDJ$78bB
zSwH?;{ci8;v!>N^O3&~4e9wOS-p$V>Hs7E5{%-aAcj?z8i+iiT-}(OT^t#IZuhk<a
zPFj?(l<PoKXvW0SWYcFE8*W{)y4cek8ZrN<*_O~gx6Dit+umDOG<Hg<MlXJrJ*BUA
z*XG!FJI#yA1z3-GHFj>?$*t&c#4E9B<IR9Gtw*|=rwM6$F7REGaJwMs=nt-=T{#m)
zv{#s|h)As1nxyK;(JdMyp&PbmZ^=fdiqA*6lEWpmJ(Uf^9^6n!KDyv*&s3EFDeV=x
z*CGmQQj=XHuJnq=%(e)V*z+V};eu^_qQa+TwGX;gtVy_YCAszH*8Zs%UdU?)<S;~T
zyr6W#i)+dR(UjTkYb5pwL^|FMn<#qSTUlFZao?JR35_X7J4+``-6H4}CSa}``S9(b
z6xA%3$)X&y=d7{FsNCe#&33A5yZA0vJ^dGA9N&DlH(c19*Jy24${nJSnA*yDd}?TR
za738(`AutB*7%<8%Dgyjs>!~XFt!zWZQV8J(=8rZ&wiK}|Cwj`ugn>ueLIe>ndK|F
z`Ie1$nrg;cBW=I#vuji=!p|0Gt~T-CUbe83?N<8P$rt8j&)aUcDe~dlOKGZ^N6vDw
z%)Y-yVoSqjrw+4oU0dT#{Fmnke&+c%@!Tub-0XR~%Dg@=`BIXex+&8}+sFFz8j~59
zHs4xsXWmuSUo~Mz;$lBq{=Ia*OCeO-ZhG0qN8Gp4Mdhy+J8C!kvakJc%QItXV%5T{
ze5q|=<`-q7S_AaW?#JhxOUUUvd_$&tV`cxw$gs_~9pvrbEaDgb*K_vE4~A`~`3K)K
z*c539E^5#@5|ZeCQo5zd+EjFzlFrP%4J$HoVw&g1iv086%;<UY%Vf6SO^bhjixYn_
zIk6*jML+^m@5;!-TLTNH+H8L?y_JJe>&&F*T-H;rC}{6oeOW*>Y3glO4&U34WM;ha
zdidRh_l3(oYeS=THmCMK-r2ZM$8Cud7pw4<8S|uK)><upQP^T9Ifo(GX<Fr?4Xaal
zon{{Jby&YlG4zVnW?_%gw~LH7GIt;Po@t^WxijxmLVQuk`Fqb9?2Tk5a*1;JZZPyd
z8gpU7_TqapICnI+{8}rbqMK*>=JowmNiu;Q;=eX+4T=>F?|id%!`Gd8|5G@>Eziza
zdLZ8F=J$&1wM9%9?#0WCcfJa<dC1UsZh4?%+POy+8@)HJm7B$J+)4k&&I|0%bgnd7
z3g0?#j@NX<GbjE#n<ucniODE1QCBw0v*nUo@lMw3llsQf39Y=l1Do#_?%BcjUw^;K
zqTd@IO%(~+`NvYu!f<KkJ(e!k)}%B8l`_#UH#Q_I-<(^L!ppj)bH|dtZHfO~pL6M!
zb=UIz(*Jog*SpG~c7L_=zQ5)zxAgYZ?dq9cyw=t+YI>z})O7WgS$n_OKYF>;YQJ#R
ziGXYIYcKOgD{a`wWxr{C#pRnVHoMI8gEg+TOfNV7@?!5EQ$_dZPj_=3-v0UV&-Giy
ztfEc#iW^399MZ}SU6bJbkvGw<Q7q{1($bBBmv@C6^tkx)f047gP*3%iWoy_QJZt@m
z12!_A_EbOD^n1OaJ*&^_L$haGV^zM;BPa4f<k+c?*7bLpC*Hf?=lnNLt?#s(z~z4D
z6<mpPd0CRu-%S(c$u#GjpW;}Qq1P#7a)6D&;^Ec_yEElB>Ty0j_0rXb^-ypT<NNl2
zliB%E@5Mw`RdKJ9m{hZ1BX2J^7wgyk-|Evd%iaY(OMJd`Z_!HMvp1G0Y+osN=ZaUJ
zm%DlC=G_+^>pa9}w%@9m)H)%)^3#hW$?ZmtA!Q=-)jWB>6;GU+@$15=$?s*=zbCA1
zbx)gFe&)yXb5E}M7@w;&zf<|{&aQKY$!&)B*GHeL{eErR`Hg03r)L(=vwY^cXvQUp
z;%%1i=X1}JJSS27)++f<>GPhsLR%Z>&H4HM&iCj0^cRZM9n<;Ks$-|fEPvu4`=$rN
zmOmKsW+b24l6{Whn(2{iSG^V;zNm5k-MU`J^4mw$?%cg=@F>qa=Gfg>Y1en#I+otK
z%e&?wm-dbZS-k{S@eN0L*L-m7JN@y=j+*ek(?5%1-WeZ%y5Cao`P|2!{#ojMzfkzo
z%;osgf|oxYznlC0U;6>u=lkowTeH`dpZop)U;KsL|NsBJZ_E7i`@h}K&m~?ko^Skq
zZT0#8|Nr0n{od)jMfts*&rK@+RsO$Uf1lys-rw(P|0{kt_w)Vz-{%_d&wc)H|9|l}
z@BY{SFQ3o&ulE1Fou3Up-249S|L^?`@Am)y|M$D}1L@>G$>(>h%jf<6zOO!^{{7zn
z_y2S6{``0M{kiE4-|zqa{?3-?$M^rg|No6&@cUeS{lA}VKi<tLfB(0=p?uo=>xP_D
ziW#(u1-OMLh^m}reEn|oW!;<iR8+V8`Jcdgf?)?kJA;y=z(j=(4*?ey4kaNLLC&TQ
z7DtyR1tp=$9Wz{xs3@rk8g_Pgy7(w12?;`l9zC}Ihl1{>mTr<tDNPBDj1BV)^A2+l
zn|d|%Dr+A19kCs{1<?<-9ms9G&9ogNkQ*B6;$m#9*rK2$B=~XtuG~Cs9fl37CUwl4
z$)VWM(b6$veh5S3DlMf&GiM4o2?%y}{0P6xrVz0pqv;46qgX&_sH=;MiLtS;6G(Z7
zi;K&j{j2gAvlg%+p;uQ{1~2!UYgGzjlp;W=XlNiR+J3w2cHZsW-MQP}Zo9kfcG+!=
zL!q`PEfN)6+mYewqOBAqc(JP^%mpgckv3~)X4<luGt)2_3c^kkI2BK{2&6c17%8&M
z6ln6{a8zr73pYVR*>M7Lhk1YQ{=eUD=kL$m|2F>H{^+}}!nW_ax;C$LHHcXY2cdDH
zf>#Bv3WB^M7%F&G2*O}C4M0Jm@BmouH+R))1X#7A3l&MNaAYol1k%-=XLIw4XWzaf
zrm-U;BGlEz1QgIpN`iv_R|yIh3e;nP|3AWjcTA|OwvrYa1P5BivY87};K%xTt5<b&
zq=6Q~Jw*-$T<k8b6(uL_h2?}884?(-9AKCzE7HKoz!cKJaDaiq!NGySVF9Qkf8YS)
z0cDk}g9{lF85#~QU|7KL*zGU#46VuHBJ;P*%5t3{yuhsF(#c5vomo$~k0|S&4HZ1`
zbI%HnIn!fO%f$5rjjlE+EdT747`8L!;EzjrQM?=H%oXo0pA&LgpmWl`qtid<ux6b%
zJoqVDrkZ6#&7Ai&;jBXQRvX+)Z}=)=UDN)4V>6dcwOZ`E#c!TTzE@QHa3m-p=U(p4
zmc_Cw@5p_e%emR%(!sy=yPJ8k!dYL*PPxCjCellN1@{4#2jZu9U7TjaweX0ISc6zc
ztmx*0oAUHH1h2Y9?AD9qh`i)*o<T6@>y4w_u@*|3x;D;c%!=!H5OP?L>&0Xt*5IO=
zFF}Pj{QZS~T9*C&oNYdTk@uqY{}yG0i$vIcRf<yhz$H?4b*GiRXTY<Y!n^0~HR@y(
z;j)>yFn)9QjOC}D&s=`0aOUzyg=a5|IG(;J=(tp3*^*geaU^G#%pn^~nWT9!47r-m
zE}Au(v2iu6mUm@2%qA+|tS2Hck9QT%B-vF)O4>2TTv<W9d#q$Mbe$i2JiB;(sgwMk
zZTF+gxhK!waCAm~R@Ozi`J0!{bA2qsus}%am3^42^Dl2zlhvVD+8>;VTYBRCM}3Cd
zOp8}N_I1(Y{Ji99oBeyIW3!i78mzErcUsZgcDOzCV!-<#&a+!YzADOW3fKHq`G<SK
zwgW4wSn`9W_T=9<v|jqF{aKr~r$U0fGvxOkN?+KgGGA>z|Gvz-NeQiceMEl!KYvl+
zXZ|kTi<!#)-LX-7ZfwfGk=UF6&ywZUIaMoxB}IAbFZs4kaFy$Rs+DXmc_&+?Z0SSS
zV|Vw|v!7R){=4(_Q-`P}MgnV<*M%D?e#-lw^!eR%Z-KXuWdj)gnJjBNCpg``;E2Sk
zd}h&yPSd)s>~-|L5wS;O(vMlJ3r$u@3e0fnE?d51$#yowje8cktNb$UpZeq~)5R}~
zGgMgO4sH;z4^22^71G=AU(dqqQ?%EU33GUEuCwr5E@hA$+vV!=<rEL=OKt8+CCfED
zIO-IW)vH4n^n4BBQv9!Ppp&#d>Pe6h@2$9t6YfbZ?D;Cv+;L&GuGgaFZYnR^l_m<`
zVLj?s=iO=fT1PbLU;G0OQLm}@wUpC0NuMyD(9a`qRx#*j)xS_)(*p_3l`piVxwho#
zmVeT0IgzsWw$*#B9uEVL8#1p>_bEj!|7uYw=BTo1-KVqF+)7LmPqy;@O?4MstK9Bu
z*DBDt#&q7Z*jA>8XEH8)b8CKbt;_OixP_D3{%;x{>!g&HT61-}eBib)yQ!_~vu3%H
zz|VTdg9`#y{Rxru((7|E)0BK0rF^ohaNg_luYMYo{gv=Ntt2X|o-5~8_VmS~WjuSN
zpI`Z`SoYWO+%(ryCVsbUc;1}4cyyTzhvf4kpA+BwGK|u7zZEy9rBdR}sfF!dgU;5)
z=d?-hes&=+*o{H-`H?+}Z+=PKtx9FT?{4HQx_jQ<XC^fvJ%Y0$Ec@K~-hYZ;Fk=y4
z(j1oe27XP&hJJ^NCDaefiYOnH?V9|6uWjN7zQvve;>j)?*OzO2i{7WP<m;5Kwh0Tk
z0&Y&aBD~A+pweRH$6983iid&@t-Bf+yCl~4)KPxFRUbl=Su##_8QO9FOM8>S;2^5p
zvMj9Qv6s{gVX?`dILvzN(~1vqyC$WtZ)h-%kYuVVN|jMu=C@R5?Na3@GWL$o8bq6p
z9E($II2}`OT=s#BBZS8(GwJA~7*BnZu7$csoi$d3$Oak3cpuhu331hl_IlNn7O_O)
z#nCTQxVoQCT6U)Jh6u~5hR|&1uP4e3r}kGEq(2qxToxwQF5;gXFzwDY_J=pOG%b+H
z(u#CBc<WO0tS2Rxi=4e|v^!c}t(BW75^e635y2_2Va}q1&$GLlCnTKGQJWg+EfW&_
z_e1v-t@E>3(&tTPx@zpf<1J&c?23IxkZhpTBtF}HtJr1-6|=}mt>_W4i(DwPKBPIf
zU6G%UH^fb=eNSw!en@BUVS&Cjo-p?==cvGAqN|S_OZ5BW#I{PY)%n%yM_i#UxA%D7
zT;zJxV0x>7bBKk@l}H7To&K81k7xaI^Ph38Po`N%m#J?J(-9Ld*#J%Tr%YuxcVuWB
zc4ax$Fv&8=PN^xd`iw|UZ(-n@Uq=;oX*JCG6v`eb70U0Vxr0UU=9xv0*WU@bZ1m8(
z(c8u0td5+1Y`7JZ%Q7>KfEe8aOQTAgC)#)`7`@iD6*38`-LYWGst2o>ia$-hHfyrH
zq}i;EDNDU-LV3-Ww)H5P1j=gGeri*UTfrpF7o5O9YenA`KF_;+`XP<F?UsAlWLNZw
zv@fY{(+=zGJuJc44EA!|ibJBS4zwLU;q1t^s#R>MvZT(D&}xxQo;HFrRpxTsREay{
zGfB@WM@U~u+f!W8xKn(h=aTLTzDJZhuU~t~{yDPp^#8w^*^k2R$?f~=Uf=Ds@2IOH
z|Gb*XYxgp7)_+;Fq(FCxf2FH&PS7cVuHaPT^@{}DrX^Q22=r)&Zkf%|RM=2vA;|H>
zhQE#FXv|Kx-<=9Gj8{AsbWN1`bJ4nb;_@BkCmS56d~m;CxZ_;>sds_>>o+gIx9Ee9
zzv7u^k-T}6!VlP7eEslzcH<A@y?no(h_z-)op$!0VWjkI#Vv{XG54N{`DJ;z>)uvP
zKD&9s594_8pHHSaWlFtXtR5lRacPNE-x|GMzj<7J(>*!<v32`hYzaKGlv(M+1FMh5
zrY~40T<m)B-}@rtileJu)Jr_Sb$;PLjy%Z)pI)zE`n7#&=kxMF>0i;0cYLf|A>Ejp
z_U+rOs||K*RV04h3vsT$tueLI?<@ZYo5{znY<#j??vJLnVDY5W$L-fUJx<>x_hoXZ
zm}=&lcALbf3SO79EQ0pd?C`O^{H-Cb>~zxHcTFFIcgg)a86y@fb;WJ^ge0YBD?(&8
z#aZpL;qKJ`T-rQ6<>l<e7e;YRtDa12^^|(8=pSLp@pOw+Ux<Fc-!AS$=igMlP%YN<
zxg1buasJq)Eiy3+CVrh@>~-3KBYLfr`qTXkIZcHxKMH25CM>hk?)TFPk+oB*Vm)&u
z@9E*EcYJOMS8WcJx@yCHbbe9kMfEgGpUVsEs+^`YTc|I6e4^;%i)Wz;H8a;MGp!Hd
zWc+(KOx9jy%i*sn@7o>S<~@oOkIlC!@ecYa5ph8Hg0;-vtxsb879W0Ob@|*})vG-I
z73q7==j%xb+8&v^DbZW>G1E2AhH%BL4>C7o>V+t7eZ+Y~r0-Z$R{Qe3lN0Zmi}rN1
z^=`2~zUpC&LGMq`^wmas`b9_n`fz=!-VtS$5LvkJQgDjfhHW=m^aaZ%U-;!9q{x%M
zsj0tpW~XU}fc)X>*Vd)qa9{CFds%3Hu0i^vx_^F>kMC<f{v(?@XMb?p$MRDazx^bi
zzc*oiH0$f{m%nE|=~uUEUaeGb|2^!(>TNgbRwSJ)VpA_UZIUng<&RI*z3)%L*>aD|
z?GgXC`}^mfjTcfFtT~*I9N}Gcihlvu`g`e@FZ|2knV2=<;qPL;d)&<qLO&O-JM(i_
z%nL{Rc|C{E+Z4=*xS#mm=~|I=%*ES|v$rjMzi4;*BC*1MEETWcyUZ6qzoJASvE|CP
z!o~AW|NA6+LecSQZ<nRXG)1SYy>i75#9f+BNy}PaF%c466|?2=&Dt$-!WFsVOcyLB
z239E?=DQU?f#b{6uBgMO7ysS;>$k<5*aU}Dd%u5{agp=m7dbTX#M!64e|Iii?*H*7
zTkiC^$&9}FM>YS~%Ox^|mN#bj=lx!9{_yCZg*!SE61wN_e=@)NZ{gqn|2Ch9x2fAP
zfBT=8D<gK=CH|Of+wjnK-HyVk(&cxy>~Q(NGy4CxmLiE?@25<14*Iz|^{P|iKHV+r
zKE9j%*I6(&cIw~xZ*Dnt&rY{CxBQzmnf=nallFPp`{ems4%<%F{a359v1Psy-*ny2
z_cz5X6zo1c`EkSw+l?Htk565H)+T(pJ?-(a&KJ&`3!l4uS-5d$VbZS)x9?aO)?UbY
zH)qDa46|}SA3KxT*6K>~yUxz-@0-E&C!66^MFo%Wg6S%<0U!UZ`sG?SDd?2#x3H`J
zM;I<L9Ar>A37YZi=;%;5so=56aZ(D0;+ZplCO_Gxu${H&_K94T?Y?)8Kv1smcGgE`
zg4<aispTa)aU`Zao1d5_k*1a~Lo#v3%mxrUjqe#h7P!Cg_Kw^;W_i-vdv71heY_3K
z-4^1xN+ooXmgm$ZUO}oWCqcM#Kvs6%5j&AP`L;_QchT)9+Z^&{-%%^NZQ?l@6$y2A
zxVR`O2@0rsPt%DsY7tO7bjD@IOb%n=#5AQdJ}oml4U7fTV1mZkb!XTAG~J&zafwHe
z%OqjXE{`A;&q>>5@0IOY`(fMR+tap-!kLbqY^st|QUWHSF;v9wh+%<dnUhRBXQ}v3
zQuCa=#PgC0gnM#I2aA`>G8dOA9W1I!!AeeEE=@v$fl5MCI(#}iToeQaJzQ9X1RGt@
zm@JH+*}?EWW}unnMPmsxEl<A_xlhcBq<8k-am!QQKJhk~d%MY#OHFgel7vZU43$<F
z6%{2#LC#JO4;LpTA)$#AI$9t?f-7^IZ%xaJ22o{O*IwBca@#9c`pUN4gxd~Vb8oN8
zy}2#x_BP#>lcsnTg-mi(wVbLF8l)<zb#lR^IbM^rJg4bIZb~`H%qOAYpuo`Z<8l4p
zUthsj9oGH*^|hV@bZ8Q;UL_P@>w(%M&Y}93f9Kn_?R($mdg?j3a3J>UDYAGfDG7p(
zcam(IIWsY>DKYJcf$?E5N}3^Qbf(AP%o!eIV;*B;Na(^z#?I|4T%Ow}%Q><%G6;0`
zgz$?nFfp<*EO0o$z`!BHz+y3hLE*4d=fOn^iVTe`&5R5TT+;95Uv=GFcI(jtE$g)x
zGhCLi#LKKQ`n}b0xnXR%Pt_jQ{u1par#^C8oaWuU%&$^V<HsuD)Uy#E4gJ-weu>DO
zV<hW2OI&Ah?D3eRd%jFQdTiStaTSB@ipy5*-gZo{NHSv&+pSIOudGmexb%^)@UceD
zYty=2FZ5;AFgmlJf4#<4$$Eo&i{OLk%AJa<yRKPsE6=|CadPt`?JnCtD})Yy)NJzL
zm~yJ_{ALkFwU~debJwRm@w#v&!+g&pj)@MwvksJaDW@D#&0zd?MJ`6=v8!@Z%aU*@
zo|W?#8K&+$+HCk*&zenV`yYvdyPpycSWRs<Jgr;b6A^A{k+Aov(y2F^2UZ<B_&`UN
zu`&272Z!HI1qBN)$1?{st(BVGH90uuZE|uc@M;r07|E5y;(yX1j8ANXtboDXE(gDd
zT;@hy!5k_&af*tID_C259*MCPiG)v>5q!r<dFc->NqeW2UBM9s&s!QIAARk6;(tx>
zuU6kKv*l`QrpF8Xwh83D`Z$osb52NX;Q8fRF3;jyO>$#%Pt0AAImcwrQ4Y(-tc@=!
zPbsM!DowiZ?P^a9r__Qg2etbI1Fy`v@H|p#;_Pm&oMe}o>o!c>Y|-lA_ECgMs4H0F
zght##LC-?g-Z_tSMU1+_H%<(^<CHiraMdGGHK7?6lkU8}wB@x^2Up9Va`F2$?3-UN
zI&({)$LeScXYiX>5&ImL|6Z2ysrURk>C_zO9alsq`_4!UKVHRZRcqwhoNrSWD^e`o
z^mFM9qr_RUw%5d7)NB#yt$i%7yHO+3k!7}*;lt`x-kirjv0Cm`cb%MHQ+8J)S^Chz
zB{Omknd}xSE4Dg(sF_*v6Sw^BqaLyCVT*&FnoTrsn!n($*N3%FFHd~4;2-1K#`TRd
ze~a_~|LB}MP2sk*RepZP`DwR;wz_hzFki7le_@_!NX%b3wr5?x<2N0*|7p$l{nDbg
zFt@ws0t>aXvrbQX;FqTprj_!bEJ}*={sqGt=j>-!#PrM`B>d$TjmY?aYVI_J$I`p{
z@-w)fNYAqSnRcy`L-Xndot3^hPYb3V-Ml1P<wgJ8#0v(C?WeUePVlzd#?7qMpQppk
z=*m?aA<ohi^Xo$Hl&l$76C#UdmQLIr!>Yrr)%@xCqHX$DH!k9S;S{hWWcxFjqcO(!
zoK)C-%3fV^Npx=MG7x(3%b+sca>k5pN>k26%3kUTkcd=@6P<f(b%&g<Sl7ult9Tyo
z*||w(PftkeG<VfKky9Q|*yP}IjQfc1cBhsnT8{oJ)lU6+op5en)Q;0nrG<~5Sr_&t
zMSFvHq;l7v(uA~l;i{mi3zo+Sd;KdlNZ-#DyQ%9?epQvOM&2gYh^29SmXDbVm#<|}
zT<LWocnzoOe=CE{;jMa+sV{=ANvTe*K2Y?~wU)6YX5v$)M+?L}cl=p>tTW+?-OdkR
z6aJO3gl_v#dSp(5N!`{DrAPK8EYXO%QI!;D6|^DpOI3oOL6)#iMXiCoZ0&W?Slb!X
z`z)r5uA6t_=rlJagTymyRy+D{Q~ER|d(oNoe9PXbE>sU^tUhJB_(?o_TG`eM>d~5i
zGVkfehKU>ht_ld&ZlCh8X~$*VCWT1X7n;|4RP*P~xVgS-o)2${W_E9o^#A;TRiTSx
zjndzl_a)3_vJ!c>QMqfMx6b!WiMS4y<ss6%&im}x&TlSI+2+D&!{EMGLgMZt0gm-q
z0S3vf$`j*`D$Qh>w7I~~y=b=coY>BN0u6`Px|_e1uxnZU+936i%5JHZs?v$yd{<7`
zZ{_oQUQ)PwR_4_sc^?Jm1+{mo`D|D{(eLE>NU<BQyH`!PT(V+zn&8%@GybbCdZnHm
z{z%+Yy?`-fd1>U6DNSC15u2D;r=NB^?!>BdtYF8Kl})D>aS2_Id7wN^AtgPaal$!A
zrG;_LEKZheo-N;Ge_PrL1>I*3S-go`!_`$hfNLsWK&Teuk+j>s#{Eu-AqLMFV<eIu
ztvEBIWrsma??NUCuFOOMQD@#{kt2r^VtPc6MMSI+V(d{q*}11wJ&R}4(!3*yXV-J5
zUAuZgIGoYe)pX&L)$GM(p(j*VH_ZDa75M48u<>uLi?-_WYI4QjvR=#t3C{}rboHa&
zRoxv$pW{E;JBRFbiQI5;u~3{-g<^`iWrNX#EX}?i<1m@dBcUvue|CxREf(lDOyZ7{
z@cU*Z#9cjSrN*oJlNzB#Vo~DZch^Ry)~CsK9u09zxZwI%f986xNi%l6coi#hY}ZAh
z<j@SmIb1I?lMc?{S?X1?ZG}aYQXH4<vDGZLzG707SFY+kwsYr(Jr$B+u9MFz?YTMS
zsK>?yGhDcj%}!%%c@na~f2H4)pI;x$*&DQD`e}FJ<7a9`e?8G!;2#-1WzW|KXZCSb
z6=^M49-@A#rnKNx9H(uu=%M_oGHH#xBCdd?dh$h&oIWm#W>BmYy%@a8*z2E_Me6z%
zz0F)NG_M<~OxoGY)+2Oidi$9xVXmk7L>H?++PWxtkJp9EnTdvyS)p%kE;QKYvV+U2
zH(c?Lr~mZEg7jq!rLUeUs_SXw&0;lF2^X||Y8v=tJ$vytuNmc2R(Y>^YH#VJ{oJQp
zkf}yHI<LdyOn=(J=0h(%SZ?QKUvD+YVLoXnw$F#-#JcWDA|3|a9K!q5Z(iMa%j_I`
z$Augwx!rnGLKGUWDf)b|J-V*%?Y6=q(-t@34>wB#moag&@g$!5ZnE1d=k~Fms|04v
zY&gE|$UaM3?GS~GwYECD7Vu|2T_|+uoOy6e$GhKxzvdgpt4@p+?a%5zoY?A7;I;Ll
z!Kc@*p-ow{v-y{Q5dXa2#qY=D_VB&CKXqNW5EB$*I62SC`mJ87<M!yQ2BERso)7P{
zKdTdZZlJv+_0+1uRR;ybwbuQfpE!Z*<l7(R-rdYxldgz--}Evqziai^>qlY)|9k(t
zGJlWk{JV!9)i*7f^C-yb?w+}i!sP5P#GLit>~}SKLhl3h2x&+E4cl4fA6du3W_WV{
ze)F>v;uTjF%6K2(cGub-vOUA(+1KvOIU)Dk9-WYIZk_1i*FB*j-1VVDVt1SR!jtzo
zO(ScMN-Xb7dG|fKLiM(_YyPfiVYAeFf74HjQ*8TW*F1W0#769t*Wn#=-g{rX8OSVK
zwNhdGomKNs>px$ldqQ=}EYZ@RGB?*dPUf^Z<9^ilgdEpCyHBke>kF3{buCWn5)CkV
z{wlyY>EMe!Z5}%picOd2yBTc$Xv3%L?8dLPUd&wCFmKZ=jn7w`HLF$MoD6mFuRN>q
z^L69Q*wB*sv-ySlXV!{-ITPyQ9|;mZIAyO;*-5Ph%lEiV+4J?lnSET}QnU~4|MF5w
zAa5DhqGkJHQXaKF^4iUCI7Rfr@)&KedsPW(v8>gbL@#U(F;<yWIh&`$s8e5j=E{)P
zbMv^|)sL-Sm|UTKVfjj~WTU9H7j7<m@WN$>)fS26O254H*Rogl_HaG@x<JrpSJ)KS
z4^xgV<T~|rgJ{mCv@70Rtx1<YxLY36Dp}X+XE1r|B7ueeJ3G9>x5}1v?%_J0y->6#
z<*bJ5kI1}L#)c&m7_y2=c!U=$Rj_;}w|mvbl+)8hPx5dbuwXpQu!mt6gP34<hwBlg
zB*Bdx5iUAPVuCBTOx@;qE9_R+mZ@9jZrQqp_fpuUuqC<9w>mg-UAFXcWGXx5x~%Et
z*e)n|t0O>K@RFO0fd|`31xc0WlnFeO9F0JnlZDdTQ4o6nY01Su|IhT~c6V&qT$+|9
zbF56_c4AKZMp-n5b&u>ZzU20ehi@dlF({LOaBpwYMMAa$lSR~6LF<FnJSQ#bUwSb2
zwip;LyRi)fH{>SXP6EN`4S)at|M~v^r~UsQ+y8&R|Iz<5H^e4x6Lc(^E%*Qa|G($|
z|GoeJ&wUX2_x}Iq^%MW=|E>T0|NH#^`hU*<uaAI(AOF24sr;R;;yG!_hY#m_a=$~n
z4*&oE|M~yF{rs8d&!j&y{yg*NnVK{^V=y<(X4~;^H{@<7SLgJ<-DnHqYy$;1<CJaa
zkawG)V6MxK-VQUR8*VPQJ7$<EU2}7h7R*t0y4AtarXbmr!ZAtF1D!EvhwmM=JmKw~
zw~ypLGAo=7;!YA#@0@(Z^N~v7Bn!_uDt<yBZl}`!{<NHK)k|hKIM|A2yRpGnS~sge
zn9Yrijd!-ygO&tea04w9zb)p2(Y<87eJsJD!9hSmAkA%?x>Am`pb&=v>XP!8^)n=I
z&g<Ba>*C^h%8a`~CHMBXjV3!1e*FLczaG499AtPmXav9m6n`K_hl1y%C&w>6u$#ta
zKaI_uZTW%!|L32pzpW&gd7DG<;HUp)FY6uh?xef@K6Ap(_<p+D2jj!FX<N?wyfHTB
zOSbNLYq0I`4RnU?rj8RzO5GhgO6ZI!m!@4Z>sq*COP9SP!(kaA1|CB<%hnlEp3EW*
z%<LQtEL<FXYzzzxY%F{N92^WRY(i`v0e(_SLINCYJOW&7LS~Du*`G8}{PCs6%Yu8^
z>jP)oCKMDLGhVwkP2Fau%ekrDDJ|R1WaRAl+g@aJc>lI9Z$;TxnXi4i^4BW)>u3M7
zNir8NJGA)EbA>3bJ*&U1st9_)TdZ(p``>S07@jy>sog%YYf0Ljo^L1joqE5?>ppjj
z^q$ZlqxY{A&42H@v3Akwwu<wt3Fd+8ncmobk7J+mZ(4Qw@7)XDSF>&S&d2=p@8bJ^
zZ(rIwcf;A(faA8zJ8at-bN{cn{xA3PJ@q%|^j@T%XE<{2aKncBFY*7kt@|!q7A^i|
zO*%vPdxHh5>P4h8T#Y78V|!JX`ugSkgkS9UFUfa^zf8J&<lL`|KXq$QfA7>it9<*D
zj)Z*f?XNc;swYf4t@ZfC<F|>cuWMyby#Dq=*!7U-9euYC>KKO^tzv9ayPH?bEx3G{
zr1`97%Z`=??H_ZVo;h>>V^0Wg@zJT--xxw<H#x_)E-=-awEzEQzO@%!gI8U?e@l5k
zll!f63gHV=*j;U3ym{K`EfumnpRtFncH#?9!Nux4OVo|M{26A<i?Fawyuc=2VBL_&
zaG~k&L+2aT2CDBEKQK()k#17YAnhC9$i&mh&cn=THm$~1#I#U9(A#Z6(pvd_ozkKv
z_oElvCF?rN-a5g5>ieRef<J3I%Vvnqt=sq`{-6I2-EG1@7AVWKR0Igz&B~naV)ehS
zdr6YJMt9iN)zfU(U(ojUT^ec9op(K?{OI2McYiHgbzS?{vt62+XT5f=oSNmk^7_u)
z*wE{{UH69Qt}fcB89q1YW=^s3F;T6}nv?S0znwNou1wh7M*d9Gn<~BU6TF=sl|QL?
zcBbhK+XO%5^>eSPrG%(Qv&~%htj@8o_`#YJd2F9dKFzAyH`7wz`Fml;^BZcXZ*G&Y
zZf`dY{>463P^&ZWl3Hzo%%W-hE*WLD42!;BT^k;hy+@T#m^E|tvhcYb%~LO><wZQ3
zzrA$Vl>7JoUt=)kN{|1(WtYi!!R7mubaZz7pPBNY=-=<P5A~Qd%Q6Gsd=K)HEiv4Z
zzjxDq_2)tIVjuo&C|2CetTk=fo;Uf%S-NpCTRe{+JwD}2Lri*DS;DE%&fj`IIV)06
zb<SS!yjGoe+9bKOrzx3wo3-5@W@oGFy4y7sw}u(3o4%iSE>_1y+v@SrMPGG4P5u&d
z;hbdn)@6A;tR+8|&eZp3E6;Q?&d$+%FT(o%^WzPyR$V`|%(hIsXd>Au#jVP%mVUN)
z(~Ex^MF-{2Y+ZJ!KUwhazcZF%_kY``FFzV3`|nnay3U!>eO?DrZU$yd|K4LO_BOiz
zY4NRtPUQxtZeGfsyVTg@3qz$h@4FYvTxvM^{Z!evoO7`$JaYW}{t%BRx*>ipR*VZ2
zd2Iy54|2UqtO>e&vO?k3;T0ZdgbN#7*b^p*^t-bA#&w+wk(a;SQZ1O9@xX*FSa8FE
z)&$lP3AvS(Mm7R(3qq8hby|3AVm5T?I=*1Cn!aQCtNwP~L%SNxOe(mVSMo$WaJs-`
zD|7yem84u}m4ueyOBWf1lZ+BdOOs!C9G-r`^6T+r^ThZCqEZ$|PlPb(6drJ8En$dt
zIwAhx;gaHrzmr*8EZu(iCmhHqZ}>LDL;a1GN@+ulEARJ%(+dqc7EOMumDT5=mykG#
zr#7*Dx2ZM9?v85aycbVI_=4YV{ITGr?*;eYp9{Np=sn=$U4O_xSH78l*1nd1E8FWf
z9KL%?t|j)!E<y8>0<QKIuO#9w{ZK1e{<rdu?;Y_SZEWF&v!?YuY)^}Ix_*AbmU$vG
zM4q;a+njoCm!t6W=MA4b(uK}!%L`|C^&e#R^>4BZn%^FEq(m@0v%sXy^Jm1Do|%`c
zrN5`>Z?qCv6Rn}rWwOx2Izr=UPe)3I*vHSm7c7=w^V8hjaVF%gcf;gW`qyVS_Gm0W
zt}(?(a0XkFs$6gaQ@!f^M_mfWfqQ1Cb{v_+87FAP@}s&w@-*j!#<PvA+oRU$u&K5D
ze`xw8)#G`WC%f{6hd$jum@IF1v@1y4?@w>J*y$)_*;F#MVNROjp@VxJcI^HhcVx%B
zWk!M9?5;CrS$$gCf9&m1>suC)*D}@B)cTpX1e{!}vz}Y>MchPz@GlLe#|6BySsyL5
zIqiD1XyT+zhHUcR&Wl8REL+t)@%rS+Q4`9yOz3=_nfa(u@u+J)-=saqUvXVc-eq37
zR)k4=>n*!Z@3l)4Ecec?vOIBj@l%Ibk#F~|HU;Q2S$@s%Tyg(r?zPzL_6_NAr<GPp
ztP3@H!gcvjLSVFbtL5itsZK9*#T3W&f5qB&TJ02*^zfRqB*~n|=I5nWZtoj~>%;hy
zv<>GT5S5*CC~#FAo4nCpk)JDT<+cfZcDZx$V5Z2jOUWM`4$odNKdh`{74PIw0nS7(
zq3hvdk(WM*q+XAA??^vtuW`mj$0;yJ`|qkd)!nr_b3<mi2FpJ-p8Igt$-^$2Z<uJ6
z&WwDdut*_X+%i(O<FHini<<HUbN{=P)^zRP>Byzl*QoXLn?q7Y^TMgCJG`#FI%X}i
zQ_IoJR6KY_M#$EkCt|L7n+1EA|NK&R`>|v1D;*zw^}GWf0j=3C`@Y_DEAP6Z_)R;u
zX3eDw@A~ZgL>xYO_l9%ShHjo3!WHOQseYAh=8|)d{=L4P{A7Dvx?S9bfM=PTJ2;AG
ziidAAJ|^6BLHA|k=W`ntoh-a?QNtje_1}^eb1!l#7~WAne(s0CG@j+Fu1UH}OgyWq
zS=uJN`A9{-*4)A)5|VzRPU$v@9@D0mJm)dz>3AroS$s^;IK?J()ttgp21%J~7_tu@
zbXv#Vt+rE2CtbW(W9A*MGrtxVT3dQQzQ@fa*}O=)rR%uU)by+0-A}yT)3nd6$?D9$
z1BtHDL5A&b99dp_-+wd3iQ)IP>-;yJj(l6GW_eT~!|IBq!!!ZL*~+&#XNw$acfYXh
zjF8ecf6fi=iY!Oc_HF9)zM{)8?~^iDNl@_0BzvKIZxj;L=1kgheYa<$he^!s#jDt-
z8eJ*=wp1cbV>#Ec6>qmJ6WsbqR7!8sx-Pc~$AT8!SfX{M@{HZeNB4r{78L%wFj3>m
z{u?T<8<W?`N`KTndihAKx!}UnZ|eMm!ne+UP&9jLq^o1%Q@=~xZ~U~M-k*|reWHd~
zNWNi3h>-OC=_j(YjBPaonSA>eSxR}fJmq+(S^Q#Wh*D`IqrJ{bKCw>+U(_$zv1&(a
z)bXyQr0TVtOkv>*3#~tDnO)Mo%EL0XXX&A~sK9cAilC!Ay{&C__O*OdE%gcMULAep
zZO@XcTNY?&G@iUwXlDH+F>5!gNU!v**gKXg27kMMpLA1uyUSU|$f!3~uhV3zt*-f!
zgwCrsg&mE;?Osa-WpvJb+UX*tEpIOswB?B3y-o#Lt@$T;G`Ah8d+nkiIhDVdM>8Vn
z+H)7B-l_e3Z6Z-gbBZ?oXgPmFB>Doo?8!&j8N0J0R>j;|>we2u{6^@3oTob`i+q}~
z?c_R<aE<br{vH>;%#VG0VoQvBx|7@G8E?8W3JOKsm68i*#0n<9zuw_~;#`t-+0~5V
z|Ea=?&0_U8N|n|A&UNLm+|ZF4E)@8pzQX>*K_#)>LN1H`TYgq>7u)#8OUdi6zbB_9
z|AGJU*3Uz{y>4c!7Bt3$MXtKVsa~x9hLOp|Km5hIyVjzKlI%x?r>OgLD1R4u@QUMM
z+N8Y~g?*Cwq&OH>KR-LE@PUi@?iqh2lto*vtu=|<6XW=o<<J*(jS1~sRbD?0mnX6M
zHAZS3t@U$QP+%qgbs86^;KAt39m2)3S8F@YELf0Zr}aUIQ!sPaC5?$Y&7RhF#4lW6
zqAn`Jt$y>)RjxTHX_{BQTP}2dxMk0k+g+u1WcG=kU_JXu<3q8U=~uO=4vsCF>=$?C
zlzq$l@?)N|+{Ewg8${gBmabUju;lUXUpq7en0lCm=1qC!bhgRqrhDiQ4W2hnma2~y
zFMe<KrPpv1`;|<g`dGy(HH%4!DZdn*drUen%v1TH*|pA}Y4Q8XTCC}(b?QXtJ_$^D
z_D#Ihy-q`L=_%PowMrLiSDbAVtI-lzbhyFYce3vy_AQ#s|8DHRTU_8{>fR*!@3+y7
z5CNszr=(6h_%3zg6!pHrsu3*w=WnHL^ufr~KBjLKRl*939R!M0R~s>9P1jPZd!rDo
zv3S}K<NaSxJmS*q`Juh{M$&A#Dz{gwjx7J=!<m?MY4whas-cmGBsM998)t1)nZ^+u
zyzgj_UglAuV-rL-?h5SiU35e+!z*?5Y=KXuKG{7XKbTbA6txq-P89J@I;mmuHKImk
zl9q<ysZCm|c{^_idpmhfKAz+}EydB(`OBYAtEC=jn5<;mXY|PV0++((irD0Z5xI$l
zC7l6DAxa+%V|YGrhLm{}#+Y?z1gtqwsulUo-&aRA#4KN?@xm%U<BXT`Z`ZM2Df=W~
zzu;S()S7@+EoV0Ub!>YAK4cwA$y%8iQqUCSxMa47X;#&dphufOiK(hJMM@s~Tk@lH
zhR>H6ohf{Z-(0hLoff`c6|hif!8MJ@`x>H7Z>C-0Vr4xZy3o(nVQpZ--T*C*o4RXL
zJEnT9&lYa-2yqis6rQ$P;6lbvO(vTtcW!2R(UwImsuAG}7hL|dxuarBml#`}7DqRi
zcfma;j^!#pAEh`7PCTZm=#f+;%4#*;&b#CI)C|K1>5UtvY-o9`%T%IQJx!ord*P!4
zhlQLplk>bpr$y~En0(Q)OWK#~-~au$m){ay(k&rf&FC@3p6Ai=OPR-KA7D}JUnT6d
z(R~Hu|4-uO4EigX|76zMnpGZ=`k>$c^8Xp<D-8du)=Vh34HAr-^><fx&pLw}rVAgo
zpK!Stn{`6aZvExsrpK0TeA6}IG`pVV#nWB!;*Y-1$mwl#<k_92;*>XOT8o~8UCKGB
z(q_)GuiB?xTlyPws)|1_znj>5FG0HO>XKRIFQ(*}^m1Qa^@b%i@OZ6F(Dkzw3oadA
z;c-O0pdp1nVTy>nGdpiw*S!#V{@E>+f@?FFwoF`*a-eSUs@Q<?39S>S8hI`Bo!Y74
zvn4?wYJCLL7opwWvO5hv1v!iEinQct?G!O_dd;fJlYidpnCXfOonLe%VisyYm5DW|
z3OvlUOV_fa`QsE*rO0(m?b;;^=Pcx2CY~zc_%@nt(b=mEQ3WjmY9G$6xY1;p_VvJ9
zP4SIA;VvvZW(7CzYm}9L_tV^(p!Lc4!=4H`eg($s3_lslj0HcwJF}|ih5qiPm)+8o
zCok(gvw7mdY5z_aC`|WGOPlu4!K}N(W!0@^E7i_Qd<<;iFqZkiuPXfU+?uWz+oiR4
z3d`JTx3}>rXfVs2<=M_!%=w|9Qcr6#yYtEo0t@)-EOf+r+nrjAcKYb($jUIv{Yo&B
znbG6A=wJhzh<}Sqi?c)Hk;x58n;KSa5>h(M7_TE0cvq0`!)raEP7fEAGdyp<T1~$A
zQjp^^L+7@W1qZfgCfkdP^mefe^Y-;}arv|@cB)h<7e3#Wcf?-k&9!g5{r0}y8Oloc
zW`^4Od!10PpUtuHY-ihX7q!UEg$ENFwyg9|ozZi2X2+E~p=Zy8mMi@_owug-K#I<c
z9@eIl5eAapv)zw8dzY2AZk4s5>HluQZMnD4onaHKE;rj8E-D!K*ZlVNw6x}qz1FjD
zuI}ns@o)Cq)i?jyxTz|A;;wXYahY5ZR<hr4_gSgUyDOi@FTZcU9ez4!?OEU8ECY!t
zJYC&f-ELMJE9_QJ?e^OLe%IQ&$KLK-S#$B^w%pr(Hrl)Dcg5eAdA}xYS7dQoNy%n0
z!SnCVtXb9Z;_Q~{%Xep%rxaf{@x5#^Ro`jR<@#`?q-GH{S^fLj_P5vFofWy((PhtW
zV`F8dO>eE%US8(=DDvXmim)%+_TM!-`|^KhOzQ2F-6^{jt*7S3nWnA2UzdC6$f?Uo
zO3!3x#ZDEx`oH9Hm>lQygS;$@{JzW#(Gi^3&ft3OYMfc>biFVAF{j0*@#Uulzi#{F
zHa|D_;_KsCXVw3N%=0|zBH?wkv27`H@Wu$63!w`SCp88Y9(ZWc_ZWnPqz)F&QHLg&
z=rc<{nTVbWR(QnlD6=BQ$4X6tm+RPL6B~ocr<XjQ7_wnQMug3zV;vcwK_k#8)$1*#
zx2$sKZu5&?zV`C9%-d&j1U8nZ8&_NQF=t-#efrv!H}Ipo{;YFpYjdXFyPxvyzV}r9
z%h~t$`TF>PZp8u_k$ZbvyuXQ?3&_Ya9sxFvCN7QnhmJNi6>6V*#I$U2le5rAnF0x&
z3JDJfm4XEi0v~v2NO;&($gptAiE>Rj{e;QkFmv(Dlz;^i6$uvnyuF-_$5j>|JbdYa
z;l>pp9|*JYOjzca^FC+u{;rM{ugo{UkJ@59n{DIX&1e5<DJ@!ce@*V}n<gD^=jMJ3
z7ZeQroAyn13BP;u4eQx^yE<N(|BkZWoGEbI`u3akN=mDKoxQWoKkc%@w(@N^<6T`s
zzRtc`{+2m&)=ak}_hn~BhE5f{_}BfmP$zTY95dtIj{Vj~n^$QmFZ$iT?X|^(v}J-H
z%iYt$LQ`Ez{$DIR%`nm9))^C}fA3D5S+%OC<DLDjbuLT?yS}a{$(IvkWMJUr4VGXK
zVN))YXsr~{THf%AQKW&DPl88GL`p|QN=i;fic5$`NQp;?M~F*Aj7xxvo6TkM0|TG7
z1rzt))$IIk$bQW--tJzJ5YN}NH?z*3OL@O{MPyUuY1f!9-?koqd5H1Tbgt0f&n8a$
zaA>wU`(9E1zvnHV%-sHHeffv5@BdXZRz071eBE)ObxS!`o$z;`vU!t;>(rCMg03Oc
zm#vtzU+Y27sUVT^lz81EtNlgyRmmT1GrIS%zrj+DbK8+U;T@Levs8udiJVffSy=Y0
zNuo74BT#eRl7`Ecty^4TCtcX9Kjr?3{LaAsDWR&jT7_0{vA><b<R39<hqa;nZXcoP
zS9l_Ch+7<*HgR@{(AVufMH!Wo&c{hQE$S30p5&)=Z(1SO)bmd^aXWjMbbnp8!?30&
z*djN4I{VtHSK)%w)@K`ReQWqZ(8PAio+G8TE??@)9I7<7HAUVLT5`~JmE6Lz&GEbk
zS3a01n3mp<r0MCogl|__aY*LAFQ)yQ4rHx)^Kx3yJ-uZLCJ8sU-HBvBz3od9@AlZ2
zXLlWp%8%yD^*mwswxVWQ)ZC^=o5Ghjg~*<meK*XYbzN}i>@9q2%H}_c<XX1lb;#FC
z*Bz?P2HSWa7HPiSbvwOT@T_xzV4OS4owv!E9HtBU@@{9GIq)*$`l(yhVt?8m{eGf+
zJaqZ&obAqiFLxh&I&-y7>Lb?cH<!k0zSH`9qR2Mb=l<Of*D}u?d$2Am^VT}Ow2LY$
z-UeR@47mPX?wZ@*U?tm7D`$mpZ-yy{-e23Cd?&WY_Eyr1U=54Ax~pB<wzMqRsWjC>
zka>oB7U%Ax4L2Ik6qdX^^35W~VneA&jO7GNzIAg>@VQ?1OF4c~lzp4?LDo4I8O1JP
zy2}eIPG)pF*7e?uU-L8d?39?u>vn2y0*cB$7T5gSs=;}q$ZGiw*X-?e0XHJ&NbN{Z
z41b<;Z`1!L))%*5xoTL|xkHP6F013g>2pF`gU>qn-(cxsIr{0YgLxjqIi`g%<x_;s
z9NfAlriNxQ2yU!du_@p8MS;<}_dgGG{J%4~K3Qv1df>hJ=AnQ8r3kcLF7!Rmb?k5R
zyr2IU7fml&C|p<0+q-eC&CMu@8Fq^pmtDNF`P;-NixQ`;64;!a8p_VCtZKSo!<vm2
z8ohI-FuI(USbgwP#}YpUn{*vMF7+j+7%puxoEEQsz(CNc+j+l(z_e9@k}H0)o(=8D
zpX>Z5?52mp^X@}B>{<oS?3qgTR7YoRiDqif+WC1u=NCg2*$?V-Sso=9ecE%@Yp(j)
z>(_d(huWnD{CLR!-lw*rJ3UbN;^NK=#*J~;BTOr54u%RJf4Tq9C%w;4mTkH?KljCx
z?aP8b_m(JFJb7W7_Eh%vj6GZOqHmc#(e{i~YOwh?DRa%5Z@*?7``76H;pCbvwUe)f
zZg?g7#$S4YO3g}>X_hs$Udi^HcH8{K*BF*d`i7sLG2g;s0q@<SAoo_L39}aOX$Zcx
ziSt{;L(`ol{YR&-S(S3}c6~(Dxd|;L+maMQByu}-Vo!*ADR7D{;JRaQsNAn&p6ePD
z-qrK>C4{c`<0$7m6&~>HKl2xjP3onVNy6$3H-vUx3S!I6`DJcoWDv`;jg`mDYsJpM
z=98Q?2YDwpnlF7|WZ;z*66p9U-6^~w#nS!atGjc=g}=-R)Mfv(Rq9LlSx@Gw{u$xf
zSA?~~Q&!d&H@AFHRrk{7RWbbWw6$!KHgoXr+mYY?ITcNkZ7R8PFO&Jal;7U9RX6oD
z_IEY7*FHMj<GAU}o6qmBdU4-2GTb1|SL=6ek;2TDeTrYX->_ZaUwWHOzxiL}iS1=k
zH$V0*Zko`tPTccP^P`sb8O<!S^}oz(6V6Ccyc}>)DWdO?4)-^<Sq(EEoLO?Y!}e*|
z#bxVE-voS$*cVrFTa&F(JJ&l#Om>OP+WQH2W+m@gF;!M7>H5RQ5Q*7>ceL0%ZHv`8
zbdo)E+ofhsHQSQQF4M7WZ=6zO`ihGJ>4iIwH%zpc{>aWVB6~}j&)HoYt!|%iGvx^u
z+B`9M2G4P(!zLvT%lJPiXI<)1Df+-x`y|IrkaP0lo2+e8_2M5D{+#hWAZECWd-?j5
zJH=aGur?MH=Q-!Fo|THsbbS*t?}M?^$zbUVf!p>6*BD&+{Pk6}+cksmZO>izynP&f
z=;4OnymM~rO*rIbATVPlU$|Uw&+hq4KVPsBP-fwu({N`W^QOAm<JUz_?J7Eb##xx>
z&S!0F*|tR;KX)nUnYurka5}_Wr<J=W^;y}p&GGp)zZNgMlA@55ec@cPC-dJwEvy|g
ztPU7#-+9tx_r+4}1Iymav#PGU7%rLr@L-|G>@zZbRkPQxt%?&oxVSZpqab!q+e(MU
zTECs^qE&S-EHU8?JGTDXvb3}*H`*$$=e*Qb4tP*~?acM%+7_HyAx_P{cS>K!FPypa
z@w*AD*Qcdi>^N1I+kQYZvm!VC*N*P<s%s*uy)P_1eLv*sMo0a~fHLE#ou6$KqV`Q$
zl{?k>)Pv?;@jgE<j~ju8>bl*g%Y6G5u|D$QI5f#2(cbXUlRXkUq~~M`E6(ZS?XHgc
zD(|LyMq|#i<$ed)*Ks=CZZ^MH)IX`pV_V02n>Pnf=khA~I|w{!WB<M9^*r(S6RZW#
zl||^k-}RN%`k>#0XxTTP*HzzJ;{48a$N9wCd!?_ri<{;us9WB69{X*NMEjlY0>1XI
z71P)1Z~l8pQpoO_wC5KK>E)%&*V!K4UeI2?_j#+?6Tg<^<imaQM6938I&nhpa%*U$
z+GW2q*SS8Nao?I%W@qYeUZof9R1tY~`|K^Ni`U3T$W~ZYs5#FxK9i&(`p*3Ov8aD*
zw`_UpC-^f@!EWA>X;Z&W$*8diJ@Pfy#I?+IR`~iAPrLQwmQ3n@B`8_=>V)DB_pfX<
zR&C6>5!XI;ai6|<{>HIIYyzisde$6jnacWw$!tR}%be-IJP)2_5<Tv>_`=Gf54!9<
z%h#!<t`Dxvd0wGzp7V98_N3gs1_3wB;w&GSZ%O^6^M&{LhWU$Ui23~6D|pDfV%|dO
z&1}|jFU=>^FH5V^J5d(AAfNZV;#VHIk`*_9rbpR02}{ilo^*HK!uLMsb{4rgmqZ-e
z`6SxIHF);y?oYl)=3Qj3-ePvOmA9y4(Ztr6MYlWpWtQYRZPuRTXnkJ8#^Zn8v+%Uq
zZzjrr6#hP*89npv&15$Lr(e^J_ZxL?e%=$*^5uM5Uh1|qeq$z~OaEv7oa#B#HmyZ<
z$$x{-+MD$czxZ?^J2Gv>W|^d~EG(bjPkl9Gwb8DM+x@|w`hh+ZWg52#z5Fx9YH31g
zr(&$;!NU;-w*qf;WH~CVY0F*}zh!CStpxeztrfS<WxNjm!C}_SmSw!v$U!fYODm}_
z%((7jtA2vv3jf?>v9@JTeR-AtWlp|q)2P7r?a<e&`nPs15RUfZ46r)G`BKTW|AX!t
z*49<NyFIQ(9Eka|_*Q>eI@i(tzAMTEmtM|W-nNGG&f`!))~fyTCqwqmT)0y`ciDHT
z$P;%~M$CQ0zd7Xbb78-TBbSB5^gX-sHf{O+<bcHPd8K!*gdMUDyfTv^e$#4e$vok>
z@5WY}`Q@KI6#Z2A;W_)6Wk=bMdiOH@llj}d`rBv04<0Q`-!UbA+t<yqZ>#p-<5Qj-
zy5ORrVsB}6ELr#K{>`<<E0fm#sj!brQ?B~Lxc;w@M%5AajIyAb7c=^Etn*vV_f5Mx
zWmfpDd-o5WO-}#I!`RrAkm$U4<H9QDLx&ePF|af)P~h*F#r8d=e5v2ld)xDm8XXcZ
z@T<;x+_w6LaIU?^iEEs<oRk8X7G-eMuWn0!`>v&&??KBZiPydVrE=LynGYPB-I&R8
zqC)V2G?SSQTgl-DUh(G33KI%figQdz7Uh*Jy!=_%*4{wCcNzD=xU&bG4j)Zu{+Q;_
zn0RynQ?ly;H)r31=7&lXm>#bxoy3&V9cI~MTg)G#=Ww<4j;_?DbFb33NdBvv<Hosu
z*0XEt{<S~66RBrw5_-@3^D60OpVKX;B&=#*8W|dyXcDu-gU!^)w#Xx3hJDYry1jZI
zwEt;|aWNEGE==}IU1GRzzOnX0<uiWsCahcXgK3e}|2e6NFMlbmNDt|4de0WShx=M~
z(W+qAHBEYNT{6}B4tB0|G^voXy}>d|yIW+&YF)L+siMl~gG>#1+!MrOZM0@e<}tM1
zp181m=ghh%X?b2IYE$DF6n17Fc>48n>9<{quhWE29he`lS@LGt19o|*n2QH43fhJU
z&zU9`7!(rnzx#NZZpq05jE&cPJ*s3Bm7UAfZ>>6WUoPW*b6k9?(L#CIG=bpib*#0W
zxj$ZgJo5bf^t@n$O^RPPYgjlOW4vzWp}b3D`BB>&FCVgG9?@Jj;m^DO@x7sHYp>m6
ztD8`4xZ=n{7H?aY3!fy`?%UDFZF+LAPjthP&*28HL6LXoZ0E{epxkV3@FOp2X~5Hc
zBDuzWl}!u=U$p<P+QzkpAy8c6|0&JIhcqf5uJZa;wyV$MYCFr)+f0%Fx>5o+u-%QC
zw>gX5HzYGI{D=pi#$2c4bBrE%IR#tXwb@yq?h^WO!s8J2isf7jX0!OOnmtGHf=cRS
z(F;#*e|~Pby{^sQ?oe}kbMs;MMg|L}g-tC@$C{ejR`O5KJsajX;f<nLLPk~khNVxM
z+WI(d{+j5)vUF`<No0VY=%!7V?9J^WzdX->p^?%w<J@$I8>g?e#BFf8?V|B`W1NHo
zvt{=kqyHz@$j`_>IwS5-=}t`}w~Pqml}ze&X{Q2D?<-U`V(FfFt6FQ3rGcC0)QP)N
zPgHDRNZM5Rex6rwzV?fp$-YJ`+<R7r6|PxY`*H&N3wKxPdrZ}A9ZtL(n)2HgEZPy%
zb1veN!H;vcf@h}oxXwB>aq^}4!WRuB<}xo)58TD$v;9b>w!>fXm2YpVYGmkWIQ;m~
zaNbT%gH433g|~O%FX@uS0lOj}xJpVsxxHbx6XUB?E#ZYGDV;xeT)g?~sM#EIwyz8J
z=`-_Mra9k|jNx8BWs+dScKJ`dkN3q1?zvKD<oMb-_{h?(UF9zG1w0IkCky^w7i~K`
zBJIMRcU&uOMprV;bM89AU>oz!;QE}b3AJ+dS%)Y7(M{N#65ZIpm0QX!=+%ej&(+BW
z>5hw<r^sF4`9I;PcERK&U1rCah4|E>)m7)t^kS@gvV3NcLQjnx&m4tfH@7<{E`BpO
zqVUXK`QMc@CoZ|mwl2NWy=<ecLhf$4^orH<?#(@SqwUnvXD1#7Z(<DRo^q=6K10)u
z13x8?-gI>qocn3bwNl;ULX~69N858J7O1CizS+X3vTtL>y#EaTdv#wPQ(<^0m*4m#
zM^oUT#+Qq08S5s?-EC!C(XO^tm2q;=nGm&-v;~`l_lr53JMlg!Sn+qIj>BTERomuG
z))8Ck<D<r;b6;joysfl!vah3>AiLfgo7v8CMMn>;o_11-GgbXvjpw1ZX&Y-(m<n?C
zh*%q@Ke~8HcrwH6mIJwJ8Tvc@nHIKq`>S!M{$9S*Feyy+vRpT_DeIpFlc(=fiYsf?
z5;tb=v0+sS^I@-A9shIBrL&*ArbN`cMH{S&SjUzB=g5-(Ul)ovG9FCZ7%b#7$NQ0-
zm)X}dtsYHzBFmJo+_PG*yD)#Mb6@@IL(#Jpi|${LdC;54_4wA(<>L9z*tfB@{&wEd
zYxQ6b@Ai^YYTUemoJs;F-uGQ|moD3}tnKG1y>zd6?Tot`{(krM4S)G#vggcmTmN=X
z4HhcDku1=hZ^Lmc<b>agADg#c?g=@db~dp4VisT9ODQh3f3vw9IQcauF_c+7FnLiW
zaD@4r?SZuuN}n6boe7OixLr7v>)&qqZibZ0zty$pNG!CU6wUDUOrGzon@e_web)OJ
ze)Rc~CkaZ8=l)ih%YNWmyym&cxp(L1)z<&{dB44MdYa6)UXJi(PfoZh_So)RwQ24l
zvG2=s7d_Xli_q=bshJ&pXlt$7)8!l1rgU6UiCMa(ckwn~e;?zcxj&RWU9GI2=Dzs9
zO*C+g$d0$iRZIidOxdwE|IRg4%{rA!=~{aBaZPtMC-&MTRZLvyv4F+G@ykoG{S)Wf
z%iAWE{orbz%Wa{e($UHoc(c~|^wDs&gPii;-I<?87fxb*y53Lh)b?8}+)sn&=1lQ7
z708*ApSmgd->sCTHLD#yw0cS^1xyy|+SQ?{q^qg8!rMpiWoF}|HHNNBRJb`#Nd>b+
zF?AhWoTAV9O2X4QTCSaA+f0*c_CATa6SKmeTw%JQT*aE{xaD5C%HPfjYzj|L$5^!}
zaWF(YyOH#aZKX3`SRhxx$(a%-zHD{6b7$L<rHWH-^@_FW*uT1Yg}3;DlR>P)>aVhk
z9zWLN@mH|5FWoHpD5a{Z@MjZyVPEU4M@Dy#W_Nx4ou$3$j2N#7_pR4D-2#_bMg6Uu
zGFH09-L*67UbTIPO=o{m>E}I)k0u<pJ*N0v>TLd5qtliT3i+-~S#hc}`&wR7<dkc6
z#w+$EN$6GX5np(H`?USDX1_UN-lD#$GHms;eoecR%0EsP8|>0<p7@sM&7v744-_I-
zav#1Hcxv^>nF3y$Iq&{^%)tEOSeVoQE}>uH({AiubmX6?>aVbAH})@TnHT#q(zE*P
z1-G5YCYev$v2uok$;0Cr4{dI8WUq^1)n;&V4|uQ0^!3iKljW-m-<iFBSo`s@zUmS~
z#_sd8|2@+Z%=kXlx^w%nV|&*<dwtqO>3PS!lFR=Dl5-XvZjAcJ>2Ycg%Tz&5j>j8s
zSg<F$+0MDOe_BmK?a?RG&92X|tojgCD(ZGM`m;)rf91`{DT)tnR)qa!4(7Fx{Fk8+
zxTwQJu9DYi;+E$vuCp(!7gNgL^J&Md-O3i6HeH!w3od1B;OM=X$2^ax&vmoc!z<Gb
zezMtm$BEiI7-ZLaZZTr<cb|8TIkfG7qgDUcoAI{}%I2TwRdVWoRJTEH^ETdt_m4GZ
zbQy=sRP9)%{vyb^KbT?4*XAy{De3ZZZ`OJSY~)Ow%V(J6_%6VQ(^U3PBjZJB=L&rf
zhk{JLU51}G{rPzDopjrBo*a`y=YRQ>Y-3Z}cRJMfsf5NW;m8#aw5R_w+`Hz->iN3U
zn~tV+{t91Ewz&MV-0^;|g}q8u2V9))Cr2}zzBxPX*z{uQhYZqvJ7c2cd^jF8d{MCI
zdb&3~Z{8aFyhl|Wib-|zuI;=cmNYf|PLa;lRW7G=q}QH(-DdIoqAMe#^}Y6gzQ&rH
zy+V5r=wDu8{yA17_S&uNKk}#8(p$66K4APN{<WuAo$bTMxqlw~DEc;~vaMzg|CP9e
z_AkxRGbeG_e|@=OTW{W%;`Ms@JQp^4?+CG#pCaVdmD|?OZJ6AzRjBcxEh1bbgE6-I
z+2amwv0~0?sT?wFyJoE_obY?%jG||gn!|#c*Ec)n9GkFc&(>dSmv8EFc0XkE`BQ;J
z!DOkLHxte|?A{n`?D*o|*M{0@CJtSpk4q|8K0ELKb^bgX%cgJXwy)S;@6$5<V5z2d
zE$ZHZJ(awCn?L+AsQz5YaoGCJBXz5u+nR+rSI$MJelL9WR-s1bZcWt`?;j@_=Kk3E
z!|BZOsoq}#{G0z=$XC?*-1^7DZCc}mKT~Y}#7xs)a%a)MH!)RDCnp|SRCrQ=nW;rW
zfZ>aF)uH6L3i)*rwt{Uhj@l;*{Sfu(ox|1YzczD$0Mo@hd0qdwMA2n!4XbSCU#{!P
z-P#+eed^o7b*z0ozNz{uQ*J#Hur#{gW%eXmsYB$q<+aD``)8Wf$;^_Os(UzzwR9)b
zoQ+``-lE^${&@TS>xmK_QK18YPF$1M%YV_B;p4cq|L>dahhzgfX0t6;os@jLuTSg`
zOU!~78IjYaoD-)T*!E@y6$d`}d~}*;srb9as<AJEa_ZOvyqQ@<7#J8h7`FITMFk!=
zT^!87z|hCQz`(=6$&i|y9-5a~qL-PMTof9@%D_D3z~gl8lV{GJ@$N78)bZ>oKds;O
z{fxJs*ID1Q+MZ_$PoLD+_trJKVr*^{q~WJ~%ID0<HC{R=&z#@9$xFxU+zB1;Py>^2
zg8&2OB!xq4y@3@Hmog@BGr(NI0Ct7hj|#<ItPBhw%#GxVqLf6qBQ&<^|4pb9Y1L&|
z<;lbE#89|~`Op7)b%hnHR;@C<(QSTa#-29{w<ZbP4D#%75p*&Tk>^baKWHu@w18Xt
zq^rgU?<igMX0A(heLn09t?h*mF+FCu!|;$H=D9TI!-Uz#8u#h7sAv_=-EhSG_B+#v
zr&2rCEpFW>aDnyW@!w8S>`7B4mpoSWk2yNo)qL%>){6;}Yu0=z@my1|=bD*!_LrHf
zi-bO3UT&Kvy!sJmv1w&p!nLq(9}6R|r)PdTGehr*^C!l}9p2)fUfSJxvB|UQ_0G4d
zEN_qAnbz?-M^Vu`|5BfNMBcTRNij(hTerx1UtHw#$1T`$>7%W(D^EOnEoiRkBwfI1
ztaWSN6g2^bqYCnpak=IKPgpez*rwK=4C095T`sV=>Fvp+?#Mi*c?WYg*|utIbichz
zfBL-syj`r1H5dJ;7q#`7dF)1${IZm7v-jN2*7!eRlaGB+i`(XdCUai@dUb8in-;_4
zLVwD(eKE^t+xkuNsa*B0*=gBD>UPl+9~wtKU3|VgW@@pDeEX+&t39~>mWICjo3VSt
zDbFQuls-y}#2;O~=l79!LjNZ|T7Bc9)9q!Y0d_)@%J*0or-q8yK3_HGieT;syK|pE
z7QAl^jawC6|KMzyji<=_gG@`F<j!XM#S>QfyfQ}0Mo(yk$fj+)#&^x4tM*u}-ji}g
zEsVoE>iTls8RvtdrOs3x$=-BorI7t6iLlC(<+n6M#J4Q_SLRu^A|Ubc<XJbeHa;=k
z_|<7^g>0JEftBL^L7$W!9L#Z-S-)^!RBcqrQnPNAF6AG;0%IC_yfU5Y{JaDN6ppHO
zNw26-j@7fhnm5Z^_^4uJ*xvS{-t9FfN;q==9Sg3Xn?7?+JL~zte-F%MbPhCY$bTqX
zqH;{#@`3Y|{6BVQYm1cgZ%1Y9&fWUfA*f{;Z;i8S{w~{cF1Mv!_wv`BGOrOe4S%|{
z`_p;WiGrTb4Nn>VVgHu6D{I-*CDG+6O79(izF7UE@X!Ps)d^ht7v5>|XlE{Uh{{y1
zWe>A#f1a_b>T&Sxcc0yMG3^UH8L+-w&;4rJ?ytPLYTl1E9~H1)op<NLHYL9GGp=>@
z?CE{PQSi-R*<!T^?AwA`KEAxU^h6d{QAO%}RZm-W6OlznME*~>)_Q%(!Ahr_ae;?5
zqwaj2_T*AY)z+-5T@RKm-j~cWKjC}kuF8DfC8dg9Ju6p8TD0ufyV`87<M?Qws#N;l
zdk;)2K5u(`{NdDYt_JqpeN!9q6S9mw>b~6lV1L$6t@-4Qm;=H!ZB^FyeCN$=3%<v+
zOHW#d+qA;G>>}TXBS|-B&Jyot4ga`1!Y-uRm#Jaj3dgxd9U7?_E=?YjmQ3JlaFSf5
zRVAdbDNx{uLJtRzVTXVlhoE1_0tLZXgJmB+F;vKIelx44k%1@iL$lk3*)IFp<(N0$
zetDKT^D^r@%L7kZn>-#%omX5|x8$&J{`=YaCnx^2_4%61YQuTprE$`EK3%0>_u2PM
zw~&vojP$IEG<qpvbFJ;jx8tmg{m+CM6oR&$Nc)|^8pS=+YU`0%=HWM)ig;T$ds}5Z
z|32esN2^G2!;CMs5|Qf-`vs1t*@Z6NsVdE@w9+&to<%Wsul^=u!$awz4M!PvcdBL_
zs-L>j?9!{P9|TKZM>s2-TrL!@l<u1t8U8<sSCiwXvqMYN@rwpeQWEB<oVfLu#Zcx}
z+L~nDwXfpY-Ot!;UH0|O#bD3!6tP){3+8N9T@>=OV}adAhM#q(+Ls6ITkOU-?dzd!
zYTj|Rv0K@<&S+_@NRPXvv2Go^`hDj(*9~dfCAX&j6?a-+d_mgW_mFm3D04$d=Ffev
zcixdXJnvT{yJwKXu~$c@$!GY!vg8bwtZ3SFiPid4X`IcW&P=miRh#ZTyZ&y4o8%hn
zAHLHa%={xy^e{h9{{7fWZ0o7#El$~-g;!R;oH+ZFETds|<@<DXo2JLl+^-)>Z?!%2
zuE8fX%hd0hx0joMu^`*biOgQ7_iDk)dPt4)CB{Oviiv??J}U!*0HUmqFG@+&%PP*#
zgBSR{QxfxU83?rPe;+<)g4))gxh)Kt52M3ZiFO{Eb-`%mDkWj*56^C#DZ8|3)&JVE
zOT4FUU8-SxH?R8duXiUNt8egEUZ%a0hv!JlDj8`t*TwApq3ce~KY2B3<IzhuK0ISL
z*{v?f)ojr6zQ_1uL5ipmzn^9M4MwS?RV%eLKPCh}<%$WF_Ves?b>ocL<m36`@}$&|
z{fjSI+^U%6aq?lCR_yNFI~#<AIhQ_PvR6$e!aeY}z&?>4;TJ5&1Gh^byfU}#dV;OF
zf7RL*;w29^*%vOmb^BFq&Whl*m8^*@j@z$ie!bP0Y<>Gp>y^;tXEHNIXK9LTwOYWX
zI3vR0ikorTgQ_r&;D%DMEqevpCZ|L{^m%3}cBFGfmWbxUS-LJ=tk1H~nkXDHnO8VV
zDCfhR)4!)^sfsR$4_dlw!_%z2tf6y#-fvuGvp_zI>%(-T<+qL}YFMT(y+1v2VsPS?
z`pSFu()~5r^U}Cod>tjk*#0WVez{#Cz<(~;M2?T${M+f(j_2=1{jSnIW0d=A-d(Q!
za@<*^ef~~c?kdhtS$$w-40l?P>xSns`(`*^WxhTo{Z;Cv*o&(2o09MF@c!N}BJ|nj
z*pbLh8}Cms(R#JA?SE6aQQMMti;m`-ua0*VUQ>UF<N4H(+TB%l(e7anDxUtczLnT<
z!BSY{4ZGfsmtXT*O;*NT>^Uo`THbI<_2EmotnUqW!6wFQmRyzGee=dUiPJ9~&$ZZ<
zIBf9taX)#mIM3X)(%N)yg|TU+wP^g@ePaJkJ>@C>ZMUJ@a*66w1E=R2j+bjcv=sjO
zX<PAPMgPmZ3wu|cytG%!UTyc$cUNUafA(liC^qnRHC(B7Yu)zCw*KYw=lz2>P9O>P
zN6U^gD$EQFMS2Vj$c>Zolr(r+y&Ls5@3sNYpZ&`>x^_?bYqmC*@%LsX=?%~C%g$AG
zotV_E6*6@q+lG{`J(t-_gML;2|M~j&>HgF|%d!H?YZk5Rwm+w4^;&GRuUN?y+0?5&
z&wbrzf6#j^7cLzjocQ&At{AU@+X2^OsS+Q)@4U#`+HhXyveG4{gDFYPK9fuqao_sV
z^r~fEM~5C`K$0?#nMGUJMdroqoVt&seU`i3d7jK$^kuo&$M9~mg|}}X6Yr~?{LlVT
z@|VzOWgiW{TXLLzl>D#LfY;n%1EXV*=o=@`Aa9n=y%$pCPi@z^kR&Q`Li_rI<H?Kt
za;h|{LPdjw_HX0UJQxt)Ic33$^{!ljA;HQ^KfZoBQHVv{^^!(atZz`$f^}M&?g8G@
zrzo#j>T^o)$|bd@9IsYR7UJ3Z^`yJOl^_jOiC0-um{{^dx#HZ*MP6`NhnJY2n5%J+
zbKmNn*^{3NKHi}e;P&S1{R?%iZ~a19`47jt6iAy{oSU<>WXA>l3H=FMlOEZf46bq8
z{l=$t_AH}SkE9=#{|@rmvw8AtmoKKrx0Ks$wzlM!S7bMNS3B=#*TR{zC43?#ODrf(
zYiYfC&63;f?yhvhMNI-Lm+Ve^)TjQ&uyNgv+(#$F{qFDK3*M1d=a*r3^NywRE5(!y
z^HT*Di$9()I&`+~%=;O#3~oCNt@fNev`(_O<dwtYi!6%IRPE|3pA<~dOS+l5Wz(}0
z+(~oaFPgV~XWfyz=j?9Z=rIqDH7>sVH$=OG_4>`b@7*NbTmH=IPtTRJ4}P!hWgGp1
zbBjQ4g`4f^6AMl*eA~9KG9zf;yV|nY(Al$N^LTBJoaxEE6W@IQ+Z=`R|J`pZ!)8`J
zE4G{I_AZXEcj5WFn|E9H?cKcT_1;zebEIw_?aq6o_aOh*Gmix;{2I)5-M(NhUtY6&
zrN#1TUM;NOEwmR&dQUgr$G))e)$E0WW|n39cFkaaIHz*S@5ze`m!&?AwT$_Zll<5`
z-BaM99Ygw-y}K_(95S|kqW#hO(~;^mdAo1Px?TStRLOFu*{3Jp{g9J$%RT*_x_U+}
zbN6?&HP*hGu0Oxy*^3R#7e1ZKz4rC`t-q@JLT-}FW;Fd}M6CuIzbv{?!@$6>gMop8
z7f}u96<6k!WWe(k_lLAJ!Ni1w2MISGgo}PH`E(~Y$YCCf0Jm|0QOYw_an|r>FPEz=
zZ-16L?ZwjPOIzAD7#Vz*shG2__LB0I!@=L@od5Yi*eX#<{d{}&oX@t!pA?0i8_bm_
za~SIdS4uiG2zE$IIqIw_D{y+l@Q@)t%&ddYjm=&`mgyQlLx49UlL+W`3usqKXwj}E
z=NK6nKCmz_uru&7fB+){14Bb2h?SCDpjVNb6B@z^=`(@)P3R`vQZ0I~&B(x@&BVaK
zhhoAXMg~~dE55j-G)b=vuR%}Dva`$?85rW27#IXm42oe!Hz*?ozoTBi_N~@sWMI%>
zM3@EgP($Ns7KB*^iA9OI1U=Ygys@>=l7T^d2Pje@K?E_&fDLYzUU5cZK`LJV#k9W(
zj&o;ZaByd2;DY)OV$M~2ggF&?I1GVwD))Q-ULvxdfdPcMQ4C3GXM}~eUMi>*#}j9$
z9b|}?7{Rf=%8UtaN+!6wjMW&3V^Djz5Mv-dGB8IN1Mcr)H3d=?p|+hMra&AcV+%J0
zsU?NSDAZaF*{HMjaHI6VbsQd3P;)WF6o|K!oe`!$^E8S%te_pC3_J`x44@=?*_nw!
zkHOW%H9IUX!#lh*(=DpZ#LFwuJ*vnh*CRYN&n=@gxS%39E!!x+ytKkFzo^_606RNM
A3;+NC

literal 0
HcmV?d00001

diff --git a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr
index d50b962..fd05507 100644
--- a/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr
+++ b/ecg-POIRIER-OLLIVIER/ecg-POIRIER-OLLIVIER.xpr
@@ -58,7 +58,7 @@
     <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
     <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
     <Option Name="EnableBDX" Val="FALSE"/>
-    <Option Name="WTXSimLaunchSim" Val="0"/>
+    <Option Name="WTXSimLaunchSim" Val="46"/>
     <Option Name="WTModelSimLaunchSim" Val="0"/>
     <Option Name="WTQuestaLaunchSim" Val="0"/>
     <Option Name="WTIesLaunchSim" Val="0"/>
@@ -120,10 +120,18 @@
       </Config>
     </FileSet>
     <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PSRCDIR/sim_1/new/tb_ecgUnit.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
       <Config>
         <Option Name="DesignMode" Val="RTL"/>
-        <Option Name="TopModule" Val="ecgUnit"/>
+        <Option Name="TopModule" Val="tb_ecgUnit"/>
         <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TopArchitecture" Val="archi_tb_ecgUnit"/>
         <Option Name="TopAutoSet" Val="TRUE"/>
         <Option Name="TransportPathDelay" Val="0"/>
         <Option Name="TransportIntDelay" Val="0"/>
@@ -137,6 +145,14 @@
     </FileSet>
     <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
       <Filter Type="Utils"/>
+      <File Path="$PSRCDIR/utils_1/imports/synth_1/ecgUnit.dcp">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+          <Attr Name="UsedInSteps" Val="synth_1"/>
+          <Attr Name="AutoDcp" Val="1"/>
+        </FileInfo>
+      </File>
       <Config>
         <Option Name="TopAutoSet" Val="TRUE"/>
       </Config>
@@ -164,22 +180,19 @@
     </Simulator>
   </Simulators>
   <Runs Version="1" Minor="22">
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a12ticsg325-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a12ticsg325-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/ecgUnit.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
-          <Desc>Vivado Synthesis Defaults</Desc>
-        </StratHandle>
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
         <Step Id="synth_design"/>
       </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
       <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
     <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a12ticsg325-1L" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
-          <Desc>Default settings for Implementation.</Desc>
-        </StratHandle>
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
         <Step Id="init_design"/>
         <Step Id="opt_design"/>
         <Step Id="power_opt_design"/>
-- 
GitLab