From a81206c3e0290a63d7e4fd099f63243cabfd9895 Mon Sep 17 00:00:00 2001 From: Ahmed ABOULKACEM <a23aboul@fl-tp-br-104.imta.fr> Date: Tue, 18 Mar 2025 17:54:27 +0100 Subject: [PATCH] =?UTF-8?q?TP=20loto=2019=20f=C3=A9vrier?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- docs/Q10.png | Bin 0 -> 37130 bytes docs/Q2.png | Bin 0 -> 21525 bytes docs/Q6.png | Bin 0 -> 12768 bytes docs/compte-rendu.md | 16 ++++++++++ src/automate.vhd | 63 ++++++++++++++++++++++++++++++++++++--- src/compteur_modulo4.vhd | 6 ++-- src/compteur_modulo6.vhd | 12 +++++--- src/mux6_1.vhd | 18 ++++++++++- 8 files changed, 103 insertions(+), 12 deletions(-) create mode 100644 docs/Q10.png create mode 100644 docs/Q2.png create mode 100644 docs/Q6.png diff --git a/docs/Q10.png b/docs/Q10.png new file mode 100644 index 0000000000000000000000000000000000000000..62429d2cda40e01ef09254f080eed327adf50d3e GIT binary patch literal 37130 zcmeAS@N?(olHy`uVBq!ia0y~yU_Qsdz{JkM#=yYf*UCD9fq{Xg*vT`5gM)*kh9jke zfq_A?#5JNMI6tkVJh3R1Aw4fYH&wSdxhOR?uQ(&W<UR9#I|c>@O^_nzqSVBa%=|os zkj&gvhTPO5Jq1G}g|wGtMS2QG21cd|hK5!q23CgVdKQQ67#I{7JY5_^D(1YoTUiqv z`tSe8@{Ekkg;OqtMMarSbafGNEpTMx;&^mSN$*9^?g!`8tavP}n(6{2CKk$+mWYV5 z-C-yd;NI5pNbiKdSl|+!*ap4Kpo~n<+4tph7kW?HmN{#-+4|-Ezb90m-}}6-=-giO zzfba(85VD|2zj^l_ahbt5b!e$m+S0SE@b;N_3*<LMtMdv=lJonh|ZT~U|{&bTKsl1 z!-x9DDIRS1_*oek7!1O=7c+yjF&vm*cuX=QZB_iynCsd*@9I9!lw@FFxFAz-Ofthw zYf?n};}E9k>9-r<GBFb@n9uq=HQx8t^7rdJOaId`E9X>LdvDQRwp)vxfq|i>^N3`| zG8<nDkdB$Fe`=o#36I<M>C36~O^gf-42wb9r!41OzWb?-?&|k{=47%lFf`ade3-!S zr)x8Nf8jNd{cewXj4zxC+5hHdY-Q~9&s)|k^q9fO!0>?I;xUhjvgmj2aKD7tlWKNF zpSx`2|FKG&fq~(Nv{3qjnJaZKmV~}rT|IlQ?&Ft}4}ffpe-M-l_UavlLQv{pIKV5E z4iR`Dm%bqK!LbAe28IP2Cs;81#@$R_vG=c*21q(}M~F0tW-#}d12Tu9p!o>MZ49Ic z9FD0}v9!Fo!s0>?ue8~kQx#rbU5*n$#yb3+x!PaCqF{kw;ewgJ-tB%r$1mM_wS4$n z4v=d;UiFwG%hxVgsBmDzT#)S<T3IURl;$_;L%B*>^9t1#H}XTdT61Dn<+@04nB;)H zHjC?BVVmNOb0CXML`~lpJ`;-i!Ezhq{Dx(X-dhtnwi$!ud|9Vw9TtG<=@K(tW+5T5 z$6`m4gU-@vrL%6?2Hj1s(TZMq_{X-7Zq`f;3=A11rb6lM?f<9Vs=rk1oP5<zE3AIT z_DD1L%%5N0f30s$y83vduT`8~?|-J}_Te@wS6|r|?w$W)&1E*5b^6?Xx!&q^|NGay zm0I0B?_U&a-nNawk}EE*eYCd>l!`C>$WlAU$KQUbOR8(j>#O^-pRQM5<@bF{T$`Hh zjz@vDx>heA1&V8!D0{}g)0lKvaq6^b8h>x|{@S-=vfh7Z`>m5sKK&%BKJ)1WFYn%b z>HICq3=9kn$DTh4dfWD=RDQ`hW&P_4xtHwYe}uGqd1?Q>8gJIWSS8-te#Y`@b-wGY z>1Ee<9?rk?`2E|EI?t6}y01;vx|iSm{mW{vS?!*-ImOG`?)(U^-I3cJc4nXB;d5`7 zIzCQ&IXBilG%8BVJ#%H>yST-y<$aeL;%rS4zTA!ak-3U%c2wAvIqcy!D^4#_DBYQ| z<sARL^<QU~uimjGM9X%~`^o!*3J-~Ty}5qs?YGdUN7f!*IB5-kY5ezZuS~67TbC{^ znsa0I((9#xe_H=9m+$(U^Lv$g`{BUU566n;O=I2lD);f)ZHw321m8|yzP{%4-RH^o z4cpe=ZvR(s{_69T{|0Qo+v`7m{r7OI_f}c0)81ac{{&us_h#+k)&JL-*IBH(G+BJs zwDaj)ffWTSqvqGPzMEkfI^8|zqBsBftGicz`(&wGm40sVEde{9>wQ+v?ZN*R-gdvc zL{wG$)veXPSH5^{Zt{Kpe9hwz16F?Z*4>re6?f~htMKykSH8y9U!0`*{q@&a*S7em z)AgzUciy>R`)9rD^@CESc@xU=e?;w{ZV@u;_ue2gx$xts+gHY~Sj=~O`l;<9?Mp6Y zU7Qj&>+t?<>wm4VV3^^fHq&RB)@7U6bC->#tjw5wDp%!VxZ8?*>{CtMZeI+4xAS%X zsi$wO_dP7I$l6kwyp8|w!+E>^O}JrS)BE@Eeu<6s74L&`J%c*AxSLD=>0U1JeZu$m z_s{QJx9@0;V)4|P?{B32tLfveSASSsTegHcUH9YCyR+`fnMsokTfWX;v$K9@`1ik0 z>tbaS!#vL4{xbcz{Dmp@{@0J_IcnXRzi^Gv%7WWgwI8x4?3!OZEy+3h<(7N3SGT6@ zp0wX~h450o^Y+}$*V(R1&u@3`zFd0p#lB15cz=C!=v-sJL}TfKW;cG@@P(y!_JwS- z4C`E9wTJKL^>3l^Q~3qn{nJ=`zG&XGok2et-oI(MI;CEBt^b}cp^ra@)n5p|_i*jk zOY-*u|ML5m->{d8ul;pc_Sa$S8}_TFnJ@iUaQyPW6(6m%`u}-NKb5C2b&1gKD~VIy z?SGzB`{~K&U)S4MUtRGEs(hAn|9hX@j1LpSmfzIYl~E1dwZ{6%7nY;5WP%E^TenYY z+I>{p?1ZA_p)1>RC#2|4UA)D`y?ArUmAD08QvF{nPZcd~)l}Jk;PZLL`yV#c`*2;7 zoZfTsrt0|@pWlC5arykoQ{6kAI-j?lQaL$q*QRT4y_c>kIlS2~^<tmU)HxcnA82IC z-3~vxzVKemxyzewJuCnE{@H?G;ys(syT$($&)*j7{F>*<zNGtx(^lK}-L&rs+-rZs zzGnTxT)+B?>AU}B^!z<sojynVlR$gOs)VmQ@>c!3@^!<#=s#Wiv~=djMJ;l^zxHx^ z=0d4|DVxgV&s_1>?+bN_{J1ja*qu!}=ck>L)4Qg$Lh1dwDX+H#WVlQ@bmrl%Pp$K( zOssTi=TCk4Vd3AX^7}Wriat-!V7t$|&Sc3svAgXrKG~`MO8d-}`$H-G;$q=azZomv z-46e`-}|bQn&(p1R;xHI%dUP6-L)T{tb6Exd-`%4+Y>vZ&t2a1>)iYG>H4ovr>v@6 zIbrj8SGWhSfIJxH8guSZ`u`7mU;RD!FR`a>o8{k!vFm;<-uSIwDt*rDYWt@vpRVd{ zjbCzGepk?Y+aLRa-UNjt-LzSlt9<2D%$gqE`LFIyx_WERC*!#W?BUVd7uUSGQgL;+ z?dgA_xBhd*mS4O5>-nGGUo(D*zLKtsd1-yA<k(*StfcR=)Gt4@x4C;x<z%9rbF;pE z@OhocW#xVDS%2nyzH;oz1m|T{x$(=)Hom%J=<@Sq{;Q?m{a4(6|Nm+=``e)Fn<{VY z>v{Y}+`0bG(#yhXlTW_iS0S~?@_T6U<tx9tYLA!y(UptO0Qu-)_1U#&?`FRG`*7{P zqE8{Y{Owt#Genm3O~1chQ@myVnRC8b3p)-@{(59>bI6{Tp?mjzY*`n%A>i?%s2yvT zyTwese({-;?UzgYzdm26`{k{)|I3fhr@h=Q%-NNH`_Sj}2Or!$IF;>6W{~&SJzt8x zS|ncF_-(r8$35G!6es%_UCsZUb<^M6*3vt?^u|haahvu1pY$)U`OT)WV$Y5B+tydD zswpTao$pl(ZeIL(d*Ds(m#Ouc9=9)s?{lp;>Fe?qkBz<d;?4c7Q+Lj~Ho@v;|E=l8 z-}1{ZXGQB>_`P`B`J?|HM)UrRnfI+d^UNGwb?-E_XD`p(ORtn+3Anp1zAWR$hQ!ci zOP4I!F!$=@&1E*FFFlX`Sh?xeyVnJ!{_V@ZcU^gECzSqrRb2b()0uysD#V7rv+EAo zzish)sdLeP9pfwAV}Cc-9yiZ=&403V{ssAa;n)8q{Qtn4ZWwm#dwc%Xt@cvc|3BPX zdds19!(7vKxA-#FovJP6@7<iL)&D#B(^F0V-;1^t{W@jL`;!0HsozqI_hxG^w~tBw z|3Uwa{J-TJZ?*sX(4+qL+Jqu?|Ep!u{10TI&47+s>2vnh$l5&MfwmV8c|OWPZd$0n zzoNJp-Vl-65hC4|U=3<bUI-~zX~uJ~?AiSo+qU_?dLF)?fBpXJ&t~=i_xy{Szy0U$ z=U>17+H>~4{rdgapXdJjSNV6ZUH<3q=U>17S{YsY_;FCKM9W(q1_lO)%LgRWC7K}Y z;6UZVf3@$|yB%p{I9vv5!7W(1Vv>crnwpeoyLYF4uDKOUzpDSs>=KT;g}<5)tjbUK z-PvE8dBfoQ(|dIfq`n5qBcb>O*TW0tw@j{{^VdJv$H9~B^>WQ`8_)Ze?N9#w`LH;X zZ&A^v_<sVDD_-#bcdGv({_F7m3-bS3|9#MR`|i$t_!`K03=YB$kHCxuY2QadxeOn; z<ZaK|26pZ#JllUk{_6o*`F-ghww?U<Fn-T1`8VhP_1CVC<FSsL`rcdR90SwoQ^(?u zZb&>lWzwWeKeV0ZUXU;SFnfum8@u3vnu3_3*1w1MS1h%^F@Lrg^Y{PDjy*rV`o79p zThmvQk60$=l&khwKYsFK+s)abGwMPXe#|X77d-vS3_gb5tjNb<_KVJQEY*3;A6V~L zf90UVVrGYA`ROr<|3B!*z2yJ)eA)2@fA_Ea`19kcTl=0&uAalM`u6%Y$=O?8&y=4t zZMojvm;0Jltc=S49q9k{Ig2Hzfp}nh%_NK4+;6l_>4g-=O}zX`cw16@OQ3HD+a0@L z^RKFs-}Tc<_a}Cmy*)eeY+B&0{?lI%uqL{(gE|=s4W<j1XIby5Hm*3qaQDZ7x4TRf zZ*)&xbTDb%^*`yyPyV{YQJPi1ZCTCL=wh++A^!yyGlNo*zzQD!Ij{d6*e~{~?6~`_ zsS~xM?>W|PFY&50N)3CydfpZH+s0F7rw4xf_kL^5v87#;Qr`WXvCr<;PPxwYE0n!1 zo89<wR(P@L=f6*9)s*?){gk(CO=Py|*5=n$BBr69Gd-hh{wL@9pPqJh+S>Sk=HZ<a z@8$8f@XM~{=Dz;&srj~3S^k%gcTdYcJ~KQc#(y0+niF^q3q9}XD3h}Olf`>hcE#*= z|DgG2^0@L|KHIYBrw(sVWxR0p*6=?|{a+oJ@H6Vmg_%KjRCKF8^0n&h_S<{w=!-ii zHD8&%7CpOP=}>B@n(T!`v*oV3&#c~3k~Bvt=~zY~_xG<el-ja#-f#W&IPzkbq(SiQ zUp|))n;)&*9my2u@?EdX>dWrBQ~L8KY>r;JQ}*iO{A}mPObiSR0y}std&Tb<MVQ|y z*!ynJ=Q39I>9Jb5r?;Qnx7;It->+StTfM$zAC^A7)i(ap`TW=M|7S1z-lnGY?}7pE zw)NNd>esB)@r>H;u)eOgJFQ=S(FNAhe$AvOSM^?V{&ovG$*B7GIdAS(uTASNw*CL! z^X}!G1p=w3e$KtU!hZ9u*47nYDi(5St4);&PAu$L6fIp>2x`VRFr<2zU0NS*?>oaF z&ehd5YHL;RwYA<suQyzivP+rq=&|q|{U;};tFB&Bvm`?DX4uxAjP>6P--_nhuGupA zytsDAF~QZhT;@Le63#feJNt0+-7A-aCdq_7O_@{G5t+HtSvRWS=Z5l|soENoR)(%{ z(pF>L8kSKok*ja2l%xcx$<L7H@#v1`y2(jNi%LHv%ql7>nmTRXx;uAbRF9~yD4u@q zoVd}};E<T3&3l@r7DQRk-SAB7yGLKn+oY>cpBV3p(q8CneRC7v>J>*6+oLozR_r-( zC(`h3Q`R-zl{b8C)lV(2_<S>^;M^wb(8!H<OY<szww#E2C06QDT`p^Eq6f+~ji)CZ zv0Sd;Zn<-()!#39uWl{vuKNCJ>5CT`vUWuglI})x^hK^jD(CMFOmFvoSet+CiJ{@G zS(_YRdcM~_YUuRcPj(TXk@mw+?j_HU?(~ZLypyZwyY}M@e%_tmWLh<SY}M^nY^*(X zUTFS_(0dy*zFO>FeP+^{cdtH1o>s80+`5lrm(S$<x9bavo7T;-^!)p6S;kwv-4_>v zx{(|KZ7Sz}E|$Hu<*B#lr4GSPDVrZjk!#Izy>G9awC~(`j_GOZuI|n>fAQB=Y-zn= z_+0aI*S@IkUV2#Dr1(?!CZC9Pv6VM(eLH2a)UJ4YTKydT$a|L;wZ>~cyr@5=vSNDJ z-Ov^O<|(UOCUKc%y{z9Cbi93k(6@#DrrM8euYWyIzGk&a`Y*1nRR*4!JFUM&Jz~_I z^>K??u`;-<Y5ws=?e63DzRcG>zLS>RkIE0st6KLc>G2bd!i@U|L)J#@bvmBwckcZ& zoog%Jb*p;v`>&0hGt<Ohc+xY=$mGU-aTam4;#U37XQ!{bHuHSQtPiWZtBS<3Q*Ixf zsuuC()(*a^vz1#j7Hl!?&v-J+y@wA}*f6O4v?wf_Vi7VW_S>E(Yu-5R-SDF_ew`dB z78|M_%i4n&3_BcQ#k~r+OU<z3;pOT%j3!EPCUNHbJ*95!Sp8$^Tm9aBd{<=u2J+ik z^r>h~d>mS8XQ8+A5VRIZ=Y2N$@zloO^})$sKAtj3J2!3e?8CPzpG%5&a-&va2OqAe zI$}7}C-m6tBKhB!(kGu^WfXU@PxNf`+Q`HOPoAWxs;NoIvYomJsh7UA*DtjH!~OSR ze9t@n{q~Q2KHdCt@p!4p%=vww7*{Un2Y2-u@+Me-ef)r}6PLh`Co6ApChyixyd$Z8 zeEZpZYYu%`%+;H}xOm>KCC5s@LGh>6|D1)q*RAzyjm<Kz8{1px`p53NT+135y!GC< z#q(ahS2<rE4yvRX9GHKq6mAp05jrJy#iKn+%b%*}9Novk?AsK1H$P&LZ*_6X=Ey1E z9v9gbIL^4}2y!Y%g6|8t^!6tPR%|A_X1q52f3t9tow?K8Tl3dy#(%vw=cw^j`y($7 zfZV~Nbyzq(c<%F@g?Z&QOJXH=Zh9(vrQCbDh3B#}uWGJN{*_VPR`glt)V=?Er=8ys z68JQAZ{EM<`)*9vp8a$}-Q5r6wwu%T+s(fl`D|YQ%h!wNzn=J`t7?%+ZnN)wyNm8= zo`s9$F3);9^VH_3DIY(x&zdXoxYeI8{ZM<~wbs_xUp`4kr*2*T^0Djbt&h)Wo2*lx z{s<Jb25pI*#V#(^y|Q&%+n(`VIeS=rrGJ_^>-Li3sEakz*pBU4-?{ge_P<N&r3oi$ zbgN#RS@rpd@1;fJ?*mS3dVOVeY|pv5a~9=oj=T6rTWQI;^2~>e3blSb*C_3LvvA|- zB-65x_9*ECa>aY+)n;}b3XfYa7ieqxa&d(1mLK|3$F3gkRa#Un?kf8$*8b^q{}VUY zUb)lv>f-jT3k$)48`Gw8?x1dS>0w^;tY6HROPn8V-IaW4?XKJpzPr+nTwN!wb#py$ zzRvY;HJbmQZ~wafzii<Dg`Hh%OuUbrSZ#V^U)=wyhu*0t*KH}~{_^VjThpRH0;Ljm zPR!ibUr(LBZ@TNxTRZ>6N9|s|<XVKXn{Pl;_xUgDkItTwdj8Te@$k&_>)xf2`sW&| z=10Cg<P=i1C!keyvRB{A4HhnmYkBuufGRVG1|t>em)BR%SM!lrzi5%t+Niy5udk`D zERD$Kl{fPF_>uFRc+r#7lR_uH5Ytw^y=t1^+pFs?=^TXD9a6BmW7&ig9$UA`Tx;yw zw5a*irXBk-Z-vzHRu;5pY<+LxxPED5>ei^#yN2Q7Gn%fdS>5=|*1%nMldCHwOLWzR zE>Fj`qEazSnv$2gv4K*uLWATai}J45OJ>Z-khPhyY|fmRrAwD<o12Goe&l?0XX)n8 ztv+u>M8hYpVbgxNrOYbvY-rrl$gch;C$8y*#ri$lvUci)g>!Qv+e4?MU)|UtQMyKh z`{ja;51ZcHh<h}_Syx0PBrfgUni*xO%114CZd$T$>FY;IB7%YoJ36*y3D<3ti->=) zm+heEw7V-$b-i6zeE77w%eSEIE1Ylbemw&zaxGacPn|q__2u&N;Oly^S9XW%ySlr- z{xI?IDT_%DYZfjzCsp~n*UCDE>wWcRwf@eF*QW4p3Ym3u)|Y)jGRh_IuPDA!*es-1 z?@}H&XY~`)`L7GSHf?L^zjC*nC!oct<k*efrKv}sUfcP5YT=glwwUU^Ln6!O3Cmx( zVV9bE%|ARd`GbV-d^4YqX`at4zWVR+xVs~0ci<EC_1DB5r)Pdz`&Ts2@4{4L^_BPU ziLAYwYHno$%EyeSCLFP>-{L%bTaBitl%Y~!Gkd(w>Z{9gGp$1}nV)!Rv~*_n*SuT2 zRrUR@FXPXIKJVRZT6^-{Dr4EWg)=9|Pf0yg^!C*0Yum!xG{4T=ZTkChjpo<*svi^m zMNE_T@<n~ie)~k}@0Q0dH;bly-;(h#+^gaXcdFdIrJ?1buP*b>)S8%bE@s)w^1Ujr ze=NN<ZR+wpYt}~n{J^1Fu(a&)hIN<bywtw(>Y&Qfr|&Lgcd~EEIClBgn?IrtKrX-V zqNeKJmmdq0Z&@WzR(ZMoTJ)6J^H%S;VPWYdbF17SR6A__g~HjBy%!XVWrut}I%!G! z@-S`fs5KLF#plbM^_jJt)ARG3HFwv&{lC*&{NM9+pFX~uwfU$2D-}<z3)i`iuYT|J zyfA&;{Pmr$pI?7Gd6D9!Yc`7}X3H5`@qlcf@Z|B4n}Lr40?TfGp0s%r_t!&@p4aaD zCH3kbUnWT2VZkF<-6eRSf5)#_|F}zT{!+4_^vrNzec>@lkSYdqpX6sbg&{p_=9bT! zxN*VEA`6+&W4>zd^uD*OE?YChXEi8W#)bWJH%p(>`0UV;2l~!MUYi~o8eEY7XLUjT zpD8kyxF-LU@KAZhDl;ir|Eu5nqUNu9dp51~ik<gf!T7@h*pSKMA7^?uR56@A=%s)4 z^Xw&-ajRAvJ<68AikKgN_IxzQVB2n?V{;3OYHq(dtL0<A>cS5*tLH1dvwYYes86e0 z*w+}h;;<-I{g1LGnjd{WX?w1H(zoo_J-)ZTp5ga99X$Qrmo<-Iy(07J|9?mSU8}Et z5mcly+<QFv$j!Kf@W8T#U7x$=Oq;(!^tVz^)*;ge^R{ob)sN18*R#gt?wWVzIv1Di zwcK=5$Ln|DPPrWlYxq(>@ZAEpRqHv=EYF&~JNwb+`LFh}TWgr_-jWr0=IgBgZ!99` zOE2_$d;Z##^;OyD9?g6uALK2$r`Ze?g%8p}eWYIsn_Eu*UlnRE8{#+5x3Ycv3;FQ& z-SckNwEg>WP2XyUk^6Lu*=O^D|Gi&r@^#kEU&q3}e$093?Ed=Dq0*|Ky=yn!y8Pwi zqNleCpNUFczx-yKPhjxW5)Du9d6COwXI5He-CXY5t@d)4`t>aLHdpu0{F$ev%UAJ- zPul%-TQA7}2N>E^&j|{?H7WaXRce-XfI0vCC3Z9Kirl$$H1p^V`>cznCtrQGclMR# zvC*Z{Mcw~CR_^PbrEFSsFS_d2oZeZ#j#u7#Q?gBGZS<!#VeiYYRJ8iTdOE8j^wPHn z6<e=zzke<7w93gV-}ra!d2H1CR&K3bd2Yy?+VwAI*3EzM%vkyA(^uO=tnyZ=#h*z% z{_e`PW1w{XAiHhOG44FcHPU%I;>zz;?rv&sR`uLgGy6?-*OzCj*I%DupY+63Dri&O zcf0+b?W&pI&u{Vw3OTz=`S`v?)7PKB)U=WR<=-v8+|t5!ZxEO&ryr7dbjhS-EginV zkO=PSsTbFtuCLd)x&HjUy7=APZ!Y~h|K-2V;m|+#&9`3FdU^ZnFTKZVrEe~6+ULkB zaQ$ulCP-)<=Q-*2>+7rKoWjReuUO%+I&A&LU8SB`ve%*xxAO$o)HwD2Z1&GyU+_9{ z>D6yM8^zLJEn|1nxRrNtV$O4ZrQ-8?(T)>+);fts8EUTB>eSpF5)trf)0zhnKWi`b z)kN}%&tLPp<?oD~O7&C6{Z}kGvP8^LrD5I9y#JGP!9|8$nupkRJiQ{*XWO4Ydl_*g zvx|S-(j@m~_jZ5g(mf%ucDeYyHM2~w!uvZ%_g<X1_loYT3E$pXJ=^vsg+p$Q@bW85 zA%2|B<2k38t7yvP*{d)6zhAa4cGrsX^>JN&eb*i;w@)opS$yozG~Vp5p(j#zeZS`| zqN=&(wgT^qcTcp6-RJQwty|j4uN##0DEQZJ*}Jc6b*t(=Wz`Cn%v8I7EB@g#mRQT; zNx$CuUc41swjG{<_8Y1co|AujVVQ2c*Ce+dk1G!jil5Hfni+k|Z|WD`RXYzfnw$LH zw>_ljboH&t>A}y;^<O<RcFsN*zV5~H{n@YnzrCbdU+q`^eO3CaoY<w7m%~?FZT9p! zeRtt?A^wbuJ<GT5Trth4f*l-&2hRWa@-X2zzwG5}lCxDj_iw$o=+2jzqeqXO419E9 zU-QbSja99Ex4Gu%WQM<5<zDi0mHR5+cu<LN{d4=5&&7*HzQ^b?iq@`f|6RVq&@%U| zveeFHzjkG5o?f}DF7p3sY3sk=uT(g8$-R&c_jZ_YXFIs}@ne?1aJqY^v+K>&^WNu9 z>0ROOo}Yh5v~1qWCA!6?D^4}9@qaOU|J>Dq-LL+h0MB$fFc>{44Y4auSg6+>mr)`D z$`TD~J>|-vOv~^9-u^dv@4dCr;nCaa9skub7l^-B-q)sx4Q29uoO$ZMecCxO_oD&( zG?<&i+h6tcEnmsE?$*VJ8qz&|{SU89m@_A*f`{iz`+mMJ?e)CK*zG&}^ej;O7|Cjk zzQ~7=+y$0JKN4h@-AV^Ja~88u`huNNH$A@oQ_J*IzRC-l;#Hilat=@bByQ6h!B=0N z?fwiJ1W-Gm{vETUBAy5e42FPwJI^_9S<6II#aCIZKau&9GkT-=b+JW^+s|L|t=-AB zH`8eKZ(i>$nfZM=X5E+SV%D+iaTr@^fHX6%`Dq(!ozjq3^PnttmOxI4$_E!vfo**1 z(<KJzS^zACcTx#xnwf!V>ch_BxpHTuO+!6j%4fa1vVE)S-9>Yz+<YZhzVzAJyDNRV zea)h`eari|dtKkF^)uh=Uw^W>`qi{@<J7ZJt1}CG|8hR?#Z`t+)&@-;Ge{iXkfWls zXzno{`NivY-Yq@&!Om({<ce6``hbOV>}Ae~OkAOv9Dm8SIr(d1)<i4&Ig5T<|M3r> z_iw|#KzZK%CSKNSqQ5@$?SJ*>ZT-}*>pq*L#y0lbi%MyMyBATb=YKk_{^U(qR-XIU z7q_E+-OS3!0R;@xWW~<ng3}3i3l4wX_4(XwQJI)B&#k)m)qc-Y+WYg>YrScwF1FR% zEaVS9{ciHMch(E`w7A?Yy#3Vb(|i9fFWc?7<a$r6DN9t;vW;7DsLNCJcu0iIq8HcN z;$=VyLcu|DQty}7SN(<6dcp$(Cx)$!TU`2j(iN*UTif_0m;Ly`@|=5Wns)O0lO<l) z%H^-@ket8r?UMS}v*Y(&-X!TP`grk=ixF=&iHe-Oq7|}GbaGRaw#g0;*5h8iJfPyy zfx%d%@SVtYPea3tRuu-mmX<rcy#2%T^41AG627w2JAL|T%T+y*Tfe@IoMo_JIotUg zt2U?EFK^d>#2Mr}H?@4#rlj4k?|k^g2`?MdGGf4?GF`E=*w3-AsHnK~*UP(Cwt9<y zeRtLS;>C+rHBUAe^`%@{*|);`*vngvoLs?;eD8jRx_6!B%9K=@3m@DmG%q-u{PX1M zudWwTXVqlax6T$Wd3wh4)pm2&?DCtve4xAm>O0{p2ZLn~-d%qwKKy;iwZPSUD!$Vy zkJ{+|T;-s3W5v?{KfPq-|9RbPx4Wt{@7SF!5#gEVzKCXhO_+44sQks&Bg|1Ik27=2 z{&5w6Jd{ylcUG%k-fG!eV>8c5b=iAecfQ=&)!jRJ@sWkK?7>@;zH-TKx2zN@JD1d( zvwO#>C1=d@uiwnS_bx@(_1CkRt4p65_kLh}Ae@;kckzU2zXYUB>hY=Y(Ho7z6&kzW zFvdsTY^_TC_<3K9EyzNKug9$Gz>ETn6&Q!X11am><E-D?C?`7_KP?EUW!`==#Ykh) zva_MqVLt0FuKFxxIK#3x!kmGD;er0C|0kZs`Z^RpJbhpJ*{_0F-+-c`O+v!Ly?o41 zu?+bWQ*sp;EZA8PB5l4?(|NI1$fEySckXUK{xf<_+_S0wI39fa%ysL0{?Df$Pu-q% z;oI}+e=oM&I)D4ezYqFtZ{=-5r8x}tu<8_Ced^uz_OR)#^@$nw5}j|?CuZz#TljaD z=zNuPxwp5iHLCmbqfk^_+<Q_}bMw_zp{uo?n$12dp2*mEP?7Ic<2jY<w;6A{zXfYb zP}v63E7kTbJFI4s#r+@4Z;MLFmKWJn|CihQ_t$<^&+B(fx)<%9Q@Cl3fpxI{{tY`W zCUNdAST=3{zZF7{eQ)jlqV_j2GG2GBN!>^0*C7`&ve_SS^SNK0U*W4Z`SPb%mlJuy z|At1}v&}m7_Td`cSC{%4@3r{~9^d?|_woGauXg3{&~iV_@@V79#qG_!QYIdckN2<t z{73ij?U!ectoGxx)stBkS9>mKy<Pgs<E@8RP1(wm|J>7~RAgbcT$RW3_cNB(z1{ul z(#`m6pQRt|_t*r?7ZrUu!_;4LwVHO&q(i@6J$r6{^&3xj&NiFWnu7A1U$oA=syi%{ zduz7x?6Zru$WMRW$bCqq^y*>Ho11R+tz40?cbS{%Blqkuq-Ob=E4K;{o4oznd#m<@ zQgq!sqq-{p&228%_Qfc0ABZ=W<!kp*3!cho^>uZ5YTbs~9k2A$FR!lm{5?f}%lzGM zZ^l;tJX-gF{q1#;LYvP*_ILkT{Ht8I&Fs_a+T;AU*Dw6Ds<!sT;v<&2y1vb9yqC_J z-+yz_E4h09;)Bla86P$XcD=3bb1$t})@xPXbLC*}{OtL*@jrit9`6_R?t47@%k;~) zS3ce`JvFdpT}4{!Jh?Bk#d=>a{+4@t<>MdWDJ$c0LA4E*8fKIK`8ct)D;T-IJm!?I zJG1P}omk=d|3lu}-f-M`b7^SzqVpl^7x>Pad?Pe>F?-f8|MbjnO)IUgzp1li>FZ*y zbbj8LzvDIcuN8F}>z}nhj{JP=<NCxqTLpi+KIVFoJlVaoxT<Q`G@Zyro6p<bUi{?d zw0Wy`+~Di#?VYM?yY(CEqjgvIY_*=6x$$J$>1+4S)?YW(^Ve|8_#&MB_42;Mo1Q$^ ze!gAh>~0%3E=za*b$>#P+1g(Hao>KTC}VD1?fWYg_a2(=(%upk`qBL5y^ro+kJ%c3 z`L%uKrf@Bz?=zQvZU6pyzqz|s&5MlKh#h|V`!|M$RnM}E+Y)1aZjaOBs!wmWR&BmL z<^OLj-@R$;_H7LPTY2u^=k8gbmLLD}&Tn<dm(_NWyIrsCSoccqRo%{pt4He3=5Vwh zE-YGMx7Skc#OpPm*59k!QoG~z`cushYo9NF`@Cmi`tq1er?=1jE#_5r&d;lt`EL^* zExj`<+WAM~Z_^M3x!E>#{hODc-28O9{ME&=6V&30Ti5Nb>e<z1Ic4)>>+PpY_EomM zd;h`S*Jkh9Tji3As>Rk^>i@a!ncXtx==<0IWS<YsNwL5C`|QiAgk$nk1Ge~juajT< ze4qZ6^3UhPQr=|Tt$e%kW0~x_i~G4(UlXbO=xU|?e!;CXf1G}A`aGrjgZtm|TdrKw zmmJDj`u_I%9-G}tyUGKvEWH0U*wx2!_taUp18sk8TUWe$fzz~Wx|Lz8zgs`4o6jBi z{&Uspulr(u?c88@dg0F1bw2}+GTznSXA#)E`ro9G$3h0bHssBET$|e11y2DJcW-k& z%=&8M$;U?`wY=xq|9HU6D`OGh+|IYQ^q<mfSJ~U!g0A-!{(8AQ_tvhj>R(^n@?5?( zZ>3UnFZTlfO-S|p-F?CH>wdIedb94?w(RGxu77#QKT~Vda;=x$bG7p1SMQkctA5{u zK3S2=Z)@i6`y0sH_jZY5|J!Rn`*p8eDL%7l`9JsVd{T=f<;7RUMs#@fi@!>_7hB}h zdQ_1s`|g5gt<n$0&t7V>Z++Q(bp6Zl>9?I4xG(IV`MT(5zyg^EbBn&W_%mNI-T8U8 z%7yc{)?4lOpXD3$XoJiCT>JXNAJ3frlk@-SkLR{mZ=cA&wZ_OkZ~rf@E6KslM!SFf zsOXiqk9+y@<*#qI^JCA2=bXKG%`{)@>uLWhTa(#SQ&L{F%Wr>iTsOM($A`qymp2^u z*Z;H6js3i=Yptc}wC($TFF1Dghfl8h-{|{)KFytWR$`SzxHk9xfbV;^1zKO;G&Ox| z*8ThLD|5LgyUTBJ4VWNP9rpU>es%4$^VYxBj=!Y-($?fb(+96&*o>E7+)LRjQ40^c zYFQlHyRG-&o#%RccYVp58MiB%fBiWlD;X2Pb8<-!r^m0EaAnWFjM}B2BpFQdWzNqs z@2lUsxc5(RvAtPkjc{H~%^ta$4~|ctJ<B>dNmWZnr^NETw*EioNmo{v=lAaTlH}^{ zzA|=qnbGXCS@-wty>#i)*N4Bgr|c?U-z~M~)~|0mz7@X<zwUf!sr&zVan+C2+@`Nz zFOrgf-cvpQTJYgw)AUD{RZm}+Y~7i^wr=<7&F3rrIPBVV+eLfZ)Fn!uS(?S&!dg~w zri$C%_=R43d$%-i$CPRMnwzHYa+SSweRp5wwxk^?@5&a(RXsN>{rf?#_wQ}-O;WM~ zf4VlG-Z<+r<L;e>*W<sW`d-y{o|3h1*RjaiJEQsjb=NMaeEj3O!It@jOgn3BtsckB zU$S%4{kp%Qv-kaQa&&auRd&dtckeT;lPQ;;t>1U)U+wQ0J@L|$7O~bEj)__q4#w_( z<*_kRQMY-{Iq6$!K91LzfBl?ZvhsF@@7#iYtL#6oUiazx{?$t!oi_P8ablOb`-=bb z-(7t1&Hm+Q{k2BryPduF|8}b1uN8x<xmL)#G5l!k()9b03;t>HXFWR8KQ*(&=AWKJ zeb3t0uLYT&D#SY<O--uXRJ-G~{{EV)t&MNP_u6W0@7%oURK3eD-)O5PPo|{ozxnTv z>~Uj#uvg;`|A_o;>asv?wvE-}ITI!Xyk8PI$;;dO>-+Gyi=B^>zP`8_yi`^7*5>s7 zxca}PQ>X3Px5jeE@6cbbo0djNy$krb@$ju(HS4C;gg!{|+g-NzYtubB!}!(T?k}5k zXa3i7`|YpkKeqWXVN%LpkNSC=BJ}OQw{5$BKkU>`Pwlk3Yo~wre04dlaIV$L)acDA zu}dv4>tA`zJ$Z_meZ+D8B^ek0`ezq^6@HY#u=(8H|Au#~<JjlE_}NzX@3M62Wbgir zt5X<vm8w3U2WsM*@Lir^8SVG)-Nv$em3GmE0RcB9A5WSjG=J@8vuE@AGcSLi{%Y=J z_pHLR(QE&%ySGd!K>zs%v+%bOhCAI>n=Nh+IN-f1pFc<btMhMDpUP@gb#-k+!-?OT z^EduC<9U1CU`zbwgd558y}i7orkRw_Te&1>^_pv^oVVG{o%o<~?ow5&h23Aa@2xx) zVH&9yFB+R3`?VlwThYGxE9+E`J~{;MT4z-8SU>JLa8NS+{f8Gln~$uYrSUk+I^p*U z>09d)wYFY9X?*VLGUnd4zuTcLINmrDQ1^nNL0aXUL-BQ=c@H|+3cjy4!`51}sp~gx zP-|;8zLWSnLjtWW_$U5nzx<p+wprZ4TMx!cIxprssd=*P_=B?Q73W^unB`Q@Qt-Pn zb-~|1WgkvIp1PgAyr*xi<`;M2Ec^X$`Wf;-B-<CoPFz!e7#(t$K%4))$9P6nLGAJb z@jssQd|tTZFK8&d%=UBh<xf|ZTGtAKMk*N?zCOb;NXlcvI&u5U=EJ+cUV5>?OZe=o z&quaf?Rt6M%Rt8fWMpGkHFVMS;SWb{hD@KiXqlGgU+1%Pe{I{gBznqZqv*SY7K7MF zHZIHE@bb3$FAt;D*U#!_eYWe)ndb9%(v|@3u=kgrZ?^WH^vKP2ndxogsp(ra9zW+d zu{K;5Xb0*iFf7P4&?)Te$XLGd@cL^P?lkVI{Gsyo<eOy=rYY(@H+NOZI(5Ujs-|Gg zqBh&5_aCceUhFQ{NV!@1>Z+dGb3ebp?UCy<{)q~{3!hUkTg=8f?5BRrGQEkmrvKjZ z?Me&DiF7^^vpzk3)}jwnudj9w@%R0u5yr3kue+^v=XxQK_b=4^e6sS^Ro3l1*Lcli z)?2^d6MOLB!AUC7`+UFIx>kL@dOcQW>SEsi6;ATYrrlMSHT;x?V>!pV>#`Fqyqf#g zU*D@=uive5`jq&WhhIMK@(4Pzg3~MK<4n1zJ)V(enUA|yZ~6RBx+GG6bI`V1dvAaS z{uvsU9hzW#?r+uC%PpLbLqkHAgs#4xad(%>R=(`D51rdI?d~0YJl|{c)Q#IeJjp2V zscwzt*M9q?_$b%Z!XvB90+(C<H9Zhg=UY)wQr-GIG-}<8hD~dirl!g+y1MT%%id{e zQ?F)CEj=C+o4^$|`$a;2P0p2xhabKYs%!68&Ww^d?Z;~(3hK1kw#|Wc&3`?ee)rVL zlT%fmK6|F6Xy-V0cS%@P)vU`;wVpE_buUWE7GJG*@X~kJNmrscWpAA<d$OU+%j(oZ zF)l8x`8Pq!I8M2}nPwx~-4S>^!P9lpndO>FYjk|I&z+pA`c?OC*}Igr+8I6meNi_z zPnj*hZpDoNPtmyoYtC()sI?{K^^A!df9{-mf6Cwg+npz=NrHEuTv#JfbPVrWk5?h> zrY~!kTDZNqx6=8FW14Erzm9i%EW%G_+l4#6t4o!6yHN4^yu}8sE1w@>H{IrcGoNMJ zd9xS4uPK*)pUW(=&|t3NKfBoCu*jKTFNfZeuyMP*oHuw+<RnYKzry-K=FW9-905P$ zGtQ=){e9TD|K+iLf|H!Clzv$}t2A_l*}7jYVv8StE<SB0Eek4QFZ_|1WbwSeEaUPt zeN|6oH<hdp54uyg-rAy@yWHy++tp1aJ4s&Z!MA5oWdFL*-<hvVFHBlh`hDuF=dw?~ z>!<5o{<1r6Qt6+d+|#Fm<F19Rt*K}c+7WcSea){Q=gTwhefu|SdXYoeYr(%iK1n?N z^O?`~huf};2SLu{aImwTUiIgKVeZ_Go|C>rzt%nFdp<NKXO4_2-&^Y$t3+3azu0m1 zr0FHgZqrR~A4yF%p8PD+>5`3lHe&MZP0ioD*e}2CrYb+%{PoE*&n``!-St+*e`|bW z?C($RXV2f5bM5o?m^IIP`@n;f@ENtprdd;CSBQ&APmr2f{c=;`-6<Ojo42L2u6}+b zQ|f+p|G)3OXTNU*WmASfaS!h9l@?j~IX`lZdHl;229uq?Ev}xrCt;UZO>9a@3Rsc7 zNJVGyMf0j(r|wnVdSL+;^KDY(X9rumV5LFt%*{`qyq<Xdob~w=5f^+cW%{%pt4%(+ zz+)D;a;v;oy8P6$eUDeK0F7&=t+6S6*kgRb=S=PQD+<ER6DgjNV|s6Uj$xLa``gVD znt`2l^2rLBQ~nuTwc}<mv1a^s_t^KH^@eNgPwoHgIfv22SG$+*z}CdWZJMg8M_(8O zoLl|(<Lo7t_f~Q-%u=!c_wTFOzVm;(&;NdG?pf^L`%9(JPvUkg=i_r0mitm~J=Z#C zyY9iawI%n8>sJ`cGQ3Ked6Ch=9I|M!`&xX(R(rOp<x&h8m;QYDef{<iyYKpT@B6dv z|FqkA{Aa+WI#6n`p7-Zk=Fj#2f2=GFlQ9i_oooMU<-a)*pshy?701*&H}5^LZqXYj z(VZ5vYYs()RI5IV+m&}v>hBKy=&;$o+vYIe+LYF~?zL;soi#4^mhtnwzvjL8u$HgM z>hr?)U%gmnuYGU>WQEBpwND{$Ib#3asjjL_nh<uke4)^-ZJ|E@qrY7Hxccj(-{)VS z@>u*B9ET?1zRHDt`#rzkmst6=ey{iEf7{)EPU_-Y@?xjv=C6e}daFKMTPGKm6SjVK z{FP_5VmEbW{!-0cD7NO_^7HBC(=h6`^chQc1omg1{pRyDQuox4pUh|ddMqPnpWd`$ zrPnE+OOJlaXIDpU%h{Im_tXBJUMdg4p5Om`@{ygc-D11myqhRh^f=+DeC~2ZbG~aI zb_@CU#T%qFoKbbuo6f&KVZk}BTg!T18?6?LFw1&;P1q{?!qKh|DjQmEZ+UQY<Ei?G zMx~u^R({MczhJsJ$=|F?Qz<a`nB1ku&B>ysZ#3U_?uk3U&&2hi_WJPt74v3&30xx= z^+%kSd$P#mWj>QMlU5x27Ps1dp6J>cjK*8no;R_r37(@K2o9&36Xu<pZ!TH!`L=e6 z&xEeWpGBl3*KAl3(8_1LdPnv=r*j#Ko9~uB%IV8leIeN_vTOD98&k7wS8dofQO;J* zMyYTLn{530>GA*K#GYn9KPCR<;k!v*#ZNL0+t0huzB2WDf_u-b1xLi@zl`rT*E~IM zX|MjeOXt>2f3+ztZF}mZC6k!Few~-Tl{K}iE_N~R|MTnk<_e2Ea=Zi1koQ0SoxHV- z#dGPTLo%269WO2Y7A3whI!7y`c9v4}>gh8?MK8LsnssNbU%KqUi*H=#Z?E3c)v^a% zp)F6-I2(5C-l5>n<sD(a`@~;u-Lm@YDwUH<6fW20_VblRFJqnrUcPwhkGHpM*2O0F z*_MwB=G2_8_$nmterbyZ)03+LlUBb41yP2~zVmnbW-Ph4G|0RCOYGIF?OXDfUV2=1 zlY7;&gFo-rFQ2PgfAPXa*)NZx+x<md)UsYw>TXRwd3{rrK-b+#%aa8SUrFe!^qBP1 z#I+^#@8ms2tHS0?*7klCz3c6rlTqOTTi10|Tu<#<6)7IGAzjnlJ|=ME!bw_EMiFz9 zl|wU5UNclq{#>lR-_VNb{*Sj4mdsXJYhVRxk+5*xS3h@9%X(>sx#z*q;FFu5@de#l zW$P9FxB6=8rRgtQ|KD4j+P{C1d%w^1N&fZWp3f6^J-=DG>(Py(yT9C4xi5^cyS?E3 z|NL$5_7yjn*=-LteEj~hY5L66$fq@Rua~dh^6}&U%U?1NfBD=bvQ*peZ+l7Ty=CjC zzFK!?@e8Jqf8tS}XZo(U|6qRi$Kjm6<-ZF{W&f^7ixpkfyV-u?(HB4d+}U*2&Hcg; zwlZ@oP|Jly>b`yG?(&si)<)ivQTeL5Tjy3_$f~*i^`K>IF9R;ssdGnuJ-H>j^!)Ej zrT_o=wda`0-rN<ky`^r+Z#AE*$LFO5v&UUr<9>bB-z{IQGCZ&D`t9}gGoQJ5E%sWi zDO=OoHsb5Y`R_06dHdH?|7pXTYs$YqJ~ce`^SNx*hu)IK&7jy7Xs9VVb~8}xX1PVk zp390WUAi=MtzYknzLa-z&V8?=(;jP`(k_YZtlb`VZkL;O`qkv4;QB4e-1x@U&tG?! zUVL3Xy?566Ym;ZIYcAVx;pwKCub*c>`t{Q<yErl|V6R?xob+|a^;iE+W)VA`W?O&y zXw^MPedA!~HOKpnYE}73>)!26=ZvRrNnOXbb&bu(mVG~O@08lAaW?hm_4?g0_4Wcw zdwQj}*lI`Es{3935m)_Xe|}D{IB0_<!vfDtx&BhS4FS_#_1Dx_o@o8``FHo%XWFu& zX+<aMO4d&j_qpD*zFSH^43wiBCSS4<O7|D7UA%n#o3+cKmF$D<HUA61EqsPq6Asut z<}p#;FUz$d-1M(n=7Nik7T>*Z$Nw?g=5R&h@52?fViwa^xZFD5e?k7=QqM2#^7a3# zr0+lfcl5(Q3FGwc#Yq7-*PS}>;OauF#g8*rHLor!u{g!H_;Ip@sfxO~v>Y?@--qj& z|2~XI#?2T19$b10<Uj_@Rh<~iJmCU%mHowsZoKo7C_i@cqwZz9@WOY6tlRUKexCQ+ zx%Va5xF6i}=M>sa*%BX}o^z>BeSc}xzt{G4S#w0)eXmyC?yp)^pZwSELTs_Q{8jPI z*5*Nf!MYYB7D+0Jr%(2e=+0Vy<c{}s-H65V-&0R#Z9X}z*dh9w&V|ywHAR<X_6b$a zwzJq1t>4SH+T`LIJO7&1i)a5^!*ZAjoW54fI$?WGaMz!m-@kl1qOf+?dneT`xvOXV z+x}wh<M7u<zt6uub>cDCX9js!!IsNsNOczf@!j?Rn8(`xuVZI@-*0<rdPs?a>v`Xq zYx@u9U1{E4_I1s@6)U~G{s$B<-?vuxrP$LYXRb-6Y35x?j@oP+Tp8AFRQJzevvBe$ zaf8+8*Xn13ds)uEO8+*$N>nQabpacmol);B?iSN6xp`OF^vSV<UG}&9obzPXJS<n8 zC$m4{1j9`4rWif>x`PgLMYs4$Ur!Cw-H>zT*jn|HYYVzXA13B3>u~XvtoG>V?+d-M zVfhyIPgS<RFNw6S-xFuMs4DA{`^Kt_kMSltK0(({R(+efy7W!_;;X8kN@72!RmYa( zZD`L1IfKE3b)V;)vudt$@5e1nZVHi^zx=|9gjUy9t&$m$M`J&W6uEKFe7jCKy*MSh zRc`aipqRZ!*2TOIY~9+MbKvp8;w=y5{a+luvg!94oXZ<GE4A}pZ)o~j_48<cYG7m8 z-p^gv{-u4dI13K#|IdG`XTRl`<fYnbxkSEciFdZY&0DeATv79vosd#5Kik!nv6yML ztK9PSOK+aK5nWMavcq}Ht@1qq>zBr^4OjJ4W1aaeySik<nYXuBZcXjy3sL#t%04yq zVNh;<v4zUy&ydPwnxt&w`x$B{ueyN>!iu@?g`X>{tt?-8<&t7;c<EQgx6v!V?1=r= zv?{aU-}n2O=_lh&N=n%G{<!uqm~~>OR8^e!+lWtF*YI+zEV4qaJ4*MR@C%d8mR{R; za8j2_>k*AbzRY1gv))9WI?Ep(lCxq-7avF1^BW$bQJYH7oVfAl&Z+#Kz60iU)+(8k zE(S6%Feps;VOPi(c2m=Jw<p)SDz=Ynb~aDhmeqeL?0;P8%rB``5BGo1xcPYfi;K#a zw?4UiKlD=NjCYk~^VV5xi`l+!;;XG6*34gb>DclA{+E~YUOvwqG-aK|SNTh!m9zI< zJ2*uoxAs}$-O}4XZ|z9gowRP|BJHZ}A?vPR<9k}a&~*OIFTa@UFZbG?xmLejKK%N{ z{;X@E3vXHc7LM%cJAYpHc1{UPZ+=4gV$hyphXo)125+sN?sb3G(zA|1^MgDmEnX2~ zJ^x=EC~GfV^4Cvn)2qpu*I&*1zV!9KzjOI+=2&eiU75%E*W<hIj8*-6XHM!aPk^lj z@J+?q5o%Jce$`#|W1@<y{T@elUG^_0r!0=z{&0iW-W^pmt4SVJO^2>2wB~lQ-(S|Q zSM#>d>$2P`cZU_iBvu(~zZHR#b<Bsqw&!{u9&m~k+k4?Nk{DRlL=m=RK2gdz{q)Il zr?v34hO73Kok=m06!E<LY?Z9G+WFn*t_w=0=PBD6f%<?JD{V?M<-S`mU$|4=+jpS- z&!6qn@h^VYy9&<d2Y6xo`xVeP{9_1wIQe>o(6O@cLpzN9`toP*jck4CE-H23?YT{< zC$@5ZU3y(X)Vy6K%k>X|y|>^Vw*66xnl8+F^H<LP_3Md?wT&SDBAe;8-17;HyFNDF zF3oh>a1nesz=8ki@6SD6wCcNk-+ni@01-E_;3;>4FYB0I4ih%HUUYKJ>}}QFtD`gZ zN_}^KpLR{xH1}s@<hI*q&s;DHHZj;cE37L{bbFDa$duF>jt37m{`|`*q0nt)z`bXF z|MBk&i?5xp*>||{{G8%_?t5BpbEiAIa{u6ea+g`N=6l5F;>k>Ib!R3%j{lgD%pv{3 zM!QUXVxnPj&GqX(-8pmi2b^G8)L(nJhjaeMzcXfDxe;Dbck_AA>r3-~PghIyj68Z| zLsoUP5*P$I?^s)4HdD%Q&-;L1OTIsuw{P*wDOaTK@2Y#QKVxg-`fE!s6`wHp<o%rC z7ysMd!pB9^ip4kIm-Ig{Y4;ADCAN$A=bj4wJYRXy1FiUG%}E~*PyYG5*O#-mqD}mm z&FSr)`#*kMVc^rtZmfT@H9x`y4E9Wl&inRt?d#L=|BA%x|Gswq@#|T<S?<{_N&n9t zQ-5C{k@Ql>OgHv;r>e8WwHik5HGd^<-EQZt|37UH<9or#=j`)l?QayZUK20i`se;X zr~iCzNx}@5BECP{|KnM~%kN>`9bgc0L^plT`Zoot%I^32&Za46{p1Q;-?yx>p840e zz6F(^KeyMPy7fmjdvdiwN<^{G-}XzhBc|2nAKdP#aqz6$CXI_tN0Sb2N@u$+QIjbV zRlr{yr*)h^b@z|EottI)Ht9Vq-(ey2{!zrA^x#!sZ>{Q!K4%dxRi@c1-M%URe%+-@ zml!?#{nhtWTIfb?dvIgpkw@A$>Ykl8J>Ds0(iD9;PsRLO<|5qybzRxd5B)1QytW8S zpL)a7<DdO1`8_?htzXVCIL*4o&Siadx|nbC3A5!zx8`oF+@Tu%>A&p^o}RL74R8dS zc154FSf09c;<R~wv(46KUfrZBYh9vXVlqWo-M`?^laE2yHf;QRIzwppz4Mc=-|mbQ zb5=`;6h2yY|DcVnVbe3W0}^iAPrS~Ey>^{3{qji**;A>pQ9GYYGp~$z<`(uR;psE= zxCigFeH!G~ve})!a{JY0yI0j`-u0b7tb6atI}Whdv*fmmoSVrkn{(q|Jj1WA-A|55 zuRor&ag(v(ygS-8Ua`l&?bnZ-T*G=-*04r&_Dp-jOhbSBKYY_}+oz>_u}Nwc>dd^< zZ#3U*>ehMv_BVVsYtHucjXQkho7Q~W{?@7W@~6+-y*op4cEi!4NxAR&)ZYF-_UVcH z@jJ3}z!81LNpG#pd6oHUd6(E2_{?tj{n}V^Xja?96Qu=D`VZG^{KI`N!aJ@w_Q1B@ z=j&FUFIH7kUpx2cimjW^#oJ}uZD%>Bzf*AA9;+#*<4b?7^(ZyUfAsbD`sbzP#}&Z= z+|<pT?!JyWI_qCTtmev_drae(P6XTAQDL^-_IM;X1YC|tuP6``1Ov@>u5>U%aAJ`J zoB#c~cY()Or<Ikb>jXJl${d})EZ0Edj!0*@wswE(<n((#pYM^3-=(Dm(lSwM&4QAP zlIatrl8#-nmu539-!|ih#P;J0mi_y1W!b+EYmo7?ZT~bD9D>@vL`G|lE5s?M7Ge`j zEGcJD3UZZEjgvk#^La1N(=(qpd>8jza{TBM-=C|qLNCd0wWtqu`dRE}`s}=Ne|YHG zZo7K9oAPl}_ODuYUtaEj@TYKrX0G&!w-)VT?q9xp0`p??{rg`n`(x?;v$*fmbD5{- zEl<rao?`!8qyF5-4S#knDd6Mb(fRi4%uM4aPo6M5c>UUYZ`IeXv)iNAuH*1tQdaQb zFMBF;>i+&&AO5YHjb=dLzd4KlSROz8>G`BXKi~b9TU3{EW9Rji?)8s1^WSHFaL(|% z@U2aEcUIn9>AC0kwVLo2RVDEQ4>kUk&Oex-QCfAea_QRmTQ>P2H*2PBjmr(5`A2ka zc=YG67fyEWs`byjPUl9xzE)y2&(i(4{qq+;p3BJopA&q!zkZ#CU1(OphPb^|g}>i! zkN@zglv%2<@=5OVTdCLGE-VaAw<>vcCiS|T$n0?Q1%atfT2nXv{ro3obK$K?2RE)i zE@=5%{F08C&huNE=jQmPA3f}-dVR^;_<IS57n-aIGw!@?_q;RvPh*%*r{B>e+g{yl zU16tHIw6`OVh4}#U*Bwhv&Yo9`LXrBq^$Ro{xa8H|2O%pWcm%8#j+2M`-<P$zO`ra z{bQCgd^~K<8^b<LuecbtmTP~hj+lbp-)$Q={ale@JN?6>KRcg%4i(ft5g+MwdiCkg zFIj&Uzx?<nsj~22$sg?-bNlN3E`B_3Y5Moa^Hq<7mvTKna>S+T>#L)--|qy^yqbCI zqgLLV%l*>Izb<Zh96I&ZvDTtFHxCr&N?rW(t-Nz)5wD_}@Uo_|leyeC=l?S{G@N-f zJgY{0b6WoaqxJIB*~%0DJnQqBZ$C4y&V9yR`zaIT)^B*5v$ZWYThdv7dGmR;W$xRQ z47RBIzkhLNOSsyFtuM9;)?NQ_W_2>h=9I?_JvVMtEUeWQKYFt{{UPJZWh>rPuk^Gm zX#4bF4)@7;-`_J=dqLw&gZ~_=H<FL{eLN<ee_^TjbT85K*OJ>gvv0L5^PQb!TlRzL znt|bm_|-QGpRhbT<8z76&A2$=@t;UV;SRs9w0~=+zVY)pn&q2%zudTE%?Focj>E_A z`Yo2Xd$jrfTM295)VH0B|2&_k!1Rmf$*nh6_xui&5vx|xeqMKO-syIOMSG70t<L)v z%PmoV|Fcf-_J+3%_t)R*Q(YsP`&wM@p?kk(@ecdv!ghCOhaa0>di3|UYpdGwjlJu* zpBGqkZJqRa)gOiEFVXJ&JIr4seGc5R^Qrg*@#p82D;)o6{fgQ7wO?NU#C-4mbn(-t zKQDhAUug9YoMf+jc^qFVJ74Rs%=1%X=bzQy-mzvP|Kc{@hvybHXFh$ekbC~T=$g`5 zEjv=r>{_ht+q~|{M%~<sq)U5S#Lt+{_+_x?vDUk{GG346Cf~f7sT6#yW$JF%<lEJi zEADIVtNbs0iD6T)W!V#r<Hm1h+}ft1^0T~5WZoK|+vgvCNsB3SZRW0<A0+zc#f`nn zynSy{!^;j%S*>pPyK%G5oIMjnoL|b{|NJX#mCMQMXs_LGmKI3%|5+M;R8LJ__4)KU z;nJ}=|Ca3F-rMi*wfynS;*vkbeSP(?$PAx*e7TqSxe4($^?$!!|MKSMWV_m5Av^Y* z%~}?jU>jx1oqW7+?vbN!A8ZPnUgX)l>&BXAMc1|n@2?T`uJ=8ZX7BUmSVd9OY@ui2 z2G-_{FZk`0&M|Fc){gjYZ|xh^@;La}w?8jFez1+HE#TxfPkH+O;Rkk`3#-j1hoxOU zale0$@y!%*y?NEM-pJVhk+ztsx6_01y6@AezyJKK`lrNqgK>YJjqPv7H{9yR_3n}B zlg_`t9krTkzs#NqjEY{f!@tblt7ZK|tnT%TWBT^mv-`PchQG~n_;=^j;m_gs&d*ss z$F!rT<I9adqD41B8S=;T^%IW&%emh0XXn)R=jWyS>gUbb?=-LG&*~T7-Am=?&)M~D zN9E^dcj|t>m9Z}CSsT55+Rm6h)!rSV^8@y;n<&`)yZBFq(EhyJd=3#2!BJ+}hfbN^ zPdt5ES^xK!pVAyVrX7F&fA(?q7{|>GyCiB}1-{?@w?6DIA9v>Q;&Zz5raxccH@E2C ztW1T+Zn3BQH`}LNulzb)HhUVc^WI-`c3a(8&iJ)9H)Za*)e6c%p*?fup8f5YKltHX z#xfm$#y>Bt63zIZ?K?kr{rdxMlFFN>-rgQ0IrV31a8YIdkJeCEt<P~;mwuN|D|$F* z)2Hys_0LvK>PrVl@~PJ1l0U_MzV`oiKKc>z^3cx8&(CarKAF5^`SQ=lr1K}tog4dX z6Sw#tPKWkB*_Y*)zqYisU04yQ+~cNv@ZdoeHMz&LQ{xMMTv!<7ob&z0={;A>=e}IL zF6p8F@r)lowD{~|YF2jte7E@Mi+0JJAM-x`_tYufSNT6NNG{9!I)ld<mL{tURw^^+ zf14I`#e1spwtch9_tne`yKi&qgSc4|qe0oDbJqEbQjcf<`1qvw=jp529(Sd;7d~0# zS+etS?U|4}+lvZM{VLnICXn6sYeH10*6jXE&#r%onYwJ#Pi?FBPWyb<tvq%5e(RcO z>Ef^{P%k6$?EKYz{!@2-`;pZ3Y!RQF#eue7>5m^jKJ1&QEMfP?%s}GCmP%vyei_Z; zy}x+>e%z?CA^W~zhV2AS<@NtQ=X^N&>GiQ2zw;C_Z~k7h>5t{j8&x{;|MyJ1p2w|V zoMtIq`^)%UV;+md;hm+QGS=CMoL;rpsx|WMp}gd8)7M|n{pRWB8|NAHu%y~%{r#)W z^R9niR{s9pmv?vP*Z(i+n#=8Gkl`hgrm;Ccd#%=vNz0zpD!RUB)Xc7myuH$>b-Lxw zhhB>5p9&Z5TvZk~V}JM0HKEr0XX7(ZPy2o=S4Kcs`f=gU;@hBP{o=X*@%>#de>|Tv ztLV??BY!F{e_X%jzWh2XyU<rX#T6AlT*c2aR905**<PTSUR<<eO>On3qT-)#x8MKq z;V}Qn)7r0%GOVlZYrD_?I;-^RMe~zo?;oB19=0jpB~_`tq2uG9rHA?KDl)jYEi!(^ zE?o9<=@nj+Ce^N&{_&^Vix+v$d3t(gOT@E(Z&S@~p1QRvgjYHC|2Lcd()>d=zsYZW zy|4e1`t!LeSvCA}5u3V&pHJgmxLvn@^EH-la_8ik)|}ZVDhL9FO^F}AynX)aTd48w z-8skY?0!0zKYjKr?6zIc+xh8-_02z~&W@fD-+EX({CZioR_vls(^IFCA3piK=@nyh zK*-KX@0Tyz3u_$tIqpkJO6ut83Hhft&943&q-_IgHMRfwS8&_l;?A8ruSS_LPndo> za^2%rXGxiUDfia?vULI4%UqUE`gS^H-I=qF;(3sM&6OIz-c@g3zS)2FQQGA-T6Z1^ zrhVs6EfdWPToQG4$>wuQ_x8{Fg0n%@{AoG6FvOsaDbB5%J$#=p6O>sUQh8tNTFdwF zyO8D^!iA7#ncTGfQnf3$b%+FB=09ip_iv~<a$^snTKiLYz@FDVn>~CJH7=f-{k->W z(!JfYqkVFEZYO_u_NOwX{>r02p`OA2Y|QHAD))R^^e43R^ND{S7Qv-HxBL+ec&Gzz zcq;|*iJpV7l%8T0G~k)H@9&+66r|R5`)*?itzDPny@JE!xYfnISG#41fMYc7;Qbp_ zGE+T#m%djAx06@3cym4f@GzHC{^GkkuipyStEm6w*>~{k3%mEvJ}>L;5I$8Mu?O7H zk1?5k=kXkw+9^9crEh$GbS>z)d_}SQ)c2SF-#V#te|Fc^S;zX!*&oF}tridz6x?aS z*S<JHSWxi&gGq;O&PzQ$ZC3Bvp3NuIb+>8VTiluGZ+rA=^|GSW0`0tc`})>&-)ob% zm78^DhIWbR?rh1--_y-+6ln0SzUuR6+xf~zQnhaj50-3;bUBhNDt3ykdEp5QQLTBd zE_)n#WkYu>>ds>Qc>J8aWzzX0NgLz;sQsxb_%6&}7`!9@YP))BHuk33J3b#5mm`&~ z>?f`y%v4gkci5Wi`2<cEbyws1YhGpQ%aXP(+w^|7qzl{BO>6Fl+U!i>om_S8`K$Ep zlM9nrA2<8({JE}GCS%!XX*_vL^TjhVS1js(Zit?_Q)`~eX3^EAQ=MnWC1-e;tLguq zQy6=z`2Qsp9nIA<B%WO=kI82JB6(u#&DE9P7hC9ja|t{5caH6J{zRARxj)xWIA_+~ zQNcSmQ%vxqUY_op*>RV8HYlHcapMtJO;PQs*lP)|4u9xdy<>Z^MsKxGTKe)%AIV@Z z)9l+PrOSC!?&;tBW3!sOcNWiM+0U6mkER*E?ptTVyKB<%ZCf68dVUw;+p;5Fs6P9X z?BZK2LU%Tq_wN%rTIMZNj5bcOvZ_eo=uBv<Cq>N11J>$c-hNC~NhxS5I5KwcSX;1f zUwOUW{#RvvZ!=u?F4))dKEqu(ICJ>~?k8#je-FAJi9Ar2!20@M`f|_i0=+j}an>JC zi*NjLT_xw+VVC?Kb@d&ZiFFB<lN{VCRFdUoT%@aQviIHeNK#$*X4<NRB@_3{SEg?| zsW)c|v^`RB{HS2w()|Y^iMKU+)kL-4!x=ZWa4(lpv?;8w&)d0^y<N!Z<we~^Z>GwK zUFv&2r+Oy1n&;kAWBwpYE`H0rA4furbj14hm^B=*Vtd6fZK=Oe(j|VDzPf(h-*ssp zm)Mz<y?g7q^ybF2n+8`_`~N-UX~(<beYW!Jl6lt5!h(V;g<SP7UVC~AlxTEYi%)NR zyYUwDi(21mJ~>OrqE)Rn>_4s;Cw|f2EwS#-dU55qa@8}Z|62C>+ltj0Nk$i+&6KyO z&D+<y<NPwCP43lsb41*qXMUbhG}moWk@)9-hnJY-dS7p7;&hbFkezg9;ojw^WBpxR zj$9U%_1yh}<F=C0qn~$zEM_Y;eKdV0`2Tj{uefr{z3Vgi8}6%?X5QMLI`#efI_LTt z9nLqm%6X#rQx2V2eSYpmo}Gy-<zKjt&)4~Pqq<?TrQ4rZzYg3iG4g9Kiku#n5utVc zWKZNdxB35isuxHz9xKS3Ycorm|LCTy_3P%ZpWqkM(NVGIkb9tLt;*DnjtaZ!V&~G0 zbB_4lI?!JnE)??I;<*2k&v&xpjeqaG%JOTTV&ZYXNsIf#KE}O$^Y@Fc-RWI(S1cCm zJL;$Yq<!ie?G=Y>Zr?j*KkfMBqEk*zUo3j!&phcp8#w`G;3K5>)f=g48q4hevVNV{ zqh?sk->oD(!}?{aS?Jfr`yjo1y$Ok+8tPo|+F3X6rdspym=xB&XOwt7`^47g6aE}$ zd{p=MWa@A6>zbAP)AuE=pR?&xgvHGShyEo(pwe(9Tb^}q*4C(qy`Q|Fn_WsWx_CSN z!s@(>o#{6}Ifb*G@h!=_tpou(HWsWC;w*k4Z*}}`U`GYt+*0r`$I7BAi*?}AvBSeM z#G?C(No)FCu+x@!ReWE1ODV`q^gP&vbDBF?gVN`?W+^q#vWC<J0m1bZS;6%cVaT{R zx?TipDUVeUQuN>0ja>AXn@RAb>S#XI1P!coFAY`B0@rjF>mTIj*!FoXS$65WFxW|< zhg4gOe|*^1(w|ZO?(4Ts`$?1Q*zP~5Dw$vYx$?5>5l+4k6R_40MJy$MyY``m37l)T z<~?4TXLV6eNl9rPs556ODEN_2Pjk-O$g-T3H*cJ>m|b%%sn}KG5$m7bJrA#n?k`eN zWnO*N>C?RT6@?x%b|3yEXLVag>Cr|RK6bX|g%QCYb(9{-nylJZF(u}{LJfcI0p`22 z1SD!i`;VAB&e!*UsAga8uBN2)NQSphQ|Zy(J&OzYW;&DvnS4%Pn^K;n6_%~_d*5uw zlmN-o?RQU|3H_)tb8W=hl`^-!NF6>Gea)l$cHEQOcaM9XH<(=YMci(FiLhf`_~-Yz zX-UhroQhv_)a6=K?wdKqvULp0s(*aC!FW$vC?NLzwy@2))!m%J;v4KPvVBuNa_mok z&jN!(uXkD-=`YxPR!K>2{gb7)w3fNL>}ihXe!gtFiSio{W2<dDnC8dKFPe_6vpJ=X zGrzl9?c;W}`-ZE06%OiFe}17Im~&cZW5A7!XL=Id_a9lmys*MB_W03DRj-`lx@->h z-wt|l<Fi^*gIe>i3x>9x4_^N&o+<dJI?i4E@c$cIc0OTSx{5W{t|xuo4$0~_+jBPT zu$B@O6nrka*GKwX{gnkB9TjTZMbBOT{_gzK@Vn<W%C21@er5Ux*|!-fTCYWnCd_vD z!Y(hE&Um{~bj|PibJcVYX};x(J3W!b=#aAH?*|IEZaj|seAZ3q?a}Fmca5e<zs;`v zz4rg4rnyJ1uK)1Q|KOMXkE^!*|6X5kbnBN7{EOm`gv$K>Rs3z+yR!!h?4#~pnCtzh zUg=oO(w7sI{`@|dzWb-h$=&;&2nO>M-}^By`5oUW=@&~{J37KI-b@n|eE)FTp`D(O z4W!K89@2E@@V|3^estcug9}>%s!F;ws#V)tw>-9d9{232<%;0tUoLLc^`4({WQjb7 z%wM_aZ-37`@~q8>Ns_#O>>x{o--L?1$v0)}GZ!YFP@NOGf94PGU4^y3?Y_L8c=G)H zf9<{d%a?p_2y=<K+;@NbzXOHKjTD}q{FTUbw^{0v!W#Ady8DwPPv1|^*|B@Ekf2~; z-#NYRjtc$lBIk5(Z#$mFzu>#+Tg!?kEGJireUf<)e7@!4{x|Nfu|L*Ja~IC{O-<?l zcE>`q@7(2QhEI1rdcLgKZ&_mQl_}HqwFXNG+*=}eZHn+5Te;KM?%%#t{Doni-EZOh z`L+jM&7ZV$)BE_H>you@*V#1u-Barox_SAVl$&>!zFASPx_8|r+owuOkM450U%X~} zPD$zBaoyI<no1J!$#Ng}%PxOkI{otRS>G6b#0E`Y_BYyU@81d1ZUye^3;vvZ)W4VA zVrKG@^?4twSLj|m-BJ4G@kY+QnN1TvIG+D-{q^O}$dAgA-~Q&@nCJI=;`Rssy-og~ z-Lx_NteD?-8Q1*%b93&@dRo#GB&EFe*S({%*S8*Hd2qe!pZ{IY{yTq<yuD=mRP<6O zkDy?G_@}}UC8bBQF;N!t&u$Pj&t$eg7wZ`?_pyxq#B;^#YtO#<9wz*vx~)al+OyB@ z)t5SKm4a&5UEz3x$~#PFl<m{=-L$O5-3oqOb)T`#Nccq3>H6}(^{&j1uKjaRoAC9s zRozm{-fub2+<8Mnb6;(jJ+e7I=lPG9K5NvsZ_KC=n{Q*+_+99Z>`ZWheI=~z;N|V} zt^K!VrIk;AYhiHV$k)B>32X9|uFrG+B+uUQ`>Xo&xA8H{pV-F!YTH``>e-|;Gulq_ z0yRCBNKL7AE;QX7rMo8X=h9;7Ov9Nk-WtCM-Tvap=A6of+RV??thVQZDj7jR!8;Ka z;him(U-;)fey8DL(|*nhQmk5{4V<sc<T?+L@|gPJo%dGPB{`r*u8WJyoZtfPCFd-< zueeZiFnnR)R#z7n7p|+D)P-DxB46LztR7rnF)iw*d|Q|J%C#XDAZLnfJ#v?Eho6fJ z%f^ix-|;zft+2Q8Ui+%Q`9MiI`@@?tK^E=&`QAS|%AUvN&;Oxp`7-?j?>hJImFDx! zV_jTaj;s~iZOw4~#r2i)8@sbT6Cbc%k)O9T?5n$fIs1d3F-85wMPC9RspTe|?~eAK zTmRs!?A7g`VfD(frvLd*TeY=5*E&Y0<yaj(mZ`hoWNpo+TGilPA)l(-7a4Yf<FdoO z*z;k|`Ax~*XOD#)yZL0ZShQvK@4~}hLjUBQd${H)$Ls&L4F6woem*q!N6YVB_fNfv zEh~2q`pjZ`;=1~`&*u&-+MBcF^8Rf_S%>d)mPaRmtH+?|%7dEz(zDa<9le}e_M!ft zvBbAGQk(9Gc;-q=JAe{_i%Zsx$14u8|7~6&TR&l1qUz%#W%p#H0=^wOR(9w2OS^v^ zbI<WE)k|A)nfd*ummQL;EoU-k&wpZ+?D#U~OVDfd&O$`MKckE4*FOC^z4}JAjcm4? zl6}3+yu3Qq@5xCI_J?hJe1h}L%$ZAMd(xgQIQBsP<m~F8&UqJJ-I>JbZ2#c<<oibZ zb_QpH8#aOyyFtCK1cQR-4RSp@cN&{7{bBg**||IBJ6`X+u3TMmXvXWucl-{$OMSQd zamJ6U5>}>MOG@8InO~8Dtp)g9`T6)6BQd^5(`L^9^eOc9%!2nmW>dFCtS&rLSE{l6 zzMRMD1!g?IRc5^2^=sbt2rM<3_KkUp3(U7~i#oP~@1V*1&ql|U<C5OBoc7LnXu?@| zdPb>x_$;>AlgZJyJ{(z6ojGr7=lT8K3x4O8U%190;Be%_?2q@i%vq5<)qgT1j$>q| zi=I2Y?o+Dc|H(buWEB>b%Kj={bNa@*^ooU!GW~HgdoR9=d6=^+Rbp@P^-T}H^3Gv6 z^Siw8K+vyEj)%oRy^Gb{Q}jMq$6F_PX2-rSC!$X8=H8a1*1b1gFXigN&+jw0$#^O# z+f3TX&$p=8cAn||9F>g)8}BS$^Y?}Qn{zE^WaT$K*e$Z_>q~Esjk-5F<M(we-LICo zSzfIw*~apqZ|1Y~$J5X6fBInRcB55m1(|*stl2Ivy+7_;=c(nMki?=l>9qdUZ|i5f z|C+f==Ik1Ghr4&QZm)Z<3a+7M1RrcF)k{Ak{Fh_vY|EKZ-xj1Cd*EhbpguWZhwW|o zB{R<NKYer47f&~zUyTPYzTcR7|Gdt;EA7Wxjb&%1ED_sxT;`v}Ovk$i6qvg66tH%4 zzTJ)g;QQw(kK|Rk;Q0^lyxHBjK73iMht-~0hXb!|zFs=LvQnn|e~#BwP;h%(Ev$Ta zM@eCJpX2fj;TgWa7hFF2>?iB>!!P1@emwQ&rQ{s5Sf|gQ*DupMH}Ob#z3d_F<LsY4 z&8f}^y!P#km>+xFr8NcBb-jkBI=P;hwP2I<Ox|7&UhO*#`niXiEVDh;7aNGMZ>fHh z8ux1Ux!a4^E$Te!GCRHQK}^`2>Yqwk>~Gob9X)Y7Z;9U<qkYEl0akma+d^lC^^Go0 zhfWOdIwP8Ta@rrW;+?yd!6om?NSmyJ4d;xjE^etm?X@;LZhP0+y1$igHcE3a{)zs+ z$o+OuSAjd*$#!$&=PP&0^wo4;KekP`G~?r$8xfCuwtx809G-lrzwGca<0Z*=KTqSv z>_Umfl)by$6fGxpIFvErmEpYO?JXN@(_~$<@1qZ0F3UJsQE}3!F0YW?|GS~U?@*J} zyIW(EQ}R-d@`vTsJz{;g?-0w6i&+mg`|Mq7_j>WZ<;&{xAFMe#`;KfQI6}@o{&dLF zN^y$+H&b;r!<MP-pY*fJEEN7djqjMfrSZv&#j4jnJZ^g#Z%}?@sfpwlq0{ktk1fw} z%76Uwc>bj7|KWe8C8}ngW_q`CPhF-rQ^M_!Qo{fCPM40Gaa>vUoc`Rm?cxScXJ$Ap zU-Ov1nCX7M{n1yY>L;`}&dI-h`^WK56+wQH9vyP+AG>Gn*|_sTW8^p699zpts_bX} zd^~))W!+!v$@lKm=fyTNeyjT>Hb3>Q-<3e_<4MbfKOOe^Cc1a3Ri>o(c9z1Kiqn?b zU-_{~a>IsC?~`Zl+%0XKEW9DYZ`0em2bcW4A2IKb$KpkhGX3?=H<su2&*xHm74WZj z`u1%n_ecEHsdJI#Qa>u^(f89}ThE)IYg;yEJ`=cgc1;F<8K1lD;RP$wy?o}M*KPdl z{nP%<Ec1p9m8tpp*B5P!*`1LyG1GtU{<%BaUwk_0oSOG|dpTR-^B+RZT{pXqebjus z{`ZuRuFubF{W{dKzQ6hYwVIP`<+cZIT|G0q;_tuLm3QwJf?}bg<H4dsmiqer&&AiQ znbo^>hR>I8l3%pSu6~K!w&%iB#^TcwO1G!p);<<+O(R@fC+ERto3do4(`|pI-wHAZ z)utUC6++YJ7Opdb^d*b8hlet?Z7g_wyob;G>2XVbqu<vzxig4^lkdb=k84{uZ}-ry zi~QkwI_9AAT&a&9S6x-WwUg4+2Z4*TAVzjnNX;!2TdByW=6rsetD40fF|W1ao=ZNx zcf2A$@2K{##|jrN-*wz^Y~O*}t<UyWF@J4OUpe*f!-L1uxBva8A+tH_!<V;xhurEk zva&*Nc@>r0+`Dz^)Z)Vv@86M~e`~(3n%c3qhAURu+iYEFZ?hU1@7!%)vT_?FV8H!f z2op4<3SprLF3#${BGM<co_DF9s*;jYkfrMXYbze^d8Em(wPUyY)v$*;LBTqjKfWs% z+?@+b^B%ohmppRK>gwp=NJ>t=E88YgP+2d-lJ@84CA=NiC6mO0f6p@qEeu(=^~PPs z9d7&m{&vK!Idn64#~ypHKfZ4#RnM8cxL?RTles$XPSmSE%=zyM>ePMTJ)Znld*-F3 zQv0|$W*&%oZ5H<`ZB2rG?#zuZMWmN+UGYl-Qjj0fjR~^2z4^_Jpv@bn7MlHBb2RMS zt-3n~wW9lH7h7ao9{N?!e_;QXqkc7qYVYQ!e$%TCZHX}pf4e-4d%^L=^EX;6PZh1_ zEh;wv$7Imy%7ZIznVFs4)4hE0zXwOYUxu_ZWP>bC>KZ2Z<Vt@1CAF_=6X(m7mGAo8 zz)m<K&Gno+<V(>jYy*}iawm4P2mN@r@!9h*Zi%0U?tS$~nHAO8{$-0=_suylXQt<^ zgBfXjSuy|W3@*KFEWcTDr043KGmTsQi)Ma2f5|fEz~?nL=DM^UJ@YX(-dK0jl((LR zW!f|MyBj9n;Mo@O)wBg1xjW{2bEP*QJTRBJ$^g{1nDXfOjM>)3_aCf#9@qcoB4_eC z|EupVUul0R8(x_IJV5IEj5Fu_gl85#&)XL@-Rr#K;S>6EnP1p%F+bLOI&xwewrSew z+b4%Tp7HlpfZu-G3DX>NCBAtYTrd54cK-DrdTINO=QXI77e;ziyVc*GvizC7yUWV! zGf&SCiuijhXPSIz!iC3EAc4iaV@-iv*;IY*U-MJ8yk!tv`})HA<k(|nwuiZSA60xg zmDBTWmF}FAz6!sZ`#Ux_ygk5m?eBTN%hM8bB6sx(U*}1TC{|ct?_B+RgW{{`GnjQh z=V$F|aYw=0mlL;6D;Li>>LXUYKjy@$=AYl~X0!FEY&yrk$F`nX^P9*u{SKvlSAD;{ zU!dLqDdj4Jr^l~)8*kqK#kkaRR%Cy}uANu6D<k)9k9&N3rXM-`PP5|SS;?>Lr`$WT z=}k2^OU&uV-PeTY&+uwHdT8QxHi3xa0eMY52YWLT{L~f}m~XT9Fnk^#_vW_Blm}_b zkyA1bCT&c(Y22L6v(JR*>)L(ap_2=t=bp9}cQ@o%vCUoNn_%{~*-w1-bMYAd#r0R` zPSpL%7kT@9&CX{Q;rvnu*KWV-k@x0$O}^o!cN?cyuFSW}@88v>rY4*_?`PxXrEB9` z?&dr!NzgdUm7Tc%*bJ#>|IHzbx^^0$X*rp4D1~cDqJ#Lnh}S2bXG1*i;VEZo5%FAd zZ^o_tsaxM2W|zLbzejE3>%TUYd*{wbHB4drD*fwmvS-5eeaRQ96olR4eE)K7n<^>o zJ?EvET-}E(pC1?VZdA0Dtl@4*^%Z$t%l`Sx5sq2XjL8yrmDVrby6y^ikWTQUmY)8c z#llWMQ{s!0gq$NPHR`8iwlhD9|JS<1Q`)1?E-H!FK5xc9)?)V<ugQ-38~;2tn)kRy zFz5g8Nv0>mFWeP89KLa8!`!{|_Z>}-+%8;t<<Q0(FI)a>m{cojv+8DD1!M5MPPLL# zR{sptKm7d>ye6)^$);h+QJcRXtRwRN&zP$znX=@_#uP96L#0ch#btQm&7bG*`?Cs{ zYW#onGWvE3LxGt6BEHtiN&G6uYb2uG$~3cY-7qQUc$*fsp?S;CJ3<!wAGlup&pad2 zWD#fgx!fY@^RdD6pLgjb+JAsnU)wEL7yR6`eQ)-~h;^|h+fI1-&;1>L=~iS<lr{HC zmfK;=O0pll(*WlQmzZFS=TD!0{L?fwbn`~B$({G?n&V2l{cKK86W?W&ke77zjWa~y zl7{bh3uha&|65pmN46E5^E<8x$(dTrfUrB32O?&w-tP{HcJV3~coF%ebS1x$czB0O z(9iD*ulUa|`u9QS76181qJINFz3-LEiGQ#!>T}%Q#@oM>A+^uH{#CQ0rf!>bN49_a zwoRX26g)96Kfe51dUt1MVKq<ID}MjnSN!L*kny{B{IRQa!G$Q{Su(8Srv)dA=NxX| zu3F==yLkO}|34Gwd@=sPc75^hJ+sfx290SdDJebDj43*1Y57Z|aI)Ek=SSBrJ!k*n z8DDryVdE{M<jb07e%TM-LA!%Tn0G8G*p_~C<I=Qb?Z-KvBD>el+4^@!;xDZ_^XCQE zidw$@musm1+M+3U(Bt<0JqO#IGb7ff32m=ECwzUyaZq2}<w)(G2Xg6<UT0u^!K2@q zJk@2qkyrP)yPM|6pSt)%FYT;cV``ke9`CzDKhDa|w5vC|`{#}<BsQ*u#RXWz@7Tb2 zesfZ}kffOL@$%ZUTTUGNY3!sXFjwU4pGfVSb7yp2opoy8`!oSbmIU;!>0+<+a?TgV z6S6mle}3m)81bfa&79ws)q3)WI=<=cl#K_ci6=ICnsa#Bn9KQI90V2l!CmsndGF5D zHt(KSZM(@`y=SN0xwlI`#-6E5w_)^t_h{3EZ$1CEY5Je7@9St<UBkP~j_3W3u4?P{ zOFNFIl$FgoF~u<PLYB<tc-d1gx3ga|TETnRj`P{Bb^Ffk&s-@Ie0y4p%KvkHXPP)O ze_PJE*K>MZ#)E4+z%E(xttj%L%^R(Fu`l)~Gj1~~MO|MQe|%TZ+p0EksUsg>OuZ@b z_MGUsWsJ(5Yd$mQpTF<WW!w6ddr?PzsqkNGkvS{RpcVR)#U8e}d|B##E$UwE22tb4 zH-C#1SM%Lk{UG-AQR7Rn)iHjjY<<i6<p1$zT{$WUPJfSFW9t5{uD@$@>HHPd&ujR@ zThqe!9Af>HE96zMIXiNS#gy-i1`m=gPQ43=_h5j`OGVr1pLd*V<pwUb|Mj*UiUgI~ zuY+>GZ%977DRD*k{9mn;Yor41Uw6Cq(x#kE4Q$ia8K?apZ{;|#Ect@wEta&)b}vdc zR@NQ-YVa$3-L&(b-p6Zx1i#}kPBfZnFq0`$;zFzXwSSgVA~K(DfECZ{&wb18S(&)h zX@XY5z4{qbmgT;b3NTPy!MxUalI51~B|Be3isumV{$2mJe(s4gd3%3T(CescE*tNa z8~FS8EZ8Y%&~{PxlT}oBK>PfzUe$9Y-2C<xrfPpO%yVp<t*)&N;d!*@%#5cwUtXTy z!M;l{f#><PlZ$tL3eZ{o?bWABaO<{^f4ca&i<}E<Hm$cb>{MK1GsXVZ*29b+_5VBF zS;DL0F0Z?>P2Sw+f74E7y`?7?Opo|iBzeBjFY3%?{{78QzxCgoImyw@OU8TI7v()o zh5L&5^%G7RUu^M<JTCu?WuJU~*VWg43%Hx_9uYeFW%>RE_a<LdS@u3LFomgDeeaoN zpX9zm3XY)On6m!Q@0T}qT1~0n_+@Rb5rajyd|>+_&yDPplI?nQm3L1u&CQu**-~b# zea{_In!f%c8TomqYC+vZ&NQ*!j6;i>!gq`HKE36d+<m70mD9aRA(M}Yo-a7vm3~LI z4O~oeVDC4WeuefMgjc7@gQHhTi8aP<)wat*&o0=P^zB|O01m8)QHK_@u?vIQf*(x~ zi(C^WY-)e+JTAR{*3G+fH+t|sEfA@1+IBFZAXw$z+BG&}eqmv*pq#QQ;-LIZtH(M) z!4g+&mL5HFY=<qI>DTt<W?$RWO_A~TukMTl)*B#|q>Fbm3kV8+)avUJpSa0YXr+wR z>41-*w*A%+(BNUr8r64v2dZ6NTm+=0U%xZhbJ;Or*Y0Kk!Ig6fj2j+#tXo#jaBpS& z^135>VGm<8ZSTr9ba=ddimmDHDzWbB6Fa+``zC3|mIOh{s3Ws?q8G)FdL^t2o@;C0 zC{q#bzS?D~+xS*H?%_GUc|kLNU3|RoWY5k;3iE{L7sP*$p1Jq*yL%h<_+DtPsZFwf z&+|p!=%UB+`rM~i+V%^-+jamHq%KRgeVP=w)t6`1chUEKpA=tx%;x0hSyH(D_s=h9 z0>pHx=SDZ*k(GaNy?OWiijLWbYVXQI@>NHO;(Lubv-=<Bm$PsDCeLsDdH4MjEIFJT z&q&+%PW@N@`3dvdQ!%DB7wkK3ePqv+3^Ve}d46^wW5YzT?R!sb-`ziXUZUF97y31J zFV&nFl7Bz{`SMD?&E-7>+=@Oi>8Y>xTREO!Su}akO#5ur-NL~eqk?aKzssZKw9Bv( zW&cCVHsOfuyT`om_N1;82h|0KZMkoN`vOPyy|CP~=?{m!Uv}VGPsn1RiQEq-9op$P z*Xm3C6S1oMwaRDxO&5K?Ykukb!xP2p*T1~WoV?C|=4<wt%ahO9Sx4@-TKR+LbJexu zbC(vce{DE*ThfiaXKKr3c=t;=FL!I)@~+lC|KAMG#KkjrC+O65zhC0K_*jXoxcBRo z+%rv=Tv;oUZ16R);&|wt+m=&~*{W^ceA#8$y}4)R7@q%>dnv!hMvEu-@xe3aS5)lP zOg^OW$1!fE?VK~)e_b|OVY|KlT1z7Htg4gdQjjcVIzO8$eR1Q2)y*sIZ?w;OCV7Sb z(^2~+c3+iyo@_aFV12A?&$mt7>B#{KU;U#EpHG>StbVtM>;HiTLGA43ca3`P?aYq+ z@Qcll;o0Ba772`(b)9!!+&69e{a^Z;H#}qha=rgAaz=Oi_hogi`D&Q!EyHbWDkWdD zy7Dc!K6C4y-|zHioK&eh8C|p4e*2=e&=k?Vqb_Bwt=$CnAJz}T<#()nba3;VH0?-U zJ;fJ$ZnyWg%#fOtY8reitVW+x?Lbk-5h2#?`Hv@E-fUTS$?34So~EfHoAX3*(5lA& zcM{^}HCvVHDfFhUc`hyG<KQ+iB8PKH)F-WbalFq88Ww)B)ayI4+al}Y;zFLqny;O~ zVHET<Cf;JciNkT56|WoScfY@<zeBDv{mqTdUDFTF-2ca?=3Vg@$r~-K^XL62b_-Cc z-E}?nytI9yW@`AiFFby;^%m~b{}Yt*;N6_bnGw>aOP}t1$;**{iR1N2;TuJ>A1>gt zYgIqctDA9W#gCgGPEE^Sx;EWBz2MfB8EN|(cn#v3PVN^AXZa>_$(WfVGfMu1){Gb4 z-OC(PW(QloJ$J+A&LrJ;eFseW)R-6Fg)~90sIAkVQ+v{WX@+@2{>PwApL^m|-2S>f z`gFg0eQ}Oq{@>?;V*iU3&(EuwWq$3CUtTwd++5qqip%YvH}>;Q-=+O|S7^?>pG^I> zWz5p?H(knJ$Ca$w+#A1my5R3a**0bi&86e-_}qm~hgE)X-*l<jvhI~p)%`-z&+*nC zKUSzq-aFY*n#%hAb&i$fa_7wZOGS@e{kU`<qkiwx_R>F}-e=l;x-Pe7zPSJ6+w$oV z>B`SmaNlw8oxF3RsC~rG51ryWeqYc0lm1;YinnIk%I#Ov|89A2xZB!}e|yE`-O4NK zY;W(fW&#!WD|2J)*+P%o+{kM2wY#ACe^N@jZvT(z^@@3y*d{IRpSEdI>wEQk2N$1y zaPE7Z+Rdz|DR++FiT@uhCvVv}H8nIC+W?^5oF7dmAB!c({j{t$4!XJ1py}{>yF**1 z&P@2%wX@hv_}?41Nq%osUp>sZw#IwWq1}C(uYLZ=K0DJa)p+H}>={K1`DOefPFzV3 z7qltVt)Ica`PWCAvbQVGp0C@6Ygq5ajNQ%P>T6=u3DxvDvXu$<A1kQeV|>!2ssDe? z=eh)Y3FFrjlkJ*ym3P1Cz43i>$}59uK6_`_-nNwa%G11Po@KsY&=(&!xAQuwb9L%w z6<dd&(af#>2<jG{$TseFGf50QxLS8T-_uXw|L0i$zO(7`=~(@LhuwF)JM8``USju$ zN6RjnoYPYmH=SE4y#9W@?fTu;e4qdp6pRV6cz&xm^4GEHSGPA~=?Z;)yIo7d`a-=+ zcHjE=<L^Y`Z}rbQ@m99(@owEP@LB{=4%+cp?)j?;pl;IAc}m}E>>Oii|JT?>-r%Wk zX8yg>`N*D6ChPyCtgeWK*txRIbaBDAv~9Y3YO>wq%F1OxW+*8IX<g^%gS7LM9=Vnr zi(JxXF(c-*+|`hq?5lP=s7#cTjn56%{aYyTBJ$|%HLBlO-vz&$6WTgIU3R@q_pig7 zSJ>Nh-~M$t;KTa|FK^4X_WlXDa%I()sZZWj?AbbXs(ZWg{+-r#TkWHLe0pvt2Vh-* zQeOWe2s(2)u?XvS9wb4xtDr9S#9Id+zGFD`Ty(L8v{szU615;mmvHNGp>p<sZLk46 zx0ifOm+#89bo}12q<~NU-n0*bZ_n+$XZO*)=+gNI(lPz-KIhrx?*cWLJhn!@dB@;& zeSNTfl6ZB-!!^&>d+R>OUim|UPD%W%YU^g((|x+8($SLXlg~%xh~^);(P$kXd2pNZ z%7`^#Yce@=;y-egm#sMCS!`crV=~h){DoI(zwj4@`1v=^TQmRd_A$BiGCtaJ>%;dg zyLAn~QMGdIo|KSW;pS(xM|Ur->8Sb>b%>cc^Um8kJ6q!^tf%9iUt7?9XHGo-yShh; zd0gMuPRjr{xLlSz`_Ol2=TC`$W#t0d|34ZS@BiKFb6}dl={+AWN4%^z_qV&S>dV(d zd8yA<RZKb)w&q$0Uv5?JLdCl?_Pi-RR+@SKulM8)OV%Vg2`lU7RZq+>mshtv?DOhk z{EwPTzAX$bJ_^5Xn4g*PLGpJ0OwaDQZq~{zycP3AcTYaCVTR?t*V)Tl&B|i!zzr3b zBdIY(Ym4SumA?3XeczL-r3qG7JKD<ccPOj#H|NXxESGwCe>c13PP^1~@&&&BR=?jo zxq8*}X!?xno9*7|Y_5v!?^KTPT66u;JkLLCPnO@gmBtnQ!sY&KryI$gk7pa6FZ%kf zKB01l_2ck##~Zaeu?AxMS<5dye5(6{x2AKcynf2Hec6)xS$URu9OJKVchAo`W!|29 z;fJ1Gb-E3s{GFqz`tO&1=GKld`fpjctBh%5WaxZ*aM%kL%1@tDxGvd5ps3W~EBo&W zmu;GVIqv(>er0)G%fvZhl0UYtZoU{-_ArNsYstS%?Pqf)6~9>$?e|b#BIK1h!!%{i zloofRZwDQ>Y&^dC+3a4GTj%4?m7EU9+p_-Y+wK3nxyppX_aFLg&su!??cS67FPrt2 z=Ks^)pTXwC>?RcXoc-Rs{f#2kT(8Al1n<96`xXAGE*s*mk16ZcfBE*qLGHo(hWOeC z%Yw9S3c)Mv=t+nQTgQ8TO3VFXpZOo;qSa)d|GvJ=DDhoYkeAN$+ZHnzPAdwX7kvg^ znetF|dVsis{?y0qoBqe_18u%smm2se;2!&dhW>{dyPaznUh#O6WFeEFQQOz8{85jO zzjljTsX@=OHT>W<gvZq4+J`ll7-T;$SjSwax37BtgQ_QUHzuFgimOTc_sRI<&hr=3 z6NJTTsvez}be#C*W!!Yz{68i4Qg8j#IZ|sjb>jTLll|&v9)JGdykB$n`qZqNk4uYS zD^)&p^Dba~=qr_ITiLXkh2g08wmDl$&a~S<J-F_1#9HsotEa)H<Jh?-zb2*XHtv|4 z{zb{~mATOL|8X^Qle;Tl+xKt!F`v8g+mp+CHa;saigx+%;>}DR%fFWVH6=T)#J1is zXyW*-zvkAg6Y|yk1xC-S;42v~?eo^|S^apUi@x%=*6S5HPWfj0cFf$>Jn!N9>&t~d zKj=@n_-@ZzP(SwH{Qu8hb_%|!Qk|CEa&c|BwvpMNBZ+eh%KRX^Jr9F+d&V#SIR9>X zhUtgMW%t77f4#i#_?ve>Z0<breb=|(*VmlakZqq!wiW(eaZC1d!fHXYpAO4y7-Y8D zAdM`Z@)FxOzk<DAui5(k@0ls9r%o=EFRuTaUs>~nC2U%t@6T;}d+T-j)t^pjZFx~B zv46%%>DikVvTfz-H_S{6Hk&+aPut36>u1>HF+Q6(f3vyr)VbWs7JptT`$W%-{M9yD z?DLny?-O?ZO)guOGGU_7@kyG0FI--`s)?xmqLz=}oM8Sf$8PzNPeI(L@@M+o@65js z&WP-n`7D_^YsV4>1_5(V7srqs$(yP9NA}HE4Q}6<@~ZW{`OC@RS+u2RHg0@+bz#iE ztcjlNX+}m>&wh3<JNtavfuGOwtKaIlt({x?@ZgD7<NQZoQMZzEJ&&o@^S=LM)334% zn5!<=?EBjVsslPadc%$9&7T6QRFTFW9oFrKZ#V5mR7LJ*cZ5W{Kx)e;D=GxbJ5??% ze!M#Oiu^p+zYim`QtM?{s&-j-TzboW=lRBsbA!9>^tXO`ez<w(T6-SW|9tcI-3`2P zg5TA5tyi??yT0Sm(UFxU7N2JCejNOJ^U)(maCMl=zqjjwD?vd)LF7HJ2$vykgv|)a zojB`I;G?Yz=Tru*wJ8$ubGH0!qkB#3*~Gtrx34@pA3t@)u|HS4=JV_S`g67G-v^~v z{QM6%?f0`9t|$;&se8)$gU8u2#r+XqeolC`==}WRDfZ7b>Xq)DK3rE9i?kBw{hV!` zZ<3d<xW7j+d~eC&%FC`xxGYx)){9uk@bR=seQ>*T(BbC%W~om<Kct!d+q@QLQrz-m z^1@FKRo*zi-uqplU!R@Voh7_)YQJ6&dM^LZ;+|+?$CUN31voK3zI*<=?w9uSUdWnj zCS`jp1^#p2EIYw+Eo%G6AoKToA1MDfytFR#ntovU5v6$tqkrvNWbu{#(b3P-_I($- zI??}Y;MoQDzbn-j!Iti*sUHq|^=I?7Ib7Q=%-B~Se`?#}l_@Fno`u{J+_v{WgDwM8 zUh>6-GC9k2B6PxZR=VHZ_b2|2R9)e1E`Et~hxVrFh;dH~PTz3*e8H)tgGq*)-~Sb4 z5m_f!p#A=T-sA19&Hr>JX+>P$AJOyk?4RR7$Jk<H<g(47?SPQvjg^mt-@UHW-duiD zzo1^N&GxHE`1_fsrXF0oqw<&4CcTW?dQ0*zFAaFOeVgmc&x-4iL%+GMZf{aZ?*Dz~ zKOdVi?TB5C=t9Qcmlg#=`Rnf;?L5RP^K#9fXl<Wm4u|q*967&M&EWU@zuvaL!>?@% zGMfJR#8bx^K5B>LBfK7MTwk%Gm2<LYM_+xf(&mJ}3l5fFzW#x|^w+(!3Bd=Ca9umA zCAOGz@=2EqOBY9^tb2Cks!E;hj^CZ_J2dZHZ~k_Dg4o%nAIZ=AWj3ZKZQQX#V_h0( z7lyXg+9&_@Q=*^x>gUEUJsNoHOLy<mEpNqQw{Q6ybE0Z$t^B#1&1Nf3olmU1t&<yf z@@48?J?&{-kJh{}4!rk!HSc_G|5v|1^@&gYd$Y7uewWsK@l9t}S;%=^U3u!fTjc4f zcfwNZ*!0b&UD_6XYvb-c*QfscxvuN{cDu*(PQGpZ+*%X);QAuTg)@KO_;mUI_VVW+ z1E-YB9N#~2f``SSi5!eA1ri?^T=;q&yqruPG&*s(H&k7%3e{HXy7D-+drRo5isb0u zT3R7qJ6$wcI42s*2q{YlbSLmJwaLu($T2Yc+q~fQChO{y^!_KG>-Iily?M8CUi!S} zGb_KDe?F5lF)MWKp}VKQUazm!slL8`wO#z`P;37eP48?)?j6{2PIT?;%R(XB{$#FQ zC9|?K*?Pwou{#3A&Ck}I4KA!)s-^A!>U8e;?40(-s*0aNcdzREZP(GBwlrmJ+L0S; z#O*xlf9!~vxjE_lpS!tjW+B%!%Ip5jtP`;G{jX!S#J<1t+Kc7aUrk=D9ugP2^pd`j zNx9FLnWe9m2iO~*nEHq9MdQ-fro|cDytDR(i>imcepfcp@A5WtTWhtao@?*Lui^6E z+4nYjp{3{7*BT+y@BC`pCaW8s`m^x+>rIU5k6*nycPBn&uSe03|81Mjo~}AjFvZ{b z)T4~wF_GuD?OC_)dB*RaQ;#w&WjEPnOS6PWpLx+fZ`)++)U9U^2U^aZdN*#?w^NcP zcV5&tUi)^fa^B`hzrI+vzbDt`W*qpJ9adX4X_@x#naf{Ga&3A%f4#vy>o~Bf;sTFr zlZ6$2ufNaq{KScEyDG0l^y)~j%3OS@)U%Mk)pWb~E3eSm<{H*-(#}R3`Co1;G4U{s zF%173vt4D|)qiiT>vwp)iHs^M@eR(ZX}lM|C#Kt8<J#3s;VVb?iac=Ho@xAbb)US( z+H~oy@8>P3{<kBjTGw)xed)GqBLCgHFC~dc*3OO7*cQ3*S%clL9WJ%)eF4^<vd4Qu z?r%!k+P^<cywyw4`SDf#C&9UQ<JSF|^Rz1M(9>5}*6n|H_T9?g>3-9{p7}T7|J!24 z{V{t@GPlIt_`9~u_OgGj^}QvnPnXYGR@?W&vnuc5-K@POlk{E*EdOFU_seV6{eej> zOK$A`a%XdL>8r07U)@``Ts-T#?LC{vnYI-X|Ff+#etgndy}VMAH|<=LLVEgwy^-gR z9qM^&{+Qcy!xBlKrloItcT~lEcHMbqh5zP$|8Gstm(}^0FhAZ~+w*<H)9D8+*Hq3> z^Pjh<{oVY9Cq0Er+<&dzmHQ*)?i8DA@1AG#>tA^*EEgQMy0fbCvt#YNjSiRDBYcnW zWv-Wwv()lV-MR0Uk$bMV@AWU~_7nGZZuYxeq!F@Lt@pBM{F;lC{$4#5`)S@jnK`Sr z$m!*MxoLFm{^OdW%fh=<D(3U1N}aw~6I$YXNp^d0;Q3oNYwPp7xngHA#;$#{cD~p2 z*rf*r-%goh;oz!0?S(@>OYb|WbvF{jUo`EJJ+<kIR{qu5QQMYBm~M5SvQgE4`R($c zp1;Lrc}4bbPfQ6>ox447z5b0glWqy6?%fttxly?!cYeTDZ<(bBuS$P8mTevS?#H{# z<5C}N&wR^Sd6T^;KHl;3*KaqUW$x{DOEp|N$IX8QkHNnT^%*)YUS2*$xBg2x-=19* z^SR)^>0&$Pmlm(8n7C$v6X)#N%j1v#K6dQr$HP8#oO6DEf4Tn3m5-}duDp0EboJJ} z*tRIQEmu~wxRkz3`u{8D<+kvzr;pt0lK$9mkAL=x@cXl>pRJm+ME;$8pWj9A-^y3^ zxwiUAutc|IS_(-m*L?g)wDzgYTdl1tN{;97cJ^KWp((!m+w3^A?-uT=|NJU@Ur&oz zzd@(k;c;4C$m}osHGV(P)17A-bn#sHWR<Dux#9O%nM+IWc&PHPyR^>q|AlFXYo|^S zT75)uZ)wGIx2N0pd41nIbLpN#lUHqsDEabJWUHnA5o_xv_RRLuo}l07R#t7^@b6&Z zeA|VJiiugGqRTFP%e}hk&yG)XZLQCz+?zA6wCunZ+uv{e-%UH5VUYd8;rYv(Z<igI zefzxlqz6v7tHn1fKj3)$*Wd6SyS)GHGgrTT@qSQ~c&4?huP?~aaiN#@>5zz!C7U)G zmA<_cdUsd3am@CV^S5Vxz9A(0(lnqs`u3j4&92;W|2$&z=P!;<nmhflfv)t!>Hb%H z_m;mn`Q)LOhev?Zp@QC-(-(Ii_nRoaKaOR&&Z)}hf=l`OE`OM5xvS(H_bk!(kDfkA zp1tLBfj+OV|HTFG%U;~K=Fhy__xP3lEAg)P)j`#p_*Q=3yZ`#0z|<<++y*N*w^h#< zOP8L~e#-Y%<L%|8sap1*wy7O+xi&u}b8*<(-!K31UR|ZT{YA)ZjnZ!yKVMiA>2F+Q z@?xgp*3)sbuC1>$`g&4s@mpi9(>KrGtiFG<dRyhLAhGaU3k{7I%kR4Ex9%Hv`L?4M zv)?VbePI(zvqM&O`KecD?H>E4U0J?7vnuMk+ws7PzK`=h%B}nC{U9bPcGaa#Mql6D zbl!M(_v-xlc3oXvmpa$`zqoPz__?1oUp5qaNeeFLTR7=Z@>H9;8CK#lSM03K4aN29 z{JOb>zdTqYa<jYjuIFp_hf<U3_U?Kgxp4cor&{LU&rJIIed?)~rHe1MSESC<S1FY+ z^Ale8W)(;1>IVlDYiq4S9-f`;d4KB4M<G-6UWvc{!BzkE`K>+MeWovqTikJ1@>W#r z;`7(iUthUkqT2F2%lljA)(p{wY13-nT1TjSl~~Ij%)YX9@0OU8=i5$aMsHjbzjDon z*IzF5xRi2E6_Hxp==t%LpjK$^ttE3#WS2b8Z5GM=9$4;YlF(CZCVTF3W>~uYdmUf* z;Ms4UzVwW|bJK3|pFJPvEq_`0=8efwg&*fK)9k-2v6tMs@a^*$&&w<Ft7oMhSUuUu zJk{!LedCpH+m7qzf03+yx#P&;zt?+y<#^0Gcki=_|MI36Kb~u+z01D#f7hht7Y#37 z-n3(L?LCRhcW>I2pW@tUQfFaZSMh0{>3)`Tp^IN{seB*i8B|m>sf~Ysl$iI@BJR&$ z{-&Ni_p|17_wm;&m+lS;_}Z)0|Nh!(<-Qj;tL3g+Rd27omh$>2>+367pK|V|KM&dv zojF(Zqw&)ImrLfZ4qtKN*H`7!TdK;%W9>_K+?~Jg7w7EiIX`97e;=y4yJC6R)0I!8 zyFVGf3wp7;`0J%_Su3r)yZ>#AotvI}{p91<Up?mRE!Y-yGy40>KY6-SHzzJ$r4su7 zS3va@*IfJC>&}L5wfgW)yJ~sWp0K*qz>CX{Url`c+bSdf)E@7jk;Tfn*+;v-UYN_B zD!Jcdr>f{GjguEIMV@>mrFK=W(s#4m*?=o+_(KEcy$|}!m?5>ph>@WozcuFjk9+cu zzHKdDZ(zPTO_3|Re4oln-_vHZO!77*Ssh*R?zfe!uEnDA@V%@5hlQ=)Hfwghw6Oc- z_y=;wFL%89zb*FQaeha`SyoS9oAEE%#3FM0-vti&NzS*~H~jiHZRX6COO~jt|GwYt z{x`dO_0qAmW{r>MuV)Fm&#`3F0T;D?`E{RO-rZSx|N6>jPqt55GHLStS5sN_XHAdX z-ad8Xch1<GcYYmxKjrW3(^Ee!cl!LP^=Hl9ZC_NUvs_{j^+;{Zzxd5pJokO<6?GZT zCHn+DQXL;(uaM84Y9D{y?d<n*%>=gi?YRcuRpsML-554s=YJvpLG)Mr;edKx=f8{x zwHv<0@4xdHd@X^)289APDeHL(T#Gkv`oce>_uqAcD<G8)AGkLB-Tu+<D%*$P8p{U3 zU+jN65pti7{)?91Q+!l1L&qscZ1ML9pI9UF)L(mf+WsD#w*T}sk=u(`>bm`j-IfsH zvu3~A#`+l%_4ndtxm2@UbgE`KkaXmDszL!9=mfO`42_U03D`Iw2mTv4JOI0_fsrX4 zq=11(0CI16Lc;;brM1i~kW1JlU`9Bs_$psoTKZ3meU`wlnU*WAA8@K>5xb?l;euRa z>o*RaE$#^mZJE05Zz-#&xJ>eWQ1i|8!0a4ri@#@#`}joc!_>cWF)%R9Xj}<77Zh$T f6`V#*BmVL0Kd+m1HlG=E-y4IctDnm{r-UW|-ptr` literal 0 HcmV?d00001 diff --git a/docs/Q2.png b/docs/Q2.png new file mode 100644 index 0000000000000000000000000000000000000000..03be944325aaea8685e96097edc47baa2ab94786 GIT binary patch literal 21525 zcmeAS@N?(olHy`uVBq!ia0y~yU_Qsdz*x+|#=yYv{$9W>1_lO}VkgfK4h{~E8jh3> z1_lPn64!{5;QX|b^2DN4hV;Dr+*IA-<f7EnyyA@flK0H}?HCvsG(n1-i&7IyGV}8k zLNaqx8FEvL^b`z@6w+Rn73nD$85o%=7#dia7+RSad%c{$nSnup!PCVtq+-sSyOlK| zSO5P1c-_D`Y_q#3hvq>JmI<K>8I4S?t8%7kXq@T~+OkOZX*bukeAZnn;=3D?zIaD2 zSrC78uB)J^_Qn9m5T_NPT&*e@hk`a42p>*yN_+O*U2L=8q?1O*AOAinl;eMPulW6( zo%3hU{CURmdEDV6sxSSt_Iy5(-~s_ptmoR?We|jb{Ipg79=y4^d0tj~2Lyx%^>2o- zI=D@%<|HR4+g{}p%xt;*a?QsZ2?keo|M|V()7EZ~k}d4Pk3(IK{H~oZbn^XuepV$V zC8bA^Qmb@93?-#UO;vMTt!CU>JY%-iq}x}^-mMb`S^ARW%43~NjUj3q{hpU|<^(Te z-hJ!b-BPd~*;b+SiG0hd`tysc>SJ@CzuVOo;=kv$_ZIEx=3C-G?q6c7St#~$!5v=< zi1e%QZz19LxBdF`%JwGMh<S^SL@sGAGJjaIb?)xhv!df|&w|7s`IZzK2!7Q18e8GB z_Z(Qn^HrhP%Y-cc>awl-R^HyZd)?A024H`g2lQ|DU=Om4-%-VMd&-l`Ag32k&&yW@ zX}jmvx!HsLa>SyW7k+)4bvx$1*}FK-7>Ls{R%`=>OGifsx7Qq3keG{0Ou!=@kUmg& zJhSL7;g}@>=3fe%V$uC$&!^J?+rO<{09LzXPFoh(0UaGX795ELi@6+ORRud&Nl8gh zqfiVKf`WpDj-BvG!fKOHIz*wMxX)GjH#avQ4}Lp=FXzpTjiz$TmoBYb0rrZL(%JM? zdlP<qcsMVky(D0M-LK5Or%UIV`a2&F1Y3S9ZtjtrFH5Qx$$qk3{MiRAR^vMNh~!h_ zOL8UiI>DapUvc~KnjW`XjpvNPc77E7%5(Lbi}91+ZqH|eRs7&OTPW6lp;8ST4*M3p zlS$`YIJImc%*5ultZw%gHsI7D7{74gtw(}Z=fRd82`^c-`N+yem%5fJ%4Kvf{$MMn z<~HHSf!`4_e&^+9U$f`~8+qy3E0uG8=Kn2E8C7KY@JtLe{PW^s|3(X0(bM*i{ZATx ziP<)NQdjD)?|*+@7bySsRO9rMpSSA{h&tDwzpr0ct`}?dQ#{YJ<KeC2`~??}T7HXV zd-Zbhr7CzR+&yyhWm;j#yMxCrKA-pG>f`BIdgbN&wd`W09$ouqb+q`df16-Mm3jUD zOKq1q`P%2+X|8{|`BFvRpNkAk1|~adQ`L?A3M_2ubD|zwbb*4z<M!(G6=mN0?n?hW z_|<&UnYe%7Z+^GTE)V&AEk5perv9VVYx_T)ercZ;G2eyl?+?DZ|Hr~bZpvD4-G97q zUgw{p%hfr1>{~A~|2C+4_P*k^ht>K&-^`Bjz4HCEg}w6ai|rONd~^8N@5|jj_-S|D zp$qqHC94kKT~lMvy?TABtL#pbBfYhf2ABS}n-}!n*R=cbLt-f(yT!SWH|PDGQa1a@ z4n{`3^XsK#xBIDo`<(xA^%0M%|7N_a4>x`eQagYCh2NbH`;V0?r_YT)`=rDwd&;#N z=kAtXGCS_KG0XDk;cAl-8Ik^P%fD;Czjz}%e9f)tj~>R(`t>X7^N%gJ0&>-#R(39a z|Nrs6-IFY~|MfJh|EJrxs&Sd<SDt?@KP)-k*>_sYN1W(Qe7Poe&y?$%PibX|eY!QN z{YUnRh`gUCL+5x`x9zmw*&O~xc}MCih8%XY&0p&6Rqnq$lK9VhbzLEUwtq?bufN?p zOdrNCxo14z*F9HGK5BLS)BjR7op=9S*GyEgmrQwbBc8wD(z_#pA0#v7r(XDaY$Fdx ziP^(HiyrxuGWA8REeu)xF!p(PamYSK2_7~cHs{{dcX`j>S!vpfO+CJB)0QtcG{bV8 zKYr->_0LUfdh?@;^S;zQja{|>+pSxVZfJ(BGZo|i`*w?d_{V~!svk4<F1*EXV`J3c z9naFg7X}}ho>TG5*T~!Y;z^E>E6l<d4+qUC@-;v7$NA+nf&Tel=cmlw7`|08<AcpK zyFcD_Uq93=Yn%Du{nui-e}C8Se7^sEdR4jdwczEJ`RuPJe!o$5$K%m~x+5%X1+JH_ zs@(X0a$fWOf|CzR{%>8eB`WjBlj6-KyC%usD=_`@dA-b^TYW!2n_SW>d)Q{!%#rix zr|Zv`5sPcL-hO>#_qp}YR{e9kG{;;t98?x6UcLX|b@ky-PvgB;Z{NQ0@xq9$d)scs z+<zTzb1UwvnW;#-SC{+kY`@te{oAfbeGFI=<sLjgeE!$Bi+7&CeQW;L(meO4*S42v z%y-~Q&~MhWPyDy{`p0+5{-2-k*HgD+SaD*`=}qyEubclDzg>K4Rjv%{)wa^#@i%)V znuY%~adI;3mn%FXG4}zFlF1d0RK7WU*7wEcJiooE`^mm9>_%~`?t}~ca}~c^FMG-E zPES9ha`@i@rN3|eKWz8+7x;fvPA|r;v#^=TkRdg4y;)V=!v(im()XQSG`H-{^i$#% zZ)G3<$$I0x(i-H^jlX#R?q8hw!Z&_nmc4K0=0_LzN&VjF`7uNOYW2xg`m23Ee$ZQ6 z`6g<Y|It-*>|Wch*R^{cz5e)4BmTlBX8Wph5hvcX6z|zsW&3-r=xN!qb9KMu<e#y8 zdhGtK;LU8~lr=v!6Q8c$e>&A??&;4t8vA!ds3)i1i`BjU(j_56#BrH`n*Hp9FOB~{ zymRyO9P8???{!z@7k78NtlScx`NO4je~FjO+1}c>HJ?6e|0#`UylCE&oG@YG%je-o z|I3yfVzAJQ(kyJ){KfvslZe&-O!mC|Q#5^dev$86#;lj0cdmNBb}yrDU~NS}Zdd5< zjJ5Ze%eMvFybAw$bm^um*UOGBuD#ON`skr_)aM&pOXhb?wR^qSF}n8J^_PWt?`lrH z-?sXz#*?UL|E{Opi};|HnJQqqZjWC=h6LlXAiw4N&%gfmw&u^P_86m|SF7^Uk1l>M zcYV&=$bb6}9GpDk;(OPB-#7lWnPT0!+S%*GU!(Tbbw4>S3%@Q~*8RQUyA5-}taV9G zdTT3`zN*G)cPgZw6wouaC``(@_A_;dPMdtm*X0@Kx!2Tw7P9r*cSidD6i25@*}3ua zg8%lOxyn94|E0$I#b)unp~Y?7zlGv^+P80CuUca$Cp+`eL+cvTuzgpqJ^S#rBIMux zrMc^#uey~tE$X*H!jjj$pLeE5c!ZYaEf7vre*UrD^5m3vwSB(wv5%HL)t)JKb>+do zS2DxDKHrhU@_%a?^MBiA!tCb%`S;hqQnlNArFX{#zjyojre4$K%{*wwTe9r>3h9@X zD<?cU!Pz4%<fYCdVPNw9&nue-Yq`n^nz8#HwLbO#Ty~K~?$6bL9?{i%U;W8ib}L_G z-8J)4>+<Vcr|9o7G+$Pw?^pZ!)$jeQQ+solN0_cD%a3}x$*ZfqJkVxO_oIigQAKY= zR$p6wzUuwOu=!uxI=wHgzNRBp-e~9fH)`!gCHMbdRg;hRt=*`jtLytb()en*%>LQs zK|g=&F5UY7LH7QWre9XiUj6n??DYw^a#z?+U$*o2XSH>4-b<J53p={%Y0TeKG551q z`+dx)J!|=_%l&q+%^vUM`SM+%zq7JzzC_-)oAvqo#S3L$b8la%0F~bppIxZDwoR(q zIXk1a`s~7$`Lkd3@BMhXXZM|6*W}lttFJwLwbgWKUGTPGo0#mA9|LWo=0AF98};eu z!icT=wprZkT^nvAb#0E>)@$jtw&7RvilklcEQd7&T<*#}50hip50amo4zAcAX}|KE zV|$V3=e~>Z*2BE&R~m~aep>+31Z_P`{<UM*uZ>&mj~?EwA$QO9;|D!h7+$n835m9G zdOkDV<ZJKc;IFg1)-SGoWjZy^{r8n@t-XEUR$dROl6@Pze&rXdTg&5uUzTq1-@EM6 z>jGcrtw)_<&7Ayz{>>FF@HS4V(DTVNkK114`#BHpv_l4ko>igu@2_)juh^t}$?@tw zff;&!59YP?JudIQ+N%%p(xq8i`-`s|ACGjYnOHaBgIx8uH;H$46wb?Q3kiTkxCsCI zMHLm|M-T6=u#%f=^X&{so05`}odcrHH|w!Zu3zz-X!qpeIoUE{zUAO{Vdl=R_cvxe z*0~h;@;fJ}EjBUiqF!flMn*>OaaC2-DbuDgJ$U}y-NC`3_p4a<QrlUomkccfFF~54 zUAI&V=UG<kHD25p+W*;a?t`Pg(hM^SkDu>&R~LHKD*K+~HQU|0Y-fR5j+gRQ`R}~A zMO9Gn{-bM04^Emq+0)ZAv*_<R#fM)c&6lqFB4ar1UhVITyYua<`Xr*0W1mm>u*;fb z=AmO}f^zQ9GMs(d`=R;z;&hgV&Lg*jZqA<Be>BMB+(O+yRS^OV%i>O3By0}M*>~V& z?q`nA{?9slguJ@6z6YN;DV`=2_MB7tRytF6`SZkWBFVddFmf-xV_9`+hd|rC-%9`Y zOgP@RTgm3G#QjD0(gX$9ry59r+Vnp(whKL<Ge>69<jFHlv!_M-OHDSJFfsX<anYNK zq)BXMxytE`*3Z=i#KhbpA|wPqUcMQ@5mTq#zjj93&y^pKxPN;-@2{s$<lc^h|3qg` zee?98_TiUSxw2{dB^EXNZ%E9_Ia;UWG3T$*vs>q{KCs&yX{b1B#lq8;B`4QT*XuP@ zHTwJVdu6htIM*kcd3n8{_FqRwb!Ty2p5DrpE5E$Ie}C<ho0Dd{=J<#wB_(ZmlD6}5 zi<V+?UP8-P+iSUYJv(*U=dRxNA$7i|&+E|h8YP!SU0S0o=0``Bhu&xZ`QVOb+&s~X zduqL2Yi$lFDU#;Sk2<9;7Av(Y^2%*U)T-Ut*S~r9$>N9m`7RpYIq_w-nql%Q7ony( zPwIcJ+<jI-XQOpsy8ooWkc|reB_dg4UsjeD+&#rOT~NlRp|$#aP{z6EufM$glUTI< zQ|G;FHbtBL3x7F9e*UJp_KnT^rRx%YYpP3~g*5K(9e8_0^3>$#YooUt)%++p)+2d2 zqjvVv3F{Uzs+9DqDnI6E=TE<2tT<1*$vSzn$gX=g1WrCl-@N*n#q*`QOZn9fHUBA` z60tGt+Gg=&-LL8zJLXL<OXDs7TOe}%OP|`KQ-wBPJZ-qIt$T9q^g^B2`e!`l&N5rC zJ$rk3$My^Syx^RYyT~=U|M8<o9-f|x=H}Cz+4;>P)?b-({-LbCz>S+nwsZ<x)c)d` zFYm>!U}o0#E_U~Z&wtA|Sjt$eIr{bek;nt*e%9`&EI!Nfn_WCtf{BT9O-urh+J*DS zjz0SJ?D(w{c11fL{dd3dX?O3LUAc;j+gLtc`Yo<KZF*wnH9JU`Z;5iTbzxt3ck;m| zRuK`A3A1K(UCqkf@a~uCd4>ztnw=jPuT!?N>iY1Zz(-AZ;>3who;>+<ZT9*JQ)k|M zQzjyJ`q7^|E^Mt1eu4rQXUheqTNZ3-WZARJ&&?|Fa8yqI-da!(;>zM*Z&zsjU%xu1 zyW<Dj_G5D9>Y3}_-9O&Hx%|FekDK!LJ2t)Z@0qaju-V<)KR@{9&37iPi)?S-OrLXL zk7YBbVQKQGMYkm<%sjSkacb$SU;MKV#s;%rJSxxe`n+uanWwYn@ZX%7clgR<zj^;l z<o*3PL7v;wwr;7K(xa<d`R<{6J32b@3sxWB8NEI4tlum~89sLDYwMo}Wop`1e?64A zz4*})PP5!wEr&0jFnDpw@|=J8o70<SyD~4^ys<#q`<?v3Wm9*btUS{{Y5nC0)BlBb z+pg9Y9=ou5XYN(wH8qA4{P?uf|9>>|ey;cQaCZV%kBXVyymJfH49xfVgUU5Q!Jl=8 zJ~5NxuKI6%eNpl4w<B(g1sQhVz31WkeP)$z^856~6>m?yj-31c@9(!OR`L5X^LiJD zJ0IUzwDDj=o+qdXQ@X@vQ#LbW_uYHTJdGLntf%joW}g=K;LEm3mn{|ToI3aBy8lb> zmPpWdW!?^nRx7*yuNJ4jw^z-HcAs1|#}P7$ptRP<Tn$|MLVD#M?!lJVBf*{5sMg!x z3&jNWmp=jXm!xVfb69mea*49hii%BdJa0e#XtqK_?p~A#|9h>j_Hxmqhj({9dKh~& z`Qr-Wd;j1D!MeS>Utd(5m(yJm;Fo^(w6!!(8(UVFHm~gQQ%6ntR<B#33(6UnibU^k zEVb5}*ypw1A6yD;=_>P_<LvC5ZKJ2Dxp2!C5e9pEdnP8PtTo#sblzUnnb>!-b5a^8 z#3zPb(d+zt=4LTxPv6?AIpwcd^z&{p9O$vGb1V72deyba&*wJ0yLt8PMIAvw!HH!{ zUwSJk?K`=)yIECTee$G9m!ABZ>wM^?ah_M`OUopky}!RLF27&*MaFR3v0djBAC{I2 z7`67!TzX@lX|mb$>4);;o}cSj#l^GtyX(J7#eUiHP~9U}vL)Gb%(~8sTkOr1OZ<1z zW@4a|XW-L1F3X>Xd=9;`S%_JcOgQl9ZsiQ!qc`;G4jfwXOx8TJ>Bh!8+g~d8&DA{; zRML1^GXKMa7ahm8PcC|uD<=3c%5S-F^TB|{SNxW^x*W0IIo0C%lP44A%sI0ra`T<4 zOEZ=<_Q)8|o0xoDc(TccOleE*8<zHs8#WjmI&`R`WB$2gP6wu(__F-|^Iq0ijT6OJ z?ygDE=Z}~4yPo;l;P+7h*_tNa;AHJ@!j6yLOgFrK@5QI+%ABJnXZ(BB?Katef8(EY z@|7#kxASR7TQU~4={>)ox;<`}$sv)u?e~uD)sdX;knyG9-T4>C^QY^lOh|j&-O<q> z{C-nM$NM7f!gFWOs+yWk{rC6x?x{A>MVmW%Upo2t@Jzd-Q$Fj%TqAB*_3ax!EL&QW zl=&n)8e9G0cw&aML}G1!>1_UFz0--$SLxQ=Oc%ObrCFVwof^O9;k=utEB<O5-{|}N zZe7WljhrfMRl8E{9QK}7QnGUek^2t6{cW*(wvG6|*8;YOdwuq23K=i+Q&BwO`EUCC zUAK82z-o%#e-oq&Bo7{Bj@a>Cr}bIQKEM0t<kiZx=fB83t8D&TWV!wn!D%<<uGp-8 zQe)K(J$Qx0eM|G~bN@>dRGK#Te`>vYIag3nFw=Ib=jN(~t}Zprw>yoesGpDCo|pRL z!^0j)<7F3rnRzS5Ix~B`kn(mrCdem$Zb7<}O*l*Wu{6z+y&DxLojjiwezx$OceL02 zXJriYBM-;g%N#Y`ySQ^lkqm#W(D|mV+B?4%X`KGWT|CMC^8r8YhmQX&yw-#~&H9$K zX6Zi`*6l9}JdImpek+_#XWv-*)va%O<t|e@hx>b7Txyy?+2;P`MH`P778Wj9vSh;S z*{2USvrDfD&pP+~L!UUuo1COuM>yx$)wZ4IU&<^XE$#e$UF?mY|EzDw@X1_z^sD@l zPQvp)c6avdoXB!pRr;noU-+N@9MN^#Cq{19O}R2bA+G9w($P;wI^arbrIc(Aw36CY zykcqYj9>qnp3mDaefZYx$UT4eET65cq;yG3OJ6`uNon8Fw?{1X^!ix2#R38X9I~>s z!m<x1XI@`l$<}bs)3ZmuRQBS<z?Sy*WTTlKJv}Pw>eIL1t7%<x#;1Jt<9X0(X#K_= zA0ot_KUXu-U@7Wq=(zW#!|HV7VqTT6msa`MrfvGRHC1I#slNT+$NP4P=L-u8esr8_ zdv=%V)Q*n#h1qWkizhvM^{@7iMfLZ$hciryPM^K}<E3x#frJN-|Ngd|I`^$#@T{Zt zH+bI7vg*+L=MYi<t|CS6`jeUy=SrlP$(4Wlv;22Okr#(+^|sCDEgCC}*@Tl`9ed)s z-B`i6H`d)WZpYc9eu+o3PfO0(*6^~stmxR~w;xq^9qZ}n2wz>Rz3P;blG45NYvrEj z-Q8tsoyk^UA(Ioaf1dZ!rC;7$<!--wu2We3#+FQBK6mBA3$&kG*vD?vKb<GWyJClG zsIa8-`e)lh&u{p2)WOPf&78l-Z|lt6J%wMtNz-7`jUN?O?XwQ-=~eySpK$rq-6uBB z+)rN5Tr=yxh5YSV|6(5T2{%8}e>~lJSHa5bY5QjE-C48ov3<_&bdBP;(EFPqg|a<p z$UgLM$Zk2=OL_h|CQ^(WZololQZj$#b)#=}W?k3U?U{G==e_;+`@EblMY)ewYjmpC z1p8Oasap)L*$z@tvvDYt>3q-q)sdXey-_6gLCG;`8w)EYhT!$7G8=Acn8kw{AuT`E zKfAbbs=7}7t@-2$w=w7H&n;>~`QEAdX?9}Xik9u$KD<tJbin8bh5S>lJO7Vc^-X-$ z)Mp|lQ>$i!8!u6FqdSZJ{P<3uJb7l8>FKrVy`EAhP8dFxv@EkR^kkmBZQ|yJxu1nO zxVe>ebb2~|yv)&I2`-VZlK#FaC5CC?#lt)87t8OD-8aEebmp|pDR`>2x^<`XpKcVr zY+!FX@5hOMcQ)I1s;(9{ykS3ERCrOR)UG+s)9+NhycF{9@Q<^?<pSB;URi}JDP3A< zG1u>_Nq5H&iS0tq@7#$A3J!jGfB*jIlbcn|yKl_UJ96Ym!pXBm%Q-_&?Ta{UyLtM@ zU*TyRWS`%SeK{jp*n?-y@=Y(f7CGt8Ij_5R_p0~JH3jcP^v`!Gq@3aH*|6{UuCwii z)1PiAzACowjd5q@-Yf5K+WnE-RiSFHUEBEI`c(jXR`AO0kuFDGYi5cGe)P?|fBfd% zCp$mHx4)3gOZnwHd4^%B5~rizr~QAf+)cak2wo3uop%*$KPmA5te+&A*m<|ps(Mn- zqOP}}`X`27m^v?<eS47gQPKa|atTN0c&~}yGVe3n-K-cF7pt~g+eJkL1@B*6v_{3; zd^&l(sQk5j4<=;Gr~G`Qux;JF6CXEKeJdo~hiYN}`B-CP(A4VN-5aO<5*AsbvhM6p z{%8M0i#4M6cU+bH${HxOgpWs1aQ=f5tJRY-R4*$j?ORBVuGU}9Z7bjFSG@bV+i=a^ zxkqoGH@_f6xWapz%LDF}CAF_Utf>3!{DM~PA3OhArrFGG<c+QMb#bxkyXCyaG$-2S zNcoPr7RQes-I#EYiHnQN!`Js{*4q4>lAT|(=P@oQ4-$Ip9_wCGBJ%Lz!x=tmO3KP7 zPo8|b);wNO+xYU@Z8NIQq`b{O?NRYMuI|_I+EV>*S0@mz1g(5(XBsAp+@0L^ar=Lp zn0*=#jI8=?T)rJ(e^GzB*u+TB5!^*sa&@Qaw;h(A#ijr4>gMeI{VmZ%YUk5unSZ`4 z(@Ze<@bTZ@Ij7E*E!PyVZWUdxn_h5>X_@}5lak`0f7{PKShuqI#UuWfuh0A3pB4F@ zYk%XjJ@Hkc`uX}@{p!nG0=A@E|Lzf<VV-WFxGc{nuEd(z=<<!y5a;&WAveQqYF`Jm z#hcH%xY*se>I=)ik_XX!Yi1tn?cT1Lnb+g?yR+km$XB!Ojvsv6tLE%3eSPiC63GJ( z3pOPFt<sx1?b7COeVH<Ab-y_YmzVik$n^3wubcDuPs!1JI=d?$yqdY>eEc!}_L7G| z>(AC0Ru>;V^?$?Lc?<89?s%rxvoO5)_Nw%VpGgXHWx~$=dz?G%T<ocK@q;1~o;fu( zbDez??j4)-+kHdk)4U?N&x@bDHVHdhKc_#}*WT?BpY(ITnu9x69S`i;X1OEf=d8t% zKjm_!gR9M&`I2gSp6g#NzxDOSgtF?S#TPjm?&jq$S@zp!@3f8IHwW%1d-`=v-qAg) z*M7Slcy-^0&bq{Me>zv5JCvnv!PWw}jP?&Hszo_o)wBD*`7!6sXYmaYXXgC<Br7*F zWZsh5Rl1KG&bn><$+Ud?S>-eKGu4f}G&EP;@mExjpWzuXSKVY{MYLA6@#l>ZTqiBJ zw8ryuGYLiPvF6{rRO0qg{s@Vu=Pn9gSCaU7;B?JDU&d)l+?DG8j{QIPZnY(=?^5un z;?oi<LkS*JueUByE-rf}lU}v%j%TTxS)X|BfnJLn-;;N?u`~bwNOJobo)flddi#2w z<*&Dt&iI?u!Dn}{ZRJMM-<=AD-%iiSw!i*#`==XSnKR}~`PZb>zq>jA$fT>?5^v_8 z?c%)X!W(;Tp?BWilFXKs*KKXS-Tt>kU*_0TMcWyB4j$X$F0Z!q-Fj)zD1egE+e2%Q zSelzpzj7ty*Z24D!#?GlJga23jN8!AFrsMlolGau+Y8epEAC6#o!aOaX_i_2?_g_3 z#4gq=!8c1-0~d;&dp_-2?A7m%b{6Gb)6-oAjGnQ%Mchxm`|R+H(?vISW^u=Vn|WkQ z+^g?7*~cyv=oQzTo%mOM<HYm!eE+(e&M~sQJeJuToWB{=7JrktF3uz*+U1D(j=6n1 zaP}U1q?CT$Ig(%ZSNYVXdujP|^HLNZ7&SGsZzy;l$#wQ;&D?!+?S0;b+40<%<(~IV z(=T2_S!W|`Of&YM;U=zKmp;FG5SF>z<jk~>O7%Z?n0Lp=9<JU0Bj0Szo_WRD^An>N zE{|4IQo3XWTE6mVchr^s>9c2_zP2`6(yqoL`B+cpmVIY~Jg#405ZZNY(!|1+!|lc| zW(u4aJvet`q}0hCo{2tnX1lZcisNnt{R%5#VE<cT`m*(Y(YNmFJFIi~wS(`gZr@is zhuBVLg!Xpm0BFSBdAaM}aF_l08M^OfsWiXcFN4W{?H^w)=esWJr(e4AvJ<ExS>n4z zL%65ATUk}L_0F9bJ~^8k344Qz?b^%tI9$7bUv*)&xZixc!_V6<Sx%{&QT+Ve#l6|$ ze*fOe^1ZKU3@WbsYrWF+xMkHF<(km2eXkz1b4v;pN*>&Jc<1-K?{x0|lPND-ov&`T zsb5CzG|v<H#MAH1iWD+97Pqm4t`2T{x`@}=L7{PKch5nVUpIF|yvg`xIO*9(`H$6c zcMEJKzsES&^edjJRG+srf6epf_8&@4gPiR0<oBt!p1TVR3w0tkG&D6a1qBChj9SZk zEq4DiNrozQRl~X~r7rI7f^u?wCsUM+j3&*Q6LYWXv*;!x<GZ<h45`oiKRjD?{pZiU z{1a>pTAu&EdxC9lPsyRStvBM53m<OOId|SSC4l3J2&3TMmx86!nBBXhuh?bwbpCj) zUhws!?vkAIi+FB)JMJ!F{`CKj!V-zuaUuIRcXa$%_p2=}yKggee3MY!yjWFDsIEqP zd(7>{f2IZf<MjElUXo|4{NoSeuBBPO3685S%s5~6O;X(;|6GET&)pe&UX-z=EZg`x zW2SWOlx5nBKU~S|`W<urzqBChkI6qK&z8LTlxL>8m(5*^_scVjl$7Guf0IcU6#U4W z_tm2O^|iG(J&hX<KTJ6M>uZdb?$Y%2aTagN{N`93T;@Bwz(PurE!Oh*&zD`bk!7C` zTs5|wP@&9kyJy<-#lAOAdl{z7K4^{CO*wqsVg0P#25oU?b63wxeA?YuQWRnNyMKG6 zRk@~o97}M5%Epf$OZZG1E3uB_n!dO2{QNXO@>KKTf76#*`)$%Wa9}C-@f&~bzi<2_ zEL#H_OceacLrfQ!*JtjxWq->;q^4c7lCtWX+Re*W;;jWTV4~TBji+61zx`(BJBzX4 z#?B*K{}_G-m-mZ~TKgn?d}s5?KKcklwWib#@W`jqrH_?sjz{jZzw-Ew)vPOzd0v%* zhio%bcNuO3w;npcE4Hj=o!SXmY;{QsG-uLLVX1l^TxjYB8?px3o>P*Y=y-H;s{bvy z{_T#gA3t1MR8bKgXtQUvhTOeS&^WGKw>$CcwG2Q**rjjp#`g1lOo?97Tx69UckgC` zfk{AcOy&!VFuiq!5v8u6fiA&`(^miJTYEdOvn1qKEhNi_Zt;F3BPA8}xX{y+bLrBh z3_pJU6ci8$NZxxiDYHMVv&6@5iV1i**_K6Ro^#UA&GSwu$+`M?Pq2DL;bk_4v^yV- z7wwJ@-Fj|Td0XlEb$R`1paor9X1)r}k(#w^$x9z4rF|52bUS8U{p0>;-d}OA8~eX# z`82G&Zp3#ZM`X5sLDg-WLw_b$DlKlAwCL1dk)ltnGh41!Ith1fU%JWMSiXDdggMU9 zKfZ2IP-r~5`?EoG*T&dC4XuG^t@AF0C?bZ1uW}V#xO%4QSM9&bT>{-tnU-(A=j-y< z`e$7bsCv0>Ai<+2oVfgQWa#pZyFg15^Hk4ST3V{8s~cxu)7d`HL@iT6`FKzIlN%q8 zsPN|8ay!RVcFtcxN2h1Q1_Px>%QtV_aI5Nn;-6=o)*YtN*)ifLBdJh7_h?Rh>A(NH zH21E63C~w-HR|#7-*f2k$^U6rx9oFiYrAZkYTNqtorjCdk?K&jvr0;jr0;mmDK0Md z^z~g@|Nmc}>iKDRbdr*H2?z@}tD2vlt9Iql`3pz2e@4FK{&PRj{jhA_GVKnotp#@5 zx4c`mkN?xboxyu-w3{Xvnj0MYCHLA){#eYk!{<Vye{4Fhvh3@ntygZv%xqmW`F2Fb z<siF_S9Y0{+>!lb^fcP+{%?laeDfBbG1mPO2Ob}{^jp4pRYyn1_oCl+=iaHEZ~brO za=t+Ma{jC%Ju8JhIf^Fzf4cmg88ZV)&sJPt6KiFC@iyMdT5N0ZtVcG`>BX(}9sE1r zMg5BX(RNzwt+MrdCf55hkDmTsr+UPgS5#0ibK))Mty68fJ1X?I3#V)N&)c4N_tb}n zhb4{Ed=`Jro#wQwjcvjO(`h|D$})Cy9M88@tmAmsbN14ShG=J%$@9;yoAr3k^lel2 zsU4^{_`ZII^UYtbYtCgX;s10->fNu08tY!l`W~73xZ=g+3b8e@C)ZAQj=UB>W3tUG z_Od8W{!hmPla5C1oV!;dd!@bOL0zkuOHOsMy9+A&vv+)&&u?g?Ht*u;zQVJcrs~M7 zn8?ro8k4E%0WJ0X(I=KFL-7Fdu_I}0wi}w}-=3d*?6TyIj5k@apsIS^kN<+({^Tid z&Wk>=LeX*0mw!jQo~B0V-7;Wd5sKJ3({P4^y|j3D_W7Mtzg;<*ailWIT6^9dXFtg& zdv;ALm#=7Ec-ZMdN17G52=TaGK<=F8nQPxukM#tW_)8tT|L=3b&qWQVU)X#SxXCQP z;D5gK&3%(6`rX(db~{@~&t}@upF2BwxEkjuDJ(L#U39MKK?bwu-Ywme))$}Ltut!| z!vVGnlEKTpZ+LxaZtLi%nE%Roz4rh3o2O&$UD0FHjbQ=w<`J@9pa14CBt`oBT) zj%let?4QOB|L%M|5wq^(&k1v1n)%qid-Bu&`^P6!1iHTEq|Yy8`uvPX?Z}nhlihjg z4rx-m`(71=%nSK)@bw$Lxd#s2kMW<Q*>iC7`=@Ouc>aC+Zgt)Df8C`k7J`E7gMNnv zZxv3y+vNosp1At>&f4hhY3gSg_}ZI!Utcd?xn;_{y;To4+_o%y#4_6~_t3+PCD%6; z^?kl9`g_xxw2KWdb8J4cK3}EfYIy6}gGo=b`_i5|Q&esLT<R5Vu>WlR{mrvV{d1qq z|Lm-2-yANtXLjk$d3iIyb!15jc(U==$}(H4C3olD$S`3_*nV4j<x9KZ^{H?E=7_A1 zt+ZYJd2juG*{N-dw)VC4B|lASX1qJe3)J3Q!dvmi=wjLKy}pyv7-Y)y3U%wx>;WzD z=*alUCmgZIy8q94F~b9KtA2BUQ(Q+4f5m8>O=O*|8Gd=H$y85JS}HBv@Z?EKP*BjU znEk~b7PZHGS8Cb1_bdg?x4ygGKTrACwTSDMDtq4XIW5~@xLM@(_Ovt4J_Y?a`}&R~ z;}6)V^J~boD%zm*&K1#<2~4wUl=scsA#~+G-@F?iEpI;8PuMua^7m6~8>5wv+^1ui zedRcBcH{O6(9mnP#autoQrn=JI=iFPm6YxsUwgz-UA_Iula#cxvz}g?d`yKm<;jeX zJu=qwW-Mv+y?p|-R)e#pwN+3|%+2LbiJ2HvouLc+{Di|-ZgiCjs+_BnEPk4%T3z|$ zRKYI4==PlxcZKThpL#sZ=kT8^6_1pE7oY#9GHp%Vk%a#_VLlydSucwCVvAlc^;UjU zC-@`vU#n&FZUHIF3A?|Sr2c!{E%}sx*$cZbX75r@?qG3R++JMoW?#3vMzPr>INrr& z&xF--DPQ%vJAQ}}7{R`880#3aqV@C6rMj!KU;S2)pJRRW^kyXv$zo>ZHSag(eNH@+ zYWXH+YpecmpQI@L)QsG-WiKMd?rm@DFUkp+=6h>ieSxCVv^>UuZ%3ux&D`5_eZNuj zTZ{MaypGGvc1T*VJo>FFXywnN(m8(Vy_W(GtpN>MynptscFtYjdEEa?JLWxfTXx^1 zQ!-e6lE4#{|EK-$=CZNGxD|Y05PN6qz0h+0`pertx1YTGYW|+LtJazJ-+2=}XJ3F@ zL{j;pbMsUduSnYcCpks5Wa;y(2j6WEo~66!yzif9*X<YSyv_Y~O;YRue02P(@LFL( z!OWPi3vUF}H^uDq*?U1r>E3BdmI$rqwAkFXyUwC3yUJa-@TrB|FO_+$+2KX6pMHIF z*!AwFHTw<JD^2*{Y!Q-s(!cnKSEyZ@{;{Z6{s9HueA7(=FZ72`j{BjTzQ3Y(_u+3F zjK0PeWnbmo`OR_KdA_9AuX<(lKYJMO?cbN**-^3emr%0mS@0<L%!HU28BI;iC(oW0 zeRyz??e)}IpDp)1X5IAfpB`uI?t))mB<<{{oLl(i@Eq&%zIU;^3qIw)FQ_=qJ!RJC z*Y`cwTAsU@EdOw|5d&ykdvYq<r~VC3%cU&^Oj-h+n_k`Y41P5&ac9P10RfMz90|z= zjdf;vV%B#oye`Fv+sB-k&~cihPxjp2_hsoXzdhfv`F8nh-`e_v#pmz$CceF^bFc1R zF{lw#xNzgc>sP^Zy!XyxcCDr5^mo^tHD>tY=auwl#p{l~J|!C)naL+zQc_ebEqCtu z`b;||HEq}JIqyr1E^$g<OWJ+Al)FE*ur5!j*-2qJN5>1_{uP^LETZmw`sO)#>RkIn zvscGGfBxOh;nM*Dvu7fSuM8(|U9YSBaBcO^m-64Q?%goEqoZT`iuYbOx3=fJo)!Y` zPRKofrgHY`-`_t9zrDNNelg?8wAsr)UY@<I;oyPezrPo2S>K+!?16m_Te+{Si+H`l z8vAk^qv_d2b}8w*)<%~460Cr;d<t$GP5kgUdgs$ya!<E&eD+T`RDU*M#b1f`{TfX- zG}lz*S~g!6GpN1jcdlaB&U<dxrwR&wwAz|)dG$ikS5OPmoYWETNRtFB{dVmA<u$(_ z^{jiv<|ZU9@BchNc*gdPPyPw!MD3hC=lCCupH{u0pXHxF-nDbbmQ4qaNLRjixYb5J z_fT9|m*r~){XbcX_5W@iS#W&X$J2_jJFa9K$Dg?uv#$|j!k8CJZ+f=Dl3TvZ;!fZA zeN!WD_ou2bbA!@bv%lRA%#?_Fol&tP{%P{?>P%k<-Rf$k6dSnvuVl=cV4GX>A3cmk zETz?uyLVLjQQ$>3)pJU+Q&*cyWybneJ`S_F)sLa<^drKHW-rdJsy4pxp)eeqJzxWf zTPyWZ$S?iud=Xx@TBAy@uMrx2_Z;ixL5r9DrWOX;*ew5cpG`w<-m>qjul<dCOzcWq zwr`hOH-685w77om(hHw-kKPyi;Crn5&h6z&-_5_K9s49JbL!mToQ(-5|Ihj&dwS-@ z-AT14M9k8rC7!SDuH0z)J!e;y<nM0T8+Jc!YkkhHiPlUpIzM+yVbb4TmeBhODO}RV z`iVcE2(J6Oe%t)G(>LaS=lkv!a!vE-x3!X+k2+2KDRcb${!{YHzW#bQz4uk=nWsxX z%)Y+Ucu`F}()z89$vg8eyUSQdcPxFuwA}dKgM$|Zzsdv?8UWyR-KJ}DMJ-cE{*URF zU(@HFGT-vcu4ZrC)Aft4{!Fl0b^PG+(g_t7bI+}s5hC`twxaKmV(ud5ql>@YZ})$t z@bSX;)m>+!KgN`37oIzLQqj_KX5{9yonMz2dNNB|rr9VT?^9RHOc37oA^pgu>y>X0 z#>xDguc_i1ckHRBaO9Re_4%pO7!&XOxFWq~c3#Tu^==n*O{Sb?|KIapd*-QG=JV5v zQqN`BA2vUF@=nQT{;W6E56*ZV`TJaY&DG{hb9Ol#IWqIljSswY>ue@>CT^YeUgyxg z-{EV1oHh89*#Mn>=T%*F-DTQa&ctu=WgnhLC%wMLiI|aJ6A=m@eh_j0zHob?zV|Uz z0V&%#zm0!=y#170GNiiZAi+5TUeg`DCO4Lq<+X2F{VG4h?zf}%CAKf$AAoX8$j|1s zjw?&vhp#=2Z;n9f{)11qBmbPfSM%#dj$Fk<<8xCF9-ZS~@%8-r4?B4~b~pbtR{rsz zeE)-s<$eJyH}?5GURhmt`rLw<Ix{8*?2}*K$}FF1^kiAI$C^9a{|BVq6F7L^{?wA- zub)?1mhPN0-%sB6;OzQ@-Kjjr%dWjzw6*?lUoZR4tb<%~JC=Rq+3@C$VqB!r<e%%M zcYgi1Tk^&k#;@3ys5Px5xDddm{H#TO&gAnS4#qoYe?A%Sw0iZw)fwy^?dM-NeEjfo z|3p3^{^ge=7yo!O{UV<T|NLIZQdteTtKH{cDSTY<<nl&_^_}gvzZaI7SL*$_u2|J3 zJ+tnzN}A7H52p!}|LEJ7?({veb+LrZgoD-V=Py~a@9W{V%jYXv`tMl>zdmXAXz}6c zFQ(VXDl(KD`gBmQk9WqYFI|dv9IxL!=HGPbM%A5w*9j(z^R~adQ}fpRP0dT+xT@zo z*M0Z5?vVQZ%I72d>55<7|L$zBaTFF#G_JmH@8CE;r7mJO`|ij;o>G%s3cdyI+rWfn z9m1-g$4_Xz@H)S`Ir>wJ?xWt^N#8Cj?)%fRMeyvyFMM0u7ykMq9wfgk`F-pw>1Asr zD%orEw(yZMt`c<PLD{ku1x?-DC$uvYYF#uMkBV`5XRp^>eBh6+<3how#jU&#&eS(= z-~Zz96G_h*9FN?Mk3atNAk}X3^;=s`minsPv+7m+UpHI#|DF>Qb@L}$eo0&QeZ@X~ zX1BxBZGPUipKO^Uc>4MGqc>h$WcvM+TjV#Za8TvNLX@$bUX_Q6*X>Sxy6Kn`yLaN_ z)PQMU#h<D4KWm&D23uurn`n3~sJ^Kwx+H2#!%6PjPn^HZdm+nXkoU&o=;7#+2^A$X zmh5akvUt*?hq9}_=lv>Kbj|Tmpl7}OrB!<r<aAHDJ;J)oe8Si7<(@BYwO(F+{=?6O zC45WepWWG6T=M=_>*V_C_QTe33$DzGPyfBiiCer`xr1v(`t#7&hdVy3-h0d?<VI@D z7LmH^!Eetz?A^{+e053mOZkt&d9uY5zsK1*><zA*9d!Ll_sq-do_>0A|5N6^nSYr1 z4c~mq_MCZh`8n0ox$M(6r(Cvl`}d6V-L6BPZ)5guJGXJVaMi{Mf4Zl>U1<rO<cSxr zNxckTBe*+q&)=H0TM=VJCnS6p%<t_!+UFK^Eo{$5-%E0<>MwqLsc);4yWkh=$|IY< z{Cg7n>h;4b_d8FNfBCzrbSK6Fi>+o6<$q_TN9=y(|K`>|HdojCLZYIc6%`g2FJ5$U zbNiHgaXYBRxhD3j`nC0y%g%jsonLz@{F`Hp{nqHczxCtoCm5QStlX)TB$M1$^6Ydo zyT+=h4E5h<Woz!$Ds6s#_tc7}@=DXY*BnkuuYYa-f^S(&X+!E`ccZE=8E*Y@Cwu=F z&#((lzqH>kzd%slX491E-F0UU^Yg18c>42ptMsy%<EimAXYMJ5e|?pXXt(Zs+yC3( zdhl}4(#B*VNs*w9ka4I<m0NcF^uOT+3W{y3_@BQr*qddyrtEyLU54%IG93}&^Q-oB zm9@`!taIs9*8kiW?+<VO@^@8CcHR0y?ZQ02OEGu%_sgfh-&ZTq>z22(Qp$dBCYQvI zBflaT7=)udT^vJrYWCFIFW<CT`kuje)p@l)ZIY+uKC92$Ig5kg^3#~ww+XvuYF!sM z`1k#F<qP#r7RG<}5~mMs?KfIk!M$>Jc5H)Ax%O?l&g6IX{ZG$WuP<1#aL>+(yZVgk z+wR(RzMG%_w6(v#;E6}&&y3GzeCmD=za@T+;n1mP-~a!wnOD8d#DjBR*Pogow|M94 zm)9$bk{D;ttLS^IS2gvuDDt`!&#yD@6JC5d`*+LQ<I=aE7}xyRUDCdz)>Ty<wAOv| z#}%^NM-Oj))!puY{K)agj8*$TrFFHZm#+A(_2Fkl!@czjO3!<57eZT-sT&=a_P^2p z$M5_0X{WuTEAkGr$?%0c7oOcyeV^yq-lWt=!M}ejJJGcCfrhpG{?@p<sju@N-ZM`; z*5+@Sz462R!{<M5KCu1g)wA3;Bh!C<vD}t_*WEDw<G0oSSAB0v_FX0YMLBQ3VOz8H zwn@>omDgUs`JvP2S>Che`?uho>vf-gEdL)|+*9}TPilATo%8XJ_Wzpu;N;8T9sl<p z+Eto$_xMgV*m}+j)!)+gtyqz*_s4v8K5z2@kEF+sJ2@e<Wc95Lth-NY{+QJJcwgF8 z=KjwcSIZ0Cnq|Jt6Era9vhTi{o@aTO*s0<lWtwh_FJ_uZ-TrE6on`nn>e-$D|8MX9 zZLs<k6aRzw6c$!N0S-YQAy$+4O(7<wpIKw&Pn&;QyJ_3;J2BsHp7C9|HnjTM*HrHN zi^9*wo<5Va`AY0|pZOb();PMBXvcqIJtKK#j^qqS3AXeZf1Lwc9yT1d_<ra0^UpTV zE7P9MtA020^WN|Ce$J~tmml@+g;lYO<@DXlyz<v)&Aql&Hhiw&)Fs-nwfp0iU0rs4 z+y6C@o1X->dCEPV^(1f|66H0?{b-xkyEkuq(x3loJD$|M=FJ)Ho955$H(PM$Tj^%k za{Qgvd;ZI9>C0aZ+`PHA_-K{gM0N&-6}i%1<Xbhj_bt~u)7}<$quV=Xf@FK|nVz^9 zrP`FM_8sd#osL))RDL^FZmM#B(M0#1Cl<#%3Do<fF<t2C!}*Vy7#JKFk4k2pUA4I2 z%iKka=Y4un{YgW-a!R+&DOb6tO8rHek#c@l+oGPH-3!r&Ecde8CQkc(m+=*)>kkk* z;Fhc_WMg1x_!KaQk%3`B!y_IB1_oA<bOr_njf4V&6|QbIZ>n9HLryGye-i2@h}lbd zDkVatv*t{c|9!4)PvPms?_q9+%BNI*@hrSobXxcRm%pE$p5B~vv`gh=10(aMn`yK6 zzWjFW+O>TVx+{EL|Le@#?!JFb_3qOcR)CH8cVoHV$!E&P_x!7UyxIThuIzpDRV*J0 z*H4~!`|XEcxw*aPpP$N)y(qq#b&5myb?J8D-(NSoYc*dr_Iwf~wz_DddunBE$hEdN z#wk~}cWv9Qqjmg#c>asE&lCIE*k>E1dM)#vz3t%Ve5;g*GOHgat}mDUZmBW3tS(>e z?Vo#ZcAR(2-<5k=@$jz5Ln~xHJ)NKWZgX$so|MeEyr>B~!|Scy`vsYQ+;ivly?IM@ zyElJWcG;-p!IYZ651&TvTcWC~tDBh_Ic53!zY%Tgww~GLW09GbW`B9gwQH^`=U3O- zZNI)i<+1VKZ^yQ5(ewSi$-3xS$GjXxvvU*PRQ@XcdHB(>J4LTPc&+y-U3qBn!oR=1 zuI@Ge66)?69^P76Qd+uq-pN&&XJ!3DS4_0Ya_fEa@M~b@>)Yui(*s|I7RtG<?_5>8 zH>6N*_3ByA|NqKKk=y&z=1pMXy7lYNPtgo6`gBtL`wPeI^Y&DKvoFZ{W}lI@ZQh>W zRnO&1PE^jctlfR*=l0#{PbLJ;toaf7^Ih)#^WE$3-rHJJ_OJ4H;>~9_v@aVayzY_t z6SA-V$_yS^D--8-zVj{qe^qp|^-8XE?kqUAF>_~4*0#G@*Z<tLom_lQG(Ek)k=y9; zhKZ6JpI<lnK2`2-RZh<?PRoDN`_J{J_xKe*KIOHZchBQ1NBp+2t-bY;`Sr8XOW#2; zrqO(_M(*1R3v&~blxJsV-YmTyTOBelTKZY~nQMzTf4vsHd~4#rs^{`Q-sKt<oqW9W z{k^u5l*m`|KPuPlE6lsKd-l$Ev6358v*WTNHh%N9t~V^-^CPFO=+g%t%MTykTrP`V z_vhfAEhSf;U-MpnUcUTSLEqerJzKh7Wt^R~Jpa^8_xZDbN3DLaB4uXC!;2SYZT=N_ zQR?JkEj!tW=~5>br=4=(eX{Y@<gTmBSDjtP_9<fe)z2%XLgyTB+o$%#vHxq5ob+d# zHy{5OzRRqP`|{(?8;dpdefGZ&TRvQ&Y*ZHzKI>ma{lnU6XZ9(7=e1B1kF5{%yO&+^ zkvV6ZD;xhk-Sl&HirrDOK0R;GJGZ|k<<4sH;_ar(5)W>U+_6L1Sv_aEpuWxA_UU`d z_AgU6+Fv>Q&5qL#c>l##Snru~JMG+wv**i}M*SCSjCwfh)~VI2>`m@7uKIT6a`^;P z<4Nal=byd&X!q@B-_)lZJZ8sV9W!Tg`03C3bsxLm?EQYv^1}h<>(=$vuNUQPewrq^ z|LhE#KOdB5n!kVe#-i@_p6n0HGV^1%ocmpB^LOu@qC3++-`4dzVV-V3Pd#tH()>HM z0pE@7|IeMX>ptVp14|}K?)!W2=knj%&Pv|jt)kxNW%ca8yPfUR^+ng#=1Q7nB!ubZ zd*5F5yHwBjc2}dMNyTC_&XB&^K+7-Qe0DD$tUA9q?ca%&3vI&o=WWQk>LF*knC<h5 zSKrr2omjm4ZT!~Xlci29UIt3zA8qcem}XJ-=7!H~GhJR@-kHYf=d7MbU%WJ>a(m3| zpZkA@9?Lhj;ug1?&;HzQ%1-{Xr@Qs{&3L;_a_;i~-|LOuPs`rCXz9w^sU~;-zODJT zK-TDEgK^R82H{U{v-M9n-Yt9nO{XFy?%8&g%qo@Z^<VE7zhChEdBgYhcE#>d)3+|a zpEf)FbEy3*dyCop8Rs`GUALO`-p#Q8N7_!k%A26PlOc8EKIY1A>Qfx^ZTYKf9N*vA zm|T2JGJVO;otDPw=X|20Z(BXz`^x&h&m^B&HkQHsKN8&fWF{saZd<x;onCbA*3eh4 zr9Z{n?^pahzcOua|L;r9_NT)4?WtaN?_=W|OO>73wdFUK)SG!$-<npo@8$9Cc{Aou z_nSH4JFn-f=_-<Tn(rk)I=!pSd0BOx@rCW`_a&>pttjD*yuN&vQDaH<tM%92?rYsj zKe7D1Xm0WE$)`Sjzq-2WbLEZ2{&VhoWyal|T>mRL?S5(aukY{YKensN>9hQGVoCh| zJGJL+E6WZxmEOz0Qg{5>4`#JAm)J>-kBv)j)!lry^Z4iAs@dnQ3)Tx4y$XDP`bDE> z+q+r*e_m_;boiTJ^xFCD%Ifp!pAP?ie=60T@8qON^XKdSwLjhB|9+xk>EllmH`~v< zJ8!4){+cz5)n~J4t@k>AFXprG)>ZYv@4eFQTCQI9X~ip_RW|*mp`~J;zE1*;*Y_^b z`W$ipcW~(Q;MPi&*Y}=<?zdlg$tG;&tK9o@<(^%feg3dq?IWAKJ3F?=%$l6?^wiX! z|3br`P8HSO^!P|;<jtEim(Q!xx*k*P8@4XyyXK|l@>$c?&#U*Xv)H32d;0dJA4g<= z{(AZR$+pz&|4e_k-%(YM{dG2`=<c(dDXGzMKlcAWw{!WN+4pt4Uzy$4O5S$YY<6Dc z^euO$6z|*Mzi#J~Z&%_i6i)xIN!R<^-d$Y2-MN&Rf#HMS)y0o&($CE~ls&84#N7Pp zrqg=9|Ns4+tTK1*+}AtZH$8aepEiB9dCt$%b;WVZ-c`hH-(G5CrQF^-^W(4YU$@t2 zw%_}-lsDR-c6F`aviBS330|q(9P0cv{3qklRok+DpYO6c<p6Ffz$<>$lZ%s_J<7|< z@&YpB)=!;VsrvibpLDVGhrY{Gf4J!v)GWJyZ^knAbLaBrYCk%X%fQf3zD<swFHB6< zKXwK`@7@jK(|0Hs^GVN?d_7<A)bYTJr(WjmKE1dI+;D+30$k;u1}(Drb2$mroLFGt zyf(5_q;Fqv$(3NaT7NXxoL>CO|0>(HgR`sQtsl4{m&7aggxwQOU*Wp$pe9^Y3D#7K zc?@c`JY8tP3~4AaFfedwoMT{M2v~4m@VU*GUlA$$_dNJJHAXxuB>ej9_T8_qpFhE6 zyK&vy9j}g?ZVt_UD86#3p!ri!iwnv0dB&^EuFRMpR>f*|X|m_Jm0!HRC?U1EAOZQy zs9$~ZoZrW`*9OfwE^Dm#xbN=6ukYo5p1PZvU12%9|E|*R_$~LN?Afbc9G@E3*t?;8 zY50R&1(?%ef#n_lbmOsYuP0~EiQn<}<{9hkGP&Qsn(yAT``_N5cZa#h!nl0PxwEd- zSN4AUI%m%QZvM-R3=B6aYJ=ujPb*!rccZSh*!J@3-`Z=n%@Q;h7he!xcQRkTUa>nW z{@kVMvp?TCeEsQ{xgt+)8LL#!tBd`&VTa-NIaYfvX)`l0>^U5Ia>Xs?&F6R8pRTVf zOp@FA;)Kt=tnQv!H7@7&eY$4z!N+}Rc;CGcbH(HJkFW3i*mE&6FDCNkRz?Pf6*YzT z7BJp(tF^iOzb@$A{s+O9uWzw`N^;+{=HI?QUv`^6Irz^0NL}b%zMoI}<<1wE&zt<W z?aL*u&)-mL*X&FUX9k7^QK4^ao-cH6f7;>PcjCu0&YQ0%%>Df7b8cnMW%kR{wz9KJ zZ+g#oGkJ4+@z3+~&b8I=v$1K9`>;4>&+q)tKb7@P-1V+1ep~q)T=jXd`JJrWYLH{c zz!30ND`*aTj+KJ?+>#_e+pkl2Bn%WhFYQ`lD(k=X`K{IKBeVbQ5<F~Fky$J?J^x2C zkNvY%F_n+Jf8H{jfByXI>g=<O3=9>^SDsjTYq#$$UHQK+wrttH{rR%l`6qL?-<@}` zneF+6{Z<;u$NQqQ|Mj`9jWnyMaLd`&$iLyF-_Ik@m!+i~U-W0`#uqVppllE}@zI{Q zGjffyuAH#>9#cDO)~w+B7bZM=_N+(RTJQb6cXzYT?tjww`*X(g-$gG1v!}nxE47_3 z?f<u6-?k4QVrovFjI8ctU|`sgs1-D4_B7L_D|cGn&i_BJ=YHO9pLr&l%I<w<y6Y<q zx&Ln}cx!F)$Kw94lirpe_Q*e3^tkNg2bGo5Q)9o~Rerq7`sSYO!nhgr{(3+EES*{Z z!FA^wV_~gwLvscOhS0T2M=MW0jGg)S!uv@o!OP|5{QdqvAu1~Bv&Y}XFYhd^H~*e- za{a%LEpy78qx*LJS!c6-iRs$2{ZFrT_n$t(__}V+w|4#0Gyi{!_-6h8Q%%{VS3C?1 z2coYp&n^1N?QQ&i%}viq>g@JYp0z#exmo42%WC(g2Rnc6eE3Xy)1K1E@8$0*bj*G1 zjm&-CKiifX{^Wx0`ii%+ukQD&tN$6Fe&*@k-_;k`Z#_@>CAV06uNVUZLv8e&-B!CV z-McYOrE=v)-TS*9N!R}Tz`(%pVOr>ukX!}^h81F6#taM$BG7Km!etA?Y-Xms_ge3w z|6<h_t}j9u8Cz@Salz*{SGH!IT0Q$p%B!oHzEk7ogL{j+cg4-s+FQ5Gd|Bk?w9pz^ zpHCXERr-s3KWSWtQXwyG=B(mH^rRMGmP`)dZWj}ZtB^Ziqgv3*^3f1uU}%6Qm>>1U Yfejx#q8b?)7#J8lUHx3vIVCg!0Do?TNB{r; literal 0 HcmV?d00001 diff --git a/docs/Q6.png b/docs/Q6.png new file mode 100644 index 0000000000000000000000000000000000000000..159e95dba746b6e1a515ee5f1843725af486ee5e GIT binary patch literal 12768 zcmeAS@N?(olHy`uVBq!ia0y~yU_Qsdz;J|vje&u|FRfxR0|Ns~v6E*A2L}g74M$1` z0|SF(iEBhjaDG}zd16s2Lwa6*ZmMo^a#3n(UU5c#$$RGgb_@&*njl5aMX8A;nfZAN zA(^?U47sUAdJ2X{3TZFPiu4qW42(<_3=OPI4XljJUa~gjGcYJHc)B=-RLpsMw=!mG z>c9UV&6^e|En?E_iheR{*|`~MdhrKUH<w&FV-|f(Z1v1jTh-j>&ODZ5<h%K9j*++f zX1CdMjItM)oHf}#|7nn>)&hm!jt*ZA{QRpZarz+}UwiTV;tJd9JN-7_i)z>X-nZ^` zf!*`CzVj<At8=wJeUm@L$^Zg&Ps2aCR#<czKUkMz7QOPiot>T9RTc&Yh85ATX2vpt zlrY?p>@)^5*gWQdMGPDs@qh#x7=_Y7Gy~5B3uX`_q2Y)mh-P3`0R<}qgM>mMC}bH9 zFbt>>AGCiesi_@XQ+1%*)zx)sm8`UMc91W~r3-%jId$&E?d|I&zcx(2wKaSC-Jf4J zzgqdif(aA`wNHi8XP-@Tvkf?Y;XFurMORVh<`d};xW0fxL~hX^$#f&B9Sna#;nA>s zL-EROl2;vKo$W!v@j!FOami&1=7YlQgUHkOh0lbdcCbK{rauVEozYYW3Y-JM1uM;b z9HClXO`V;boK*BEL{Ruu(2R|d!OF8Wi;g|tBy#JFz1ca7K9DQ2Vs{?7`RqY`>$Sg8 zMoX5?YTt6@|JnO`-D@{w{LA~hINrN-&i2sf-OoP8-~G8>sc%YXhV8oY{QTA0zy5^Y zfAa6vbUnG>%hk5zul#quc6Cg%-M4JEtZ#vZ_X<G~AMpE>`Z+W9<%b>#eJZUu+8y=% z^3hA5Wp$^YT)e!?A|!6F*{*+W*;hY&5v%>2yf4RW<%SIoo%d^3-W9*~;@JP)ZoE%| zAAUQkJ~MSP7x!6&ljqO%-kSaC<-PFS_WLX9ZmkNB{~6=S+Nx^zH9qQi*o3vt|L3iD z&zD`5z1wZo^$WYdT<7Q4+`S;6RdTlJ+T<m2wdtGh&9jSZt}eU!!1~{!|1vjLZ{3_9 z9<u)2EAw}rwFN)saB*{|YU^Ctxmf=7Pxick`R8P>ray6x`cgUPlZ~$Sr)Mjb_@+En zj^8S65VZfR{Yw39@>g3AIjuB^+?`zZ!s&=_*S=+qiT|}qA6-iec05)8rTxUSS2osR zm94FtVr|xyt8WW_{rca+_xd{`|F2S;eKsts=vY~w-s;z<<fPMOt#6$&och)}_i2>d z@8dTN+gJDVzfD}T=x<8RjOLFI<6>;>=9I16<~#k<q(gb-*|(Tur|kLYy7te%Ib8bj z8Bts2`8PEE;TFB|SnaNT@~S+Zd#laU&R+dxk$rKN<gbKt^S`79Oi{a2Fm+OL{Iw_2 z?=IbHdTo+;#`M(xvZc@V{jy(rGe_&pFSl;_nuz>Am;AQ-&0l%@mi)y{?f+Ml^F5zk zm#O<d_SgDDeeWLBf4#+jg?DdF>6g>_rE3l>JM`sl*WXurqV7s8&6c{g>cakzE5W&w zxK3YLw&94>yQ}+GE&joK;P`QOJ3G6j&n$P%U3|L0^W#R%${v+lr+89tFIaNRqHN1l z!^Ph;cFx)OSjuen+B=5p&pvjpmN3rZ@BH{MtB3!4(y<=}_m<w8w1nyD)1R-mfA_om ze%9X=+v9$D9M``RY#Z<C`r5OOYj4>exBq5N2N``uF7E67^SLhI&djFMr5RVMyPo~~ z8Tj|%`T8p}66{$^e(nAD=lbz4A1+@m&9;tzI@f0H6ei902h#rjR*!f6wkJwg+U$a8 z?5@?d2l=~x>cxq3=k~qlo_u*(m-YPS;Jw<W2`S|-+x5TRUCESME5G{29Wg1(CDWzC zHrBrkD%T0!zv=7NlY4)Khq4uWs@_-Vv70h2b<5nvr?rAU@!q>9Jx#qnI%@8(OJQ5j zN4|B8wrt=0=#t#Iz;DgV1dqADUF}ybvCwu-^T)udo$6cL1>J8izH>e>^kwia+g8`x zq7h5Q%wP2P$E-j9@86`j|DP(iW-6@IDBd>jheQ9}Pc3F@5#Bj6N>g{Nv3_uhW9yfV zp8p!TvJ`%nXRMvJ&+Ozi58qioyskOj<l-*TvvqyGW~W!oU-P%|u`yxFtSQf}_Q@CU zvfn=LzHe970gbO35i8c0|6OFpo;*deZ1SRN(<%Kb*>`q%{hrPh`lv1a?=08bTp<M? zFY!b-mVa6QDF5-CogiOE?_X43&AeFO%xj%<^iKYCx!tp-MNYrnwJ~{Jmz|8U-I>5` zwi5E|!Y?QLRa;CCtiA$@Tgm5-Y>udjo{E=M_q%HQcJkMUm!9657;dtEgM;U8v)A>U zyry5?8(K-#yZw%rUj4wkyZ&tIpI7mxHZS$&7hnB6dQSQD6Q!?KCKhx^{bYUlEd1N+ zFEclL`FRBvUGmKR=gj|8%0{K@WNEN#R#4jB69;!~jBYH;i(jq3eW`Xy%9bj#Pb;hb zYyB!;`0Av4P-5P^bK+jxxYMUjm{4Asu_O3@(UiscMQ*n(cdgm=diU#_r(b>gs9C>q z!rs4&*52th?vM37y=Hap(Hn;0g?ryzo&WJ+RqE~LkBNP=<ce<{-l>(<e@jaK={bw= z?{%l*w`+gaTCrcvzHqZ$%^&5XQA;Ph@P2KQBeaICPQ0Y*{qv~!4S~0>|MeID`{(qL zZK8XBeJy>t+gAVY>csc6O+LQ*{`J-7`YZE=@`JuF`0Vw^&@tThSNUD3$bTtTciI>K z^_i4Y7oPc`H+E~m&8*sjeKU`p|Jf_``$o!S|E<5K`#+wRbz95frs3)@$K1uQKD@F2 zOX8l;tolsZU(+k!)F!{^yI*2;==kyDZ~uL`(qg`S>h_Ocwk5sU(YO2RaZ#JSpjdmJ zd;Ctv^6l-LZ=E_9yj6D2sVNVY<G1em*kASI)`HVFclN*1tau>u_wByAT^mYU@BMtW zsP6k8rC#op;fa<{UxgaEJ%0V=h;;tTmx^D%+&#tm>-D6ow@MA2*3Q0CTw_0Dj&<k~ zw$xuM_F3`n3Y67P(^&qK!}b5N&Q~Yh?LMuH`@gEb_ua4GlcnxHnh<9Df0ErvxrOTg zFSze>?Y_IJJ~a5Gd3)Ep;Fa${WvXU*b#j^6ty6oiJh^c(Y@KWMmA*&b;@Z2`T)ekm zJ38#*o>@~ot3&q9-CHkxc6H9~UH9asz7>Cbcx%?C_`YrJpeByK{!GcaE7!%YE88LD z5ZT`UXT^#YD}p{JB`3d3znb#iV%pzt>#e?*hLrfXFaF*Y^3+bKdZX4YcK1`o>TbW4 zLf_xG7-sr>&9?<>=PX|J_TY`e-cz@nSMBi4;D5e4tJ=UWX0g@$^%C-1*ROgT82$Kg z=JYJ)tB&@oW2f$27`o+7==TdZZZCV1UGB3_=4nQB$hJA#ihO);HE%20cgy15RNdva zTE~7A==bn{4~{<G{;i-#`S@D3({F7;Z*Pz}VEF>vq_T1OX?)IaAD`TYc4#BvhUc8K zE7)F1{Q|eJ{1cy>m!8OY0BwlOYxLfl$OV-;xb&6*>)$hbL%&GWoic9U{eJ!K>CbcC z?c4t@Zr<jdzyIy3t~~eb-S5}$o?6aEl?>fp7qg0YGPJG3cFy9k2&ADiZ&A#nnm0fE z)2=X=@<3WJ%Rfk(roVq$TtENZ|K6FUjnlP$D;SjTyMA!4q^!H#Hc-pPBK~7~p7nPN z<_{(tH*W0e>oeOer6D9N>|DJ=@YdnI7v$cxek;)5(q6sL_D=WBw}I!koB%a%7%CWG z?aG3cW({3CAFsW9N2!0;A=%^crG{~g3~^0IBpWuCriCv!aemUX8L^xPj^0WA6Ds~# zl;J^Y%p?o<p5BlnJ67xMw=<~T{YmKj%EZ^lUhPb9*!h#;&Y7&u+6pVz-xOu=dBpd4 z{Vs|13=H~@Csogxylu{veUj0o6%W6cO+UW3`h@6Juwxe7ikV~)UA*>`|Jlo}XKHqz z){oK)338gzX16!4+HaYbv9<g2OMV&WyMAB!_UV&Y*Q|ei`>ZA{;W=&jbdGoUpMT%K zdW5IX3E&Tyf6}-#ynJ0&Ij_Czy?s8>{mV}#oeVfM&$Q~zEw{9AP@lv>_L-pn)jX4u zdDG5jEx*BDt!1L&6YF;F!t2@mT9K1P7QBA8?mD}F*`-F-#aEYBCbyPKE}fa5cj?{Z zYqQw-Uo5tko4v+S@SmwZ_f+oPzUTKD_(giRt@QmYS5@IIem2r7crWvrbrK8=3=O+Z zeL1pIi~kN!#%-^KH{G{<zU>k@b#=>K`OKs3)l>966U(!%EuOnI`=9Nsjal0#tqsaM z<hQoEcJ03H^-a-SGgs+${=ax<<@@aXq_#^*>Doqr($4<>GT~fGM9Rh`uYP<JH&t7* zJ*{qwTX0p$rM<7uYiK_W_T?_Ww{P*D1&Ye0vG>yU?kt+WX$|MCEfu|WZ>lCAD}B^s z4+`(%8PhD-+0C!sSeR_0vUj~2@800M=Sn8j*Zy8Ex_Nuu!nyyFw<err^4+z~b!DpK zU-p&d&3|w0`8Q)#aotUIizxnn-%IiA+d@39FvZR>ajwt#7=O1aRoFD&Jfh!bzhm|0 zZ<!Zk>o2LN*InGby-nL9XUeoWD-ZfD4mvpTt;nPjpWmCi)0W7F##CvSzLCqw+6!{h zmDpu-j(uMLe*NwI{l5G*29f{%P1kGOmJ*%vS2cch*q-3L+SeP+O)8t#&zo)UtDj%% zQoMcd>Ytm_Uhiez`H4Go)qy!BOXsc6)4lZN>FN5*^^f%CPCI8+=rvRD;;#SS&0oEV zp8xXm|0@OR4;k!Db43;$`doQRdtT^-#e29Jxp+<fKH>nmqI8Pp%@d|`*I(4#-V<I| zx6dr+PsD8V{J@084!p85ORud}ev>zO+uu-Ik<zJFyj3x?|ERyZ8v0rEs>i0Zh$+%H zd=z<CMRpzvnQK>PX&Zd)*KyOlWB;!_Ik$bw=M#Es=NY;rn%;1?IHcvBx35OZB;@d; z$bF4jFXpemGsnqT8<d+D@ENNX<_X_(OH5qY)$bn=6}3x9NO<bJdFwi^31;11xAx&S z&HMY8-}GM}7?YC!m2=Xj^7oe(HpNNV9jiN(dnD{t=(KNp|1ZgVdD)XW{mtd8o2O@l z)z9;InkDJ}c*-TCpuJ69X(q4N@F{v))ma?BQU&TZt=R43Ip=cG<tfwVt<zrrX2G|& zw^n*TKgY$(8~W*H%3QnZU3Y#ZG9P<+&2g^CBX!LMd)s>D7leIuFENM?dX%;I(|Qr! zncIW7)k?yRJwI^=fB&~vG_aTZqBC31<(4|ZtR*o=<^Or6>;KSPuy1{dVeCxHg|BWb z-fMY%>vhAMhSFQlmbWasb3NLzPH<P{v$?yTRjjeIebb`81k}50VD|Bxv)TRDmeTj; zla?eNUSwME;OnVVn_f5^QWP!uuDiW1;rhp4r+3A6owrl>zLdAuM#GO=e&*t{J10$9 zdVZPjl_^^*rM9NbezaM4(-Qe>%bu&P2sW*AJY`?B<=>`{6N1jAzPvI`^Ha2S{OPhM zUOVGo-mQNZusYIu%B(Lt=RJ9OZmV{7^E03L)olIwflj~rtE_)b5j$VucU!y4$qJNS zt{6>EYkWSrXiNUFFwaZp8>^?zo3ZZ0w1=BSZ<Hw6`DL50yISd;xAU#vnjO)1!zDy= zY}ahv?3Vp@jaHaS?#edv$f&GqGeaj|O}?69t^GXv`60tsyEb&CE<Pq?SLb!uzV6hU zERZz~D&p$rwlU5A6s&JML0i?-f4xra$};9{b64tCKVKF9capJw)^p>%C5Ioi-0I~8 z>G;5PulDZ8m9A2PyH31`0*Nr#NZ9vrgIEpl5rrQ;vo?SKbY8OF>c4r~HP*OOY1)6g zZ`y%|Cys2Elyje*b^cG3&hA~$X2dccIC>`&TKw~N*%$TC+I&6C=H;d1`D$v*{ZePf z%KOjl=}Y$U>3R7zd6Dg%(nYp+veB{8ZrdFp(jYf5;2ymAb7ma_gGKMzH(W77Ycsa5 z^j`Z|@h8KZmD=wc{lZ?oXMexGAzHDJ?Lq9dnX!zTT3TKkH*QP|UtIQAr!J49!O*<I z{+mf)U|?6Q-09!i58CioRRPMY&+^)@xovQC-M3Fic7m#`2ZBCz_TNkn@8S(!v**uy z_6G}Niu#K$O`CknJb5*r{-1mAKJ6&y-?>O?KiAAv`a0EH7HpsUp0{UO=*~Cqzxb5< zJp(6P^8(LDIcK+O*_--{8-0GOw?AWNfG207)UPj9Z!Kr8I`c+muDA6<TkUtjw@;sr z*2wy0{p*#-BqN>YQ;PLsKmNXdT{+e>&bEKPQhxlhwZ|`~Y)sKeJTGNimaDv38#Jo4 zfbVn1@+@;HEC17JTZ7*;+loqwEYnj?fARG!`&1oIt_!8b*IzfQTfaQebn(@tJsS`0 z>bZ2r+&uGp;cCZ~W^yqP`wrz@-mKpfd~H%<*5y6za`!H}7Ee1D@}6Ncq##;nWLvoI z6f-mPt!+UW-vr<OF$)ZPs+IWNJ?i5_xu@PiH|Eaznvwo?R(*fknp<mAR!^C|A^3G% z{Ob2_=ReR3@|S)0-=*Du`RPfL>to;B<)~YJ4!(V{{<^yToUp32wjlp^*JepJiv(>k zd30=l#^HYzOH-q!%-j>M-_NPF`Df^^-+Pt2`sXfYbWA<nX6xwe_G;IjvsJ}U?NTF! zw`6{l`g`N6TJP&4S3yC|a3(S8k&MipkmUBhixa-=n`QFFuhjao<G-(O=ZBrS_p7z+ zSO3)}Q*M)&x5SoCWBn?><R0(0?9acFjjZ;^^xePuO8VQxytj8^*0TSNUCw5|`lx@2 z?q0tibEE#;`pXqz8#s4%+sf-xB1$WYcFx<Y8Nszby8Hf|MaSgtEzR?tz2fkL>aU-! z-&8frTlVnt{maF+`!8MD{XDyRTL3Gpw(IT>zZH0N>Gq)G?;4j~17$&nyQyA}-o)RJ z-+sTYeVM(P?{;_p3xCV+Ex*sd$9uoD*|B%Ot|jMZd}>+#HS}?3Rpo0z*}I!U%D?X^ zxxYHzBbfEs678=wrrLo8LGkNi7Rzs1`lk8)>#zUkg}zt3m=%^Z^})krlMBCE<LaME zFFmoU<?Q+FqubA#*8E9m<^4aGO}u8|^|)KBQamNy`Clzx6TdyztZe7KJ-V*v@BeMF z^IDO1_mvJt9hoJP@$0A{DC@q`2;MX?+bVu>be`n;KYwa+Z~WM3mVa;20k=jeD+{l+ z(e5|ys^$J(U8V6#t5oXC4zr*BS5~inroC!n%GnJX<{M@@Nrh|{+Ln{LL_}oojs=%j zMy`^-6XWZ>=8^oh7{|zyUOWE0*<>@<ZuZh+is36Bil$DV8nAx#yQ-WSPHQEtW@lCG zweZ?_YSL`|`E0ybH&jf^Um*4CxwiT83un5(wb@}G&pEeSwg*N;ta$WTc*?YC>spSu zEM2}_dr@i2t!>%aJ91Bbf1mm7a<+*0&FI>uC2#KTE?Kc)dRza-`32!8malX=^tU_i zhxVhcWxHlfU3W%kb&SPqHSf#QvcmVwViLW$Xr+$k$y5I4L;OC7X1!hiDJT8QLuT`r zKQAkPy|c*E=$`VFDTO9}n!3tYSO1vzA#LteMHSEE{Lg1Z>4O5Vbh>6|aa7){OP4N} zKAkFiWnZjy>B~Ej3l}c*vYWl;a=QQYX#1Q47I&>yOm_OC!n)=9hL6lwwElJQrFnbB ztPTG+b&=xdu&jeE@22Z*{RXLP6TjGK-rpuwcVG6EpbBg0{3V);4{g!^=AAkvLN)ue zd)HRp%Zr2ASGWEVDSRAU_25^@(V9P7C++_eV$J0C;-WjdhD*?5zQ0%0H)$-;4fZUw z-twaJXjgaK0`d3#BK_M|&3ZGV_trCOr{H(5*DCDixNGy-FSghwY`)yOYqD=ZUV31% zOy%57<=k7lzDs*v+K_lr%Hl&+YHDPO!ovw%yM9lLw%_o&;&19*y`$;#C#z;|kCPKw z-r9fW;<FgfQ<u^&t7V<KWz!qA$@k+;vB*pO*_VrjubjMh^MO)py4}@ZEw{g3)R*+S zV-}vff7aTUr~9VGX;!XH^Q-ig+`i`G!!seW5pqj+wDM<uTbA?kikI*I!_`_lzB~V& zlzDOH-1V73*V~qwoxlHo(XxM!H?Y}kcHu8oD_u8f4eu=D+O<+P`&>C++P`}HA~?$C zv1RV_u5yrn)Cx1r%I!4Wf2ZY4RrxEkBm8COORjuLz34_?^+%7lls%4`yZy<u+wYEa z?Y>&u>Ui+l;VA3uE!WPiN^-q4?N!@}rIVIK2A|eB9lz?K@3gqO%CyrpcQ>W(+q<Ca z_J=8XcRqy7{JC_y{f;MWt@<mI_9}RK{rI8D`+vuqLQt{JP`tyWaGU6jDf6pdR-V*c zSy`3((tE4)hPOVsH?70}zM4L#GSGVd%cas{pI2mqT<h?3&+lIkgS$<XN+#ai3KC(c zFsPFe2eX)AGXx*rd2emBQnJ#D+B7qk+x+9^CHtO*J@lBYyzKhHRGS6&UAsR%^vmG? z9<=)HL$>$F=RNb7th|3;!kig>-?hIdMOFV0`CETw&faa0Jg2WZbmYmEl{%YWKVCWc zVqs5br{Uf@pDpdX{kF7M`=aBt?d;RDz=brPSquNGY<ni;Zhd_F*PYam4UeB*Q?+Rg z-f!1<Y2GahGq#UePgj24y0w0tU7p$oYr8uu_Fvgr;a9nT74zR~p^HRw_c+PEo}YHS zIHRWG!au?FTpzuTC={~onUcs9)2O9-$1OjhA!Mt4%DFf0_k_-0{rymH&(gmg&u3NK zJ9qnbf5nl{TPjpfm3uzAv&3_9(E9W9?bmaQ>v>H2^Y`!71&+-@r**};wO6rLsNT*x zJ}K?xr83dHd|~;z08_hFJN933v;Q=$V%<Id@`t)_-EFQP`CNAIUfmJpdF;oFJs;H^ zx1D^|z~s-T*H<R*fAP2c-Xs;@J+I?hx9xuQY15u7##NuEE?u`GOjc;+&fEMMN9uQH zUT6wV3ujZ?a5LxA$B!#Z<W{}A<e&M!EBU32YX9oheJizZT?+oyz5L4N8QZ^!8$X`f z`}$q&0;^MU-Y0i|^DoM+PyBPWE+%|yykWl8ysa1QWveVA_SgM2sr&OoYkj=^ij}+E z`uEwC?$Ps_yE}I6xx4Gaj=x^yrMp`G$`wCOl3FIc`@{=UX3ye)cDK!USnUfe_pN$a z{I|f$S<HKx-~Zo=rjwss&z!gK?D=rNuw{PvGZsDgpy6BnTwB)n)C5)Q;N{=zSE#d_ zZw_97rHSMD)->CD)#0l=JKn9oHL)_z`dQpjTh`E!$(zp}?K*EYT`yvesm8)5@>?F6 zCs)@uyxQ_8Ki7Melf71@PpshjAKXhO1y8-TN$TS&(ZdJ-7O(~XHvM~4_mSn9BX!ZS zsr}2NS2@;Cl#w{xW52!Q`39*Y#ThnN9{zh7@MzA22@C4}{>r?x#IrAF-L%-MtOpYk zr6f#!ba#JQQ}Wl%`FZBeHDz738<smYAG(tIglF%*p9?0bdNS_HdskKaAw^v_!XPSk zmU&pj^uSp^pQ*jI%Uip^#eLh#g$;JQ9&bD;CGs%d`f2#1>)-gV`Ep->wX@DX`{Rzh ztb0pVM)k(8>v{C`T>jPh>vL9xJ-!#>ml0n2?d~eei*K*0Y+1kT{r;%U^XIRMOWk~9 z!|g9`xF1j1nlj^-$@_)v<s}#AEH%Dr{h%dILhw~YEAzUm!QAhID#GN>i#A4U{Y-na zO@3d1X84x5>R<VPZ8Z#+7v6lUL3@(Tbo2hiWAmSX{sQ*<j2SCZPfuIv-Y>_edVbmw zi`MNq3#aKupPD2Q{_+=NZnUP!?~by%*&3^hrf&GHy!J;y|0h+=H-2ZUwrnmtyWib7 z>}}Yl&{MuQR+g?`fA#xMQIUw3W_4NCzuy0P(tg?N{F#7PC+|iXCr@w+DGB>_=X%wu z(!xZ=S>Kkr-v7IHwun(^^tqgim(tB&wzq^t>a4W-bbn_T*UPmt<=0-EA~(0>{V(HF zUOz)FA6oiB`q|6J^5-;+wVjvq|BK$d`0%Pi5$$!0pDfS&zIWwYb)%G%9+&*%ZPxYG zxxP$)z5C0HAH`8WPw?#hd{<Jk);GcS$1J&h>GQ71pPKZk{!;q<ccEbw)vjh!{_L_{ zsXxj8Rs7^xni(fNVyAD9%wKnBxlVSInQq)-`Q7)H6wZ~g^_N*-w^{7vJ?_<C?mcuW z|8wY;uI1yL;*CM+bMJmx)42PA&*sKS_iyc5ByXu0xAoR$sgIy3-;*Dhn^*VGmR<HG z`<HjC;i^03b41o3OV7OX<GtI>$1k^KRoJZFo8PmaE4kwHkKziOD;NL0{P5nwdX`PP z%+1ApzO|*V-{)OAVz~IqzKOn>_V0}Ds8zofzxC2SjQg6-g(cb1Q=-JK)K91~zp?)8 z>wZbK#;do~{`l$Ey{%(iGjGK?-@{k3z1CRS?2I{oPb4PurrkkjlWnJ$@A~~Jp!Ms_ zmCSdSy*z0>Y0Has_Ae(J?|*SO`mm^snV0vP?Jrx+<-#uPx_!lP$>eu`mMonY@hjcc zbk!q2iK`p`itOD`&{GsAzq0J?x-0L)OvC@jO+Qz{9(zANJpI_}k7shNCeB*<<%jLB z>s$VDtujey*!5>wH<Q22wSzXEl`Fk$3WJs#ujT5?Sw3M&@~!!?Te9G-<j^}ajV9Jv zJL}&m4?LZ&7v9BhZ_4-mS?B#3hxXmtvwY2)r3HC^8)Lt`UR7|__Q1L~*6;4=XP>&4 zy7Jzx^Y`r9B<oGnpH+Og@-K4n8Wlss!2kd19n3u$7z7PLYXQFRzq&m?o>$Un$)&HK zbtSW|E@`~Ao$LC)zwBG`@7I;)+;<CSxfZ@pb@8FMYmVQ$@PyO<_3C57Uq4+_w%V1t z^4+^i&BI^d<4sk2wQs9c{E*<?Qn&DW{7*N{O<IYcKSzDcE#{TCkKonT-t%C}wm-#7 zzomNqw69&G|9^g{?k%?|yWP!yv_*@=zOS#UeHpeiBKXVIZ0V8}e-(OP#BANX#jP|) z&@`mBX#a)T>uZ<uJbm?n{q)zD=cn&e`jCIsDN(j=wRZiF$!yzl79afF_iFoRvCJ(0 z$2%PV&cE{cyUC^f)Ay~Nzh;u@yZO7;-%mJuW6JVny-Xn$cK(&q`yKl}>9MQ`T)=!+ zd%^Z)`wQl;Ih~&M@?X@hoO|4^b34m!-F%ib{k&E6vuf!(t{=B=TldPH?^y8<=NZf& zSBV_Hdi-qch4>!x^1wZl(&x)qaX(f3d+n|Lp6U}71*JB}<`+MXy?5v6@y)ktmb_Sz z5&S#7SLpe*wb9vseth)u@wrldzc&0~mH4JhTi!no?fU)9cx(Pur{(_hFMhB7mUaGK zt(Tu)*zPdhk~gpaXWc)(x<pa?Y@TH1?f9$Cf#EAJFF3ySWc{MJSkw5xUX|=N*PV@L zMJ^q9msS?Kt+zg+m^D>Z`=QgvIk29?jarY;m33*SgKoV%Exl^Pg=JM=Pvt+ouM~1N z`tG9b!keZ{ow{_5{PR~)^7W>CYj4?Ja4Y4>xEInW>1h?ZN5?)+Q*t_6oNavk&AOW_ z#N&Dbn@pqa*Hk;tS1k*fpRH~8{LS^Zj%zEvEB@T`aY9<IkLKnp&{4fVU%grHJD7es zAD-uzIQ84paK=}rUs?a|OK45oyCq&UHj{rx^Q8JSd%pI##s+?3G}s!tZ~21w@O9gM zd*6&$m-3!x%5C-O8b@y*H+X!0b7j^4k{^jj*G6x@_PF1E-Ip&Vy3yNwDl02R*X{nZ zb-JqO+}$M?H#lC`HZgJWoozOC{`~o~%=6c^w7C3zzIbbwb={szJ0Jsw->+=YuUl>B z81&{{tW}7C|KZ~<FQ#r6S{3sBjn}rF1!lg}mg!zS7k_K6zGq`s#>J3nn@^t7&rqHH z>g4t98L9iXab>@X&icKoq?tSO<*ANp|NZYxU1t}s4tcxkx}mbSrLWV~Q}IFjmNXc! zn(y0k_h)dYSFX&eX}n$2R_^=rDmLU_<!{e*@$J@Mj<J8f^3T3M<Bjp{DXHmmdlnaZ z&6HUg=NRO)Z3(2ebYJe=%6oo4OD`YkY`(JY^wwX$-ptoOn{^@LEBm{Po%4*ZBp>1m zt%+soxlt{&Wcyyp-9aBenmk@^v1jgH`&H|H?CiQFBR|jRpUuYj>g0OO=ymE}Wc?q$ zwReB|%J9sO&pGSl`0rh?m$iBvGk3|(CG+clc}8!~oxFbidbK-7e#!ObQJ+3u{3dH% z^65w?*LH4c1HbKjua*eddosQ?&H2Nywab1{^UX;@;jgFGFU>fyXKIwe!rikxC&ka$ z_5J<Yj;?)TD^g~!(UsS{X6DoHC%Z=MQ}B^q|Cf!gFN=Quc>R>G{mRSFt+GC}%*$Hh z_NwIdW2xI~x$fJ?_=KnVt+4u*p>OXQIY0kG_`k&_wNX=|etUl64uAjR->V1eKTCJS zzkhdYnYcT9=#TuxR?Cha%ZmR{VEg0ueXR(_U9ZadUoX@9s_J<&e$Dl1+{z|jS3b;o zE57F5cbi2M&whXXI{(d*BM%pUJ;Z)~b@`jeyGoy_&DGqvA|-ZHvRT&b_Z+@E*Kcq7 zqg3+wjCJYRj}f(1J7!Ifispa0^!Be6@4q&iR(wd{m9ugB|Kr;nzCC7s=I3rXT*^@} zvsw1X-(zav-T5**{%kNj|J&(;s?8&H-#d;UQ~g5UxTp4KZ|z?z{3ujAP4sc-?mt=Y z+BeU*xBjL6)MIO=NqtieKfXHG^qu~?XV3n&etiC?A~pGXnoaoj`Fm$v?G+c6PJZ>z za*Bn!i%W=g=Cmb;4ms`JTC?-onxE6lYIX<Tuh|@%9k%{r*Y<lsiHS$2e`PC^UB7?L z?WI576mL>_d+l`dqb(mMTDqoZZT@m|OXsXjX8wz(<pkGkbDj3(@U1U*SV~uYSoPd% zn`hkWnZa?v$8@txEQ~|hr@3q9J#?P6S2Oz5kAQCRw*g1yZ+q$UDKWRgz<+*yr5o$i zw>9s=tG>^-zLIr~Z>d`CI`!sjnZKIzHM0Ngwwf?$<(Vn9QRdk*l>Vri=B)c*mV0GW zXZ+FsW-At(zB4^rmDTN(Uc2%~Pw=ld4~uX8N!`Sys`*;j_V0%v)!wL;@|T1~K8JAU zRu(P0XA`*XP~EGq$1AJ8nCo8oId7`fjL;I#%46rFDx(Wt?n=qMFz03V)dwGSy*&O0 z=DW|o^hol2X7$$fThE1m(q}JyaG-I~OYzia;;$Axox*qg=KdCWP@gbsHhAjx`9;u- z;%TPeVzIAd0s=Bmaf*L@ZQ>tY&VPwLobP&&`m>eyYG!t8OUfRfF+;-D-QD`F`pQ#N zA3O3_H%e>X&d=H{U+HZV{=R+phiTuG=Vd>tw9e1Be<XOmvEu0b^0T+>FW0?ZzF@(E zZ<C*GGv2DY^@Y#Nr<$Sj&v&f2yJOy}4G!X0<Il^Szw(kdSTFWaoHDq*FRTTc0bzJn z_~T)M+`}tMy&SPAWx6(PU#F*ktv`6)YU2^%szjF+VpR`<Kih<U^!K>>WX<NgZ*AYG zyFFlg^L6?yTwS~i70c#Am&gfEuwaf`_G8UvWuEh4yFX42dYIO;k9A#d-4or9F?;3T z9g4oyU$L(9`P)5v|8}_B@b^8xJ3WiJbjIS7#ZOtU2kl%D9doyTk7``3Z2i4Ubw`?w zE2@7B_E)?US?}^w<y?b!(Xq)}--^e0KdqX1+P}7?R_~p=;YPi@{#&=~^(E`C%E_<0 z_ufiu{k8k+_bj=;%ge{7XHn_}xzaWDvt-Y|e0=!qxBiN&-3OQ60!0;`g?$GOA7s!M zkQIIVBbZgTa)XoayaW%MM>{RzwyZL=vnlxB;l5|q5n~zoxDEA3l;eCKO_h?5+fhGH z?EIGVyIpTjvS5x=P&0G8n7;bwmE-eO&#rX5P<l0He#H@E&A5<|DM`u6v104JI^1o{ zJJZW+DlYyL?5{BG6c-g;dgJNFxZU+fRyPT8Zub^?-m!6Fmqhv1r`Orj^26gES(@hD zjj$Ex(J+^9XkPXC_SxD__dUA=^CPw=cE!eeK6-QTx4X@wt19#EethT(Vcy%2cUWY# z*S|Uo!+9#}?dHizukY}FSLk=EDCl=u&eeyl_ix9_eSfDI9<n&gY1u38({j2m-iCj9 z4<2ei0~%Uzh<!Tg$jw>Vp><jF^irPPj;*^`v~ZHpA(JmJzuqb~3Y~e=(rS8{Q+3## z<=ZBSm_+5v?_cnzIP%n~O&Z!?mwe0nGdr)(Kx=*LqGkIRukTaQJY3{w`TUaT{{OYM zm!`7Ztf}kTQ#*5;od4vblNPqvW`DV{wfD?A5zzdu)fwe^tL|pJu+g1;)o&yJw~&m0 z8M}JsEWB<mA5!#@Yg<X~ujFc0cJsg^4U)I6)~$-GsA_q$JQ`1LvGk_hLZ-y+FK>z; z)670;ur>3ZGynS+i!8m<SCu#16a{%VAbjRLi}0t6=~%mDZ|3elXZLHRS>5zC+P6w4 z+>O!3*+cv0-x2?)eCoTp_Z^RtpJzA(OfBQ(<B&26y8blx+HCFbnx|(i@a?|-;`loA z%oz1`;Jlf@cUkE9g9po`tV?>@mVCduWMTQL+cWt-t<V3zCF$EW{VkX4*NCl&T;O?q zn}LR@!^7)$7gsNy^{QR=zUJ+7QphvOb#*&uww<r{KmYZQSMd9J>)(FkY71s@-xlm~ z$?&bd-LXt}(dqZRWOuXAf)AW7|M=(i@7LdM=U-;#_nEeT|9+K|lX541onEsx>Z9Mz zuWP}L^?g-&|4jDo`6_t!?zeT%&d*x=o$pCp)WPLD^Xi_qY?^j8{BYaKU-#qRU%Siw z{kCt<U#D4G2I{dZpRbO)pO!rR_1W7&U;f-s{}R~5Y~7>vDfqG*qn6G5<$E4QvRa<n zEwJE%!)1N&^3VYHnUi{BF8`FeTXeeO>GS8=hmZAdt^6#;YQ}Zt!ok3-ySM%Y@4d8b z|El&y>w=a$_GeH2@3MDS*1knu`AK^Fj$6KA)BU}0;w<wsAw{gqC$E(hd&*`O?9r?h zwk<CF?$;Xztx?7$W>;TC<z1N*I_*x)Pm_!lZAUlPFkii3AHUPGH7yLZ7}h~H&Fj$} zwLQIu4mpX+&tI@*ONpwAnwG6?tl(PZE8AkD59bE&tM}hLKW_1k6Zc*Pe~WwkCpYTX zr|VraY@)w!+rGc{8>lgO!Ta!W3A?i2;oFRVeQ2Eh<<HBBRe2XxB(L+CPKAwS@5r59 z8rD8RMb>^!au#gHUgcco&P*?F|Lar3%L0Cvy}h!uIK8#4P3vdQCcpW%v3Y+tFg`AM zy}*y_<7ANwafgrb2dw^~Y?bjm?s3ai{TFvz4$iWA&33c4E&LJoY2?KBhf1TDO?p(8 zaZ$KiRD7?4{yq83b6?+<x|E%9%l$07Q1koOunF-kdGjilud|d}?a%-DNHM7Bd}g3p zcup?!^0mG9JtnpBc3(TtcwJlj^de@?_K*vAx8M2I7<>G+{_8SLyWewkJa>Qnw7^2Q zCZ*5p`7KSG`sHy^yCQq@|4;sR;c#5nY#j5*lk1O`o<kp5HjR`vN&gjPT2a$2bgBNz z?TgE|R2(b5buRQ1$W=a%F7bS*3F`ZH_J)?{FUx}UmxM2i-ZP5X#x&dS$nng#$F^GK zYtHALA5pk{*ZLI;F1+zCsZTi_w0Y9?I}5jE^JpbOXODHPPZv$ks+hdgRp0AhwABvK zP_m(hxZlJNAA_a-eGE<u2Ne_yDmyJOhmytDU>Qoz$N@Re;cCTu)8os<GF@IMZO#VG zr7(Ob_+z05l4F1`OMkEzR3V-{Kk1j%hPk~J=laCgzIb)~>w>?Zw^X>#l37`m!+*!# zzdL;C$A<@hKhONgbG%*r&HUv@H(T57$@p)hdvBtedyLe2kw-h-_e^p-dox>mURPI2 zQqilBX*a)qymIn|#jzttX2jX6-8vkre(UgFHFUiBcC+_Zu<M5068XWgP@i~L{Hb>j WY<t6{ePjVB4S2fxxvX<aXaWE)jth+d literal 0 HcmV?d00001 diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index bcc655d..c9196d2 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -3,39 +3,55 @@ Énoncé du TP : [https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/](https://tp-vhdl.gitlab-pages.imt-atlantique.fr/loto/) ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? +Liste de sensibilité : I_0, I_1, I_2, I_3, I_4, I_5 et I_sel. ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? +Si le "when others" est supprimé, le code ne le détecte pas; mais sur la simulation, la sortie affiche une erreur car elle ne trouve pas les autres signaux : "case statement does not cover all choices; 'others' clause is needed". +De plus, sur la simulation, les valeurs de case "110" et "111" ne sont pas présents sur la simulation, mais cela n'a pas géné la simulation. + ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. +Tous les cas ont été testés, la sortie affiche bien la valeur de l'entrée selon les différents case (entre "000" à "101"). ## Question Loto 4 : Quel(s) signal(aux) doit on renseigner dans la liste de sensibilité de ce processus séquentiel ? Pourquoi ? +Liste de sensibilité : I_rst et I_clk. En effet, dans le compteur, on utilise l'horloge pour incrémenter le compteur, et la présence du reset réinitialise le compteur. ## Question Loto 5 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ici ? +Le code empêche la valeur du compteur de passer à "110" et "111", donc la simulation n'est pas affectée par ces combinaisons d'entrées. ## Question Loto 6 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. +Tous les cas sont testés, le compteur repasse bien à 0 après avoir atteint la valeur 5. + ## Question Loto 7 : Combien de processus avez-vous décris ? +On a utilisé un processus. ## Question Loto 8 : De quel(s) type(s) sont-ils +C'est un processus synchrone. ## Question Loto 9 : Serait-il possible de décrire cette machine d'état de manière différente, en terme de nombre et de type de process ? +On peut la décrire avec 2 processus, le premier processus comprends la mise à jour de l'état, l'autre à changer les paramètres et déterminer l'état suivant. ## Question Loto 10 : Ce test est-il concluant ? Justifiez. + ## Question Loto 11 : Le circuit inféré par l’outil est-il conforme à l’attendu ? Sinon, en quoi diffère-t-il et est-ce lié à une erreur de description VHDL ? +Le schéma n'affiche pas complètement le même que le résultat attendu, il y n'a pas la présence d'un buffer. La différence n'est pas liée à une erreur VHDL. ## Question Loto 12 : Quelles sont les ressources utilisées sur le FPGA ? En quelle quantité/proportion des ressources disponibles ? Des **LATCHES** sont-ils utilisés ? Est-ce positif ou pas, pourquoi ? +73 LUTs, 89 Flip Flops, 0 latch. S'il n'y a pas de latch, c'est un bon point car cela utilise moins de matériel. ## Question Loto 13 : Le tirage est-il aléatoire pour un humain ? pour une machine ? Justifiez. +C'est aléatoire pour un humain mais pas pour une machine car la machine se sert du cycle d'horloge pour créer un pseudo-aléatoire. diff --git a/src/automate.vhd b/src/automate.vhd index 1ccb931..052f44b 100644 --- a/src/automate.vhd +++ b/src/automate.vhd @@ -36,10 +36,9 @@ begin process (I_clk, I_rst) begin if(I_rst = '1')then - __BLANK_TO_FILL__ + SR_STATE <= st_wait_success; elsif rising_edge(I_clk)then case SR_STATE is - case SR_STATE is when st_wait_success => O_l_green <= '1'; @@ -50,9 +49,65 @@ begin SR_STATE <= st_counting; end if; - when __BLANK_TO_FILL__ + when st_counting => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '1'; + O_store <= '0'; + if I_button = '0' then + SR_STATE <= st_compar; + end if; + + when st_compar => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_invalide = '0' then + SR_STATE <= st_store; + else + SR_STATE <= st_wait_failed; + end if; - __BLANK_TO_FILL__ + when st_store => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '1'; + if I_end = '1' then + SR_STATE <= st_end_red; + elsif I_end = '0' then + SR_STATE <= st_wait_success; + end if; + + when st_end_green => + O_l_green <= '1'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '0' then + SR_STATE <= st_end_red; + end if; + + when st_end_red => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '1' then + SR_STATE <= st_end_green; + end if; + + when others => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_button = '1' then + SR_STATE <= st_counting; + end if; + + end case; end if; diff --git a/src/compteur_modulo4.vhd b/src/compteur_modulo4.vhd index f2b951f..c7d26dc 100644 --- a/src/compteur_modulo4.vhd +++ b/src/compteur_modulo4.vhd @@ -20,12 +20,12 @@ architecture modulo4_a of compteur_modulo4 is begin - mod4 : process (clk, rst) + mod4 : process (I_clk, I_rst) begin - if rst = '1' then + if I_rst = '1' then SR_Counter <= "00"; - elsif rising_edge(clk) then + elsif rising_edge(I_clk) then if SR_Counter = "11" then SR_Counter <= "00"; else diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd index 7962a90..33ff08e 100644 --- a/src/compteur_modulo6.vhd +++ b/src/compteur_modulo6.vhd @@ -20,12 +20,16 @@ architecture modulo6_a of compteur_modulo6 is begin - process (_BLANK_) + process (I_rst, I_clk) begin if I_rst = '1' then - _BLANK_ - elsif rising_edge(I_clk) then - _BLANK_ + SR_Counter <= "000"; + elsif rising_edge(I_clk) and I_block = '0' then + if SR_Counter = "101" then + SR_Counter <= "000"; + else + SR_Counter <= SR_Counter + 1; + end if; end if; end process; diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd index a689bef..fda9a35 100644 --- a/src/mux6_1.vhd +++ b/src/mux6_1.vhd @@ -20,7 +20,23 @@ end mux6_1; architecture a_mux6_1 of mux6_1 is begin -__BLANK_TO_FILL__ +process(I_0, I_1, I_2, I_3, I_4, I_5, I_sel) +begin + case I_sel is + when "000" => + O_mux6 <= I_0; + when "001" => + O_mux6 <= I_1; + when "010" => + O_mux6 <= I_2; + when "011" => + O_mux6 <= I_3; + when "100" => + O_mux6 <= I_4; + when others => + O_mux6 <= I_5; + end case; +end process; -- GitLab