From 65b5d12290025ea4af9b90296873cf9bb6970d11 Mon Sep 17 00:00:00 2001 From: Antonio Emilio <antonio_pereira@outlook.com> Date: Sat, 8 Mar 2025 19:40:25 +0100 Subject: [PATCH] feat: implementing state machine and operative unit --- docs/img/FSM.drawio | 150 - docs/img/FSM.png | Bin 117251 -> 153171 bytes docs/img/OperativeUnit.drawio | 426 -- proj/AudioProc.cache/sim/ssm.db | 11 + proj/AudioProc.cache/wt/project.wpc | 3 + proj/AudioProc.cache/wt/xsim.wdf | 4 + proj/AudioProc.hw/AudioProc.lpr | 7 + proj/AudioProc.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 + .../sim_1/behav/xsim/audioProc.tcl | 11 + .../sim_1/behav/xsim/audioProc_behav.wdb | Bin 0 -> 72725 bytes .../sim_1/behav/xsim/compile.bat | 27 + .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 24 + .../sim_1/behav/xsim/elaborate.bat | 26 + .../sim_1/behav/xsim/elaborate.log | 8 + .../sim_1/behav/xsim/elaborate.sh | 22 + proj/AudioProc.sim/sim_1/behav/xsim/glbl.v | 84 + .../sim_1/behav/xsim/simulate.bat | 26 + .../sim_1/behav/xsim/simulate.log | 0 .../sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 61927 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 847 bytes .../audioProc_behav/Compile_Options.txt | 1 + .../audioProc_behav/TempBreakPointFile.txt | 1 + .../audioProc_behav/obj/xsim_0.lnx64.o | Bin 0 -> 811808 bytes .../audioProc_behav/obj/xsim_1.lnx64.o | Bin 0 -> 204200 bytes .../xsim.dir/audioProc_behav/obj/xsim_2.c | 472 ++ .../audioProc_behav/obj/xsim_2.lnx64.o | Bin 0 -> 27960 bytes .../xsim/xsim.dir/audioProc_behav/xsim.dbg | Bin 0 -> 57496 bytes .../xsim/xsim.dir/audioProc_behav/xsim.mem | Bin 0 -> 21370 bytes .../xsim/xsim.dir/audioProc_behav/xsim.reloc | Bin 0 -> 24802 bytes .../xsim/xsim.dir/audioProc_behav/xsim.rlx | 12 + .../xsim/xsim.dir/audioProc_behav/xsim.rtti | Bin 0 -> 1335 bytes .../xsim/xsim.dir/audioProc_behav/xsim.svtype | Bin 0 -> 140 bytes .../xsim/xsim.dir/audioProc_behav/xsim.type | Bin 0 -> 8496 bytes .../xsim/xsim.dir/audioProc_behav/xsim.xdbg | Bin 0 -> 47248 bytes .../xsim.dir/audioProc_behav/xsimSettings.ini | 50 + .../xsim.dir/audioProc_behav/xsimcrash.log | 0 .../behav/xsim/xsim.dir/audioProc_behav/xsimk | Bin 0 -> 865120 bytes .../xsim.dir/audioProc_behav/xsimkernel.log | 7 + .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 20200 bytes .../tb_firUnit_behav/obj/xsim_0.win64.obj | Bin 0 -> 18800 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 130 + .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5240 bytes .../tb_firUnit_behav/obj/xsim_1.win64.obj | Bin 0 -> 3564 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 11896 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 3997 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 1240 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 935 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 12 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7400 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 99120 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 + .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 31608 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsimk.exe | Bin 0 -> 79482 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 4 + .../xsim.dir/xil_defaultlib/audio@proc.sdb | Bin 0 -> 9860 bytes .../xsim.dir/xil_defaultlib/audio_init.sdb | Bin 0 -> 15164 bytes .../xsim.dir/xil_defaultlib/clk_wiz_0.sdb | Bin 0 -> 1707 bytes .../xil_defaultlib/clk_wiz_0_clk_wiz.sdb | Bin 0 -> 6134 bytes .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 12285 bytes .../xsim/xsim.dir/xil_defaultlib/debounce.sdb | Bin 0 -> 5856 bytes .../xsim/xsim.dir/xil_defaultlib/fir.vdb | Bin 0 -> 20583 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 9487 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 5635 bytes .../xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb | Bin 0 -> 20252 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 39538 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7671 bytes .../xsim/xsim.dir/xil_defaultlib/twictl.vdb | Bin 0 -> 40371 bytes .../xsim/xsim.dir/xil_defaultlib/twiutils.vdb | Bin 0 -> 1864 bytes .../xil_defaultlib/xil_defaultlib.rlx | 8 + proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini | 489 ++ .../sim_1/behav/xsim/xsim.ini.bak | 489 ++ proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 0 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | 4 + proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log | 12 + proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb | Bin 0 -> 1640 bytes proj/AudioProc.xpr | 301 + proj/cleanup.cmd | 21 + proj/cleanup.sh | 15 + proj/create_project.tcl | 111 + src-ref/ECG_raw_3filters-inc.pdf | Bin 0 -> 3091 bytes src-ref/ECG_raw_3filters.tex | 33 + src-ref/coeficientes_vhdl.txt | 247 + src-ref/octaveScript.m | 65 +- src/constraints/NexysVideo_Master.xdc | 365 ++ src/hdl/TWICtl.vhd | 571 ++ src/hdl/audioProc.v | 231 + src/hdl/audio_init.v | 246 + src/hdl/controlUnit.vhd | 181 + src/hdl/debounce.v | 108 + src/hdl/fir.vhd | 93 + src/hdl/firUnit.vhd | 114 + src/hdl/i2s_ctl.vhd | 296 + src/hdl/operativeUnit.vhd | 404 ++ src/hdl/tb_firUnit.vhd | 107 + src/ip/clk_wiz_0/clk_wiz_0.dcp | Bin 0 -> 12528 bytes src/ip/clk_wiz_0/clk_wiz_0.upgrade_log | 332 ++ src/ip/clk_wiz_0/clk_wiz_0.v | 100 + src/ip/clk_wiz_0/clk_wiz_0.vho | 103 + src/ip/clk_wiz_0/clk_wiz_0.xci | 525 ++ src/ip/clk_wiz_0/clk_wiz_0.xdc | 59 + src/ip/clk_wiz_0/clk_wiz_0.xml | 4933 +++++++++++++++++ src/ip/clk_wiz_0/clk_wiz_0_board.xdc | 2 + src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v | 215 + src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc | 56 + src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v | 278 + src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl | 218 + src/ip/clk_wiz_0/clk_wiz_0_stub.v | 24 + src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl | 33 + .../clk_wiz_0/doc/clk_wiz_v5_2_changelog.txt | 115 + 117 files changed, 12624 insertions(+), 596 deletions(-) delete mode 100644 docs/img/FSM.drawio delete mode 100644 docs/img/OperativeUnit.drawio create mode 100644 proj/AudioProc.cache/sim/ssm.db create mode 100644 proj/AudioProc.cache/wt/project.wpc create mode 100644 proj/AudioProc.cache/wt/xsim.wdf create mode 100644 proj/AudioProc.hw/AudioProc.lpr create mode 100644 proj/AudioProc.ip_user_files/README.txt create mode 100644 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/compile.bat create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/compile.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.bat create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/glbl.v create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.bat create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.win64.obj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.win64.obj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk.exe create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 proj/AudioProc.xpr create mode 100644 proj/cleanup.cmd create mode 100644 proj/cleanup.sh create mode 100644 proj/create_project.tcl create mode 100644 src-ref/ECG_raw_3filters-inc.pdf create mode 100644 src-ref/ECG_raw_3filters.tex create mode 100644 src-ref/coeficientes_vhdl.txt create mode 100644 src/constraints/NexysVideo_Master.xdc create mode 100644 src/hdl/TWICtl.vhd create mode 100644 src/hdl/audioProc.v create mode 100644 src/hdl/audio_init.v create mode 100644 src/hdl/controlUnit.vhd create mode 100644 src/hdl/debounce.v create mode 100644 src/hdl/fir.vhd create mode 100644 src/hdl/firUnit.vhd create mode 100644 src/hdl/i2s_ctl.vhd create mode 100644 src/hdl/operativeUnit.vhd create mode 100644 src/hdl/tb_firUnit.vhd create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.dcp create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.upgrade_log create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.xci create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.xdc create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.xml create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_board.xdc create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_stub.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl create mode 100644 src/ip/clk_wiz_0/doc/clk_wiz_v5_2_changelog.txt diff --git a/docs/img/FSM.drawio b/docs/img/FSM.drawio deleted file mode 100644 index bd839a9..0000000 --- a/docs/img/FSM.drawio +++ /dev/null @@ -1,150 +0,0 @@ -<mxfile host="Electron" modified="2023-04-28T15:06:41.325Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/21.2.1 Chrome/112.0.5615.87 Electron/24.1.2 Safari/537.36" etag="FiJOiTXp0n2vq3d9UAum" version="21.2.1" type="device"> - <diagram name="Page-1" id="lufUWjv2mjaYaQ6cVEt1"> - <mxGraphModel dx="798" dy="1290" grid="0" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0"> - <root> - <mxCell id="0" /> - <mxCell id="1" parent="0" /> - <mxCell id="bw7OO0sNot4gaAuLXok9-1" value="" style="ellipse;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="240" y="40" width="160" height="80" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-2" value="<font style="font-size: 21px;" face="Ubuntu Mono">Wait Sample</font>" style="ellipse;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="250" y="50" width="140" height="60" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-3" value="Store" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="240" y="160" width="160" height="80" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-5" value="Processing<br>Loop" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="240" y="280" width="160" height="80" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-6" value="Output" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="240" y="400" width="160" height="80" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-7" value="Wait End<br>Sample" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="240" y="520" width="160" height="80" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-8" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-1" target="bw7OO0sNot4gaAuLXok9-3"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="560" y="650" as="sourcePoint" /> - <mxPoint x="610" y="600" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-9" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-5"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="319.76" y="240" as="sourcePoint" /> - <mxPoint x="319.76" y="320" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-10" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-6"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="319.76" y="360" as="sourcePoint" /> - <mxPoint x="320" y="400" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-11" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-6" target="bw7OO0sNot4gaAuLXok9-7"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="319.76" y="480" as="sourcePoint" /> - <mxPoint x="319.76" y="560" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-12" value="" style="curved=1;endArrow=block;html=1;rounded=0;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-7" target="bw7OO0sNot4gaAuLXok9-1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="510" y="320" as="sourcePoint" /> - <mxPoint x="560" y="270" as="targetPoint" /> - <Array as="points"> - <mxPoint x="320" y="670" /> - <mxPoint x="170" y="640" /> - <mxPoint x="180" y="80" /> - <mxPoint x="230" y="20" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-14" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="140" as="sourcePoint" /> - <mxPoint x="340" y="140" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-17" value="<font style="font-size: 15px;" face="Ubuntu Mono">Condition 1</font>" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="bw7OO0sNot4gaAuLXok9-14"> - <mxGeometry x="0.564" relative="1" as="geometry"> - <mxPoint x="10" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-15" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="380" as="sourcePoint" /> - <mxPoint x="340" y="380" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-16" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="620" as="sourcePoint" /> - <mxPoint x="340" y="620" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-18" value="<font style="font-size: 15px;" face="Ubuntu Mono">Condition 2</font>" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="1"> - <mxGeometry x="340.00279069767436" y="380" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-19" value="<font style="font-size: 15px;" face="Ubuntu Mono">Condition 3</font>" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="1"> - <mxGeometry x="340.00279069767436" y="620" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-20" value="" style="curved=1;endArrow=block;html=1;rounded=0;endFill=1;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="350" y="-10" as="sourcePoint" /> - <mxPoint x="460" y="90" as="targetPoint" /> - <Array as="points"> - <mxPoint x="330" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-21" value="<font face="Ubuntu Mono"><span style="font-size: 15px;">Rst = '1'</span></font>" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];" vertex="1" connectable="0" parent="1"> - <mxGeometry x="350.00279069767436" y="-10" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-23" value="<font style="font-size: 15px;" face="Ubuntu Mono">LoadShift = '0'<br>InitAddress = '0'<br>IncrAddress = '0'<br>InitSum = '0'<br>LoadSum = '0'<br>LoadOutput = '1'<br></font>" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;container=0;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1"> - <mxGeometry x="480" y="380" width="240" height="110" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-24" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="439.77" as="sourcePoint" /> - <mxPoint x="480" y="439.77" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-33" value="<font style="font-size: 15px;" face="Ubuntu Mono">LoadShift =&nbsp;<br>InitAddress =&nbsp;<br>IncrAddress =&nbsp;<br>InitSum =&nbsp;<br>LoadSum =&nbsp;<br>LoadOutput =&nbsp;<br></font>" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1"> - <mxGeometry x="480" y="20" width="240" height="110" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-34" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="79.80999999999995" as="sourcePoint" /> - <mxPoint x="480" y="79.80999999999995" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-30" value="<font style="font-size: 15px;" face="Ubuntu Mono">LoadShift =&nbsp;<br>InitAddress =&nbsp;<br>IncrAddress =&nbsp;<br>InitSum =&nbsp;<br>LoadSum =&nbsp;<br>LoadOutput =&nbsp;<br></font>" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1"> - <mxGeometry x="480" y="140" width="240" height="110" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-31" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="199.80999999999995" as="sourcePoint" /> - <mxPoint x="480" y="199.80999999999995" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-25" value="<font style="font-size: 15px;" face="Ubuntu Mono">LoadShift =&nbsp;<br>InitAddress =&nbsp;<br>IncrAddress =&nbsp;<br>InitSum =&nbsp;<br>LoadSum =&nbsp;<br>LoadOutput =&nbsp;<br></font>" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1"> - <mxGeometry x="480" y="260" width="240" height="110" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-27" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="319.80999999999995" as="sourcePoint" /> - <mxPoint x="480" y="319.80999999999995" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-36" value="<font style="font-size: 15px;" face="Ubuntu Mono">LoadShift =&nbsp;<br>InitAddress =&nbsp;<br>IncrAddress =&nbsp;<br>InitSum =&nbsp;<br>LoadSum =&nbsp;<br>LoadOutput =&nbsp;<br></font>" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1"> - <mxGeometry x="480" y="500" width="240" height="110" as="geometry" /> - </mxCell> - <mxCell id="bw7OO0sNot4gaAuLXok9-37" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="559.81" as="sourcePoint" /> - <mxPoint x="480" y="559.81" as="targetPoint" /> - </mxGeometry> - </mxCell> - </root> - </mxGraphModel> - </diagram> -</mxfile> diff --git a/docs/img/FSM.png b/docs/img/FSM.png index 7f6db881fff5cdfb9351c0348dfec49ff082516d..2cdfcba6ab0218ab50319715718bd7c33b697c07 100644 GIT binary patch literal 153171 zcmeAS@N?(olHy`uVBq!ia0y~yU<qMhU>4$FV_;x#iJq{Yfq{XsILO_JVcj{ImkbOw zyGvXnN^&dGGILTHRE?b>EL9_ejQrvfRbv-bBcsHE0=<;X#Pp)X++w}F)Dl%ABUK}V z#PrlWr~=>os?406L{%d<Q#}J!BLj`_%)FHR@?upZ1HTYeBLhPNJp)x^CsiYZ@XS0j z69}`y%tTYw$iT6nASX3EHOV`(1f<{CT+i4HWQ4azh_8>Tk+Z6iK~83Ns;ZHJdunoa zK1hpmMp1rls;ZHjp|P=^0SKxZ83ZS$B^G5O83A%*S!z*nW_}*T8%Ab&h9KvGB#M(0 zb5bF~h7j*0<rk%-7D0pzKtjf@ss@g#Mj-#F8aqS%uWDqFmzWFH8IYKssteVgnF7(8 zQ<@eUo>gX)o0S-u7-*Ip=2`+)fovMg%-jn1qQrs>-~5!+991KOlnRIu#s&svAO{#3 zq*Ovg49zS|AtLETFpI!$G%^4Q1ZP%3U1SIoEzL|xErx1_=q$<4&nd|)Kov~R&&x|q zhQ=b;okj+UMMa>f1IIj6C@nt+>OH7HL1H@0Mu_Pkfnba<1&M}drj%qrU1DfviBRs5 znwg#fwc5hS93qjM2vZF8NpVJEN`5&+KUfGeAYs8-l%HRsic1=%D7V5nH3t;hXyK@8 z<VLz)cxV*CvjW(SAj`-wE~(ty-`}9vFTcbjJ<+k$Cn7)F5|+No5_3wSK@JJ2;*v^O z3Qo<*$t);NRW)`h&&VuE4K7Gb1}QBsN-R({cFHKp%~3UWF;q2nO3Td2an8@lha_|3 zl*Ckvv}9Fdr{a>L{OnXD8M9=I)TA_UJ_Y5Lf<%M|p?)q)Eh<TcCRnf#{(uLChyl2C zaZk<9O)V*^R5dbyYBw^01{yTA!?@*0DaQ<|Bm+6oK*bWFX*wNlGRV!uM5wBf8#F!O zcRi?7L`ybU<H`sUH7IdqmQw->`TV>RRU-pLq?r|#f>NtfT7F)MZZS9mg9;lX!-5KM zu*2k4jSSKt(Wz<#6AMi$%_}KYH8Swc&&x*$s~VZ5gX{`V1Qn$Q!HKyAIjO2f5C^Cl zxq+;Lsew2Y;T)r(o`y`Ji2<61U|i%h1WQ-QX$Y3C22UC?hC~fg8VWASFG_`ok`-b3 zc_q*q!U$Xl<mZ*RCFW-4fPxA&DGikp!Vng1$k7WELylexXsQ^H=q>il$}vi^$O_0d z^>mAO%&m;K@X&?UbI1{ylAan2EzI+aN;2}(^YapOToIy9Mfs(9DXE|u7}SbFsPW0q zFMyWpS*ax@mC#sDEG@}LE%#INN{T8YRE=E>^h{Naoxt2k5EsUA0Tsm{!@*K6pp*gP zK@weR3Pxp*Q5_WLmllC59aSSEtVJWV{Zf)xl%5K$^s$ByxCq5x8e^?Xic)hDOESwq z^`5Gc5x7P&G8m9($LD>dTpdu9pPX7;oSBynE25K%V5KuSV!@4U<a9!GEkFD+yb&xT z59$n$HRhpBd8C+k%gjs6fkk>sW*IEj{Yy&<N=sl8szz=|a*&)jni{~p5>rqK0BYk6 zz0?3L{*h7xw6*4%mja7<L^%L!!h!rc8u#G1H-oj}hF;uTKr%5>+(QJAV>U0v5!C%w zHFinL$xqHkt;SK?0jYT@Zkai-u3c(HW(iUaUy)e?s^CHGJ&+8dey_+ZL95=ebnXb& z=Fs{Txi-gI_(2=9Xe|gbs&}N=hBfVx+j%fCqFVvPI0013K>Dl!`I+GE8>p3}YGh;z zYifepNvcLhW-#kv?F~ryL3M#LFX7H8#4-p8>TW_c!}`c(u#p{@l?G@nTS!zub&+DF zu`{?A4DXiX4;iRxaA%m1N@&;G$N)Cff;EXiM}!7Xt^hZIk+Kq~?F`CGpawBm4w0Ea zQiO98Bx)c-SXhGq+BP0$xy0DeQqLUP`hlie_(%`!G71)3jX}8zlqF!cLLy`c<`qL| zxjT$A3#918lUblC3KEBS)InQX!#`7*p;rZvAS5GsgYpU13J*)BGK2NVhJL0pfi%O2 z%v5>#d7zPYq)~O$W*NjVERCw+mJ(sf4qCPw!W!nV1VMvj2(tp0m5@S#jFb;;)(lu9 z$Pijup`<~`z&&Ktp5#dY&-l!|g3=O54>Bw<Co=^!l?m!X8K@eWsTvs@TdEp686vSU zyPq%-f^!Ec>8U=6NvS!g-Ic_g%yiJyYffq!Xj%d^W0aYknBxc)&dp3o0nK1J6{Qwu zRwX8Z*e;+(RspEB4W836by78TQ8jkT0U7R;n4Fys&TFuVDri=O$bzSD(PThj2OC-i z4=frPAZJXhjWI)5aezL-Wn=)IErmK&)d*Bx8WET^1gU|Psqn-FpZGG+Gc|+SMxTz0 zF0=~@%|E)ZP6aH>=BK3<!>0F%?XnDb)`7O3QL+xGwTHc14NWtn#Wz~<Z3^SV(gN*^ zZwxDkZt)Fml#ZrB@M;-InTJMV8PqU_r8#JMWDcv}>6ZpEtQ@*&5L&h)rNQ8!__WNN zlGLK$63}`bBLi@E$pBgtBA1Utv;v29hiP9`8=DyD85kIuTN;>Inwy!MfYzviDj8_X z#VE=Kel3b2wt+Q@nw0+WZs63EnnFgaa=5l3>6io!P4Fc_bJ(CXtneK8BnYYjK$!%o zNP_f^k&2$=(xNi((gyHU1^Lq-prKg04%$K^ez^7OVN)5<f(@gKK>cQ+2`u44t+b@p z%myg=fx2FfMMa6A<%Y0~0qtKJ5!ZEtm;xcOwPB2*&Ly_L1T#t1$PMB!{L?5%%5y8= zT}b?Tpj|R5PSU^@)S%>KiYgP>*g|nZVjeLIBMnV47Dhr=I|dbl7FI%9Hb|ox*hV%~ zjocvCL3F~LFyK`aq)<d2nSd59pdk~KMYhx*gD^J5+pxjN|AU}bfleypPK$`@1-4=q zG!TTe$`(svB6)z#CqFSII3qI+YwANDV}m6##Ig?0yv!2El$4^>V$co=jHF9Qb8-;{ znlnp+OLMUe1>(0A>|1g*!WK3``yT{yDI%O;Ax9{Kf(He`jR?^2A%QjUXrq##lz|u` zG<HhP&nrpH%meQP0hxxjWIoLl1hFoeHv<9aQg7HeBxsz~7zDs;x<PFrVsbhD(j8VK zAXNwO5k(W&tPHd+h4)L4)=$7EVUZV57@`jarVspLdg#z7N<Ie#AtHMlrIONMgszYQ zH#DK^W1#C{L5pHQYdb&@4qh$;3O+CgWsOZrVsQpInSqSJS!fTl7NH5+AdRW1rJgx- zx&qdsqCuk&Jt1SUb;u6Y7!Su9%q%e%wA&~zsTf4zEV@w|jfk8~!-^WIy1`b*VN@;y z;X;tZ!25&{m7ixG)_NYSYEZZtK8Xl&JgA}rO(+tGcZBy~3PJTRneBg)n{1$V7h3CQ zG&rIA(8$<lhNVq78l02`CuU1|sD>z7LyekE^r77(2Q}Y8finbK<i?{daw8+y04Z|s z2_{CLRUGIoa&t>P3j<38fG&ujU*nvB1BPxp9Xhm&(gUS`^AKFm;u>kfHqMD?Wr12e zcm|75HG|r5;OYihKh{PlthEQy0b|1&bjX@<4mP1$3<*+%MzDveKiGudwJ`T%w5>sf zHKL<pG+L7xfJR3^n`~jrHHKnU1{-0=cdW+f;1Uu0sfih^1hsiUGjX{U(6za+ZB6J^ znW5!C*JXrUFk~w;BWSZ=Fjr>yOK1X>86nMhYcl*563Aw>fdg2zhu>B_H5q;zK^ieT zgTz@nT9bk1w-99xeAXQ2G>H*x3BnMp$zUgEq2z0{Hp}QV2{9*wP_-rlZJ8R_UKt@5 z4B46tw)PSw#Y6fK^c+nF710<A0Kokxj4lNI9Y@}z2cioGt3&7+prBe7OJ{X7NXZ{l z#xjXCI!^~Vc#n(;4{);rl9v%V1(a&h+J>Vkmi!b8E>%&-enx|nvf!k8KLU~kD5x4B z>n~}#pI~&D4mM0@2I>icIs^neH^$H*0@%#Kz^?%_hAuQhY3hOef;1pI+PR^7=f(^) z;{zQ*g(Vi+uK~km>rm*#U_Iv&x?2x99V1TsbU~c)N!4>eA!`=V7AT_biHF82BsRfI zlZ~*tAG(PU68;c%Shv%Icg7Oavj*+0KpLqbdMXuEx)DBW8+^tUc^e|IdfyOQR1T;3 z!|GA+F2dm#f6(NMRIhmEm82Hsrlw>jmcS3qMzr!_Z7B4*XHcEVie<Y9#&UBqq7t++ zTGhx1zHS^k{by_mEyIx774U<Zkyl5+mh!@C3c5BcuqJA3>u=Fx7BTrp+>sH4OI0$0 z9w}&HYfO+s7A8h?VM&Y=Km{t+$vX7y`o`wa1OSUzNTmUt;sb>zse9ru<_C>oQ_Qe5 zhi)aL{vpFk=pH$Eje|dApsJ}@fnZxoh0?Dg_0)gQ_yYKe(k`Ggs$kpwk%j`X%{gHV zR)Qi9ty&u@hx=eD0I{FhgQd-bKLH>z1w6&TXOjq=4S<nN2EP1*HeQfwzkwL<1rPP$ zTGxbsUKKRxhGnRjxcN~mgQCc`A+uq@f@lbUY(^XIBhFT^Z&3%fh}Q^fevIy*Gcv%E z$*I`NF@d#K&}$r|su<o9LavJkb_X5SW)8UTWn_So&CyGDL^Fr*fz!0uKsWrGP3UW! zOpMJ3U;`aCTZe4p3AR}kC1s<uo)8Tf8tyCu7wI&qz_2yckn144YnjNd!0_7&_AT`* zF#N8CRltN=sni_qr}Y+a+E!q?#um_WA6A1|K)bs`um*!4#yOam=??!YOxFb3(}yN0 z6VPdBmIep_O<}Yf2+%b&K~2?!oG^6jHfT^IYA_>E0)w4VL8F-g@Wlq;^Rr-Af`P9* zKwKAw_tFE910iz&(CGt=2Dy;|WN-+g3TtZ>Jko4r0Esw=I;=AaU@OSzt<z+X4041M z;-)dGo-Jhv%~sG{2kV)TI;u8UPnyD)3XocMeyJ6pGj<?n4?<?Jkn_d}8iCg}gHDG- z6T}c6fj5Mf=1B33d0MFvbgde60niXXxD-pXj}C*AhDL_?PK`7H-7*Lo6M$9S=`=X^ z2RwR?<924S9Lh!5$VEF?7IyVEL;@@Xy`&s0(imJD&%mI-;OXKRQW5uNE@#D*t2f)` zze!O|X%=8=iFJr{*?1t|W#OXKz(%I7u<WIauJCp_bc<v%>MC$FU5VYe;(>qUukI#p zjSK<iP3^iZ0!%kg?9>1MRqmJX{u-ZYo@tXJ=UvtgpE0xe-sgG0Yr>vCjJ>mJ)v8qo z9GO%cu%Uo_raY05S7*C~JsVg&Fp-1cf(3`z8?i|>u;{2bI39IMnB#}dWCtdZkRLn~ z7&x(@hE^7h6%iagmr*QTu#x4{=g)>kPdwh-+WJ~5`0efO$NBC5G@Lkb;#gkt#b0Zq zw<o>4wDiWdTxljIrYpDR*Ztz$ey>W~?$-<D9w}3=z0+sS>e~Ho7kB>NuVQ(7KDu>( zdypcez$jFp#v~Fl=cQSt7>K992qD{*K3wwFf4KR)opGmxQrMlM)4Cm<oh#LHu9Rp9 zI;LbKxhM1KZ!^og)A9XYwg1eC*B86@ht=QPl<IBjn0jf6XT<)x+R5E^y_WLVCoynN zXxPdb5U|lK@Rl;ve6THzQ5;X6K2<a@xS$dxvDnEaC30_7>D)lASwA*qUDaC6sdc<h z_VDNP_W7Ug-I4orZ%yRp54W<{&s2)6cnFHH2VE>0D|S3u`}qur2a7>pzXz9=dV6Vn z{P5wxp32W>xU{0W&h99D>@!ix=%e}mpS<_#|JVL`>0cl8`rV$-emxR~Os%c0uS#=r za<+C%yA{-xvTx@WrJK=5=H1TQy*A9zHD`VFcD{c5e-)FBpR|E&O7LgVSaIWW?geqM zFQ7hJuJYm4>h)TJsRtSuD<1cnU)Y%JK6$~SrB|=0mF)TbZg<4aBGoUNPD*tiRTH)< zg`8>JUMA8L9H+<c%B1pO6UZlTu6}+1G9TuXZE6DY^8GI_FF$<!x;VF(&W>drtHU_L zUQP6fopj{Lk?f#am7*v2s^1@7<~w`U+I+5!i#5M(_$qtB4wR~HbTP1sMo(NCi|oef zY8z^P7M+=6Dg5bor}{jD(@ysz)fE*TORpF%`+dSm_o@58HKHABecxnwoa(n^*IcpV zi}IPnlNmU#I5sqK$qOQzCA2}Qv8#*A=F<sf4==AnvrMxuY)(HfwN^S>G<$C8m)O!T z-KtNY9~F;3^6u{Li`#Oem;H(OcrT+1lv{LE9UPAaCC%|i$s48u7S-Q$-tGTi7pm== zHT#O`nbI#87X_LGx(3y4k<yBK(z!(Nq+ArQ=*s0rB`*S2Z#PV9@rpG+ao)3mC1xfA zt7!PX+Ie$9=0o%9fh?A9Z*MQZR64KfmFAflhKs{?KMN}AS!wh9{QUO$^X0Fti|yXA z!(y_-=L^pKGp!ghADw=5w0red>utvtZs~K5Qdf+AlgMkI*$gssRyPCd)MrZPYmu|% zoVJD~4?MQZt(^Yp!(o2wvpXvUz+u!li!&hL;bqi<rB~s@-SYbn*X@3HXm<X-PEKJp zlS4w&LD_?W(?W=mORKLW`pZ<1T`>2r<B+i`;W*sJ8$3}n$hsBm4vzz+9037^b9X=U zM0Uv<4+ULa-i;eKR{VOo{Ns<u{m~bVd?$hn7z-wl*#+KTCL){tj^j?{^SP@|pZoLk z^V@UgRxaSUNT_BK3CTG>!y36@vXEr#^g4Os#DRACx)&+xj|(C0d*$8G<W=igC<jV! zaP<ro-|v=h%(-dw=f~sz4<A1&3WGz0Q5+QKuKM$it3fjiNO;4X11p1<U)Y`>|K`R< z=4LkDO?Mc=Ax2s7GIDA86;HL{Kr+5zE$5$C;roMHGt$q^$$Y&wV?NkR2hM?tm(Sdb zs*&^0H^+phr>5T6l-k|p_YhXFacR}CL7WdI7w|EiJbCiP#l`IVe!sK+^7?xJ9;gh1 zNXQQXh-09ngDi_&-H*gA+1L5%|Gtht2~Nx&4J;yzTv{~(P;HQUVu2V_eBDn~x#~BD zb1aM5^78UhT)`oXrRaBvWy#zBx6J0t1?LGGqI{r$QD79hz+vFjdY%c%_Y9m@93D*e zw>zl4eoxc;{r~6nK&@OL1#*A_lm~SH8<Y9{8sleYW;R#9+u06N+R(%`A1MM97=>1J zA2=>w-*Y{#ns;~k`*qn`_Ud2-1&&NT0fh&>pHD<iXRp)@Qceii{C=}p!n!Qy?qo}7 zqI?QUlsmPbH=-z3`tbAl{N!tEA}tCYIK&43v;_O6p<8Idf=7$Q&nHg?Cs0V}Uh#Rb z@AtdI9fHaRsi#Del)#QqVBE~2vEsu)SoA@{Mz&E{-Ou4tt&x$@iB@n5Xkf`wad2$q zX9rsVGxY_B$14BSRMoh;pQ%NPPy;bjfE~-NZMofZt;-jspP%=2<~d79D$?_65Y*DE z`e<W@WaxpdEOvjt1h0wQ?8d1jqmtmwwEzF#_qmz#ws|(N<oG1Ky|s10f~4dVCdg&m z0}Ga8{qpO(F53NivAFNCiEXI|lhTSkpH6A>y|kXI#u%>t;mzjrO-)^^?OAQ?k!)+| zbO;L*J9PN)#M!gC>+9<$DKgF$TCgA~c#nx6lNl&SEvv|!0}TOC^dGp$vFGQr**7*O zv)ldqk?dYP_n(ylBbOFmMP|uNmVeHmVw@Ech*0u^poC?Sid@YHN1qu6jWHevo`GsN z8AEdg#@T`k79<&OG4X*WTu`t)$Y5C)v$LtAgX78b=ZB9UKYsDf^8I`s3oKcu+E#x{ z`1a=Ji~IZS#q?r0?CtkUajuKsA19hM?UqyVvokBrGjn5WzpdCF=%>PHt?1x*)K9M| z4-)wh(!{>8^z}7It3NrnwygX)cOko;;{lBo9UC^*{w}+GQ(QNy<zO@W?VeT+IU_aS zSuHIsEH>Zo6rVVK+PG6^(m%7B9|fx0PTcwS_V)GL@`5>LIX4<!US6L3^3u{7*5!Im z&dzJA#6D{3>77&INKH#iy0s<K!_#x8n}*OfBOSq(HLrAfEoDK4PWe(B0i;N9aOSl6 zaDZ9Dszjsi`|kUuS6Hr3Y;a{c<GSL6`2Q_iwpcB8)48>x?WA_tnt(ucqi1JlxBvY7 zd~x;ncWQ;HpProb%F)!(Ir8c0X~loDgk5{=x;GeRU(-1=!_fKW<iZaR9Dn@!H7m~i zTKz}QBNH2$*|p?N4P9A81s5J!K4XT&=J}>&`Rmjf6&)N~&od&qih=V_yF*08j9-_o zuZy)dQfyRHOb`h%ndlHze%r{%l~0}NNE0h}$dePD!s;O=opxW{m3&e<<c0QrI>;`s z!MJ$a6$Rc|E`2I$YO@wOrs!wt|2|`U{z`Uj?E`67xn!2Ed+gC~;^pNnkMHCR2q=8_ z`{_$i`ht`#4J@xbAKcF0A6kEVNB#eQRqGy@2xZtXE?%H?=;$O>?+q0nA4y$K{*vh! zyxcETP~z5w<$HQ$t;O8?WLAo)F1l*c+0}LENT+b&mluJmRT(esqFlN@rYNnOV_z?q ze5@z%v;SPH*3{F}99=wgkGfr&{ABAiGZnKV_JUzsOw@|EhM3IHS`-<4Yx1102O60r z%yMp+UerwT*57dAXIEF(h3)zGkHt&rPB>7{5fJcjF|0KOO1utC4PK=a_J6E<xpex8 zW|mn!4S@lM*H|uEFX~iX?A~v-^kGMaLhQ;dCbG4+`Yuk3OE6e={Dj@C6_>VVU(deO zt758QW+o<Wo)_}lbFE^f?nUiS=X>w*KhE~ObK%)-rga>4tHag?*-x63;={h_=)5hS z7_IyrDvjOZ`bl?o6t0RpwRZcxRdwFSCtJVYb6K_W!-ccX4*Dyus4~saUZwTEGvMN4 z_x|jxX)n0X&GJ5Y@lx#<)4(>2Wa6>*MxbvDX%AU9`SG$%ox&-?impk-Ih4mSww{ zJ!4t?Y-i%TS7%r>R#<#~`zZ(FYY1s7RPlcA_YX&e{SO>I%sh4KR1FP{1GeAqG*47^ zU!~vsY5Nx$m4s}jDo58Aj@p*ay8PlrE&D!X*VOEpwQlME7mHn77!14Ag)VN)zOFZQ z-{#`yeqUZ+KR+cY#pnOTo!a5+Lbj<sb$@+t@zv;y+~$v(?``{bD`LKD{kFDce_rIi z;J%Pm{Yp73r?!8~`&ppo?z6q0uRt6IA|HHW*|cfXg=N0dQ>IQ0O%{}pSdpbW$GV&^ zD7~qfCCAf2f5i;%?}CXgQR3b#T^lvlh?Od}#(mW^cXM>)I+yjRvt}8mn$j|lQ+?N7 zy1zb_+%rY+_P>AsD&A~79^x3ew<2)<7rDhZ61nF^E$N%qwB-H2<nF&2lUZ6ASVisF zK@NbW6Fu(*&h31wE-b6-SfFI8b!~0*^Gz`oAC@UE;M6i*`a8p^(Pid~?yFrhHl>~x z3pI9$66|hRP|9{m$!>}4rakNXH9Y<Nj?FU7zQVZFb;pvA9@FPvTN^$5)v^;cH^aNm zxw*TCXHQ?_delQ@`k&9r)!i4AUltpMx7kU#3CBG7ykv=r=)<J$M4$Yw?(XbK+b(aj z;Lf$;1GV3Z!@o>nL1e)Lr&v^4A1>~<Gm4!0Wqu<w`zrkfGAb8DSX=@GH#)xhK0{ha zb*X67)#b+|c0YY#Klz-Mk&DH|N~IYcCloUsKUMwu@^Xe{v6@x+yER7+U%3(@%DA}n zxnN-65s|eQ*4h~zi%53e^`_%})yHEoCOtnE-`v6)?mOYqxfS{Ub8rUSgI@D{0r5(o zKYtcw{we*vnVnzB!s15i%nuKIy${@Yx;(Rd`@g)|=J{cddZyW>{FTj^$nvJ0fmQVP zPVOovq&nup5f(mqyER!JPo6$qc}rlD+J)Wa@72CF-QH=$m@W{qr9`^3?&iK)>v?s* zGQ;-;&AZzQYJ4m_{VWi<@v%<z!Xj7ht54lu1$x|KV&^nbWlR?g+44nc*)5Z&mk;lq zH5=5R-^ch4xu(9bhUML^*Lh#wRLV}%i@mj`uAun3$^)LJuIzg<{=Q6W79L866@j3* zIMB%XM0A>x^?sI}KbM}+)p2z!lq}*tb>)52qM|8N!WOL9BC|AAR6}#MLuDoFDect( zfq|W;R%wNVGUnwO{4FalZf<Y4wz+%v!ONG7^7{N0)zu4Hr>oc0+B~>^Nci8rIF2u$ zogBFJS$<Y3YaEb1$Y{s$<#SoWq>{ZmIRXMQ^*-I1&LZXCAgI-w?!k?mh|QD&LPJ|m zN49#L)^myqoA_()#Nd`ScaokwnSS8-ZQ;f`yAPj57anG}i;Mg4^<ztazj#zd^`X<= zE`mSb^6C5YS5#FTIQ(~2#v`@=#lP1-R$3SGaMg{Vz{u85U0hS9hA&vNMo2aFsfPAy zhr&!tFRxPpAxcTT9OkMTI$G{=iJV?ur!N{EU{`e16%c#V-7#%n2ggTOmks(+?LQ9s z?w{FPadFLK!37H*Rg}B+dpanCsy5_yZbPiV30;k&tzT?nJhTtAeqo9^bHe@CRHrRj zFS{ow#BbsG;5=bJe-l&f)%Ond@)bLF-)?>X$i+2P^%IxZ1a13D*H`6!b&8vcx(ig7 zb39!8M?g}n;YC6HmhKPkyFWOv{4`#5G*55COqqoXF8MszsmmGB$iOP9?XZLs+9U!u zHcxZT@jiR>X1Arq>{;!frxyoCg>`la)VFNqa>($m|0;ax(sfNGYn4lC+RHg+TC$!v zqvzmOW_M{5*MqlD`@XGhzMyHuF!vVQ;^dSGPZf3^TF7~0^#Y}%sY{d3Us)M!n0aYQ zR*$fdP{{6&3l=D>iQ3AwaN$C}TK1B8EK*(#O<v9?3uTci`vzB*bFrsf5B<!U<8>~~ zsjEXh*NItBPN?JBLdFcq2iX&C(`U??9N%(!=1-6Frw%VYW23sMB<r?N?BeE&dut7> zwk<sHi06CEZONXdF13^svkn|^(23s0b9Z<7cCEHEXMAKV3K$Nz@vgp@mzbz{WIDTi z&4oArs=vKCxGr|LLHaqF)xXlTla-jx&Nk=&{QP|Q^NF83-+%o0v7@VNl^TzybVlaF zbA7JccJV&n=<Rs4^4%nLSYumAfpND|KtM=a^7BmvDu&#go{<@nH<$ti4`xVT6bgK( z`|xF^{Y$HFOzZaS5z>5Vxnst(<|$ipo;(p<T-`44rHj*XeV^hg1-<rSK?CuHNhigt z1l^bU&ThN7*nPH=r;84#p?j=HvY1!L*F(ah;DPBx^<O8G%HQ7FTJdaV`h(}s%X?)% zZmj+NO~R&PgL6#Byp<L@NnOVr?7V$^Lf&7U-tR0k%kS1423FD8%FoykS?GZk%aX_E z&M!AEcu}&<>v3miR_lvviXDACZTt0Kw?_O@5fPcHWN9jWa{A^8Gm2c7vfsU+DpI?v zW#u_1nLhsma~3E~6x_IRBd?ftmaz4c&8FGc5-u)s-Fj=iF4NL=FGQ>Nh}sG-PEbw1 zyCJc;%VXlknx91{_8Lq*DVKj~U(KQgN%7wu^Faj+q_8>gki*0J(R{xpiS<rWdTAmH zyh}8!+*%hor)alZcki{HGo_04d5}?|m8Ed*;Xj58s#)^h2rj&3U2LHSYLrb>+?;my zP>-bXmBuAuojjg@FUFO>y%k#fbNZIKH#eux4hl0eGP?3-&mJ4o>l(8<3O3aLuamJX za=CeZxzNkt1h*cEh1XuY%0_BedrDoJq8a?*ald`ipC2EWO_BfTe<^)i&dnsR`4^Y# zXKszy@=JSG|E=G%YTt^T<+MAt^_aBy{%kMDTYD;v+xX?zO?$C8_{r9|1WhfiRmQTX z4Ck9v<#IELgyc9*HA9r$2Ut1g_?$cT-Ch6T^We-E*A!*uE<Su=V{QlcoI7@X74MS7 z&Z(q7NC~p~RnmJvziO-F_7@4i3;N=&%x(w_xajWfv8s1kRq^+`<;jna^=cJ8Iw~G- z!l|TWRP*5=yO+v@pU1AxQcg<0yv%pU_j}d8O}%O>GBTYfS*d8+JZcu1eU<CnwP|{b ze~Qb=^(|SVvUP6F$(RiZj7myMQ{sOacWzj?^!kbU)n8sLY>^d=PfAJ(k-9WHf8WYK zTU=W@HcspMvD$T+g_rG&D*Ko@8O0#K_05ombZ<ap!)E~v?e$0I9bS2(+Co%rp4^Lj zhD>*@+zy;R{PESo5XKd&nC`4v74Y;Ko4NUcvyAIktyv_betxOq!x$;8Q`!bEetGch zvlEJ26ZlwQd*(WgwQ4#i7+rdPyxDyI!a`?uP(MYh%E{YbkkR!BpJbG}+~e$@K8yUf zn22>IMQ%#r6w{Ae!@{j=<hsarOO?j268Xgkl6}5k{ImPzve`bS(LI``RU40F`0rM! zl@*STn{(0pn$Jen+~?bU9gqHeKSNyw+J_cWXmk_aF)6$$pKn>h`SY4D?itG1Cf!=# z8<DX1t=Tb$&{WY+U0wkZA#H~q1$pe9_~??6$n+_z@2f5q)zH*+kBnsXI<2k18n3OT z9T*qbdFqswhj#K;d%@5tGn5u}N#6n0h!6Sr%gZaOb{@Wcm^m&^?!(7#E!(HF*VOL0 zaOk+O?w-9Nu@d{m?tS?D)oH<c{yTSOhfmr$Wn#N<=ky5$da}*=9fxxNF1nyKVQZMw z=Q%g4*2V=~T>d-bqOy|PlBG*memi>1gKzPUL_Zn9|66xy-irJZn|tP}*Tt+IUtV8- zKg-Yl7{?4z?XU$4790rK6DI#w>GjqX{I$pD%sL{x_d`-&b#hQ$mQdaq*EKhOoy)vm z32J}L+x^57Da*cMxwN@^L-BuB8H<^1c6XI7uJ%ruEH+(NSKGZT&T!M`t_gFaJLWVA zJ$WXsynO-7&(@<KK7S3IoBwC(iigbm#o|7QZajQi_}+g(+dVcm58l4z`}tPUsk6O9 z?OlPm=urc$)vE#`BRjjgv_e8c7Oh#tv~+2BeYZ!jR+4X|WtZ2fz>t`>rbR-kPeeqf zFWj(#g|SXo;K}>u1r1^uFW)ymP_SoV|D|NHfpyPbo3(ZJrjjD_`{sHcJ=hZR<*iub zL*`qGHaEWB3Nn}c;FhyQJmk#M-yXJ8Ifd0$h#hx3cr<2LzuhmFJ3_OcpPz5;x<TT* zf!G1jjn1o^l`iW1Dp5*yS1e|l5cg%p+P9l`9@_02_~x3#!NVo<-E8*6th#i2iS)GS zsUBZs5T&gHlL~`^^?H`u-{*!qdHsKVYoY)5ZCXi(rzxwi=Xe>;HDO|GLytD=iBsB6 zd3AzLO@A(EdAM-eU#;4i>cFiU!Sd^7{1ex>hoJ}6)#F_jBt3Z|s${*LW9H7*Q|Xr8 z5gY!nDD0VPAH{Yn?8$_VIrD{s1nO;A|L$^4ICA!_;F%85XuD%#x395?7OzxyJLn?p z+{P!{rRd!Dpj&@m!0*hr-CHw+S8jjw`Zc$pOWE67p=$$H8(O)@iz>~RwXF)dx##V+ z+XAw(xnBH}zAw@1;>k4E`Q06myd}Tq#|8H_GWK<MLZn@9heui0|9IH0HP^GvWy_1p z{W@$>$C;9?ChrUD+4_Bv?Q?Ypj2u=aJYj#*?QP#>%4Bym%&yw%d|&Qu2v^V6_L__P zXUuCqJ#*&-lc}d8f38~K`Fesw3+r#?HCM07cfKo_rWk0ZB$C;5@#z|yso7W5R2bGC zX1Zu)!jXHJIaevhv+MFf;gdf;W^g6_-g@|iqipCbVfW9%0*<Mmera=`*_J%hXRj7} zoK9YA+;`2@{dLFUy<!KSJ~=tr!_(8SltJirP)XRm$qSeMePPYq87r86Wctg?%U7?n z2&zu~{q1emztj5rdzN}nUnMeMJg&mgb^VO&_iOwOFMTt7cYl9<{ZzjxbLPaXH4e<3 zTeYS%T1vh4)#R-?m;16oEyAepXD>rW#6g9nLodstzW77?zt0PvTgCPzYkgL0L~)GI z>7%Wu!wU>;#l<fb2?z=`oVcLi(#F2BN{6vbqA=;~=h>U5#z!n-S$d@>=Ii8XQ~OtR zOv?}#Y+2C}mLV;e5-Q&1b!x-^7nL6E3nn%v6?`sTyEbe7F&*^;9k1-2tm_mQg);P* zL_%hD+k97rmv@ZTiW4RV_w?SM6Ikky_wMe+tfOg571q=g`g`qdTGAaZ@Zfpx3$wKX zchw{U*G3<@d`#1&tm(Rv`6+GfiA9#|C#P?^+RvdUduy9h`-w2G7n2U{xF(_W=#6y| z-#^_w2Jg0=<H){wNGdIJF(Zq{3KIsY=@S~}3M^QVq%Q7gjwn?YSaQ6vDiDnRKIh<q zeX@nM*SGbSE!?t(Y5Dnd1w&QgbiEWMH7%FFB|Th@4eS42waNU_n46=pe+A3)piL8g z%%6Ymt<%Mou^LwH?JN77du7}G<Br^$e?IZbgmWElZ~yq6zs7#uj(JU8+V`x^ztI7W zA)NO8gp^Mc7#Uv%eLg>T`GoL@wu67IOm~HKx-6RG;}vc%<atrmiYN9~`^s;JWv(eI zyq)K|X43rPm60#5DaQQecz=v%<=ai)4@Ca>UHPyvF*bC%O5ZYN2gju^BZ}39HkdJT zX<bwELK?nLc+TP%f8y9KeW44BgIV(StgCI_JN4S0<L6@zeJp<Y;o~QV{uvx4?<Eqq zZkfVn{fvoQb*`48Ca?OrWsd)9VwZEoTwm$CI4bIYiH)TCxwvCb;>+BpmmSn|xM<hs z;ds>UU1Qt?2WL<xio<jrQe%}}^~2qVAvw1vcO)|JUgXTIEWIT7?8D0|EsC^6ZLPPv ztv@$0Z1wI(3nFG;&0X1E<kGU%c>0Ci*(&Sa3$3(LF-`GUa&da$@{ohK6wO`Cj<8&h z@Mvi2YCT{vm*q_d1FNX@E}mUTJ>6|88%pz)`sSQHv>|$zxyDg<s|zv~g^Zr!n=dYp zR{2$u-z^sQjwR*vXY1W>A5Aj)Gp9h%e!h=u`?iBGt}mYZYDvn=2_g&T+N(VH<+pIv zUZeJ0&@_l{e3Uw4G-#CAd)F3UM7O%k>A~dnevgB~6x;ZBoqM!PExd?Zu-?X1bf)YD zW2wLEyEjb^zSuj9<B0tJhQG)49J+3ts@=-<X*0*PE7?Dj-WR&G{djnNd-=u;UG-?U z1A#14(Z_rD|F;WnZEc-loX+>?@#Bpd7nOMAY;G8F%<*O7^J-}7YL1h+i<tar{3Y}w z<GE(a)2T^67RY^#O`0WMZoygDem?oZSJ`XN5<W0M*8&t2ug}=Ab9ZD;Gxw}^P|M<* ztf$+Zi7KV_%<H%Bi96S~S6x8pMQ`WfU1sMMV-ms_FHlN4`t9xQt0$S6nL!g#CGYRu zU8dq9aC2ia`>`I$;MaDqudffE??21r<*dq?GiOF@NML++Zf^I53jwo#W%E9M^nOd` z<tzOh4po5~T3TGI!`2$jbv`F6E?(X{A%)jI<M2k%i0GT&i@zgkumJ5wP|+|^vz+f; zrr^pW-QgR)KZtB$XMZrwPFCQ_{X>hs&dIT7DdO(>c=)`h$N6)|AMBfZVPmd#_nhA~ z=MA^;eLVc*wpH|&zR9oM55%;11=lx<3%a+t%)DY2wkD!cP}yyUXoZlF&=i3$UoQJ! zfB)dDjki*si^)X!`1ttJZ=hl0jUGSL)znsfH(BWVd(F(d&%TwpH8ge2jpewDl)keC zf7HYa^{K=id~ti-_7hLGe@qX}z89VUrsdqlM6tC`Q+}%!s97@auD4np74`po?_8at zlGEnx7p<3xzT302+4Z%>&OD}PETV!INy*8xRZ88wpU$)@U3K$>@LQHr*9BL^_6C`^ zE}O6T+^+uL9#4mh?h8(&OPl2c2x=Nl(~sxdsqWsfC$nnOy1mM*qSyD;&zlDu(mLSD zDPu9S@9h1#M{gw7zKXRlGu|x_|G$FEu~FBc#6i5GvdThJ_PT9YWF_mqd-YN~E00Q4 z1&6n=U0=VgOJvXAlIQ0ojF$-iD&;PZZ7{Rg85kh=FzMQw$Qg#oZMWX;d_FIDzv@@_ z>bqOBuV=kfS4sm-;MD3J>R9qF!_@c5)+@Gx)}Q9>+t=wbwdU8$<sRPNhkty0yk4-t zWcMT{<HAQSGPYG$M2;?6dS=OzB@Yg=%ew>xP1^MFob~$^QCiQwUHz>&QSVXz4~2bC zpFW-WXS&A3S@*8TH{N3}4o_ZpuiGsD`MJ3-uCKpudQtP!PCKU+D^_r@^T{08ka#$! z;J3-k7oei{7w@Hd!~leWz=>13#~zjbHvDugu7ihvmH7P4CuiOeEEn2-=K^ExuB~gY zOZgSWFtH2kIlX$!BY%T;?xNS>@0Cn##c!9%A6;{jSwQHBh(Y$<eV{IpyT`+DL0-^& z_wM)mq(LLt6NU8m{a7RvvuN?+sTzWUf(z?T--_K`Hq(XQ^Ww`J8<qF{`;|R8V&T=~ zi6PVY?f(dTzgs?kRc(?;$d^oqV^zvZWoKqX#sXg29}`h6{+)HG_lB>Z-!aJWe^SlI zqvFfH%sM;Ue6f4KoZ9TDTfP5kvkR}kkvOmP{<=BqsayLl%tMR;LAtpOEFKHOm{yz1 zI=<eZaOc9>y1Ny9^LDnp=Tk{K@<8r|KxSkuZ(QqRArG&;O>e9H5<K=xe0g<eSsc4% z$tR{uMxPA6-n;j8)_KoTVT14~W@>83rqn4bolKu!+r}qr^`MbmF5se2lvNi8@2nRI zcRXDtgvV8~K79BPG)BCt^y$Q%QMOYyY%mCR=~3JEBD>>6Ozp1zJ=c^nzI=XK+o@x? zef_?;&LwAGyp?8Ec`IYOH{Lw(behCbg=;<=d*-h`zih#ySN;s=;e&|}LRiZF*9h9H zE=f+w`Md9foZZwe`F^$JD{E~9<%AxD=v2(u#knN?Y4Yps#|whbXT;n$-Sm!?VcT1) zCh6Vn53kvM6@K$7^-8PyOIFd^UHxid9$Q+LJn`V_-J`zVwP4~Fxu2Vt+@A58X$hy$ zEi2okwRv6N=Ixt3VP}-)uM^_QYefVVzSK#4H{}vB`u^eJ;p-(A4b^!hTV;zEO)Pmi zaf@>C;%!spbN3bU7Yl0Z{i*o$qEukPf<x{tu>9D-GN;8MGm`b$UeWfzje7;<9>qUj z={LvwaQVmd!uM~@uk4=YHrLJa;6o0Nw{v{sWe-jGH}}HgWS6=BmZys*yk4g~<NphX zit3H${N^5bHDdv!3t@7ig;O|$mw)dArHQIrw`yK%5l`+;PBxdTT)XtX$CnPnT#wbM zN}8@g#YZ$AWi<8wnI@w8c;7KA^=>g;qv)LN%bfOX)4Px@(lO)R<s0g^wq6SkC|vvd zStfkwV9tyK+x9-6FfF$0|IUr?zHJNQPkwyPS;G8HTh;Z*!lV+tdK2&Y?fLaq%^#-S zlQ7+}`1Iy%9R}Y3!|7Q{NypgbYZhcJ>WEm#sps6z=ej;_?}tm?`YWTfgcdHEuiYAQ zwo})w`qA#dBNz8ii&Br;7=82eG3oq}N8MrhuEO$1z85||wsMx<vD)6R;a{HXXlNYR z`~BYPUC&>%&M?1UbNS8h-qhT@y>Sjk%hYZr+21MwH8SVCwyTta=X!Bfg}c#d`}c`Y ze)p<>LBlQXwy@B!#J}H|UzEp+tn1EvyXZ(oj&kGU&gq2@D!9~oU$C2(Z;xVp`b<3e zZ~DiGFFzE@nzNoPR%z<$5Zs)8-YoKx)dPve>Ra?Iqq>u8U-jATJt~p9s8ixnt-JU8 zi(I!(RU4hzt8cd4e}3Og<Mc(v&(BTJ?^pMmWAIb!qS~dZio3f?Um3^8-_LR_+{*m& z@0D*8IizcM^*!6);rQ&HxZ}w%=Oc68-rnxKOLA@0)~>e`b6Ib3z6}Z}Jmmd!BD~QW z(|=%Hv!=zoDc#n2FT<Wc+}~^8eQ8tC9m5Upc9u$Q{@CKZQvAb*AA&EgEf)Xx?^gAP zkA-XB8M(Hy{>XXlwx%vs@!6goBHRBLE%EKF7cKW%`qAT;f9&Ja@_vtY@i}(9JsqW0 zx^dOp;^e?v$8J1|5>jYfrt09hG_$hU93HMh8#o*HueWdbXM1~b?d%6pZ@mRC`R})B zaX)s4M?T-byXNPs?%aw$3#(VH-T6~|`=hDX?T>8kw{NJu|9;)Ko3{Jw1oZd+>Z^Kx zVqt}i!80=vQPEY`a~I!AKK%c!W5w%R+zY!Fe7eEBSm5%?=*28ki_`rc<?H(#-yUDz zcj}Z^$=iFv=g<Aqwkm(y_d|aF@f)4|(MJ|(s)bLPp8S8G?T`EY_YN=fzjyrg`~Rn( zHF<evTHd?4hd=rKj)muxjy~5<RsMIQ@=syV{$&RqZmA9kD7>}$>A{!=23Aq=9Vv3~ zB|Qgra;7{JNj~ZR@yA<R=GQha`ri91Em<m`_vYrhb331@&9N+%30CWOEV>lwGyikA z&(Fi33yO=KU0qrKeSiGjp#0e5g5vVyv*q&+Ke&;2)kj2J{Nc^}_xbbl<^SZ~v=<PN zxS}AR5*QjPx~=AyKhOSbo<D!G-}{xkIM82F^62i5t9yk%oca7&P(q}~SiL{_-y8b} z$L04O?XlNCG9}Yk`c3y*@hqpXU=FRKY4iJH9m4z++x{<l$FXetf6;bH;or(zmS(&1 zMSeb~xguh+TJA)L%R&nlT-vf{r!-;^JYw#F@9se#KhM<O@p#(1t+(_vv_dbk_xDS) z`1^ln`@ON~WcP&m$LG)MeV(Roa8vN$lb6TU9?hQnzrEb<UZ3Okdx5$9ojYcHz9ZsO zlfm_icgNC$zfT`ZZs$+>`f2}4jv2RDL~j-!+j3t)^P|bVpDET++j3HGnd_UgUzU?y z-y+YY^?z^QrcGRH{(h+zJ^JMR>)6+}wLhJiewF04#(!BCfBLCg?5@dr%N9IZB7Qn~ zCkrE&mR{jm8x@%Q8<d43c9m!@^O?D*%Waml{5ubaZn3%@3!`sG^;bSA(F(d$RQP<s zt=8$gA~IiE2VRu@_;CL|r_~b-E>F4}U)y(FPNMU-{Jbu4v2_6#wQo&$G3|P|&ao!d z^^0A(@9)~S^5f?(TfDt?6qc7Cx4)LVGBiL_=fv?TCl?1sL=^NcP?GN0|LD5%{`zGH z|J|rc40c~}qSEgE<c1a39ADgBTDI`Xg_GSHdRj-A_vhR(PR(vRn`*DA$$9D9kC)5m zAJg4FLtIdEg~|1|1@WL+elE1xri5UoOLv`uvX)$K`y<ghRrP<*@w4VfuH5|hW3#Qg zz-2QQ+ax8ar|Vr7+59{aQS<#Q-=2>T&F)nFo_n1`%W&@Q<h#rC1;zf|*K>M(ug>@E z>_4~FLr?kLnU}xefxrLbkVA%F_gyiaxxGSkiC#C4<>&Jse{c9Tb-$90l2G@w{hgDK z_dn=#KlbR)PUB^^*{hE${XSFotXxah(`9mcy8a5aXG`M!j`COUJGkPv+RSf%a!#}9 z%(EBHoUXU(I~$KoQ|sw}jeT?1FFTMDfAUCM{l4DqVfu#;h2L}d-8a|Z`OV`6AC_Ch z+%IRCw(pzWt*!kBf9J0|^6Dw~#MzU3kI(y~Ja6t-C7Zo_u2ik;{&fEPwWM!<RJ|mG z<To2#HY^Cx5nErutrV8ISk2M(-NW9{`s3C4dscnx$ha(4{7nB~?Be6$!keUuZmJ7i zo-kul>+ZHMD@u26iSDzW(qVt^{(i;V7JsD#LzXPvxO};K8;@ku{y$IkuXAXNgv|M8 z_<(Wl3<g$F^?fmNy|5blfF*}c{63lbUzg`!NnEnvRga|cDo*X<YwwP(fBz;tz3SNS z=<mrXIsPpyru+WB*#H0QMlF}$tE<%#POkd?;Ni=aE|Xk8r#<-nexK8!jfYo7tgX>= zzLcG2`&lFHY_;S?S*wQ!{>dBsym$NKlj8bA@8{22>cZx^f9Ir`nL<e_MNekS{%=q1 zezxkDdQ@Yy?kkr!*F+a86$k05Je(Nce_-e4<rnrPr=O{RX?n*fMZ?C%W?iMjlbFl% zD+Ijbs^fpwefz>0YP>9Yxn9NFx&9AdK3w@~T}Q;Hr_ZB5o_KtIQEUnOox0~5HNUSu z|8aUvrbN;0f-UcFuwMWAZZXRwQT_j{(f`Z$J}NxkanYjolf}J=pPOFYQ*N!kd-slE zL4l50?Vo)@<t`Cb|1Zzw;N7iNGgCOE<)Hlk4+cs3@{PaM{;>W0xijL!@^poN>wg?~ z-%<RewzulX`X^#Zuh%74WL#;8dg~!|^Z0!E1B>qSXI-f~w=05W>b<?y%J%m0vW5x@ z49)EP>(tf+1QcGo{d{K(XfiQ;N9sI8(fp9JrL9d#Tibi?;p^AKJH=;hF#0~X!sqYT zgdMLhWuK9)_<Fqh<L-^j58qa<osgipfcv?yVdk0Kted~i-e1wtb9$v_#E(NIcdQ>O z+Wb6W5$c?J^|o}#>SVzHvwxp+_x$*jrMmCP+q<or{5^;4?e45{VOQ;2Qzf}x_pi3y zoj<%)_X|uPIVyP^yKgID&fk~2VS!TFlIRH>eAfTo$Ddl)AzT0Nb&+W8B7g5mCni7D zKYMuB|GS)>n<g55Ug^Fe|NOeF*v=!@RqN+2UgYU=VS=J=pZx!`d%b*qWxUQSd2=On zul}J6M*=tgczXByiljZaB2)M7+mK%wIa8?EB}33JDBG>g($Td=Sg_>ZzE817gWINc zsRkN-6`Yl|`}3twTzh_I%FLS)usa|qGPHGRe%{e5@v*%tI(vFtW}D?+nXezatL4g- zkm+^zHCAMtagC5P$(SJIW&h`cGidSLw13JceyTVyl{qvtb;-ss#eyep-Bk?|53#(t zvopAL_3QSUi>u#1xU+i6iAI@MpU+SDvsq10>(+;F-;ULOzuUfHc76ZycmL;G{oi<d z+iwZCd$%^9tNc1s;ryHK;(5o~->(VV8l=+ezVC_pJj>4ewwBIISv+^0vp0Y5v|VoR zhwVGhuUs*~`khQ^@6RjUCx0AYt)Kk&clOjDN!*UEzn}YD>iK8$xG?zCh1kW%9v<Dl z-tF{*3EBVZeOe}ji|t?FB9R<5QEi2U_4^sZ@n<@nOxIq|y3iwOe^W(4l2uf5%kMLf zzd!z*`#<~Js#mus?OIp8?)_(@r~Jkfi+^RO`YqCZ;(qMb*6geO+WX@tFHrJvk(jzm zD|UC;TD28AF*^iqZ_f|Eqp~;u=B8Aw+!cn(ii(WqZNJNW`t<3-{`&e8a@J*U4t#ic zSW&a}o5_*um7kv_{`>Ru)g8p}ji9J#Yv}4QN0v0n*Vos($HdIB>fbx}@Vpt9#BYO^ z1zK<00$vvA=kM^ZIqm(uy&Rl7f4RE+-@a$7?7n@Ubv9<6j7h(9U-JBwJLOsVK8Ft- znlN+b%6}I(wR4DmOn(1P|Ko`kL9L@tubSJ1UDSO1?*H0X50t+KxfJ|7+#_!A(tLlo zZr5|&sK~X;y!^_<;^GXX?Q6c9{xhi6n{;0N(b?<MZukUT{8#Upwb^6t6!A2>#y@*v zu0DOfb-VejuG`C0<{#eke7T~wGOz#HJ+sz*4!$F|Sy1bmSjd))Y45IoHFKRQ!78fz zbmjlE&8tfzH$B^5qSF}=`T3-rPW`K_DT3~$OwU-RK6sEIdh+w5Zt!|7uLdKx6%k4z zC;sdE%r@g)?B0KDiD!5=V<(4V)5#fz$q6DFCg9b6%YJ?<$^W&vJ6>rSkBmjYPp$c? zuu+*a^UgWM$ADJ6T;G{J54@UZjh}*zjm+_W`SzNNj};h&HpnurZR>JreGy!{<<XXD zR{|tOZws$o6X5LXr(GIYXZ=y{>Y<3B$g<j>lK-}qtQAr-Np#5Szw`gY<E(S@#dM4v zFTI*|r{whigm;HTR|TwD8&vo2>q+(<*=H(5|1OfB#lLFlr}|qq@>U;|<>pqH*L{Cd z^5@-2`&CxjTbKTchz_{8D01C<bB5FL|Cf6`U+Ec;DJqpVEBWnm|EmEvYkBy4Q+THy z+i;az%T3XBbGBc=#E$M8HzGpwmnu59w10bhd-Ye)nt?W6=~b?UC#sF4vi2+5T`lju zSH5_|68r8OlmF+7)I}Zfs{Q`JMreZ=Xv|m1(;760_Mi#0BJ9D^&nM10IVc6Gta|kG z`TYEt>GR+FDX6Xb7+d#6V2g)HynX$jkLS5VU-k3o>OSqR&kqXJ6gpV+_`v@<%PCcA zx3}koY;{}Wv6d&AQ!D7ox9j|W!md^t_FWIx33APMm6+%;HFLjg|CydGS-R6^2L)Ib zei2aX?L6At?`P~fUxIb&q)ACnmv`8|HmhCQb>me#NA%v`cQUlHZck{R7F#Ff^!Api z(h3hR|LJ<MK7yLZBHbKamHd`m-E_rclHy7$BbBf2)w*V`C6)yb7}Czq%k^qKdE$h@ z(r+j3xOR)J-2X*D`k7MDmJCtBFqK!%Z5<LuDyAOSSXo(Dnn-)z7jks|BQRS?^zyFK z?9DIDqOSOOd38ljh`Kd#r^(#2v&~nBs7U^LA#&DS_vrE!D?H}b-`J3NZKqC@Vk4Wt zf(4ftGGZXZ$^}zF3)(nUE|{=P(~IrW3|<y<SugT-?Ok!s4`06?=DmCC+N%o_FR%3Q zJbiR-vs8G$<NlK;h20DP&shCZE^4pH+v2st0rUTvKYrV9=bRAWeK|-_bKn1O+Ed*w zt8JQF6@R4H^tAX@>!-^XZDPu=+rj&fv2p9X=x?v?EVW+t=i$$+m7PLwm0J^CMJDai zooiKoc*@De3%9RUYMNJbB2CkN^}SmS@&8`MP5%Gr^nLL&>y90W3B2|7{o12@p3gs` z+nj&+)OI_=s|^$V+$NnfNxkJ0yzy3>&C>breH}tv1ggqA*OdNTI9WU}B&6fy{w?>6 zuL{(^tvtIe_qIXNlM_<0CR|#_I)nt(UC!+I{ciWh!pCl+6BS=NDeds+6%=%}`|*HT z)vm)Ku|`)q`%tIakrOs&*ZtXTV{3bNikq_2*=Bybh_hwwe6j+<!pv`Vet&x#{L*W6 z`1)gab{6LfDJJ=ZPAcxmH!}HneBS%H`*yN1a%rtAJa3~4YT(}hEhC(ruryF;!wjTO z%B}rZyt;3fo4r5${`fzpxVYZ;UzGd2R8I9|?su%LylMJU{nFR;M=PQYUp~CQTK~r{ zNEasXyKhiwm|4vavwc7I`>u*uQ*v%%pZVhBwhWy&Dl*;}l)SkU+G%vjE26vZ=HI7f zYbx`FH*Ma0VRN{A$(uW8b*u$LRNkilxcfcf*`1@SN{ccxJF|jq>dysh2|X$IKjV<< z+G^F!;plJkTm6o0mCe2C$Dwb2Wm$K1b8RfRDLvtr>f&Sf`uG1YHM<wL*=MPt?U&v5 z8Vl95jb-=mu2(rX$7Wqn$FKV}Tb=u^bX1mHT3_J&fA)u8)w8GkSSY%5>Wev7-`8~I zUH|I#XWw2>huYxj%9*F+H)=MWvk%+XvXuLO&wamIzWP6(m8Q0-ybQaP7UiPpIepjP z>B^x8AAR;r52=2>qD)q&u5Sx#YN}|&@0Z^zQqG($-S+6;r*@VvFYj>ee-XR;!=;4u zn1qFa0fHADj&QG)>*COvU;9nc<x0haM)r`9HoI2$ZjFo2q~o(W&UR|e@>sZ3T=J;I z#?mh@0`HVu_LVS7;Ske_SP*63{XprW!Lbmf?6~QMciT;a*LY6fw#K!gscWmpB|cEZ z?f|Ww*Q<DGvnf{S#2L+FllmWhyj{(9?dAQx_x_7Qz2kXaNX9<D^ULqY{<HH}tSs;U zS-MqKiEYoX?>~2Bf2p|o(%t^jWxa<#m&+ZT7i)cS@paycpI6I6SM|Dd9O2u|T_3rJ ztDXN{I8(Ek3YYt9hLR`G=ZADB30h2B|5rV4Z>>x3yS&?91oNcJ%<FD^=Dns9zv9iQ z3ENKi+y588^K<p@DISW>S^I5lQ-%EG>=)(yD}DJ|;GrAW4Z9B~gexB1w5<5GvGd0F zzj>GI%>O?M`}45>bBJ_C=d}yRdhFx>-Y)5EGMtzA{@vVHwSu`v^aYcZZf!XlY#evs zhG+kbKNpWE<nDd5bgRoWv$L04Gwxcip30!AyFgs;w|noQpxXDv|2L+@oYXw@A%2GV ziZ5mN{)Nk=`Ml}BFzxE=%U8<v&+Wb?>8b6t+W-4StKS_5CQX~xwlaA6suhBJA1Em` z+bwbtmIZBzc(vF=eP7+*Uo(|FdRQ}4?FBuzXb9J`bfkH|^YGS>+F7*J%l*~u?fItm zJ>PH4m?D36+CGN0d(!oiTF>)ynwT?kX`QWQz60I`Br~IdLrdLn&IZpF>zR`mzE-)Q z!}4$6KZal5bNavKHf7(nf9%C*_U=!ltNf3OYP0V3cfMrpl@JW+am}4Q+t)L5XIGMx z`5OL1Q9rN0I``B2W`}*5T>YFY>v!HQd-3;cQ`gQCc|ij;pBW3XS|wP;i(lG#Pt!U0 z=xF!V{j<ZNQ#7~qq!-(y?2p}Dwo*ju=jJQP6L)DV9qY*5tLuAX;{BlgE~`IZx-spX zY3w}1OKmUh`2NnYUi(=jWX`v&N(0bf)dpKeO)vHT2amq`wJrUutj7X9ru#BJ2RoO< z+$r?F8_0V9-;|C^pFl%r*|BLqclL7JSiRs=>K)ID>dJ@pzv810T<>_addK7!7wtGU z)-KL*Ica((tEp?}6tTq#i@;-=p_&%)H6L4>mb`zV%+z@$T2ogybfW&RLmM~6wQy?c ztajb)vCF?-&UQoIT`Lh0kri7%z5n|8`T5yL#FABdF7ikJDQ^gTGx5-lPs!bTV;*}x z*35NmXzJ2kaY+rFTR1?Y9z_>zmN|nKIURU&{d>}-*uR1z0oA`;LsLbs<=Z#Z*)A%G zU4D(P&@R0w$jU6!G-Ao!FFQQ@L$s&ej!Czz`)0&9$K&7<!M_ily$f3{z4PbMi2so` zVzzc1ar3UNJbpRmdEUEP*MNyk>xE<&@pfuWTp?KeHSpS+$i<iHm!x%dDBs?mZ|*uZ zV}0u18yk~DMOJpGURtZJukSCq?b;C$-vgcf{o%1qOS1dd<lo+=8&~m=HT1={ZMU?l z>~oF=Soi;MH#{0PC3CwWXcoRr4zhW*TX?~ON00a!`t!?Kw!O1#@0k<CvvXtd+<i-z zJx_v+FSEI{v0t^EFTBQC;ct-^sH-I&d~P<+tJjMn(#21&jre6#^ZT-7%l0qFRveVo zz2Bp~O3*-Tsj8fi0^@PePME@XUGqTe9vbd~8rS9FPVF&sP=?=ws{e93mOhG++ShI0 zU7f$5apA!_J-_p%{qtwWb|8<xD_q*lwW0L7;F<aog*|Jp=&m~FJGX)fGFEW*C1~dI zm-aJ8(1`hgGSE(+K3(0(4$ho!{#mlxtEMER<h-w%X;GskYA@$|Y(;dnl8wrOxNM7) zl^o!?ig(F7c7~f&m9LF|{Wy1b--BcC9`4xcAN`#1VvqX51($k0>@?*xnZ&?4)s5*% z5hzI)q%w(w#QgkLSyrvKA?>nYOFP>l|L<jAi$1@Y>HXuKgOVH1yGFi=YhP_`n=CWm zA?TH8&i!I0=U+z`gg-a?YIR}ZWKECLCyrjJeyx7v>gg5T{)-?{@%{p6&g{$Ai<KZ- zGUAv-LS{|3FIR7z#gXz<EcxTEzY>+%>#MH2Th5-;DSLnZ5nb~VhxvIuHU;07zkWVh z{Nv$;Dm?p_YFlmPUVXiA>A6$e?z$Uhe`081xf=IlM;x1_Cv<bS%yb4;(c^oqtH2w% z&-yhqd2NnolvQYy0!>)9oxQJ`^k#!y#>2;<`awb7k6+9CD{5?DZR2}!=$-D@Mb9E8 zFOj}-{kOle`FaWG{8v%$Z&@ByP5ps1UAe~j_Rj4hA#eUPy^!O);sn~E#Sik6LL-~- zf(4fnN@6^ie4QSAuJyE-RKdD9fA_sbfA{s;cVB*PEKr@SUedKL{!5dqbV1Q(0gGxy z;oaZnJ3jxGxMOR*dUwr@PiGUq^l=`XYrcJZhOGK^--afyyFC`oEN?*V#Ol40zg$5J z1o%K5XyzE9*pf;n>HU_?-jS_4iVOU^Bj)U`V6Enx=UP#~koWR3qvJoHj~h4^$oihs z)i}m)udZloE#LgR%<1^HX;)AFta)#^CGTnb$L&||_TBF5duy=HWch+k>F4Fn%(IpL z`}_OxL#^BopC8YQ=llHNLf~!%2gjp&>l*z)3w;`rK|2Cxe0N(P+kfEK+~ozT`$Z1d zUp)Ni@Nrp#(w=tMS^&HL9fv(7Dr(uUavnQ-_iU1M%mo{kRa&bzywBM5@*i7Ver3r? zEb9Rd9sBn7wy%2pmJC5Qez_cDA<zPVZR+S-$e^9#w|+T-@z2k~cX4Ux=p312Su7wg z-@jso26V0VtDK@^J9b!fxtUdW#auUF6}`T<dLDQuo)jpM7Yklu;53nJ^xtRIdu5H& zpP~z;AHEc9&$zF>v$ey1UX!u7k>P@L>o5D))!l8@xz#dxt(U^T8?0~a>lo+WZe8@h zG%!OnCGv@5rK{?`8`Uxs73+R{eH**xm2_{YdV-#ltIO0aTehePGC&sxXe|H2)T*}5 zXP!-Dr|vhiFG`(1)RImeXk?zc{!?U9(xNJV;ixU=-@KLiavWvx!i6=Ua!$K0&yPt= z_{J`CLHBcMi8plb3d+35{`hOlni|yQDADhmwu|K-V-*vgaA;$@P*CBAC$3xarF;I_ z-cnRjIgn(1v(oj(PiLle??t*MHd{sQna8c84BC~(nDFPvN3&iZL49Lm<BbUina<3$ z7FYM1a{$y=?6jC9q;hzgpwYC8zuxBv`rg`}zrM!UZ9&KVTahV8KRrDiYOboAv~*#0 zku0YKXkWE4WMB1#1SXLXsh&P=&jmL*YRWA{Z+}yH)Ylk$abvDW^Pdwtf*KAl4=#KX zqE%Iv*Bm$ZHfVKfb53F4W6qdUCk|gUUTIPG%&4lo_sG{+CBbW__B*znk3Dl}df{?| zq5___82OtD(lIHLkOkDxx;|Tk0kW%Yt(c>d(#b8EmnTe`)U+}A_@fgOl|f4lyt*eU zJ~}+hG&>~f40MN^=*hrnm#%L!JH(B`H!JU7`mbvVC%D@;du#S}Czf8&Mz?>~jy0Kg zcWu3yA-#9~vlUmvZl`{Jc2-N&v(3)R^|P<{M@=oQLygSr201qjPV2R8_FN#xqOsx% zv(R!-?qT4Zq3+<=>d(e$q1Jd=eAC8v-7H^Th%`#C-@IpCTiL6oML{z5)ttxAFFSnv zHuGI;*9GqF96u_mt<)sgKkZtwMTXNe)1uIf_tW#&R;~|r`Q<)%|N8EO;6EjQ_G~i$ zIsNX%rLhIgZwnTtvh*~0<$kp@VNCk+;-Z(!#0)XPaL~?C)fp16yCiZ?#`rc|$mx8r z&~-t^A<ygUVttu9&InIbv9XbP`SRs56RF4h<ZC`KT9v$Lm@z{l=k_*UW%s@#`)Yq1 z<lV7Y6Sp_Y_23O9ry~_<mh=D3nRb^geR4x8=q!w5-J3!6RRc>2i%WoI<tG&<C#i4S z@3eR_sa&XG>GC@D5ws*NKBCR>ua&6=tH=AjE@mn!OCN^>?dqy|DJ0vb_x7;Py6cx} zT;9~Dugq3@yXNWhm@Khfr5xe*yuBuu{B$(!s`=))E|@R=PX3zcK0A>kvp!{B;MOX= z`rANV<V5wxjT>KFT+E(!c2=pcN!OgC5#cWPE-(N6qLr_BQI}fK2|J~ICu9TzRE%7# zm^%xUC+eBsEfH?xkz91CgNcc0%7w`jCl>l@O*H)}<+^y6L{xG9EhW%~ZRkdHDIbS_ z%x|u&3>FEI@|nQxx!@<~8><Sz=>68s2Wyi<c6lVbXGXG~Q%hgLwC$6yuxQhaGR0Tk z^$qL&lh5wH^XtzdA(dq*4`aNh{nB+!v);<>`uv4KMRldgtm6-Z#W#L`VA&&ehjZiK zMN+x_Y&z<osd(4u46(DE3ZLewE%GRr_O+RK|JMuApWFg#!@AVwc|@IC7rT3k#wO?n zKM}_ypZ|vM){2*zFggpzs_adBdTQ#a_4{gnugVbYj4}+rvCUA^UP`={F{*OCS=+Sx zeBl!u-m++{_`s<MUOt&&z{sV=>vp_VB_W)}sHkh>$Jxdj8hVG%?zN6uE7!GTk;fCS zpjV=E{Li-SUoXB$Ia+a7sllSC?Jdi9r`~OQ!!@gSj^_F`E~lQ#s4P!Y{`pDtiTJcd z&bPx}2;B7Q47%pEe9|uM-+gnM>$&xNcCR%)6t!)`#m<avdQ4T0pq0uTVwZMBC<S~8 zR7?sN7M@r6Y-ZL<`%g1D)PgR%)Gc|iMr~fkqUI~Mf`+b2ep~$PqvnbxPic><nP`80 zo~?A0b$aqy@!EE!mGMbEvsQMuFP;DTwq5q3zCYKp_C{p&fA%~e#3T};v+(Fn74Qzi ztDsG%%#KXwTodkpJbh!Ed0=g!{DsxFHMM)zR2}Hf+_|IRCs)v=;)#={Ew;Mg(#E<{ zUNcMj!SgCXou93$0)@(^%He-K)R(U4nAX9?@3i~c+6!h%CsvgQgaozC@0;iT=%~)0 ztN<Rixa+r8eak#k#58L`Q1Gg*X_u4()qW*yRZ_{hxyhANZQs6qUJe(mm@`|`mx8t> zzOR}*XU>|gOQ%4)n5(|NTKa1C3-05mYHo&eo$flZ$X`2Zd!8&87gxdeyXC7prh(i1 zQpvvJx$!sMgBo)JosVjK%TSu6`)$*ovYm%+f|`-vq@Vo+P4XXT12rQB^n52f7z?gg z#kB3MZF?-c-h*XvZ!c_jx8AXPcjVpKU8lTae9j+M6%;i3T%f5fzhadWWX<wwJ=0H@ zCww~>R({QkZE09%iN~oEdI9_O)1NZt<z)y9HC#(<%#f98+4ivU!v@DEeyORVeDgex z^}7cP++II7tNl&d-|VeIn%9k#o4Q2urmo;GcHZ@|!$aZ!xvMQgGt=KREdK9f_5Xyx zLzicr2}+vwlf+ckBd>R0+P-q-N-ZY0MPZ*leG-t6$PfxPS?GSPOF!sH7|XP6xwjv+ zipK>68gIQCCMe%Cw_{E1;i&7%w%Xb69jjFm`aq*?;*gl<RdaA$dho@L2`q2sIh4h5 zZhLRt&#Zp=!v9?wUtY?kPS*cbC16?U>T#W={S8yfQ!z#J{TzypbsW>?JH)fFZ*w@^ zAjZL!(db~^lW|g8$1$(Yu;^3w#JS-;bM`pJoYZv+%TsJ(su7fW(j6e!)AU#H-YI!q z=Gxp}IvoY28V{A~tm+tHYuaUAR84d+YrW&~_?Vu3ugUSJDh^D(9t}-i!iS!Pfn56_ z2h@7L-TTQ#r9ijw%NwOPcj_HEwQF~|E()K1!forbty2m!BX<g^sq9j=-Y#It8qV5% zr%C9ExY*(I*WIr&PAoh4Dg9-@<LigGb@$jjeEYal>|Wf5Pahh#Z)e|QbLZj0x;qcv zz2hr4R+O3=8oFZ58W&erQ7tX4z_7s1OP9E&goZ5Gv4v-;>QfCp?e-E+Ege1Q#6Zp^ zwOg*tpJ>niW2?gi(Eh%f3zzo0nkt^Sz53;@=N<m;{>vXdpS;q`L(*)4?4jmd?Rybb z+vn6z1;qiU%7YysFTJ~au^7~N&L{(Q2>x=t0Jp-lD^-6ybKv?W<K_6h@8Ju<%g3^g zW=mIftU9IjM0Dzc4J&wBk1qAPA#ic=jvYL%sZYH)CLY?6nkuTXc7;P_q^e4i^DTaF zE}zg)(JTK~g*@ZE^Q1mcY1@vKW#=bVJ%0I;FW;ZPqOx*D(*~t8KdP!1x^nw(`S7cM z!eyr;GWsmPejPZ=_A+qVhly1>>O%U84`Zy@?hCZu{^hz*R5?h;@y3&4%@rn=MR^`f zVag7UN3%3CJ3w=~G5rjzqStp9&zr$=>Xi1z=LK5d<osIOm$UCG?Q!_tC#dzSd2uC& zhlsCJl9Zp?(uIrmFg361Kl0$U^24VmtuyCIZ_Ekj(wg@1QI60CbtaLJH!sazaD%$( z77~nHT6|@RCDU2f_&i7{GTUcg#c4m^%XvHB!xu8L%dA)mO?VkIJ!{o0nbjv>y0|=> zrS9Tt-d(Qj=4YSXIhqBkl6h5mCpt_9^-rFh*r^CMm7kGI>s;}48%|D>HV4m0);g<+ zZGSm4cgzTE(7U+sg@kAq-^8_2$<wmW3V;^y6^k1e{;E;C)%G*l;XB8j8_G;}adJ<d zE&#>ii=!8Fg%}(hTl*m=9yl~}1_WdpSm~)SE?1o}H@>NPxpBdd618*j=Ujb4v|YCI zIhLdpOcvW*Ds~`9Tn)Z2kM(Ud`@>&)iZ5=f${b{TbL}zDcOS>2l6e!;3qnEN(yz}d z^FXO(0}~^cR#=0Fu*!oYEL>fu3f{zs^{J#ODr$1;GDj<DDM{oiM=5FTS*rEpRN!2P z%1YIsOT`6-y6l>nH&2|@cKgn!_(E2=X`Za}gZHmpQ~cbp9*1GCzWDUx2@Qxv+CmD9 z%henlkFH!ly<M4cwXj9Gra0&G&4pT)(&_ro6qJt0qwMmm%B?N_)Lmd{EdMgHa>taJ z?OWC`p=|Qx-1N)#!h%{s11>G4oSggn?ml_4$hF&`;zPo0%e{4ft5T~kE%97tD<mZJ z;ZE^+MSXq$z2XuQ9jT|MEv)|j&g}EzmAt1Vp&g&q#mGlwBuuiNXqbL(&a$$}zi(PH za%q*-IhOQ;Qt2De!AsTqWPiCaee-`1leJDL?A)?vE59tbw8=|#QwuA*g2@f}g}Q$Q zFI@`XYE<?`QFqqnqOJ)ugB#AgVM<APuKn@I?bESKKbCayggAK}_u$g#tGhn!SH7N; z>%Ifamo7E+ymF#TRNElw2*>0IrE2ddU2zVA7gJA9(~a1gCHmz4->;JF{BkYp*RKzC zk;uMvsb;$I(W6H-kGZ<KdihM07hL~j?~EA|sz#Yk-QOZ>&z-Ye=iJcL_4<yfzZa<I z8>a5yc=VM=<^-0QSq^!QntDr~KXi&>oOGFc!mN4yU*5p>9J8?hP`q%T-LY{W$EWXs z_1_A%&)>4J>y+0M(J2byb)UMfyr1*ODdnlyLoYwUL(xAM=2kE)I`l_S_F;e7!$yuz z-^*TFKYz%$ctKD^#0n+FWBu~^mrsZ!>L(p+V&yg{e;1=VrDH<Dj}H&CTZDZ>By6in zwt7sP_0Ip<`q<rK=WRawEWX^aCe!=<dJe6(x3`;fYJ8e0)~E!YS-igO*8KDPiUk%d zcqH@vL@=m7;ILU>!v7yuwZBZFwkE0fr|o3O^J3C-a8R<=OBYqr-OcebysO}+m!MHm z*MzCjJ#+pD{ivu`x^SMoZr{8Q`45@p^YwrHsyKA~IJfQ|+lNn|GRo^CZ41B4D<}7^ zVDkT$P4TN%HE4w_T(n3?bZV%^`V|h5m8_>uX$1y_fmTY1OrN%R$r2t{)u$Soy3US; zoLt?fCWc2eO}bRHh3A8#M*w#V>u;q+OZAnUY6Jz}bYD}f^ApT`%+wz<S^lEN3J<MQ zkhR2*q!v3uJ6&o;FM`95^~rWCyZ0p=>ycdMAt=awZB1nJiWM3@b1Vei`{hCpHM%VA zh%H`eJL`mT!2^e_(+#^^_0M!nShQ5fGk03u-(L^cZod~4Xdv`;;oG&YyCafLM?60| zV^+!@o12@Lf#=$ie|&g&-DP<PZ*5oq8%05#+TY)DKczQI-?C@q()w2K{$&C<;ZJk$ z@ZdN%$I{vHXkLCt-^7N`!Y8zKT1&)Eoz-{EOVs4*Iz1s;!O2~ctLs!?OjP5eO+{0t zg*n`^o<2Q%!Jbd6GJ+yQSp@^X)&A4V+xIz9b={8Lv7*u7!vHjsdf4~uwSDmVDW`ls z|Bvk-LRu4<|LzfZ@VZsOKA(lPVs)Q&V_lC~;Xn0rztl~*w0?z%Bu|V;UeduK`|0Wb zgY5DlO`RL{IxbF&)3~sFQ^yO%L(VQPEs=~)!g^}{^VTqQ#NXbQYs_h?bnMNot*5ty zee3M)HFdpl;`QeA^N;$h-yN7?n0&=XFkLF?^euCRecd}2bK1ClJaOyy#d*b`ZCWwk zPhJM6w8=sX7F=4gW2YqNgoa)Yk6y9PmWK+B&lDDjhrIe_l)uhmm0H}F7Z;f~r=8uU zCgplgB~bO3iCCq-eCVW!6Bl+}=(>=&j$z%J*zI|9HLF}%rt#bTa5yVo=&HX!;&R8C zrQ9#Gr$i*37W<x@a%z_5wgqqYm}#!Ccz^d(3aAy6fV92VgprX;%a>th^c4>W{S^|* zK3}?foLbeoGL*c)2bh$;zUaQbrL{G5^;D(LUjkLPF8!UsbolG_`1rNQI<`%}n7(mq z;$gO6$JpdAU4a`eluNEUJxwqvIjGJgx~kCq@uL2Shl{<Lwt@x)pF@tkT5u3Fb|N7w zK8b<zh9Ia+ERocE(G?e9IQ^aSp%Z<w)(V0ezg7r1E_I#j@iDyiRezv_Md2ftty5%t zJ1!^%^{oGTJwYdAwRqN|#fw)j{h4u5E2>>)W3tcxi}M42#{^A#m3&&Pzy03+T}mxE z8|`zgK%1ocYU{s%_e{*1%D_4`?dbWlo((K(TpF6XraD~mY39gi^74&;wpIU+Q0gN6 zu+{mgI&V9_&TZUsXmeCxSnU;$qM}V&Jle@GE-aKVO6kbT*LkfUzputuSMYE3Cb#*E zl%4vwl=E?L1jrUI5$pb8op;AVMOAg>qV-h^k4LOOA`~4|eN@a@UNJcOuT=CK-`Rcs z4NYB-?<@L)`#xvgK!c+TpC40kU<v~rf7xY_c`AuXX+;NvVfDA1&Dl$(`@;-Eg}qW1 zm0Y-3zV~9i+T8k-jsm66OLRAFai6wDq0+YYSIL<<mcnzb%iC%cr>v`;wP5j5zLQnS z?248CE`n#nf5zP6_70cdcynX2d+?F267ETgTO&Vx`gCP}uU&MKch|dHNiWXlemO7p z`{FWuNi`r~qhQ3Wwc?D))8|*|7ESQ{^@5+haAw}523Jt~rlor_WXRzQs3ThmIwzBj z$&^z|fA5z`uVyJFpPr^GVVV^(S?>Gt`E`fZZojwc+n?|I|MRYF2A{ommi_EMZv8zC z&*#_wliGdj`6u%q{I*{N#Ps8OqVsmLK0iNyf17*kwj(=^1;3ebd;PlR+`GF(rOoq> zRKMSwzG><H>G%IUGuOJbc;)+*di!7hx)aGNn(Y02m&J!-p#m2skr0`W*IpQdT3t6d zK?$!&u%wyAV?iKGR(HV4^V7flI+NMaT>s;+{D%*R`JIDg-TGu!25GI)6npagdGe<x zC$(gs9FxvBdA+DZ!h5>j(QUc6L+0{S>K=a4esP+m+Si-w&HUEy|7VrA>!sS$?5wO+ zD@6-ZQdEv?<1kff=$zkYGAA(L;_^=~f~U#6jC-NYd8M6!b?UExM>3$clmg>sP>>yj z2iY<Gx4wm8onOG`0v0{>y5Y6r;<>>2pI<I^O8NKd>grHkzQ-&2b&HFS{Cjb6@%oMF zdP*KFqJj-B27-Q%j_)dceI<M2->=u>AOHLPKEEq_(<`}i8Y?111$rko$O<o5kaT>y z^L21P^d4yU+jr?j^(qcbUlju;FG%`xDQ}BvprDYYlB=b8{ym<TPDQtKw?ACDd|uE> zt4UtPZ*CY)U8WQ$CzPlpC?@pw<CDq$tMoKJJ-;4TeKsXZNoUE|H_*c?rzR=0dVUpD zRNAuV|G(cm9=1t?4lUdo_U*@u#r+1kx3*;2ov8ja(^B50;X+Wxk+!t(U0eTrILtrc z1i$Cymp3;rzZI_(Ij`aoXUv|8i&MI;EcF)O^Y`2BSGF5f(=X1*Ff-Xb$xOvLs7%V* zr9*HVucvI*n|s&d^qaWYIVUtc7K+$irVBo+R^~g$7w{;v#{x^x?nS;=cP6uV9Js}j zwR)ja_0?}n??zmI{QLd>@ONL|-IZ=^Y?Ls|k*NE9`@WKznp<hi#B~}$&z1G`_;&8x z>D6%IQl83I_ZBhFSV2SQHnm$BFYT24G8VP`i9Et5sI_IC-X*o~FD@=VRp#f%Cs+F= zQ1y&Ntw3SQ^K)}gO`GH2-6m;}(BL)uqKn*Fb3vb!j<wbA_lnOp%MDt8^k4e?+GQ+q zXQ$2Uw5<PXquUv9@&4|$i_6#i?YSt&IiaB#G^2Ls`{~P|6Dk{gIRXMUa)wE%G74oR zfpXc`pO^FmWsF=nCZ6Dt6e?B9O<nr0lS43yS141~I`fi>$8%vpU6%;KMkis_ly%dN zDV3>8xwMGt@_M|<oPXM`YNPYF3G>6_YenzZeC`#pSrWVU&DQ$=|FUZ;KA$x=Og_dV zTB_u%l(nQ|g`JhF_r;0dwkP?2yJmc`MR>1<%2!_#H6^vof2VG~-}PE=&(~|wrq{Ap zzTaxTP0YLY`$HR0B444;$ffmF^=Kui8ggI)9ijWfU;+cD1v6uDlUHq4(~JE#x(ya} zsvbI`F^5Oi>PkpN*dm87^H0~TE$)q*b8%77mM>zRZWn_}Ty6+Fbh(tJV&!rvUS*T3 zu<jNSnT@ME6`s|AmMQ%D@^Z0@!pzm{A1+#I<Ld67d~S}V)+0CJLK8u^OS4p*+|DU) zTQXtYk_{aJ$v(`Njx0MS*1J|)^t9wW&v}h39tSpp4klRhd(n1~I}^k~12soYFK~j6 zZxAxz()wj0BFLS!>ZjtO?kg4(OXNBOF1m2${_WP^*P*}vkI?S2w?T4QZ=1fp?O4ip zYN2!cg8ACNE32v=eLim=p5&R6vu4xW2@?{!R0Q`wF^*LKw#7qOSIK3On+n&n$^LeM zFRk6=P2`vUSj}M*Wu20%zjkrINRR0@wQ04kEfWi$o)Qgy(sgf|fndA}t7n@?-k;m| z|D`2exS)M``=-2%-%s1#oh=19J3tsTR%!;y;tec1Y8O_8YKQh1T3Fn8v^54??R;}u zux;DP-j5gMlr-NQY(Hl3BnlKq1uUR~^5L`>%HV=h5>!x@DVDUecr0*ac_kE*r8Ori zx}~MAcc<6^-l8jqT)#bNZq+Nc0;#r80d<ipb4zA{ntHRQgHDQidj2k`u616Z=Xlg= z_XRGHx(N-NK@(SN%ug4CQ!+282vUrhrN$_fVFVh1;4w7^A7r^4R2^!rpV|)Q-4<G~ zASrs^7C$D?LM@FICcNqI!0Wfpf%eI6zNd2@JS}Gi($rRvIg7<(fg4l(&(rY+_5W&i ztD5BBv+3xUQ3;S|x|BAnnZ@HkA?KRd-C`mkGT;Bbumm~GL>ja-qHUh^WCqTNi44ji zYd9t^(@|4sI;FjSPtr##J<u-qI;KlP^P0Rq-)FW3ubgcM*{8U^*KaZdrvzvmQ~BZ? zP#Z_R_MI<NFKD-R;h!Dg5Q&+^z$!X@U!B}MmN{(<tfI?zdbQhdu!^?tF|+bz0v$bU z#{r5v2PVX*n8$%WP(ELLy1+49W5o{8Vn07nU+i*>DnHoszWxnOUYCuZ_o+BAT?6&Y ze)(i>{KqmYIN;{ybpEvS^LqdN{hj>qQ0s@!pI2Y}`1SSm<g>F(B@B~To}HN){GK`M z^{dV9dwYwNIFW0`1{Rr#46LH=drW`%FsUSfP6<8lQVdG{&_42|MbJL-PK~Da9?!{Y ziipLsK1!$iWUUQII9O6tOib&bx}DuWsgLeAPHk`z*tBZ1$+HsBsK(u?pBzAeask9M zk<EVR!laU54LTv___S%S)j-pF`+h86y7a1~Y0&nsyVBQ0Y;@w}H(K&^`xce=_xA4g zjG1Xuxk)J6Wktlk8p|W`#fv&FK0kBD=gcfqZsW8w0pC(&Y$^oy?c2BPdTDj|ZysM8 zNsEF7p91ZV%)fCXV)75=e?GI#eE;4ET9EZ-=8k)avf;!3H*+~FLa$!^7k|P<$Y=tm zvxLyH1swtbfljVkAzp%6jEs$bd{etxnSz|UJ0cc#aZK&{asAg;hmEZE+?UR4SR9ek z>T*%xU{vH(WSJ!3<RoPDfBx3nwbeyC9NMh!mw$iA`Tb7uwYb;Y&wbB~yB;;0#pA$I zP|38i<btG-LSq=H6X8`*b4HEjOjDN_r<(t~obT~}TvH<U)$Cj)V_Ed1W0jiWwtpV2 zojQUFOR7>IAM0JU>v7gvN2#umzLfOz=v9s>`j&BJ@9yk8TeZ)!V7<yGiN}{7_1k{S z@9W=ld^2Z2z{fX#jI2P#!Uk<dF0Hbo-j7urnD~?&9FICK*x4J(l;-H#(jB@wEb-~7 zso^>OhTB-r&N5x?S=zSl$cgjkk00q2o;Y*n%_Uwg=S@1hyANMk8C>|{f}(2Gi!%Ax ziAiN)N2X3z_fI<1!ujf7^6|dJudl9lbae%V%5R##Mg3&@UFi9hCDN0(Mrz$!uDw?& zJga(Agt3v4LDrQOYW-d7Gcz-NqpDT*ty-nUBW2Q&uf%zy2Xrje!X=6zXMeC`5((L( z|GZ}giw!6}`=43$`$&pd$QfhDo{1S7Q~vz;IL%B(Fg)$toXpJ2hTGoG$dyd*h{$fd zxX5e1U9DcW`J`(KnG0`j%bk7e{aN$-Tee*BSlV%s|8sih!8=XK=XorP^sA3K-d+c4 z(Z7D)AqQTKa$1OkpWi(%Pw&v7LowIft=yPEd6VPB|M!=)?d;?%EiI>=x2^i3U?Nl? z!MJ!q(bky?QBx+&KeljHlnrRVbE>g-4|Dq3tq)$5$v^fH$zIfzxA5it{r2y6Jmw2+ zO`6l6l*evVzwKI6vi^bVv%V&+ug$z}4T`OEdyKO{-rfLe-<lotPzJ@8+K1!!Ep=gQ z0d8flUuo9T(7>>@I5$`K&!0b6?yp|G`s&3E{5BsNn)f~`yXOTuJXRoaje()j&Py=@ zx;ZCSnr-@05SBc(gF_+h<B=O;o2$R)si>+(M)jO|YhU!fTYuk*9PiWpc6;5=M;mgP zKWg6j^jOV)%j;`%e{TGCZcE9v?(O@^dv2S7D$+LPwV*C=LpZ1gn3|b>LFK_WmM0!J zUcAUqoi}58hmNbOD+ecM;cpAchH3!=F0E^~YF#8IF795+bks&9EPU>X1>wo3d&Nwz zt&L{?smz?-YwWruL20U<Q9;=JFN^&j8-}0ic>CZ%g3Xr;&RGlA)cARLY`9gXQaw95 zujl)1Ywfhc<M)1F+*b~2OWpmM{smmNfSMw=TKf4XGjLi=Y>?soeW&>R!bOV?J!<`P z=*N%8{j0ec^@IvU7<E^~ti0>qEgY1ZBon56=wP3$^^^o9cfoFpMgDA;MCFTQHpQ5S zb%0J_)Y6GmcJFK1^6`YG{aowvZD)KZ{!WdTw7R`Edb`?j)z^<F9PgE8&+MPP*Y(9r zlgvrG!l!MNatl9o;`^m6by2-<QP=viwq1|g;>`qF+;X286t4=6VW7d|tdg1uEFK3= zvMljZRa0Y|t{1zh;?hEAb`deLO;$%w?)<pzt!sebMnzTCqggMCr20G5jGiC4A(d>W zd;G`TEn7|sJ#={|e9*;(FOz%H`OnYKgO-?FTpg}|qTzN^6H_STV*lq8xpW1Cmn0p% zRU@*~pMTxd;Ibp-iz|}XO1b^HX!dqua`GRy|8F;n=T2%+b8u{Z&jhMHCpfGI4LF-x z*?BOjJYZt!3DsKTSJ>E6v6A~)aNQl*!{6TCo@%l9cKaP$xnKTF;h+-?-?J0YIZt85 zi=C=Le<#hF)n!@ytRmA)a@%&n1q&YKN~Z4t#a)6ks4n1`yv(D4WsZ}>su0lmmVcg^ z?|=A4WO+)%?5eH>i*9#+Ica}JhqHp2kxT2|gw$gmpd48SD#!d1GN-Y497tqY;`Q|1 z?)S%bzu$NIrY7IwbG<z*HjV*_Zk`9CL0RkC8Dq$xH)zDf3^eHNz?7>L5Et2esr#zN z?uh11r=&t+R=GyHuKg7m7~1}7R#nK=D+`xxle@b0>x$K@-D91VU%i&TaN$PBF}DS= z))95v@~`vR*}Z*ud!KAgZLPqA=gjr>@+BWWyCyX7H(C8qvbeyn=vepS#zFptj{i8` zzUcqZ$H>9?DL+`J<_0{sp9if6GX8Wz1Juwvpb4rlS*^`g8P_XaxN)Onv+7h0owZJh zo>PBC)-2>wO`TrwFv*nZPpzPkNW%gLK`SPK2hZCNFvPR4{8-q$U;ob^7m<nc-#&c) znmJxx{>PU8+cPft{k#5dzlCc|=8^qJr~PVkyK?pV;%)2Xwr=HJv2Lw%ZmzA~)hi2k z?Gg*Us&uWRAh`F`Dyu2sVT*Sy<4crf77)C}82GTUgOl6E$3-FO5c5S#C62c}vnxZz zB;SB1eSbSLz4LBp>iYexZ{B1E&It{dL9?V)ped*dk;YA3QggJlj;}n#HAidJftQcE zHLT)0{Sq5HICxzmjw`gVu`8IU1pMXU<ZJN%{Y~%if_)1apNd}9m~8(v^wsm$?x`A@ zs}KF;4()g+(sE-(-wQF>o^^%?Ur5O|T}TMqy2FQQ?h_7HQT4{>+f)*mLFtHLq8d0P z5plkOw{cFB(Hx6fMs==Jg`aXHv$CWGgavz6_{j=NimmzQ(!yHhlG+|}Yf8+DLp!-e zEyAN3A6@F!&{^5AKfb!;y-e4<jj|Uvds{q8cFtv<9(Jd#VKtYQQB0WMo0+EBV#|DI zUu#JZ3ljq!fPLXYz?$god=n>5%sV{0Z&HIUs9~(?dkftB)dx*;ofbM*;JKierRdxg z#n&mCeNU%qDY_n+{94IbKvuBn+(cQyYla(ot#{miEUp*!@XgL{4K2;%GmD>krM$eU z%2T_mRe58z#iR9$4?L=i%$<2W&C!)xGD%fMg=Ka4dbgycq|-fdkKYwPKc}dy%)HpG zch{r2Q>RW9hzHe=rT@QtDOs{~Y2wF6N6o&TjXUPT61A&D^GS;S+2GC79?$DtE_~AP zLiP7|xkYE=>MpLU29>b)enQTBc@P4+FQse#?8yzMg;%Utb@aNW>WMRYj$wJ6hn%bw z^weZxlMV@p%d*ACU(dW=C_1CGl=p=Gu7=C4;uGQ{y11WCpKwE&>94TT1$#Ru-Xl*x z=`dZ>3W@r}y|+VobNcyXKR!OzovNbL_U6`BZecZ_9X!)&)Rj(LSm><3+Q#snPMLYm zjfS1Y&y_SZUKm+FO8)-t?!-BB-iUGT6bjy{=N<mgWO{!zi?8}yzlNr+yMo2w()L3P zlSqh-`SzY3mMCurzdM#6d=2;gdNwEPv1iBYmb|&j7j(^J4mQpazZA4iG}QEjwubAy zn?fni=ZC*_H2>On<iNeaS1F2m-_;X*114s53M#v8iCVK`)(yq`YvcFJX@{-ZvFh*Y z&;>E8tB#6pPU`L4YVqXOEYoa-%0%tS+G&@z)+}1^DC*||b5JSwAdKbEp+gg<PhVcO zVy8y~%bw{CGVXtlPIP*6TI<NmM_gatiFN+i;qj&8?UTI$Z$v8d4pu&SGXJo7<?{=w zS`y~!$3Vw^l<qN}UM*z6traA>DdVD&PQ(TWR+Y_ODW0$U|J_;cFMqX9Dc4$4Q?sMH zJ9x8CS9kZ-zfYe&eHFJkLrLl6zS`dtX3kvsMmoB)B=y+8zrPLB&PaUS6L@7}da8b= zbJdTB?H@tc7JPbga@sWckN)%SZcJ`ZUDvg-*7JPQ#n0he`hV@(wtjPl?*~Ks>~lMQ z)GifU^7i)j<S#ES`mV}7a_?BL^i|_sT+1!r2E6;Z>|%B`=o~NpRa-nkMRlB0LsQpu zm05|C4v2EdoYg+MpKtEL#^lx)x0R*#?s8S!=gm@S+2L^RrI5^d?PC}3DDk`zZod6H zzJ1@_L$X_}w{H|>+`K^P(4lK<qjf)9m%KiEV`K8h+}mcMmLIPK`$w_}Zq`WfRS}3k zdM-MDZ)^4Yz1Nj)WH`ER=k<I&VataOex(a98aE#+liZk^BYEkctGm0hxp{cv#CW6W z`tf};W=NE-H&hce+q8M})zY8U`|JKr*`WN$+sUaZYj5S(tKn1Ue_JPDxc&DHt>s%D z+p=h^sPJDWsR^q1Za~gZUFs-QP|38ll-I*PqQRD(`@!Sa%}0JneCh3PoX`J!p@nwk z)~~!4vw}O;%yGJM{c7@!h6ok@j#l~fD;C<Sy0@<13W(Cx=};6@zE}BN7Bq2idAWah zsHWS&qdHs>!kj(1IzdN4mjkVd*%`zsw#&$MmG2iDk>uU|kq->FpUn85xAUpk=`&)V z_XsVHNZPhM@uYE7MyB8avpajr-bRJ?Ccj>|KewQ>e-~&pAa9z%E^knrtOJdoYo|8v zo6u0pIY)o}G57dq%6}c~gdQ)xsQ=I^MqD)MzHjE<qb%n{ra$)hCYkb7MDgb`i#sz# z+Wi#gY`v5;fv@I(#tMnswLR(=Ma0BbJ?=g`RVJ<Q@GJ|Zg)eq$TIt+XQfcbi8mU>; z<{cDtDOLUN4DAG$DJxd2*ub;iG;H^Y&%0QU*L3xnemtVFC&Jq?I;!r>w<G>57e#JL z>Ab6*DD%UrP@5f83MD+a(+3)ou<2xA74<)*maNG5nx)HY^@i&Enkh26M-J@U_~O3x zw8N=)AEZu9+!imde_(nftHc#^w#E7Hx?U8#x1M&3H)v^81!(2_(^Xr`<Yz87N<Ae~ zdSqi{!NzOvlGoT>H1nTllWBSM*q-)EhIJkKReW6`n<dhFX84P2Qe%*G?O!eXJ16(U zI_37eyKZkh|L%ic_2Pb!kUfU4duD>1mIDgSUWZFOoI4a6FMY~dQdbtlsoA{#eOF^= z?1Rhma&7fytXjP*<o<;Q=E$En>Mi6wYm*J0U3<roezePbX&|@OwZ7M;+-gxgl9oj( zZT#|mpev@1F6!66rqp;Qnv;ho#5wEorAxDTvP`#MlUVKaWVdrWpXTiHdzI?DN?)gC z@=wYRx~S;g7c=dz>k7l$;`68L#df8hp0=_}D*5QChlksBHwS*%SG48xv$L~9ttacO z%rM+0e^WU!eASbU$K$rVya`IzWp$F^1DJ1smY3{)w6svDfUEJCTf*~sGD}kD9Mb)} zJ1JoQx{Uk#<_6b1T((X5!i5VR`u$HHes=yTG4YD%<F=!VWiMx0AIROH(sXKx=j1D# zR#h)AXRSHwK2f><>q(1WBC@qgegAZtPG4N?K5_p1d`m`;oYNN~cdDJfb<!az{D|bo zRM3{(5E)A+3sck3yZ_&8J`dUz8Ln&RZ}YKbRouq1J)1Wk^?09rul~b>gRACx_;H)e z^C{Unx$0KK%}*J{e!`oM+HH9#3-XQoJW0q#wN?gJ(cPepY6Vh_d)v=HkgqPf;%RIC z{e9m72K(hd5B0uvUa)GH*l)hMEpr4+@*<e>_U_wUYqscWny>-?(%f&&dnU4Y9C!(8 zo!PRMfRAmu16m;e{j995I-_#qBkt)N-Y$N;Vn;}KbbinC4Uzg4wkZ{F(`Q<8ynE2@ zS^mu_B!XSP<CdxOk_C@uRW1xyxgY}?Cv)!n4(d!Xa7L&)IJWAuaqh5h+|qNo!uqd8 z8*kU~2D{ih_6lwnl$hB2=N+5JY`G)7Lcd4i$e-;T^Lq1^El5(HVB*Z=2WmocUf2ot zY6TY~m)5*Vp2r^cvaDM5`or|Q#{=RbyPqtX$HD)Q)8O6@Igc|-pN8I;T*!3Lv2KRl zDt;#Bn!Tc}!H<?LcqH=X&J>m$P*Lq`a0z??QH46F!9BCw?d?C7eU_nJzVA~P#-Eqq z*|~4`Z}uY`IZh9{4_8_gJ<>_5Q5W31yY2Q7wcZ%e3G>;;k7QLItYXnvu_G((g*d3J z+yQEN=S@)A<-w%JG+##Nz_~9uJyN&WZFjj$;O8_^R5+Y<|9-U(?;6><V4as1ptD}s z9iEA)B!HLkuuMw>O-&t;<_HM**rsFb=CAbO+q|5Vc^U^-+!ng|=&@(#)<T_2Oj~|m z*5P>}xw0?(*(T6%^|z<quT>KKnM6X~cuoi1R^xHtFsMK9fyLOSU+Kek{(T2$PV(xJ zE3>Vsy;U8O!*lE#%d1z?AMVXN+|kQ%sP_K5+~2$z7o85ovP=cFpO!31`0(Ih#j}~| z0wN+QoeMW@66zM$2i2z=la6w!sH+<vR(T)_N`0=gB*EvP+yHHT{#~#%RA_@~qj{OU zvu7o*8~^L{?8{r8KV&I;YuVHxQ12sq_4r}s(zuE=N7o}=SFVIS4e;>b2wNZ5d*q1A zZYzzZ6YFAk8zdfTS^aKv>S?jBf45{_*4zF5&dy@csMs`}$fgq~Ja+%e=6?L>{hOPc zugVKH^ehU9iIKUsHafl2`O&@I<?mCSCh7iJ!24az!SU$c&+hNR;klB<$=P}0{Q2wu zwf1+jcpNb0%=!Id$10zl)<4;<mrRJ;Y13GKdB5B94h5fmo2Q@t{i=^IYIQ*27yS=P zk4{X|n!I}L*6iy^XJ#0NvCdnwMn{$9>`de1x|bA0&6Q@In1A4agXr%I3!SG%sQlTy zdGl3M-7PQP-%+x#dT9U}rf!=h4IV(bqATBf?pbA534e9^?2wQ`T&%RGo|G?Z!= zzVY#*<DD57ILq(fI{dJ@`d8aE&_R4|JrWNmT$8+e$~gO)&g!Y^v;J?@3RoAm_fePS zlpUa=Tri+VRO8d#YipxJUAxwpW?yso^n`D!`MMLgCf6=n@aW{tRPZ$+3F)Au!87BT z=K@|9qdu|3-|FfHg;yL(I6h=ItJ--n9a#D*YR}H!3~D^@JD2Rc=#u0N88vh57E3(X z#F{m|^7FHm^Dq5cZ2$e`<>j8gJ3Bx}2ZZ16Hc(n?UA3&UX4+2mB=>ca&u4pto}8V( zuk+9$C!cvXKaCjOZ%-AQu`+o1qYDe2r)i1ZHBz3s$~gU;OJ3f(O&@=~UcWk0>)JQd zUz$@PXY5U#K0W%+bghZnvF3}+e<kRuUVFCp#qYBB_j;EsS#o++@RRD~)_~AZQ6uo^ znuc`R++{YPg3te?%46_Ax4OWB1&>%>+?m8O%W=VyH$q`~x7rKKzqsd=WEjtTpsP}l z%f#xMdgJFuMV(oN#!61sF~1q_UDRPpb37U$tJoaxYI0*kA~R?qO787#E4@0G&#Us{ z)VsT@G<cDVk5A7p&GXBaE{$~I&%D^#-+#PazAi&h`-_cOX6ns7mBw`+n&nsAR8Mm} zdV?)xp1D%e@k>j+LFZIn{g<2<xM|yZvAiRBadC2CYol7HYKK4C`~BYLE!T7I@00!e z>+9wlS8~mdy*}G?t7-O`jVBhL&27v&Xtw97GbkrtIAWv&8eAy=oj%mJ;Eb)uf~PET zRocRH7dsUO-`_FK{*1cE11*+)R#jZBN1x6pec$^eMVaYZ+a)y>m55vUE-IDJ=ayes z?9T5q-!3-P`$d_EpwyNZ31#js6U5^x7%yJDSn*<E`>Z*ql)t~K)Cdd=oYp0wyG<g! z<HX)$W%57QXlL|%KV7;~XXCc@g>ju*&Pu$U&8i}MeCoQ}9J`P9MDjd3BN8qAEvH%} z#KwAm54a)o1~lUl`|*+m=a#Mm$JzZJcdt?Ekv<q-5zRV*KX&qgb6b3PJbMnwGHc$5 z6%I4bGvLxXRwl0-=CQS9%M%ao-k8bfm3DYU_y38#mAhsx(-KzBzjH2%+M2t@8_!cq z$qnn;`01#Pwkr$AV)<vaXPH{>RDXDI@U-8>jcPnITV=BsO`LN{IoJK#wX0EeU;aL` zT@$*-M7ej*QEyOqUOHl&0}9U#tc+Y*+ZK38t0Y)6nQr|W@#|LH9pguCF77P1&l%`W zY-n@{^klu~clyXC_O?U!&$s8?@;IQeA|m_y#H<OL!OK=i%DEkM)$(3f=Ce^O?u@(4 zZ2!j-&+q!{w#xlXu5;D2&MhJ*&j@brSj;N_Q&ZRLS?$?kzpynCiKRE*O=bIdtJ-0G zq(ahef9d!P{pvYQUDH+PJOq!<!aLQC+k|iIRA+BpYWm}vfL#9VvRPXSOqu@wd1SHZ z@Sj5eA{)o86C1=r)@+^CF$1(O-c;E22$$}T9vMp^(7vqqb>FQ`y<N3JdcL1h2+dCF zzBzA4o>*be{M2ima%I}pe3C{ko%&Vxy2Rv<eD|EJwsNN4v)Z$t!+Q$DLP8$gt9~E4 z{JBKyjPUr{tFOMAE!y^LN1%hzcD0+y_P4(1fO?+V^LyGrO+y(_WIGoY8w>5wYP_|p zYRR5G?3edhODvSNG?=o!yOwiG?4B0g_iv7OxwjoWKRr|{ccCumEIUn2O)=wNEHcGk zTkKTb<qLCi?iy~}K4Z1dmJY?`?_YeAv%L_u?&PMj*qn3o?d7?}^<37+?N!)sBWGE3 z<k9Mo*(WX@2F**~XJunElk8f}Z1ij8_laE6$-Dc$#UD|~zU~^Z>AH}ix~ZvX(X5NR zN?%vlY})Hky&#rLOKwI;8~A3#KF|<b;F6vEoH4T<ZcYDbF(<lhci!vjx3eqRId3!^ z_&s0l=vP}O!z6+9m0MVsS8C)&=X{J5QeZp|8Xk_gcL#h<lT8-`t7yNMdUIhh)A`(! z2Odc8TKv28VV>N{t#{8`)`{$|O|tv<^WpA{j0}hQN2EWTp0fPnYJa6~_5bvJ=KOk` zE&Wh7SFPZakLI`fTA_Y_@2t(b`DPA|M`v$7f8*|423FDX^9p~#*Y~M|tabTuXBG<& z%emMcEur~uj{EPozw&rz&D4*Uax<*N?(Z(=diF0%(#h#?;hz_YI^LB$^Xq^4>-;!t zTv74OiM##SF}F7rpY<jF-sR-rdiUaEjg0IA`TzUGxtH;|rAXX96LorClUMf1kCs9O zcA&Mt=NTc>h}%K;(&YxbAGhr}u-}Ng;K7f|JEhT!+Fh@i%=xv;N@vDj<tJ(N&DZ}= z>)NovZ<_R}(|QTtm?uxPNeU5meEPaM{?Ss?{YN+Nub<o0C3~;$yZzZi2jb=y{O;XY zw&9(un&yfM_j!^_(?K4bS(zFF9#jwjRiaHZXHNiC+xMon^2s(81mD-YzUTVf{1t0< zbhM}UxTmHbO>OEr^7SM8`$s=E9{zFi{IgXC-CXAr&N&BP+*ez@XHzCStEjcg|J93^ z7p`0-bb0^dn@Mrm7oR?R=G139&p4egZ}(fX)oB_lwoKETugv&dc)@~4e`GFzhgb@t znM6YFn3a~?In1%<*Xg=DRl!V2i=AzCHZ6PT@!Rjz9rlm=>;Fy`@~xY>Nk-O+B`QzL zD!Re{vi%`j`x$ME+l@bdp6_$y<ebOT-tRtAdi>gdZ}*bt5m&BWd(_*z{=w7x|M^<e z{SIG0&wshzhPznIuCq_^|Fejye=^(tJ?+2oZTkO%r?#$tc-i0X!29{pZNJlOI#m7F z2cGv}Ju|sm?&$RRH=&2l&L|ZA_<DJ~L&=#^xw+i3F^++f-|D9J9)B<YM)c!06A!_V zCmzZ1@$o$Jb~65UKcA!?;$odTE$HcAl?PU!_N$-X3r=wRRhE%UD{s1y)tiMZV)-|k zf4}o{Dp;_;-R;Ny4WIm<K2rbQ>v*m+`Gwql?$dSO+3%RusMOTx+3yMu-*V#eF)mTT z;CVikJnDV22RG~Q>$|bz-H!)%w{LuKQ9kLy+3ksUv!fqAf8T%p+mgi7^UV^@AAg^G zt6x6Q?@e90wUw*OqyGFoi_^CKd0Kz%@yV&Lr}Tc=5<9~@o9)+qlZcxfoV=fZ)P#gw zeX#z0TEfxuZIv}^)^Y~0OqI^xBPgyP7t&w;=7!+OlP7&!M7gxqDxOaFIA98ziR`tx zzzr(u3%r>W6%{o!Gy?uNodDg;Yr|ArKff*VyXxZF-DT&B4>elP*R*JTx_@4G?EmlO zTVH=Q=R1-=FRpoZJD<BXbF((5)+MPgH<%}HOuWo@XIjnjqo2dC*>;Ee>mN(zo)=I* zMd0)N?5Z1ULr$JJ>6G+)Bm4Wqb6QVNDEV9;Z7KHek@}C{_sx?2&cAmkw*3BM2d#5A zS1sHqcz*vcv$R_MI7<O(>F(F-ewUY?n`xYW#a?;O&s~uL7b7Rt=kZCK@$CQib^XT5 z&uM!;9O6FbsZb7DP6Jvyk$dQPJGh0r8&s*i`(s>nUGc*WZuy7e-|J78$`m!IE9JcL z{m-#K@<Yecwa<5d_c;`Nes9Z$4;)V$O&wj?Zl9}tQYUlfMtbJ|i|?L2op<0$^}B%c z`Tu^izYq~z$}-j7=D&$q{(lbhdtdHvo2LJ5S@N+XyA{5z(fyq1%;)fEi>_Q<j-p=8 z=Y_Z8SLr_KU&AYHw!;2i&0nvkE(1_+{;hrOuP>QOD{MZWG4}BF4ZZVZ{^r%;>%(%L zb0Z@oBWIZB%bhxX+OXn7!U?(EWp59?xVTtQMC6LtWwZLQn%G?>n$vWn(>kM#FYe2p zzdh$>)86Xut14o2`DQmSbes-a(x7_TX}L!Oi^l<5P*nHZT=YJ#{vcOW>sZgV>(?L8 zm5u&*<k0-bNtH9Lo<8TVzq5?(LX>dGx#=RwzrOEZyYKSnkS%+=x}R1Q{IaN-Tq=>j zXKq_u?YjMo7JNEbZM`w&pmN3IxBVB_y8EB6Ke<j|VaSR#|D&z0{Yh%MZnPun!8Mkt zA3hX>p8R|z*gx^o5>KxNqwp15Tr@1o-^rw%pV#~G@$uwaTQX10J$1@Ub%xIj1IDyQ zr*3WIv@;v-y!Se}JLpYO>@>q;N4v#At2Xr{+qDgs>VE@`px5POf(Kk|=7A~|e?#UH zVWxW~&aSsI_gN<zF)>-0oa~#oc2Qr*zih3xyM@z39hdHSp|h;#w^&xzs;LW#VspZ~ zWpxicc_y>HrhF-vs9=cP-<K*mRiA5lBxk)*%kO%7_X*F`)yAe=T4{=|_L;r`6T7+v zmEBfUYOjq{vMYIiFV=Scym@_Vqqm!_d^90@Q&jeTQ#;e|l8fK}k`(M{IeBKLv2Lh# zQSRAUrd|`edU|r!Ro-)lUR!FB*#l}3?CEA;6@7ood~s<k)4ifo{V54wyHt1N|MI`M zwfayhXJzGEuCISj*Z;HL_jhh#80*wmue@jcy?pY=kLHl>4@p0lzO_GYbUNMk$TzR1 zE}m6?PfjuX@vyl+@ni6L^O<**7M;D$Zd`DD`|%y`ypxsBSDZS(l~pwJ<;%Ofw=Y#X z`llr_U}BfBWme_ECe~MBnO6hf|9f?H+WDklmbRWAo+V3`DCp_&y}q{Axv+5K(yi`! zaT}9Z7cE+J#WY(~b61pWi|ER46P9W_F4^lgZ!+VTr084QT{;Bqk1Pv0TJrAB&8*$M z>t>xie||gHi95TJ_hkP5_ICPKrOx@F)3@zjU6Z@%{7Bw3YfA6Ev{{Oc(?GMKMQJrn zpg{3>U=LbC8LGf|U17t^y*eyxY!8?1i;X#~XkDe~9AEv_@5tf)zpFYs6pceu7Q9(z z|L>sDdzbJzSIn<GzIxVL@Q$HN<+uL*|3&xLq*$dqJM~#VH{`hd=^*2fWBmu-RPH~x zMgDI!Uk8Vl{+gOco4lqJA8q~d@JOIV-5ZXu_4ixe_sw7PXQJ4Wv+MV_9NeyEK67W1 zyNaLb->VlduUNI}NYDE%xyRarn>T!ZW?la7$d1Ct>vnWU1{j7<=_pW0ItCk$o~ZcN zNomK49MR1;5`1ee9O@KHa+z|WZ1Lj7fgvGFj&K#Lc5J)4*qvWRMaALxr<>{XSC%cE z9#_TbJxyn0b!*4YyT{LIF0K^H0IhmH>vmKcRDw0IfG)QDFc%asAJo%lD>5)BFnGE+ zhO9rsBx6x0SAO@|&;3_k1(&$>|Fsj-i(J{~H|^Wp?f-tI>qP(IKI0wP$6mkh!Tm?a z>e(*T{i$1JRl0o378dg_@+($FKe_Q_e{PlN=I8p2JfTw;PnrJjRiH;{r`@L?mzHYj z#QxB@_v`k2!}N!ff80#ozo|oi($DhpfBMgCY(nS$QdZ~Xl_=rndvm}0qwxFfKORWs zcl_N`@&B?8&zq~9+wV(c@AtL2vneuQ;wfQ4{V!_-1S3G>+Z`(mYk!r5PEE{tXxPmg zE+oIEQ>*FPjkpxC<RjM>I=2^`*4>`)<HJMHG8n6y{!#f$q>kP3N?9MWHg>mI^0A)G z&joSSKizlV^KNMB`u=R*M9{RO!)(yhwXu_w0^@7}i}Hs;VS6{q+yDI+F#ASvs<QR{ zD(3P&sc!$v_J@9%o`3LQ`tK>bIrj<~J`eYQ^zQv%20J^uX#z(4HI43dCF`^PM{a(o z4_a5^y=2zYr(3lH%NB|(Ong|8a!%>YkC%&ITu>BnP3JbK%rWwNK7Y%NU0=Uh>#TM7 z^(g)SFNxD)XOC@Mvwmvt{PVGEZZ(u#?bhDBr8U3)&+j;$8CDV#6MfTf-8i&u`wd8! zu69#DhaBkqLDTGMLLxm)-8&b#*m*BK`Q+r}t2I;Z?k-o>)I1sFm?Y<+{kzj+(Q2O~ z+jL^rF1p=NQhgD$ZsXQOHeRVKNBE1^-MaKC`Rufd?)jDa63ao8FOTFZ7flB@6DNV1 zF)2|mrc4*A$n$1nyMICU?t1R;K}<ZiFS7W}(>(fbz5k<+nVx<3ZY}<13|X>qV1`j@ z*DTQ_v6wYme}hhc09|#e)U|VyGq>Ka6q%d)y1Krc8lTE%9#<+3cj*zl?G_z%W8(bK z(9mg?`nk53eny=%`BkyD`ll_Y#VpWDG~wrUV8=Lu#>N7SB)e`4|9Ek_M&_jM;n?k8 zOMgd5G*k=xNO&Lk<+WUwVDqCphZDuW261bpZFIf^8W%5+v@BYZb+GdN-tQqH$AoKL z6ed<`o0^)wvPgUUAfhskMNm>__3GFsCJXES{z|;O%y-3#6#?hFB#J*h@$9~lw64YQ zcjEfsvNNLQdb6B%Jv|C?M&Tz(D^SyJ3us%xHlZh<L0#RgrC&WNEBTkzmK!)(Z~A+v zEG6@#RO6=Z=^LKT{TuP|gu#!W|F$3P+7jjGz#=O7LF=kw)yX{qE2TD?$*2DP^>x)> z_p~(~7L#Vp%37&)asJbvox<v%a}vCCCSI;Ob^5g8|2x-`y2P}<y}!TSk8@IR^^>1^ zvAZ60s?Q5p{_4fz*=x^+8UDKXX~p`#E$e1nEPr)sX14uFYmWnkpwZ_(({k`!azCgh zd>nMD;m(``w_jRn><egq=v=k4Ql;enRn9-3yB~M(eLik`^5eR9t4{w;m-Bl4iSM$b zkOCt&Xi=#os8!Iw5(PT3WA(+4jzSx_8)x0B(y7hWe<xX}yhyp}?I!l#NhrPh{;Qg~ zwaOYRwoFx+ug(Y_ue14f2V4=~0ae7?{S}!%I55e}pExcac~rvuksE(cpW;rY#<`g@ zB_>JqTC<fEvX<Yu$IhjdH{Xfv0j}VeXL^1AluJnp2b)^i*7IyzUTtOBXEm$;&?BQW zyQVkIT^q;5rL_%oeBy%&P~YeL9Bc5Hz;V#fmf^}21vxj=k*yW&uEz`JxGq?*g2&q4 zuEo_j&&@@HUH-Nfzeq@q>!dP|10O-*I?u8ke92qC;)RXL?sIIb#SR{vbM=9tXR3mn zv%=#H#clPIdOH`hEpl#{fBeW%hdIs<f=YGo-Kk{|Zu{;MbU)*{#lwZWg91K+2BX%4 z2IRFbolyW4-UsYJtESqu*G_6!%dzKoq@K~{^T~haGUudDD%&&VKwm%Kqhl=`cWSfC z^;Hx0Z_r$EqhD8da>HKG$^rAlTi{+TKWN0ZdGhHCHf;yC_4hsY@l<{1x9?zbQj$WS z>W6pp)?eHiETDG(<l`N09q-L-@~Wz`3JMAW?SKR|E;+ckHo13f@nn(%6`04DO=|>? zx&8&&V4wWXfoYq<hScwdbM{9xU)=tEbF1a84@ykCzsWedbl5NJd-d2g(Aq3y^8%$q zZdqBYj&_P_hbdTE-pupMy}8Nt<~*}psZE<U8RXxynKFHPw9B;E-DQbiUR=~u-`24n zw98^s>gfqHW^|l7<)st1N8<PQ_wJBE>Gl&RJVa+7*-`#JuFI{gx_b}kG@18jZIwYj zZZrcm4ANRm9GLi&L1Xlej-IOfjNerK__d|{#=bizY&ox7HGi<zwp~zKu;ur@ySHtd z3xwCN4PDW(A}Au_g#aVyMtTY3w4Oz&A{twE7$qO$QQfxVmQk0=quCvr&h31m`%abL zym|B0EU~CB<?F=Q{}$$hda~BgeMWOYLpH%5i;IOa3>&w9Pf`Bt!s&K*TE~Y82gK~p zv+rqk$gC7CtDn`%Xsc|P7qO?D<?RuTvrS!M44_q<*H4LX{zMv@2kqN?eQm9;i%cfx zq}20VGp{WYzqq9O`@2)-#jIUF)+P)7&c3#$vEZ7h_S(CX&rfUs?JnIX3@R=inAU<e z*k66|LxIzx_kcP(_XcBI>2lt;%|G-6{XREK?^bJ^b6K^b{=H$3x8H#ej2qXzT+&{d zDi*TDDCO#^(1@KyPeoZ7lA|xIiQGIZWI~6BQT4YR(1w^tM><cOIRm~eJ-SCnQ2yHb z`1QAvmif)?a&G5a>D9M7bjgeNE_)_aZ<YP2`8j-vuZD?9$kv-VH#RUTWvq$YD-{?R zcxw8&xz^EZw;BG*(p?)q`|YOM-(^?3R8B|CT~vEn*Df?P^u&o12UY|wws~_l(PACw zyd~|FMmx})SHo=3NXD|x#kb@9AIuJ3dgDu+*srfW2PeF)%<8_rU*JFEhocWCTNEu4 zFy1%0<6i9H&ZCYjXPQo3eO8;n2)c&T%VlDgm>_87=Smjg&oP3Vb$5ny3*4H<A-9Xk zm0P{`*O!eP3PtlfR4!h;sG+5`Y0JeK-|y}yRId8+qOqj~bW*bL<72&tLHDG1PF8!k zbb4G+sKK`t0*1$RK1x0=36R>^J00Z2rJd<FKrxZP4O(C1IMK}GfF*2dtzhFOvBQ(9 zHnE<t%q-}PU3ygA_A!f7)1QL>E9^LUK9q9q-*c36@q(hYmGakGn0A^a9pU)$`Z|BN znC`AMQmz)Wo_C!vP2Sxf_+o?Fs*H(F-vmFpz7u40)pCB4q9B;J<kHh=(Rl|yJUkpK zJ@Joq6Nl~5qerK26}oA(J?-L)D4yJ%=DDCnvHO@o?n6!~J9rwm==(o@>))qb8NihH z=CBz5bkCk<8Ow?{Cf{miHdjxoPI3vF(_Hq{%#F#-@o3c6)_vD!F(_T%(xJ5ccE(4S zI;qv+OTN0D_qzC~bIa2uOP6W}rhI>Q*Tc(eQ^<rFx9iVTOSj7ECS+W6y_FqT^U?L` z^#zX2AzM2_)<#I@+@3Z~{_IhUhOl+9y^8m3gf^Ih29lxooIL<-G^+^QB)Q66SYuxS z=WRQyzAo>rJH8&Ph!Gckbn&B+#RH#0OS{u&91Ga(eRgdYUv$VypnEch1gmKFTRWwQ z6BmyLH72d$5-i_3!=U`#9ksRgpXPF?8C`bycj7>#?!1hPj?d<G%uo_l|FuNkHvMSK z(?pT@C+{^iH7^{uoSnW;!e3+3Pt|9uB%_nf<bJPlmHoLgeeaDb`&-J4%And&9=&!f z@MM}VYu7!udehYnw`Tt?cTZ@T@BPbjd6YkB&NyDis`Jfd)gSjZu<-2NSNyv7qvvda z3r(k{MunDm_lL}sXusMuVMFq9KGhj4Y;0PLj`Mkzu%ACwc%tZT#Vg;qxOq}u+SyM| zOnh;F|NdF|Nis6p-%sx|iU@NNOOC#{%y)J`U|{3M<m0QlrcGA&k2)gt*++cu`ZvmJ z9lp(2m?T!cr6Z+(Z_cCwsgD|<A!n_*Jdh!0P*I-@x(uX%1^fKH^0sGkWh$ui_o1@w zclt87Dt~-7Tg=gwZM)ai_N}VRLFbAvHHvvXvHQ$vaqRt*C-RX>b57JM&G0zgzlHVW z^PiueCqF#Y`r_(p@stC(j*gA18YZrq`sq_qOG`_{5fR-lCpJIo=GiFPxYT>PUd5wM z^^mJ)uBNT-;D0u`W0wE&%JNsi{XJ`DeOGy})VNJ>!GcR>bv5Aa7JESL#`S*o&EE@{ zmOj1e@%N32QJ+}Shp&YaGbGsOe|u|dlXaeZ{l0~a@u_o<iMRVJuFU5-cITGtLq*1U z;wz-xQ|z7|?{!}ITIE40sI?b+O40z-+PeWdzvTADC8|ONp-iqzLvQSTKSd~v?OU(w z|9cxb_`Z~fmcP7S&jA`wyI{_0QLx2<Q*&|NyRH`>96l8~f=*B{&@Aa>ndRBg<Ynym z(F`<#rqFmAbVgUSBhx+S2Q`(ZcBf9b{|f)<(bMm?zvZ>Xo@=a^^$(}@r_6T@^Antu z-*tGdXW@$@T_WOVuGGgaTJY%7%Z0@%;Nuy7G=Yjo2F@+B9102yy1KdqL`1G+NrP7m z@8!61%~auU0^>IsoreDP{J)MJS1`0=tFy~tFA7u3el0y=YHY{iovka@uRgwkaifQv z0JnYovm=p~nJ4#&ghV-Yf1lI<xo{G(EKOs@j?i5%bU1I!IdE}L(4W^ABxNkZI`-Kv zy0|)eij`u=X+xGGzvΜtczqi6th?m$-B9ztxwwV((_0-PwJXBOqX-q?eQuW4rKz z1&<1z+yU<rw*gIgpFgRptk}3sV8yz%$Hm>bHtMeySl+FDVRy93qsK}YmA3^)pZOH2 z%~HgDPWky4%bMa(GP_(@A3r-H!ShnQnZaKEQ&BLeN;WAhna#ow5b!V?yzNQlLKc%q zNK|hh|D=ZFLK=G`diD2f9y^$S?%|W0Mk+^2owlD7d?6-%E^*u16T5h(pM@{#bN_yB zp~MAirsgVl<vq&&uQOSLrdPK#b)8<?!Z*<Yv{@q4OzR$aVX;aAcu_P9=Y#`SLCN6J z>p4OxY10+7x3eTF$_j`J_vslXc69SK>!;Vmw5?yaj`63fc)_j@OrN;D3m5(o5~_B2 z0BY0;$TvQ4u-{iA=XL<2RW_&dS>k)K!yCc7>(kH3f=ZnO$(#WJA8%YSG5|Hn=NPA+ zu!`(Tu3TzS_=_n?si`nFg=3Mj(*?^-%7?6~?r+?Ox<ph%XQjh=y~G!nb!9s59u};R zT@hR4xOkoO0i6{c8z#=0l~q`9v`f^(&u`o8!_nJv0=F&qot<`5SzDX?^Ru(gm6bbp zi3tfcU0ofnY-o5fug>Duh9f&lUIytzZ}T}?`tFV;=sd%pKYvc=^qC|a*)6VLwq^F6 z^G89eeLu2Xv;}2?f>coEu(bZt%Mvr+!OwA~P?(>{l-1uB%(}&<y2+)r+$E*LK<RLk zprBYs+1pz?>kO`c*syV<psM()f_F}==ca}&*zskNkpG{*w^p0Yo3~NX%cHY@X~Uy$ zC-V{+u4#ol5&v=MkW+g|FKF9W^0A(c9jcqQhpdxe6%mXrdvl|)^z}7GBcn}`f^|Qi zPUqm^+0x08>>YipvNQAjy}ez9p`lZSf}iwvgcs>5Dmr%hL}gsOZ>n8!?};g>bnsmz zsR1q>K;4<!#!Fp=KBO_Fike!4hV%uuik{G3<=}YHRb*<|qTmPxB`0RVdxji}2N^kd zIbAXe8h-8eufA?6wFA%kWxb2Cg5sh*d9d}%_4$65$?OSYAzIqnp{hrooSghNYNpZ$ zR~_hzjzuj(f<k<9HWf1q7P+>5e0<z|Wy;PVrl<dSrOguF-PxI&r@U#Y?&AGQD@xwq zyQ{R|MENcz*L|nYoeP`)cB_4S9qy&&3R7j%y|n@(qFNVy4z;M>$8}VERX|V~r?_62 z@`3x}ijIsNTwh!Z+8J1W{8ltLAkN5G_u|2OZhL!qmLDh2fBW$HYvcNU{+e6coBRE% zw@m&o*%dD(-RNerXx~1@ue`l0R<C!@jkV3nmJW!GZohS_DrVJ6=h9N$RjXbH#0GbF zd0$<zW|ebgs_CliR|3}z83Y%~3W&;e%-G;?#Dzsk%|>9Za+A%XOUG8n*|D=`i-jx^ zO@*~&S3CCPI&Mxoo267F5go4PH>cyw86Ta94F`fWm68tr`ubW>T)Z8+q{7Q$!YYxC z*=x7DciVtk{M9p8NAw)(;n3Wb>Y27#MOF3T*6VS>p$0);7k<BXRZn+g>b%cMdA>%= zeZK7pntkru+uMd!UtXME6?~*^<5Bl-6S$^1HnUm2=zU=J4z$+KI<<QrxbN8wTD+3P zxzJkWK`YBC?Q8{g?y0eB93xYCwe+qoTI1Bx7Znn6^<j{&t=`pZ3zsdEE80^W8*BSY z>Ywf`6BDyVYo6%zy}RG5SneDC$m@66f3fJA+uNJhukSFl`|$m{<AVA6On+(x?i>u~ zZR6$q<b2%0zJ=|l@|MN>tqRpuI<Bh4{ovYWnX-97QjRMp7gtu&{TNLpr}jUe?2DiI zsLq)2ylF<RWGhp$c6W!IlFgALM<PTOwiJN213&Hmv9<WQUw43Vl226Btf!GT(~oor zPUDjPS@Y-!=bh5)u`>)3n|AphnR)F-mT|#k#*eW_WOYBxII0}|-o1LBYeQ34`qRl5 zLDf|QXeCCWMqZv9lS%?R)BKuGomNku%>Vyqx$K(DDx5L18v+A%Ueo`4t!;7E$3^k` z>ms&fTui;H@u)Fms>JPxC$l!LTC!tD1n)x8g@<o3#8j4DUgkUPm8f8ZS>Bx$@5Bp_ zYAl#>yJO8(Zprj1TaH}Ynz`){pK<UxpG-^V{JN&5F7x#H8^ObX(x72LWd}PyCY1*z zOrU!i9{1a?yCu8B<A5YfPgB><Lq}fpN3OWAs6#9eGy;=%efd|3)!~a4Et+-4YvS*o zP}T74?-!X4H!w2KIwNthdS<OyboBPTtvpvu!`IJX<$kQ`e0@{uIsfRWx-GhkcP!p1 zp&d5oqhEplchGspwMDM};7<Qj(3H#0DHjxl6dJ{Zeq7)Gul48W=guvndO`(kjEff} zt-b5sGBI{{nWI)fPpIOf)$6P#K3sq7n*3&if+r^?s_9xrbzBhDe0JWA@0sIPw}s21 zx99oZswvspWvA%=X2$LM9>Z7fm!__?-1cUo{NnoSs{}S3|F`AkJ<vd3`M(#opn}W- zw1#u}qvy|59GJ`$6pk-DH`ADXe%-H?ylXD9aBi8?AQrOj%jrG;TH{yw^c>FWb(cK- z@yM~sjb9!_+D^F|VLEC0^yO@aPf0<Rqps^SpZ5IxJlpD<I)aZ)E05g(oth!@{?5i^ z_tK=kGf9H=>b|pD?%atn{c=^~%Z%q!w;Y*vCT`<#qp%Y(xplp^-<J7)2kmbCTYQ28 zT-RlS#$9-A&D9u%HV8JJxBJc0Z~INcCE}qHW4eHVqbm!?%%{n@Co^rT5>|m4pO%it zD_-?}w6^@|K8-`YXueFh&T(b$=m|B`R;_8}7GD&;K5jxh$I}xjx-VW_Q>?sK(=;JQ zIMC&{*8S5T4;8KIztPj*zddBliA={$DQ4c4l{<g!zWIFGjY$i4e~UP_<Lp0t>oyb^ ztp#pu&!7Km>BrCK?YB3V&QfX27B-mwsraq>o#%YXU)z6I`1*S;_zBuKJYV!Zcq~cC zKyX4_rlg+w(nB$z4%&l0mM8m<+5h=)SRk>rn`MsUfnZkA&lAs`OE_)oc>ol3H)b2W z1*PH!@S^8~CsQ+29;mVy85w;zz|6lQj02o_{6#`~*4iAKrkeiY={#I38-+eFF@dgH z?XUln+_B-1E7LiJ2c1n_#ui2XpajUJb#B2qTX0GR-3I+}f{PK4(1#?Z_}Z_cPfmmh zhJmw-OTa@VMxlauCTX)Ahuz1H9drB6+X&v|!@z0L%)ly|9`JmgiUZR&l?gLvcK&|9 zUtVcV0cdQAfpdaGHt3uNUQ;_~CKU&!I6u(x|NRU+^I2>f4lHzTH%L7t0(KWDU>um% zDmpkWHNEnK2b69rKqqa*{bMqy5&FQu)Xpz|Y>DS&g+pB6MWhoLIJdMhuui=e@Yoon z_rX&ZjTIF?xet8sJiyE$V_C%V{a&>`I2D0fBnpk!Ko^BbWz~SYMSDQY_4Vr+CG0pW z7#bJ5_b2`N@ev#w9^gg2QO*rbUfC-?N`mx$C<5(0{ll8@L*)S@OP{QD+xy!0)kLb6 zx9>AdKIQ<*@Zhy~LK(b_Tv}@v9!m$=bO6*@`1nb@;o;;4b^(jRM=Wmra=f5m2Rp-K z0jNc?rA=x+_$X0*P`)`G&(P-2B<G-@uFih{-?#0GL<Pu`XU~$3bO?eSJ;(7uy8Z8& z#@n8PBF#)`L*ijJ(0MREv%t3GgO>Wt-gGv?^MEnulc!G;e|&fd)#t!6RXncZpk#Eh zzmP(snb41?`t_V#TDdJJj)T(>Vzbo;8K&iaa}T}UexDEIn*)-dOx|quU4`*BXgi2> z-xlzm_c%`l0|SBQ=jXe(^U3Zps$=tIQhD%?#c#e{Z*=}%QBX2!Fa{N&Y^Co!nYMw7 zRx_E*R?sZro=FU>qU(LEo7EWml>!0+8Wf$|z`<Mqx|;-?tPkMYs2a#}Zk}zoV>6p0 zC|(NK7}L45UcP+k;puts!NKN_XN=D~L{+ZevMuLk6KI?LnHh!=dnyVy2flnhYqR_2 z-cN2q1%jX!u8JV&;F$)NJD|~*@-tGkYK%e#LZAb)8yK0vDb=F6Au>QPQQ6e=>Z4O# zqS_PY%*ipo?($)RY3?nPl+@J3dwVK1^!2xQ=s>6Tr`}NdUiSXp-kbkA5;m8<4m&g7 zUjDrOe;eq^-r2{L?B3qpeO-xTu5~%z=JfM!b#?zzohELWdR55r#IkdjW*i5#LJBog z!F%l=Sbzq{kI%B6$iR7{-J!71FmK00HgJYJr;xDL$+hm|^zu(pp~~Anl)t^Tb=51E zYtYr0Dr#y`N2W>|r)g|lx@nUTXjt+`wy%icZ=FNO7P)qBNI1xp>VIu|d>!Y{a`wMF z*Z%AFSL)-DwF>#U;;4vVh)avmd?6vBHFejo?fq%P$pIQr;RmI7<mm<lMsY=j{S7De z%b^iHgH=>l$Y-9-&YM#e)_#q?_y6hX>8npoRCe!MktMXaLs?X9lgpe5ucr1&n`<$G zHo)H!Unz6)+_`Nb%_riD_Pn{Xvv~H`n&Kp*ZkuOZB8&OI-Pmtw_et(NXxM!7=hH7h z4mhw5G!8GS-#eLs)1skaPRO<0<@sOZx9tQq49+xlafq)DTYKo#RPFUQ<dSo^XPIVC zTXo>V=^LMn3Lm+oq@|gy6uM~a`{>algVI+aGFBxXopQVGx`!{B5!tb$=+hHVpBV;@ zckAEWFoX_`P7{;P^q+KoSFT4+N4?UT7iMbNn?ttvhd-)cx=p>)6uLccjg@PTB&%5G z`c<pE&iXu3VvGh|grGfX3#8ceXlUvZSDULixuIQ9LsvIcmk|+SpM3-t`$y?W+Slz_ z%IogZJkvNm>Q+flu60_pTjRkya>~Cm0+;&Fx0}9I+B5q?hoJI?+}mbb@6XQPx6;o0 zYgb5@#9xE@YmeIY-Itr=`XO?A-rQfxx}ML<6sq5N&IX+q@ty%x8l!Fsc410QP2ExP zQAtWl>dJ?+pv=n7FBdXjT3Y(z>TrGas^e?-_JZ1a(>M}xSFDN=UI=RJO+Tw+$Tw%} zwWh_lYkQ0X*K}&_GF?&s|KE<sebynOU4?dCJ7rJ&xp^Zh<@LfXziJIPZ&Z`0yuJHq z#aqy}{J)aL?BMb^0yM&9ww0%c#b#E+7R?j-&Hw)W(>nL-SJjVSzZS*rE?cGgU}|{W zLI1i>!u@Fn9>laa1O^By9*Rgz`f_QOMxxB0UG@L#N_Xe|Hw^3SU`P`4U$RJ9SJ!vz z`#1OYN_%>GP7_lu{@s7)_oHrot=pGAE%twZ@pD+uq23<}Th`joe!f{EIXm}s|HZaK zhPC%DM{Im#CAnOE9;h#%o;rCWxEb6G>JA$e<he7cBnUGt_n+@}eO+v*X;)=yYpdg} zh8-RU0$F;Ry0(_d3%Wgdk+jmmkiYe6sJhXMt2c_0#Y9pbtP%5nVy!!)V}_Eh^{*xJ zwZHmy2D#<1v$H>5*e(~uoZ9=ywfI)`nWT2x8?U_N)@go&tp$+1+mvGS_qg2ei)uKt zox1RZdd|50b+)TkuhyN{($ccxvY%Z2pF;KO<8SwNg4%;u-YsrrT4`j+w_4ON^?09b zR$9lO&k-yvwMG-x{h080!qZ(F7pds$`|tg|$*oU@GxPDp@B50MpPRN*vuOVt?W-|& zca>%r#cW#FC25`~Q}yM=!f#>=(=RRYd=(dYEK5Rn$BFMdckWzu=&0Q3JNLGfzmIE| zvCi#}$hce!D(vUSTnq+9bb%$PkkwNy>0t3#Fq4IgoBQHYZ}F?|7EkBUQdL!5^|!s7 zMaI>EMO08aC@5%6p62q8FC14NyC(5D)AHE+Cn>Vf@yU)8in)%TD*yfY8L^{a;Vf|# z<~(jLt`OE9ySeWF@*R(etc=)bChz+0a&z|6DY75$YTS!h-6mMS#kYFH)ut(Z7c2g3 zw*9u)R|vGq@`oHKenF#h46IYb7C&xQabVJOco4q-muTJp-}fJ1TIxL^Ug7EfC#Q2j zZG08R&zkmqcW%p7=ic8J+iAS3_JmyZ1vSncpzS&9CUO391T~BDK>1r*tyd3pTn&fE zYp0wXoviHat2G=yWdsBqoKzkJFwIj~@uEz6Wu2$5@6kDy#WJTR%%0e=85CS%mG8Vk zrpSS&RoGP~Do$vaF8t%``ug7I^Q!&ku2HIguEgjr{6WOgb-Vb+tH&<p3w$qD11(uy za(*wk-agA&^5O!cxL(YI`irHXZ7$V<hCGTEoxia$`Rc}vMOB>QoEEbh)H$^J(vJQ0 zI1mc5b*j$=@I4&`pl$ni3^Ge*ve@)ERHpKJ>4z<Tlf-*UD?6ZYrC6%y)>rASp|Y#8 zUj>E+x6is&6>|OB;&tofN_l%%tj~9FPF8;M<Vo4vgr7I}#ajRPv86r#ciD%pUmY9f z^Z)r<d*R@D@kT~Fj#m%5A2`^zu>4$@%nt4L+8?rJQ&2f^|L_YV8F$dMFUP4n%`9&~ zXX@1MxTFOtvnoI<*W)Ipv3WB2sa~MwKKh59J1%w9ckALE<#1gi_;8uj)X-9m^=sTp zePs_>u_-8RVP15ISx{K4V@8L=krwtv#V!K3B+ZMpLi65vfqHF0pks=+^f0hao%Zq3 zT~O23fr*VtB;<$H1P0C<lN=&ldHqDEJ`PD_^%D(!Q1a1LWbS&G<r^GaTG*7<Bnvn- zGYd!wt*C2bVL!0EwmN#(1-0m}yu2FgSGdjmqq#oFUO#4yi)W<hx%d@MCCe3#xRttW zf00nq%jtIgg+L42=2c65FW5dv<kFIx;L`SBE2vSO`%2OR6q;M+GO$kFX1s(IlsYU~ zQ$eGVej-yIKe=gi<%*etnvz7|Tq`9boru4k9Nb?zPVueWqPa7mwOCxMVBHp`qf5mD zBZ3&~_kVkF&r-xT*?Lj>^nxSD+p0cmKb*R8_o@X-hf|*(nHRY^E%DZtOy8o(Q>V68 zetxECVj==sgO-xAB>Y*Q<75U_QOs$s3e`r$AgPy!;KwJsP6)WTw=5`5ac?Q*`C_Gq zIzo!MiT<U2Yh=Jhr?w-qh@sDA5>Gll^z`ubNSVIsD$~~1R@`@Hj^*W7|3FPeZ|}p8 zj&|q1n!UHDlS5^Br7mc6RQY4)d&taojBuhyU*o;q<?FlVA71r#s^Iwz-i}AHobRw* zF(5FsdwsFjgqg8TYwifOw3ax;_*m>Z(xRwm#j|hUy36YxYX!W1B{d<wgmF2yzQX<u zBI2j?6*s!G{8D#WP+!v`)p7c1B+Hu66<eN&R|&d97ga`UdAjJ_*qSY_<};%}Qb$*X zN7m}grc=U?&nA^0ZsVO{UA`_f(6Q#ty}j0xCQrVrr0BNn(S{DqS&cQ*=gwWb&Xm^M z=udSJ*hZg{B(_H7+S=&ttGYxYLCw!6t!sVO&G5Ybsk5mo`)Tt%P&wQHY9>7jd%0+{ zN`g64k<3SI^9z&je)|%3cqi-giIeO3?mwC9JbgvNojDTCihq-?d^{KP&hvoI3Xjwi z&{nPJgfm(bRoB}8DxPmwdqw~MrEQZZO-jm))YQ`p`#yd8^wrg87j<&5|Ni#YIW2A3 zru-aLqiqLzB#qr{^F>OZMBeniH9@ZKM`F#tpU-F5RBl@O)cw!oZ!=}B%OY;2_q_G4 z66>C~e^Kp~>sxE*x@I@^|BNg?cJ#gWR)yUA`(zip_lNaMtPXy%IWS@6s#Uwvtfy4( z%lvXn4%B3A*2)DBGfoGUb*i0dGgJy#ncP2neO&LHUhp77@z=KwxAl2}9q)x4<M@;& z6z^g={<*8rWIG4*?;{Vs{ky&}e|rJ@+jF@&t_O5hNUT;(scX;MD`{P(vn}`bGA;Fa zRj)L=6_k_?AM2H#>Y?y`wtcoeu3hv-Ibr;B_D1dQ`KE7WCAD+s&MfPns>MG)J?-f1 z46Kt(7D{y9e*MJ#-(O!ZZ;=&@7ZMT*k-oHg{k~Oywz#);R8H&qv06L$PO4nb+IzOQ zGOZc8v~truxItwdyu{fdF1%uGw!^Q3Om8eB806#SRgN8BkYB^|r^bT+Xykg=+*;<o zXCg}4%LTrcew8p&5If17^zgW(={YaY?r&Sori5{GCwUy{D8E<9Zd>)`z?YYoL%+7< z`3o|-9^s4pr6Tt^{iok5{}So$kd14iwsL_MwKcV_^O=|>Y|DT2#=B&(iZgHRZtaiG z+j-Pz^U*s&$s*oozM1GemYFQZnDg*#+I<V-rL7E=)xw~n*$I>uk=q0h%2>`#4}ZK^ z|MSE;1^Ld87hg;-kX_f!Rk+*2=I%q|qfad+)v`rex_a!><SSP@x-gg{eeI5-d%aCv z8}GV@_jgDHMntUW(>Dt*nsJmj`%%Y{b<dY&@Er@zU31p!qH)ZmNt5)fUmlNP++6lH zDkLN%qV2lW>ewfhv0oEy44->F>I|&dIBoN`Eq`J>cWeyX_~_Jo?%HBqP-9A4{tI{j z<W3g@tLSzw@wuvvVS*Z3YY)AvT|S|3ivaWQV~gtFNAOM074<xBr*b%Fzg=8|{$?(N z75O}F_wR^ae0Z;1XKV7x?Rn;q!OLeWeP$ZD$`<B;*9S_h_CKP$vGUUsPjA-lO8(Cb zF@n}#WJFe`8EzAviN1lp?d~k?iW~1P@A^K?|L<&2!OjUv0x0VjY;bI$pKmd_`71B4 z#e}K-f7?G#ykgFlocFe`AZ*Q!5UH&TlGc7bqBA4IFy+aKi4t}-7Wb;(+lqGE?TZMX zePYkl0|y*B6edlZb}c1f^-ilJ5u!@>Wvxm)ZtnSf&idloXmjuC2{Ds*YHWJ4Bk^W@ zi`3@Y;-l>wlb(KfczD`PjjDLdTa}-mon1B8b6Ut2iQD}-b9IWXCl`kGto^>o_B?2W z=5z5G4sf$750q_rb$KT@T;?z_W3vAKL_znlNZ!l)qRqcbU4MUjJLeg{`8M9hwl=q# zN)`V3@~4h>ELkUEt|Rw$M%e8Gxr;>wL)3g{E%Cc>(R+Saa;{yMOywH?V;7gdcp+T) zC0#J4PtLaM&!3vpoDNCRZRbvzM(%ohyuxV04beiknwj?X`>aIW)7CFpwrth!`L*97 zZT|oHyz1z4&;rA&+SmHZ&9+IrtUdFaU(P0CtMJpOPfaanzQ|Jlx<zp7)55bSZ~RKp zzP;sfET{z#zE4sY)B?CM1#~3aqa}ty1y)%0&;NE|&+!JWg+SdupKHEgtC%oo|NKTq zI|n9}2PRA+A#>WmhcixS_$|!A!QoI@Y5C{x-@En_ZVpUwJ_~*vQqB4O!a_^$>Z5DY zk1cbqao=N=jQbs##@A&1Bk|JQy#k`w4stIlJ1d~MVn<rs3sFuJ&>_6f0v_2yl290D zK)}OpN2YiF3c8O??)lmGB{n}YT;MPMoxekHOUHqOi<fVF?!fm>@*cM`o8f=mru4<L zHCNo=P&qrHL6{>TppdB~4}4(BJkT!q<tdX2E0h~oy|Vg~`rU9!_%$WVmFkC_E0}uB z_pu8VXI6@SOPS+PFhPD<|EuN$4DtD{52x(VTwwt|!D%*Vz)QHt1U%q%&$FSatNhvA zz}@zZ`F>NAE>}msxNgkmyzc$Ge~gAqvju)UDv;}&W6>>n-_zy&x`$>PJ(aJkIXFJO z)l<RFxkHPQOKaUM=(Tlup!UABN~hkWhECXmu*GfP9Q*YIpCq$0rE~c`JU;pOg8cpe zD&FzeJ2^D`KBGP{aqIi{w#(SA@$J{V##fSY$)}-->pmxFa91Scj(Pc)IiRt%IiO+M z%fSxp9t&Jq?%kW*dq!AQqBMF@Y*dZW{#*Soh2Ob^hCWTL`}yl<;)4g#hWbx4@7R=B z+^YOAbFb108<W3sDqr5bE^F#i@r!DJu8zC<@l?z=(AKzpHJNi+-hjfv_T?orP_nA9 zVC2%0o0rh`Jdowzw}a*u!9krD53g%q?_a(9XjpuF|Np<Q=YM!z$*=tK+3gRfo^8Ll zF<Haz?-OU8e@~q?EatW!pT6cmvwi%7i;KGMGFYD|h^=M5ce_|(qoCuC_qX@TZdqNP z_gD|xa!8dmn>L|s+pkVHlH7V7G>BHJnSKD2nxKbNPMYR%U>?i88?`*DPfaCk*UY!w zzVXJjsanizcYDIk-Z}&nxPQHSTz*e?`?7v#j|_=_n{0iz9x2#q))-se2fEE7*!^o^ zuKV2^)|(e7CAns0t?JT%pVzb~R$0U`<@!3%OxvN*?>9H4cK@oowIy@<-ST&LEOp}d z$#_rGaZE{B68m>+YvIT8Z*OkC>KAJ;sotESQuzMv?(as5C&lV6wBb0b$zd}`4s17n zTiV_H?%C^9R?N}YJoe>%z4U#Vzf!CJeMs+_U$I|z+hSg8;R^G=*(H4(hn`qh->g)B zZ<4>(>FCA%2f8OHc(3wVx9!@x*lxq*V-cbin>KBlB4Cn!PG-Bul8`%VqPP3~HRN(g zyXX;qA9U>RstHfT4qo!yEx2GolKB=BA5brK9%v}%`STfqyUZKQ?N7U=eXH!*U)<o# zymQimqKAhn|2)}ec*5pGt5C?k?|(HdHvBi<^X1Uxjy}*u<>!viX<xqZ#T&^z+n&nr zD10D*wn5N2ZKKcsk2+@}f2dfswU~8!x6Hb)`&$&cr8Ho3)V#>QT#u^1ZoM;o{`BVM z^`E_KcD$Akdq45-zdx_KBR=n5x}#(zSFKRK!+N{x$tUx#E9@%Pk&>4Fc&=}4Mb<}| zDRZ=)Vy?^Ws5_u=X5Mxda~=tn%u1tUTawPMel_#)alXe>OV2Od@qq`ljpTprWB;uF zUB9gURQ=4l^MA4XtSt^FWu{H_mUn-3&V1_pW1>=Gef$6XRsOQ~pIiIW(&O&zg~?9p zcWSfw+uy#_m5vk+ad{wNnAGANm0qHKeof@&by^ZJtC^l=UDaMU!@KkMlarHulf*u{ z+&DkS^0JcD$*traZLoBjeU++X>iv8E9~OJw4`egn`<2n@@SzRQAAjHY>gCZLKes)6 zarZl0jD~Ih_J7~fRjuy)-7Ej#<dowDk8TLs{C@PhqxW?m|GipiZn?jTY<Fv<ukGCy zx|RRrFRjPLs>gKVwsGCx_ghZJzKq3wrV*Q>V&aeaYgg{j+os(o^NY*7{%f(#&QJVa zJr-3K!kgu1@W{){@A<bqM78hF<M;KUO{Z@C|IW5(k=5!-gZ2Bq*qH7A+9(ua^sRQ) zv%?+rwZFc)SKZRn@&4Jm>;JLYY}yZ>EROx(epP$ggX-^o2RHApmrdvX^zmc+`$s?T z|C72~rIPSsgLPr>CEXWSx64n{nX!~b;-R8(ddk!Jr$P^1{`!~w(e~Bxj-_8!|9ndS z{`m5j{tritvkf0F@84#lnOpM+Wu^BEv#7_9-B6Bzc(twaGl3%@#Kbl#U+?+syJXdq zv*!0*5)&2G{O8roY*_sE*wLejudb}LD0wkqX_xEvGf$pB*Je~QHxIvA@%QWXt2(0D zzoq_8@e=>&UL$b-)alc@|Gd2~PF*K`Q?ho$x3I|B-xs~Vy|>zY(xgeVI#f122esnk ztK53tOq@8eF>tZl$D^u^r$L7rdxJ-ukXKK|a!#2Nd+3z!Z-aMz|2lf}qK+nB@BN<~ z!>!e6&J$o9a_Erqlo=mSyDxiu#N55$eh>S{Ctc#9TRkt{Wb%=l&0}9*|L5n~*p9cC zc)ymGe)#w`)HqzOI>E>+=T_tGN$<Dp_;+eo`c#h3H=5M1%)j*c`G*5r-am|1ejhef zyQpbGhd{smFXcD)zw75z6`Sw+`l9o={BOf|yMD`sN-mzhZR-Q`^A8^0<lgu}+&)mL zCuGTqNnKN)*X@?zKR0VRi|Ee(pX>h$zy7x)RJL8`s`~nUTe!B@zt&S-apIEU-B~tH zoLZZ-wDlf6lzgn1>%V^Q$9k^^6Tg0BUs>_tUtz_oo6`-xw)3yfUcdhj)4W<M*4g)J z<el68RquE%UVYgx`BAKdUDB4+eD^1pcDOqRN|x2taCE2L>FEggZpn4);+&{m^Fdph zI@d;Tk75!2*;;qFjaLHJpHc<&r&M>8yuRl9_mjM^@Z!2tn=>;r#V$HWl{|X<_~XNN zd9Cd(PcD3pSn?_9^Ru%*4)WJ6NSi-{RWw<8Lgl><4u0?HdPg^<o}OY`+&yV)?bZV2 zvd^DBZOFQ+wI*h#(EGjL<u+~JJoWtT?fLHe>;CGPt&Ppsyg%*E`@B#y-Ok?cw?HfJ zz=zE!FbaL(ViF12qjZ+%5-;bTFJWp{?yZlO?JM;A7{{%pl+`gqNvUbgjMuv!r1$F{ zdGeBffpfb<>DgI76t265%=~@guf>dw{L%mQV>>0Qo<4=_f8A#O|1Y!5IhzLd<^6|O z&n{28AzL2V8)+XLQgQ6n_xt<vmkHUM{r$*akyptzuda^ynBNZn(DiTbPHvmG_m`Hu z%afl!`|BHy%hfa4SG^F|;uGwy`CAj(dUk5krKYZ$e3>h&BW<nzn|ocDs48jz+O;)% zdwt1FkIReG^Y{E&zFj~<<js^jb){cKxVldpzK%P-RoYTDfITlOS0mTSscEUGtIH(h zuHV)cu^5+z3yYd>rV2WO&i-<7cLxomXBBDn-&y^9p^K|)q*l_F8%l?oF0R{n*L~hq zQIli8pY95o7IFK!&H5u5_jMFrTC6L%J8$LATRjrh@4Rp0I_xsW?ZIQ_<sV(c1dsI= z+|%C^p7nF%v~}8?T2&2eIRw)jmp)3`DHO2pq*agkJ-=X4W$kHt8A=W_z6;Hl+f_d& zOZZZ#{Ix$TSFJg)>i$0|>G<e&y~4X!1<zlqQn_lfX-U%4`hWMMu3l13>Iyk>$NX=j z8q@KsS|PKPS9si>81h+y{d<;U#u?kmI#-R)RsAhrmYU>|B=Sz;h0MWok%hOj;}<VT z%K3gVsWqg;!@F;t-bBdhA(i*qFS_e`NURR(Notj8Tr>TniDLHVpq{Dv*V?v8{WW-> zE%!?6yPQqMhLZ*nn;RDY-F2z-Z!PGUwx4^h^+;4donLkr)PehcPJXXC=+evOpat_G zf9}j-(Nhixj_zN&{_Rm)<vf>?mSQc5Bbw11T1Q>e4O8!I4+suk%OZMLN%>ppR`<Mf zg<tPl-`<{dbaKD;#wXVIcVB-sdtq(VzE@Av=ITwUwc$Q*x0U1l{@>fA`hH*fzvt!= zc0u23YwM(<|7~&Hs=4>i^Zk#1Nwcrods}P8l<Bbt^yPQTs~7tv96q*x^-aU|dw#6B z_4<7NyRhb>xjVbOv_6zYsZFyr5cyYUcdq29@;5Us&>6)`q*6{z(adUV4=k8?YSL=i z;5Dt{p9POUyIk>PbKd@m3s*nerf7TGC8{XpSx4lNi%tE1rtDOHR(p2Q@h(yAHGH@C zUQ&p2=Y3&%{H>tAc=HC(;6|DI8D8+zV=-qyz{fjxjEugkY$*2U<MPh_uvYP$?Y(Pa zO<h~K&;EOvVw(Kq>;7eCnNJP3pFgo7dZx3{&wuk*MXDIpyRN_UCcj{rf_&gb!x>fQ zIe7LxEi-ip+b(mmwrJM*-z%+Woe7)x+DQKCeEEILpY8D3^?!Mow)2v^&nL>)#q+MX zS{!;yYsHCsMk~H;_pV!ctMiDx<;E8)pT}+5B5L`Y_wRG@<ASo!`l}~Bp8K}z_WSj$ zqS?nk&uU+ibubmODBZs9`|kTIBejCgChgh2YSpP#H<EH+tcpBhST`+Fz3Rr=H<l?U z1XTCEsMM}H*Y(3L^OB0`<)b>EW;|an@p#RO6$kcyzqgw0uoU#1l+tR|=-(;12J82J zTM~=DK=IGtzl#5jo_wDlRI%LRps!~GiwNp*DRZq2wwN}ZYFILR<FlvxO$41wUY{<1 zKeOd|{H=`4-GOiQAG;@f+jBqRR(~Da%Cwn<?_*C`7K&uYS2CCP|87iDays%q`n$}# zz4>a3lGYub)4pug<4Mo;>!kSYe(jjmue9jo?*BjHfBa^DaQnVw``7GwfyQx*7FkE< z*!-H@nQ{M~JEz+1?Rke5%+7beU-wrx|K8;8w8xum<yTkg%~e`-D`sJl&g*QQ*dN7f zYE!)@-!xqJWz}@_lU+{sa%d^JNkkWX;k0=6O{&(b&+bu#e5KBBY5y&T;n5if9sKTJ zd}~{^U~SCg)VI5C%(1Vx6Vr?7h}&D`SXXIN^rYix_)4>hi`&g}Z!Ou!&BK#pBobb1 z2tL_m_RY=dkN<BjS9@R@8!P{RvP+8EL;=MXsVL*zl@-!mVbRXfSME4QFI_4Ux<w;u zPu8+!SzArFt&qBR{Z7~Ri$TF>4UdW_PIgh5c=7w1x3{;etD6@UA1{6%yW3x`=>5&? z^?z@xFMnsBy+F^=>%S+@rSH4m>`La!t}ULr@8WM)*9$YMW4Aq*V2KDQ>?wW{Yrv>> z0CbidtLW^6=dLpw;+Q5LPbz$NN_I<b;O%8CpFVxs@N2j0j6Ww06xr6?*uZTnw=#N( zzdPSM@wdI6A0l=Y31?la3MtpUs=aXAN&B@iZ3mT)B_5a%xTx)9OaFawrLIl6pZeC? zC%<Tz2Rf;KvcGNbsnFFA>-WDqVEw+|<-a5Ut#d)yPygA@KR)-0NK4py&2`;7OZc|F zx^no3{xhzFZM*&~S-LFYOL}})_6pxmyKAkJf803VTHzd9=ka2u+4Ja&RX=}SmDqE6 zxv<hLyZ()n)4KSaMPffiT`yY^w^?jo>~3C0(I$cFw?=WVzv-;_$IYp~#b2m+h3l6W z3-cdNm0SP#vt)NGf5`sUAAy4RkI(06|Neh_TUlwTYj;@gg<W^KS(S2fN*`4JvuSr< z{Zna?;q@THr#x3&GkrH6EuP6Byg`pqEM(2;+2P4dJ`J1}B8*}oGOsKujm!KVoHlj8 z_+?{2OPE&r=D8<MOgVF=hskb2!NNB=%J-+}c25-#))nd!nb^F@XT|4pk9J;e5qR`E zoR7J^&+Y!d_u>Mga!a1SIk04-bK%!du8M9wb1j(9m%r0<;j`3>w`t#an7!dri^rZ9 zzn@kADEOih8+g;-o$tuKyZ>F2{`e}M`@znV^kc@!7q?#f3SRv5c2VSyw2wI#cXk|+ z^^&bh|KR?p``^FU=hiB*dhqe{`}@q=DDl{xuVoVV*35kc?|ABdX12bII;p9yE-lYn z$Gp5x-Z3a?&j)8qj~j}N9y4#HbUW{_GG=x6i$ChVch-%h%J#^~tfK9fUiUhLg%TW( za`MmJeuiZRgRp@(qgcop*GX>;7%y|EEWLNQXRG^#|4W}OlYDe@mcoYW+iG`ucK6xk z?+bU}S@dGQxA>xuPoG@;k@QT+cL{Ut@6(JuZ*Gb()@}1OzI^1M<VzNAEw`q}nLZB8 zED-@04=8Tn_G#cWV60X>(A2eep3LvJ87%)SB-{7v-*dYyRw|R5?YDjYW%a(stZiqa zzbQ+Z)a|+O?{WUU1Loan4-XqoHl6fMxYy0}^y8Jk4*HdcJ-cPVt@TXlWZ8^{ubdke zB-K9Kqa>Wb<Z~dAW5a?+2JR=NHx@IkE^}R+6X|cVCidpJ@<Z?BZ^(CE-@R2_cUJYj zZ+ua9cli!ZU9DjIS8vg`w{B0~<<2n3wR2h(a+zh#<L7w~;?k_*wNAp;0<itEIh{0b zAM?(lr(7%Ee!Q^I`Qjp1?!D>9n!42TQp=h+C0rX=MHg@QsG@cN6qpK3Ix9ZZ9$?wx zm~iZsa>b@Zi6-XStq%pN6NMVNpZg{MT5?gLP}NvD_QtBv=Z0VEiUoFkt8o^ds9Drp z$XQ<Spn}Kl)!i#gY^`6V2!*UzYA7m_cXgGh-S0P>oeptvYxPzA+M}PYaG<Hnc#hHg ziJS(EY6*%=Ix8YLbY`bAsj3*1ovhpQD@M4Ya*F0dpM7&UXSgZY8cW;1v*=p+ew)eJ z3C-u!9GBMp{k5a|`??zow{JH;boekghqlfNix&?+Z=2J^z^&z1cxI0$$TtnC92*uS zNv_M8$~k4qfen=tCB9@?cxmstIpqpl{CSoh#R>KQ?b7saH#zEuiC=DRZVp@-r26su zZ1a32J-u@)<HNbNCK+;S>qc)oqCUT-33NBcw>LMBJ$P>E)4+LS1_QU2nH@viJg_Iu zvqS`Je119kzHH}#2{)@hI=-*Byfm#szsx1!z=S}Ly&q<On6XIrMfb5us@_MY8}E;s zvcSp5#>U3wm;c(Rty~;h!OMIOetUbH)m&a){>&^>?<Kr5jTS9lY*_Q7V9C;@3o|b- zTVPuD_Ezh+x3>>pzI<8aa@u_FeSR|x7=_jSM1G$;apJ&@jmd>iP6)QNv?LsCV&&lA z5O}>Vax)t{pNzooi?{5~@U`(~O=95Inq?za)&+L7Jjl`g#Yy_I^BLS%Sp1XBE^QZC zxaq}mjWa(LoL1}Ix}sm(<h8Ttsf)__C7zQntc^BTbXchz;-w^_qN<ws;laTdcXk?A zeSM|+&0C3uQ!RE^Nn_N436H$)@2f4nep_TxR^iioFLi~2XPM{g)hzv<l$3NNJ1Aq~ z`^-%KH{G8F5*&|Ko|{#E3Y72+tU<ZBZwjvw<6@2iUT~5$7I+|~#oxX{B;<-y*PNC9 z0Si02J32T5^N-GOJmj2_v0{e2sAy~H>uaJNj}~0dkjTC-F`sAd;xDnfI-1{|zCAlL zQ<+6ma%0j9sTx`2VnXcz%bW?Ilv43xPpYrb13mj$He$;Qx_18&(O(hZbzZsOW>L3C z;Q0V<Es;W}wbR`DWHj}bhR8}y`K7#HeYVOj8QUt6<YPS-mmX44Q+pLu{o>Zj;N^>) zTDcyX)(UDGMYXnwcKur5sKmzW#k;6--@biHXWK4q_qd>7e?ramXvms~!2DgkJ+nG{ zd#`ePER%idefj2=%*h_Eix&3yP26`<Wd4~mJ{$j+y}f05^t@Dl&}>ji^laybaBxYq z8<c%wWrVF?vap1`>uGCmSC_iB?hVWCr@C342R;^?PnbEgao_n_VUL}?tBtnnM{mz_ zJ>DnVZL(S{<cgrGir<789}jWsC;a{ORY|G&QmaS7LMdgHF3@E=9sDW*EA}sMU0R^j zDzVG&7B3Hvi<486UT<5=th&FyL^s5)jWTU%ZFPM2^ufVqrP$1ehgv1f^X9lJxm;Q4 zwT1taFPH8!JN0eHS$Y%?G<6wXso(<@ZVj9qpkk#LRIEJcpIrFk_oLh`xd-L5wiYU# zdwg`B{o;!&(kg92?Qc|?<vyFcid9sI*JICwuK^wjH@D?Vdt7;Od3k@wseol4PIL%W z?)_E$a-ChEYnO=8UJu=46V?VV_j}l>KF?uw*jj_iPbn9F&14bpY71O0G*h_O>TY}X zvKf>0ZW|$L32^i`%$_}4gUh}C6R7YlW0@56KJnNs<rn{hif>ycwoY}g{h(I&Gt+h9 zRJS@SR%W*TO-<~3T4o*CzF+3RiFv+)55lKCl<;)WT%mEfHYHtNDB{uM$A%df6a-Bd ziNw74fACa?kfs&rfS@bxH}_VX&oaqe)U6OGzGUfF50#05YNt2^GQGR>RGyrfZy&!z z*);FYjt2QxYiCdTq@1hCec@8}FQu>^mvfhAG~5K0VP;cuIzT!0K@E$C$3mI3@bK{G zw{70f;LPYbFg?3((aYq89BV`TlIP95KKJzWbC1`?=|8$P)w`nn#PN#vhc|xs@Wtr8 zQqEZgrtth_4^F&X{bNPAaPzw7-Cu+QxU_y<s`WVV;^N}=6{3s8V!kZ>eP)Is^W|l} z!b+#^>@2>x(3w5<c53Mq_O;@YlA4!lU%U_LZ+*3Hf`s$7soR!paIU}f|99$K@!)7H z@zVGe%DEPI+v}UQcr>tz>dxc(eFT)ZHwZK7thjN~apP=1$AtGABj)TaV$Y4fQug9+ zTI$`y5B}Z#Sp7tA&7BSGXJ<)YXFa-5F*37wznn$;u7&$LGGvmLipmyCYOZ+Uof*ij z7F3XVd0DT=!J9jamp3eW^TL^l^J?_TbLYg~&Y0SooN31?91;=cwmV>#|J_}s8;hQL zEm^+2d#B2=n7vh@>f2T*Ctj(GSaiBC>dnGKJHDiLZk73b?on)HGXuBQwFPIg!A(_} zDGc0Nd{rCv=<ZgTFnM;nVfXjN*FXC{eEs&uOYtecHYcBETXSoR_*ZGOt*1Y4DqsHo z&fa#@+kFYI4ooX3F5US3?Tst-LLn;-XuGzba12xxF<rFY?$jdJZkJ#DSA7%<Q+|JY zyK9BePusKaZ*A2+H_ul4h|sHRlc!B%lb4sDJ41<ej<S1Sho0k=t?kdk*TqOaKHe|h znkOF??fSBPDZ{D16Y6!AMRXb6Hf?3#)>?Mq@lJ55pss$PscZ6mhCQ`s1#bwIN_MWi znQ?W^vS&5M%bkyLXie9jcUb(f`-A%ZZyoCD?6R&_@;u%*qx;#zwSlWbH0M_Dw6u)a zounyt{<ry~{Cf?nXG{OuW60s`Udxs*A72=Ir#Cy|_u=a|_N6lKi}@hiCvV&Fa<ZGU zwKcc?zAMa+m!D~kx2!MDi!9#uul$D+)8A7If-6eT{!Gi~TiJc-*6jap^o0x3m~>WH ze17@)-b@DJ1SU058_uP{W-jN9nGR)sa%wfj40DxUDPOo>__}LKNPuPC7k!?pzp08{ zdoDdLU9#-nv6lY($KU17HYk4?Wpn+bXrYkGvKq5A4!ybd-7h~+SGG_Q+5hfF)5Q1t z+m7{bKhTtaP0`+--%$Mg<L*NFM|WmU&bYnM_|)%khr3n0AMZ}Gw2-fr%DuI}V`uz& z*PEN<((Dp*XLx(~9iMh$@{Av0#Zw9&zYq!bZ)Ft?=KNHnmS7D^!hN>u!A;H$)gazq z!B1>56AtX#pIm5RB`B->%KE`Oxtx_MO{ex&&#d@=c3pw~>&8m&>s=kfQX;9JqON~i z@cnwut!}M_i8sE#=HsbcZ9Q$xogT4=YfJx3)0@#JIluqtgo%%jPXCg4dDqk#dn-ia zUVK_rq5HIKlE#ecPwx*${CixsrDoS!=XnROotT^vrY_)bzUs|{-<!WJiwM|gRv6dM zIisI}TkBc!$!Rk{f$Znrz$&`jy6E19xdIVeKB_P=*IZcPCu;q1M@rr_mjKHe1+LoN z+m5y`Ru|1$Ym@!TLT8?}=;USkF5mC{HOxuKpJP$Q@%o>1>w&I=R?Al`4mj`U-)%B4 zbpIbMrJ$})iBGr3CtkX-u;5DAx#Q=LD%pMHW83>?M^o&{cW#&0r#T)iY0GKkywSzL zt+h?*{A(jnH&CVll(z~x_OQ0AOsIJ-w`{FVOP~LB=Yj{#yX5;!yp&d~`J1SDtiQ^C z{eg|2?WGNWtbO<5`?UlfxsRH^_I%+ny7XR5DCE-nWe?xl$rdX9;<=XB>2fxzUrckw zoBP|@^S`}l(7XFP>DQj8eJ!$uoaGxz?`!tF`)%>3R(AJ?ji&s4tfJYMo^74cPzh>% z$IZ*>1(&NKpuXy=$Is5*l5AZ3{L#jj-z7?NuXCi{m0z;c;*>_`f=x9abA0~3QRb01 zmSk@KciFWy;?J&PZMJ>iCRMDDe*f>q#gFleHt4vn2;ustzN_738e^^X<5N$&cYW{r z^zg|?<rkNin#Rc+gxn~dQmC)ZE?xG&S8r|B0?)S&?l-5YeLL9HrPkLtH-X7bFv0Pt zMvhG<$XN$iIvBXMYUB+VbA@jde&F&ucE|1cHQp_6GdTAey9E4vT)%%&)>ea<y)~XL zJvM)R*mZuC$=<p9zjMumrc;aFn=cA|{Y~P|e(P_4ckK=ME3CO<!ffyGytd`j%O?tI znf!lyPuB49YWWL0v)>E7^VvN;|6VoI*Ta84-kH4cR_P@_+hoV1e*ArR)0h|{0v_H5 zb%N9mu=J=MXzIH7qC!b{gH+?!YW+uPMQro9>(69mM-?qxv!>eVhs6$iq19$@UH`WE za_hPuP2B&#%8rdsw)f2%oiFcy+W5`4XnTMC{gKCKqhGFDE~QnyE&mX|beZx3CxL&T zPxt>QNRYR=zfw9)FJ_^3f_B!5lD-2E9?oBM{q_5<pDM3%?(dOXUH6lhSIS;5Zg>6v zeJkdF`h9QikHo`zEaB_2JVnAb?@j)n8KU#P^W9q6-Ll)SHmnlcv~+=xsA#KU^05V( zmzT{jO69V#wasm9yq?Nb=Gnk1y4%LAY!)cM7w|IatjKsQbAwwgK&H`j_xZ=)?&fT% zUzJxlJ?r1!)6>s&`&p?J`ON;^o6)S}9v3IKE_PoB=VJE7e?P}w*#7_bBi*doK8v_S zr^fE6Va~FTlHdRSZtF$o-^qWbRJPty`@f|~lC58&ozdB?GwjrrBum4G(=ukidHj00 za7f+H%vOPnG~Kw)0}B#zZtvln&m-UP^7H=7H?P=SNRqj;^}o)a+EWYCcSn8OCwlhR zda)3X8#ngV?ml;aW$<z(8=E^x|JFxtcDwQJ>S}RBzu_hL6rP2(zrW2Ajy`dnQ&=tG z(UHy-!OQz@G^Z>V>&WP5=aWgecp|BM;%BKe$D@_!=aruWRoMnJ8Mw97lv9{}4rH;^ z{rbxEXp-@VoYU?PUj^ODdOfRHv5QMlaPf|Z4<ANqR6RYk^RmSMi`5n-56TVawoLGh z*E^!TmD}xIfOP7sE1N6cncVU8ZCoF3DfrUqk<ZOda=VtEoUUuX{$R2G9-XX>7xrwJ zRr=xNlbJulXFm^E6|(Ztohx5{@2vcJW9NUKroXlyUm5pj+zPKQ_ls}g;$;5#@ne`E z!?Sa9yZL0TIC{Jqow~GZe}5BA>b~X{sMHyxpfn$Jt6<ri2$TGKF_V8^kP82{JcT79 zKvPnGA2^y1u!yjLl5`{I4e>_Z-^m4^f{bo$UC~@*_rHVr+g9$}ifj*A@!X22BK@FC zMjL;h&oEgb*wClDdiouP^BRJTTNfy?HoUvLJKDskb&ijh*P$mTCmZJ7u>c(w_4?Xc zZG}exUIM({ZYQR2Ona0h<mcq<%>BddjYrR`>+9$1Wwpl5Hcsd3D0XmY*m(M*joO0g zpj@7804g76G+bnf2r!hA^-p0kQ~vO~(;}z0%klQJtpR&C?LYfx!}nI%(14va%97Q5 zbKH-AFxZkMkn{d{iuk|PtfE~!GYym3mif*OTf(rwhg-@dBcSmFw~!|rzg*9a8xbXM zZ;7h=&pUErqH-w{GpAs;n68tD2S?SnH=V)D{W>}N+Fh5N`0f$0Fgx>vhk)1mg(iye z@$otl8yLK&=`eB{ZcaPv)XF8=qA#i)c11dO@t4s1iMDIDonGcUn`^1k#QEA`YXt00 zRT*qKc5$)$#dWdPyLZUn@cgZGpsA~Nu6XrnP_nup!WbSNerI<%{}*+BwF4|~+7Gn8 z){NL`ELED#vhe2OJ6o47QFni7E5GlyboKX~!<#~*1?3(}$Y0@`zq4!e^hX!J-?XY& z;<a;9(!<xiDhtFy<ig%Na|z6~E*DekvQl!64R^`yZjq2uvG9misW`zQqB-Zvs!(tB zh6|-ClUUf;loS;m&s^QE$0>PrRj6}Bgv7SIyIp;<){h<>Y<_WVt+be4jK-n_9a+Ui ze%}p0c~nRV8-V)xY>OXpgIg^=4!#X7Q`W4}*|B4Xfegq4Jq~GMdSUPF`gcoOX53uD zwwyt-qE5YE-f_O(+KDq~E}Z@G((1nkwfBmcbu-=@d424(s^YYpm-piCIx(9&kt*At zX=<`gee|kUgH`aQ@?J4P!Ny&suamyKxY)WV@P(C7yhlz)XXi!N85);sr#Q9S1-9m# zDD|ibbZ?rF+f`Ees8bzu9q>fACvV^C2IUJ03v(|OitJjyhF!V)N>rBYw$m&sjA9`_ z;u1hZhX#y`LA9QV)w~ldCe8|<Zt&*({Ht_Gx_x1tuHVNCXAVZ3oGAP}KjHtz(=SBg zm<4^E)@;$zU#zk~B;-r!x{23c2|K(n)m$WFS>zI<#eG6+v4_T2w`*P#A8{^y>f+|c z)tLJI-QA2!OFH$MT;~2;92c$m#zWAfOK(b?as9uVhvAQp^|lH)2WtP~x;<;sr^Wla zyM#J^<RvprQ#sJorTTJHE_l?YSvbM*=t2G&+n<}T2nD}S{Clt4WB>GK_1EF<OS$a) zHkYU0eR1}PiHuF9WL)aq3u{Y#Lq2G~UZat-FMsKRMf!K!S-7)eeFQaL{ZcS`m2zW4 zVr$pNNeND-zAw7D&Ut-oG1Flc77EsQ<RW`>Vc(R%F8wdxLHjee6^C7~2`tk%b>@tY zh-tFs121{qt!%ebnX*8ASKEW2<eR|c269|RiOodLj7bL$8gJiFTHm7)_Pb(lK*f&T znV0;_PE|0?jI^|<d8L(<r}Fsdw8V^m8Lp3eKl*GIn9$@E_|nekYTF{8DMzzBeQxfl z+$;bd1X|MhhDU|_e2{fY)!ZX&HxE9)wKaQ@hHkG}?yUuJd#e`h>)ceJ6YKvvC^6D& ze&90EwJ|$|UcP);@vv3g#pU$X)#0T{y}Pz`#Z14pFhs%oYQV;{bd}9AR?>wMpf>lJ zz)x=A=HN9@AK~lF&s{zTF0s73&%W*5{+<(yuYYvetCbP6oy%*wUh2!#oBLWhpFF>R zeB<WpAFi*Aa4}h_bW3siqvWjyrH9W<Uf|Rkt@+CN{(?Lkm01%c6kCOM`Q2r7|0!4c zZ=vHM&RF**&ZU0>q6BK~la6roNSSh(W?y3w-O=0KEi7noWv#ldF0Y-Pot2?$m#E3~ znuSW+R5pY_`#6EdA%~Z)xTW=^d6)3S#1x+0UT$YjtWJH>leqb(mRf=oqgaT~<Kvsb z`Owc7)UdUyySJxV*u(Smp>NIMg<oQ9X4y$Kxs^IS2->x!`Tebr+on&S-~afcdBKk} zr6wz7TloCj7R~A3{W$kZU-^=EpOTM9Ctjb~a2eF(df7L}9Ne@wkOz%J9iOCY$hcO> z!{g+Uch1*aH(Xj#X7I{Zh)2KQD5`Y&-(U4iFK6DgC{^UEpWiL)<hA?wYK0AR*gs!X zU=#~6snB>MBy0d0U}9@}bQ&D;J_`&$*>GI~(>dn{Wl>_^<a%ANe2loVQm$iH;?x}# zYuWnecb%QryR-AfX-<xOiKc75P66|jgG?%d{_i-_)U~X?eQq)nzv_V|uKNn${+?Qb z38Pp@kK1wKnGJV^PMkdJex=jmjlCedc)0kLb#g6MSDPO^JM_|Fo7I&$dum*cA9;Ld zYQ>YM&laXOC$yMzw9VF@-?{eO5|!7R76b`J)#wUe5MvYz*-|R^h8Hwsb6_24+#&q< z(Q`(On}sdPby@4@?CRWq{`lgutqxb#L^+mlJW7;hnx3!n_|aCEIlCt{xNU9v5VF^6 zMeNo&rDD%R&$u<Pif&$*;_P$a6=;~zswi#(sEPH!0@T!=v-!zf1IA#El&4P~ez;j7 z)75vp_ehCNkSW7eXQlw%9eXs>D%Z&|g+I!B{Ha$ZX2-0SQ=)r5RLoT{SuM>{ZZ7^T zbvek;%gxE#XEHE|h5RtXDBxtKIh?wyBeQ!#hp_mhg0I(PTUw{MU+wz0LMo=O`=(Uk ztB{y)Q88TW?s~cTyvc0|`{LT{{^#P4i4IdfKg+XqQ@DCZL@dOlT;+`bmO6k{bf5YR z2H^^c#xG}N=Ioo(GuiND$G%BC_VYJ!aB8)ma;)Rv{L-v_{f9=2zPY*lM$VNx1m}0% z_#v`n*<I(kS^rkZKkGlf>i6N(hkNs_*p(B!9aXioxRQ_cI9^`nYnXaUq@t?IN+R|i zpYR49MzIi`)6>@)gPI32vq0Ua+C6(L)e_2>rsv;t{Bl)f&i-jl-sVAqPu{nBG1_r_ z`R;g>A^%6Eb$s;wE4ydzm|7yvc`|dy%qeX@o|If!@uod0^z=f%49k%9PqM!iCp6zu zS^#fMo`f|f{a}sB>3O{`USxm{#Lvvkd}JyQ9-ZbceRXBy4EbwjKGky!5}DNe=4gDI zI%P`B!De=4GqY_I4!EQ{CBM0`@mksB>z6G+d7{qYjT|UlIh+QKWqx|OA>YU0H;dl! zyMl*KE!|-<QEtlI$@(tSxSsA23^>QlSQq!<`)7xhK})ynpP6K{r~bd)q+sKTQ)cxo znNp&%?2hOoPs#0<lzNxDZRi&WTE)J(A?bU2>>=J4-2n^vKY$vDol-Nc%k`{EUMvvy z&dBYG3FOjH*_Cr|k0iI4j)Q|kgQK-g*&7KP8=Esqhh|)!Rx<Bf$%6xo2Q7?^gF7_8 zxIPlyD<&qU=Ax##<-~WMTQ>1eOhCzY*5YTq;BM?0{{u&lvfjLTbAf#&xZUQXTA*$# zeO>rcfvuhFb)idw(+k8oH6=CPKWD6u=b!TVTTB0R>zZ1d2d|&%{d*S_WxclX^QX@f zr_F1%{xWr%=0Bgkvm2jS&)hM0R_B3F^H#{b=$*h7w?gVkt5&1khp$@6T4wX|pR-(P z@^W))>u7m#Z|`nb<zNo!PS(ll{>OF{K5hwcayry0tj@)$9u)H8&d%aSk7<i8T>k1k zP3Pc-#KS&*%0|m|m+n_t(bCrTibbT#-)+H#YC}WAN7deI^Pg|m-TnVRs7V~%2+E_N zUY>)Km!BV>SN03$-ixyzcKS4MW=vvmExY4&&Y{LjO(-w@(Y3ceD$CN8ex9>ka_?+Y zwPg5@id}~<9~O;Ez56ik7_Z#Bbp_?+&Nq$L6%-dY?w0-=DV4WQub{NB(fegkL7`@E z(3km2Kc4=pePH)aSzlki^5^%7v!}PuxnuL>$rEL5b#Y6}${o|^cCT3@lalsSN!yzJ z<@Ze!CeG~M(xa1-`ux!O+p_=mr3NmX!`}4AM&QZ&#sx)kD_*>RDKOD&)*jC{cQm&y za9XKhoOb5GmdwjtJ#2x?Sb3#PRy3Sg=o9<$)>du5c{ZIBmEB({xq9eCY{?LGa&o$` zIo*GbRjC&TyU0|hvad_Oc}!eh`T1FrZmCFj&<sUI*JV0^xzp5qXFZsmzfUmGK;*09 z^=TLNR5vbN_j!?@Z_s_NEop(7R<q4=oqm6N%k8>+0&i*;|5`^uonC44ZJ(`s?`~%S z<z~mJx!_bZrv)?#QFLLCuiAn{rcBA33(h%}ze{`U=Vo^4{nH12R-Cey9_P;--TTJo z&gqk`Wo2?J_7>;m$rXK;?@;^s{e82Gh*0R0`AfZR1)^iTr#G$E?ljcX2tLLtm$$A! z|1s10P3smJtm$7ay~p;>gV&L4`|hQ>9G8{%?=!pjVeO*G>sOk(j6_{yRl;O7ot)Tf zf4slGe!hUul~Ttm+om`*8cl6=;TMu?YHIqzB@ndZ#qI6uA9&Q|-rW_der1A$u%zV5 z+1{^RTwGo#<-GXqJzZ~M?(J<aE-mfe(RV~LIcMf?g_Gq%<x37M7VAH=SMGMP4yY+| zt@2YIxIzpAO{azyeopdf;Ed>CxSFxhLqg8yfEde^Ca*&IXJ19#r#^DMyQ|c&>dOnK ziyB+hyR-tAZM=|uYQ>}@M_dA1rnT_ZvKC~%J2h3i^rE)P2GHz9jQ^vqAcw%^f-k+r zgRW_4cItZ1>7S)}-De|9>hY~7o4Ov)(|z9qO5h2FpgBhwL;V9RGa5ueH681TJuVYj zrZja~9dfbs)D7_nbm`J??~@Unemc+g)QhQHqFN#=raE2MT<j$`?VU1f8yl~b$O=QH z>p{PLwq08ry<B_6>b|%@Zn0B(&PQ(sIh&|Hb-xwVWj(3eD5*6+R_%iUs1^AaqZMhu z$SauO=<3}5uw|VFt7z>@JCz>L#kV4ji+Z>eLyTUvbnVv)uD^2Vz|GC++)JK#C_1?< zS+PG{u6b9$+MpFHqqb%>n#fD8RkD6ELALZ)e^LLZTCYf>Bhys#_?Olnb>)ams@;}( zA5>Ys|D60D+;}nrg}v{`&yRc>IA?S+aBHP8olNUdQed6B$nMN({y!qAi*&+P=cM|) z?VLKdG38-o<djfJ)1tSxOampRxy?4q1$8+t-kb64{;ty10lI=uvrXJ*E^>C_-&+3d z+cz=U;uT`tKX0#%Hjmj^<SJ4d%4PeiN>z2E>(+1`!zqGB{Hx=WJGb6k_7~KY{&#*t zIe6lEgCwI^NKV^4@iZo%13jQVu(FR?XcCjkiU|ycX=fz%7O#}<*O{T!Dzs~Y)eDW? z_cZP=K4)*@VX^S_3SFbE?#s3){Hi<BAt+&*C6aY*4d*Wh58cU27bpj}XYAY6EU?#Y zQOB35_m+P<bmeM$PT2amSn-WccbhT-a;I(CV)C(k>v`3UuCC>}hEslr{Z8-vdSh8K zs9Cu2+~o4ZpqBpzEzlZ_uG!+rOg;ykK*1>xVU{hxSUO$4S?%UY*HbU}tqW(~O<_`1 zI?&|RS@7{Fq?NWn1=M8Y2d&#+ViXIJc_{L>oWD<N#foUF+wVCyKKEIW0ZJHm@g@w5 zMGF@BJPll?WBKsor)jctKy84!1AA=2-6d5}m(Fun&TLKt#%z$Aqn1?4*0RV120Wac z#x&cs+Y+`G;G9zft7y7q<~?;#O?-d}<O_xnvy&_{8m@v2DSfhMK@$U~mdy+X;SKRj zpzQ`Le}8{}fBSvi4bLIoN#F(zd^cIG2Wi>~(v-I-ryrE19>jnu$aSBe-31qIXFzss zPDoM4oGO0~viCD{%6D*L+y<J>)Lrp;7AP^!1`S@To%#kYBF=$UCOo&wzo!d!t`3XW zbiLj?cVaHg2RSf->6=#rt7x^Y<u{Pe)sTknK$$vLP(e{uU!VU=VhcN1dmLv(P{7ac z`~UY|T<p$mGTS`gZMomvH=>i{_CJ2~=)xjbZqN+T_qvP>jembW`?IjK-%eRy{{Eip z{e88dv7L~0F_AyS#l+gyMsGiK`?hpDzx=T$Cntkum_FKqhB9U}tOTXnsS4-QL49ot zMMklZIUg=mp7uGw2(nu-X8rzuR=Y}HFRL^>8etE*r{(MG>yLlG-!ERyUTa_RAz^l> zmdYocm>mHfY9=067JdA9T>kZ+#rrL0?ReT?KWmSwT7o$!=w>fYat8<9Ezlfm*|{d$ zL?)jD6-?7aLS7uMT_3l1L)uxX*{(Sf{>L`V%sS}Q<<W2ZZHC_wgEK)F!vn8)9`BPC zl$4Bou&AShBlbyWO|w$KrAwDC>?+OvI4MdaYHyY4tu2|4H#|?&%AR+gWro8^&J7EK ziqdSF!O{E$6wSY)8yF23XAAszv-!M1;UkyXYjRI45?1qJ`025D#}}ch|1X!%7ZcPm zsr&JeeWH|$p!}DuWpAS<?)r4r{C>i{J(Z7Y{r&yl+}_TAc9yB|w@f?UpR<g3pKrY$ zcX&(Y<&sRxFMq@1YnKYEdrbK8@woiO#qRt^jvPriKhL(}_uK8Qd)M#(C-wH$R^dY| zuJbi6Pk&Ks`TcJBeNnqf_C+2OpZ@)R|N2_h;KmI8$!fkr|1Qn@_U`WL?li@Z&G*d1 zZwYW3K4CW85Xu!)_?_qD<AW?S8vY7JY)avb2-tZ4bMkp`$eJl1XzDt9;qxI-qMX>E zsvPpgHGf5{y5Peb8<T(h`F#Fpw91kR3=UhvYier^%icu9%8F^`Tqsp3+w<qs=^Hx= zmGkcGP<&?h@5kd^9W$KU`5u0Gd09cwbtaRuQu7x5><*2~WiQ?vr=Jt~1{!{||M!Dg zzUD*Yx_2HWC0pLT7vWr_&(!|SMNn1c9%##+Qjn`#mxuh)TA^NzruJ{%hlKX}*Dv3B zY5ydb6&gRq)H7y&G&8y-!l|`6{k&O~oqr0G&w(-)yFVYCH!Mi9{<p^noCDT@vg`HZ z$Ilrvs(tv+qNBM&Bz(GySU~O9tKk=Sm*>as<@cKY^3Kl99bti8Dk7<lW-n_kQ*s4< z#Ywt4IdyGX$GKPkb=!msAFjvOCq6jPc&I1nhvILetd>Qt8M>bwBWuFMZiHU<SBy^i zy>|OOAv1B^D3z}LmlPUPk8}t=dS8?uV)AYG`+bL{^Y<t!<r*0ooe`Vs^!mkT|7>$R zZmlS5!Ef!H5`GP=qK6|tPK6XV3zR^mrx2v{^ybuRyW$_{7VziW?R+JpmR%PEW_7J` zT(r+ktY)g9YzV)L+nftFkqpyWc!d(q&9~QA@@nIeWD?3Ndvjx9H^V~HjD2sqf|vWv zRr<fKFDsDSFzCR76=Gh`7p{<uTr;ia=C<6~H>6$XT~JW;;Ie$uzGvDuZ>7_Jet!1d zx3^1pi%;AE9=3=8LuMDVgDg2N4XmQljn7lTsin`MfmPJq!u1}ra01gbfe;O&rbPxB z8p^I$woJW#a+e}#z$38v)g+C}u~W9XK8Z>76<um8<e;JJ;Z_%Lc5>?JX`rUlqO%*f zxZ0h(c1UH<gcZ(#s#9($zuv9!dfkPu_J6-j7I2dbQwfVa?JCy4bf?$Hwnbqp%0MHp z7nXX9Kb*eLX|w8H@2T0dSIc>O)*5`N5)0u`J$l`c@vYzmPAz6Oo*nCLzu!<+JHW!D z>aergW=6wSP-4h^v<K8Fo2HP!>3B52{;gtDlagD?S}~!DE4iJ#ceUe}&u`FWow{O$ zMvtU1o9}G1qf<14T@F58#CCP(LXmZRvQ{0t?ArJ&&rdMr*?RK2A>&#B4TTj2hAL}i zxPKpH@p5zcr!YN7EdDHu4`}4+CC^PJ;RL2_>IuA#u9B9E?rpSGGScx3j1>rbEhs-N zC6V)XmSby6@7vqkUr(E5RjPI9@L}DJTQ+z$vGZ!_`2>0%Zs!-zUA~lIQ}%VeE&2E3 zI&@2P=k8zlZ$iT6yt`I`*6m93S4f9AI5b3lce0bUC~#2cP*qiJy!0q3IhmW&^~f|g zPZq_^R;Q22^nt3-@+Er|(?LUz#`AWWeLK_CWp=J{FK9W43DY%!5TDNz(yfgcYX!Bw z9JIHy+jnDyU~$1r>+*FSRtp_<_pem7yn1QkBd(<x6K^=NHa|ZzllfEle2qolX14II z`fObE#3Qkvt#tFJ4<9bn=CAtyM7UG=>}+#!J1@gl7Ez(v6U#SjFxdNk<}S1I=}e&1 zw2yyA12bqv6eHh7zwHN_y5`Es>^{pf!+{ahKHyFCX3BDO?P9sRyWHGD=t#tW&xQrN zEJZAfzP*VQ^j+lhcOi$cl#1Vk1DleLaw#U*=$Lx^a+;_dBJb|jd?9MeBD<U$2F=f; zH>qzuvAEA6@K-|TSKf~k*B9o1TIJt<etHM%i%8v3<JOY05h?5BG-wnOPH<d$<U~c2 zB<BiNQ6aswGcy#e%tfclEe207Oz1c;!FlGVOIx$U=h#$ka+uPwCR0dAi0Rddl2=zW z6{}V#2VIF)DQxXx3;vj}Yu+yRv$IUOMYTdMEWHs>B~+__x$OkoPxnJzKFOEDy<LBW zh+JEAX<o*9_kKCiqtmZm)J*Z#+;HKak+Jc`9firp^W<h1l`+i{2-zVqY4iQIJ@vt$ zG_2(~FJA{V5~h0m^9%;z3qqh#tP-hn&%2`n0<W_K3Qb(ZSUO=+riF^sm)F<*r!QOV zb35>M5Esuc77oo-S5}3t4%j8Qlr3<td{(~i>)aa~9Bce9YKv$t`r`a|uZa1RuhT42 zfBEc8ILP$T)oF^A><OXlO}=UYOBNhDI>D=~xWI79q>qnQpY?8F75)4vCA$sOs4WPq zQ58;Lx&>-ymz_T*X%oz)b+nV=SJ$db*(xDd)<$m+I4hKTFtS$D!sxEMB<EuPkclfD zzrMOEympHwmusZF>s-Di|KeswrMz3T<&#|C=8NhQmWTfysotX3*zN|(V2AmdyA>sm zb*|qe1L{y7pQdX+hoP3^<oWaLe@}ud>I8k36}M0P`1tr}{*`t<S*>}A-{0S7|I0Qn z>cpjXpI2UonmHqy8-fB96`dp#7sbTS*I20Yr|QWG!NQ$B{{!7RCon7$^Ve9UY-AL) z1bir#?<|vxOC?rbu77ds=jZ3#x2Jqk-u?YVmA2Qzwwi!j)9$Z+y;<XXTF$BdOKqDN z*2V-&ZcOUk{KRxlzr(~PE^%k0KWPt=JlZxt($;luU==-Gkh=P)@PqrW#LjoDPhj$K zsAgHAs`Gc+o!hsiQ%_AfxHfvbfQU%ToH;T9-3h-Yyn6h2vF-7j%I)B}h^4<Tr1L_? z_!n(3dey?US1UO0N)waloT-mE_o}mkhWAt5%YIF~TXAK-f!gi3xVVbvbIV;EBbQbr zdQ|mCz1eWY@sx+`%%&x8{v~&Qy`d(oz~suM6~nXg1Mi`u6MnS2tp*KKy*g>JFJ1?< zF!D3s%!b863p_-${>+>`Tl<KxP-IMOtm{X=U*_`sY6-ebK>?atcip*I!F?z1q*Kq% z&UQU3kmc&b)bxf&McHnJtkK?eJsLa9-b#t-MyYJOzRXuTK#ITg=gNqUi`M0Ffd=<4 z-dq*BS}bF_&f+AivNs)BS66jz^?dR2+S=JWrnMPKxpC=z`8QQN+@+;kwasep%L9$f zVVTysoqIR<{#Jw}$R2AKy|xq?^LeST(ehqU!Ta$|g&L^fRdrzUN=Q)Hv}sdG-;Uk8 zl@%2m7YFB5oA_<F;?z<xn{Z-zet@}LWJc&rsm1T6Ew1UedVN9RVaqz@gDoz6Ufhe` zYc9>bvLbLr<Yu)M2XbR#=5#bnyj$s!qGH&p6!zt+`@+{L52Idfa^9zXRJ!(}!IS<i znVrtlnx@=Yyy@>|)oaPKeHu7kh=TI(M{{;@XQO$t=S}7@aBCgg{CMUvP}jwz&IZ(& zzb&+YzcIwWHK_K^ojXU`efs=1zMX0D_|+>t`)}tGE-&+SnltgG^S6ES-{y1L^fbgd zwstGNbPo$T)&D6=ukDC^0lZTIEm;|aH>5M2(h%+Q+xUF)mi+s&jsX*2nu+`cT~ZSG zW+uCE0UJ{ltEgUE%AbqHhANM5e)M?^DqL)==Vih|60{KCmNC-1HGBDue>!unGP?_g zq*Mtmzh&`y?wdKk^;AIl@^T)FUR#Qk{k&XIzU+B?Y_pGgz^ahUJNxJ7?^4_b>FEkG zb_G>_KKn0X-=FApbCVVu7ko>Vbqpx{X8h!@wSFTLIJ^9HdywR@%s7QxRsBGd*U6b5 zc@%V&r8Ubp7d+21dzX^(_}yNaC8<voY>n9^zZXrMIkmmoa_5P2=bXd*<cf+vPncaV zUs?I{#Qu8u@9%?8@t4$3(+lO&(_8v5YL`e%Z0v(~&zg+#^gd`j=K5}=_u*4PYj~vj zkE)%vKQ3?Pso8sa!JO^ZH8!U|OazZ^_9!i=o3`}+>4S5>$XuBJa^}txr_MR2mFaCN z_NkaQwa_urJiKDZ?gN|GXz#JP^WgDIw*0zjlBH=jD?#%iKl&1wzIiV=p=bYX_fqzG z#fB=?_Kx57tAJ+YIdz}!{Pyqf?;TU;H2#?4b3{E|$<9=`Q*)-rzGD8B<(nVG9TT;i z_wIv;Q@iz@(>o3xzAO6fU7kktG10s{m97i(mp**<iYZ)Ne8-eJg;`S;{rCENc+!!n zzbh2BJ$ZWHJugnKsOa;A$&>rn%!zsO<jKRhGQCZkiYCmQ+Py~R*MiBdJ!fK;q^2lp zTZ`A-d-vhHZZ}t*9EaBPMuq?E^<iJWi)@XmFkn=BFo%W9_H>ZZ#2HaXHlH~x`z|l6 zW?Jgxg5V>Yd*&s7v3Z<6v-sW))f=YVTB;34ryDW$3KnR9(q!hFcS+@I+!|O#S1-Kw z#;N?$=0aOV-s_Jq7wRg?7Iv0TEU;#N`q$>c``3*2`Tjqub{@Wd-1*PT$4~jDUs`Iq zdH$Y1HA|MJKjf=jn%ZR_{60<Lr=MEh@-(Fld(^eUKe$bG&=2AK<TTYm{zp||NOv0F z1ldHUG^GVK(_;NSj`OnDOk)lI;1*gpZRX3qLLOtC6&A-VKk3bx+;EsvD~9K!WqwNf zeN%3&bLH$YeY=~z&4UUw-|4Npx2yThoEny&)eD_0^I4{Rc50X}{^zfajMAp&I_tS} zW_2#PQu1+X#c#bwlP?DzTWjK^{cDli2L7y&Z_Zy6;-BvptT^s-;2ft-#k8f5f?}c$ zrbkxhf0QY{ksj$~B@}$+yixv@6)}DDQ_Jf7r62DLV-?lX^Omh<<CSWO+gl}iL#nh@ z)~?2)rnYut!a*h$78Z%krSlH6yqVYF%&ApYFSUnJEdey8-NTaZep&DSp5_;;H7)9O zU2A?Qd|VRLz|ZuzHo)+Jblp9<vMth|cg(6X7v4VUosyy@uleLlEhn4~d97XbG(dfe z%&PFD#-lb%ZgL45$TQYW6HPmL-stn)_-|RBx+^>so4-AN{J1%CbJ{|`xmIa+<AQ>O zKu4{Vy}c!xIX%mHlK$UcUoY>j3keZXQdS1_05|_i(@a)j@`bI)54^UIhm%uNf#>Dx zs%*{uH`djHS_b>h_wP+-0_{@hD_0Ikyb)}8(xTK-@?!X;htGq>6dj)iSf1clY^*CN zz3R8CFYLwhXU~+)6h+M+1q({gZ@RLjdPVSAdC(fIh|>B%mr0<Zjcck7=QmxRKDp4S zZGLLoB>h*BVfts}zy63!({S6p%P+pg%hT?{WDBE;4+$mj?nH`vIwc)k7rXnyQt#<b zI$f_`t=c!?jb7v?mcwnl&C8dkD_TEE&dAU>bolVwrtOn7Eq$B<K0iClYFwqSrslRM zO+$Y74HN53#Q3R>Kd3f0-gb=ZO5yYO+?^J6ik>a|+Tn9;d~tFy`b(CiPnb8eH@i@C zN13*y;l}N*RRM?HnJ&DT$hBy*0BH0?$GKsC>a(Y(&KrIHbY+ogZ4;Mkn~RH!gl?FP z&zl9`SNI&dvNBjc>wlu4#!=Vx851{8>Wtd3Xz#k{?S3v#F3d1a=X()jG1aqMeWmXF zh=7aNDmR$>9ME9WSs~HtD?E9H?8k?jKU#>$_DgrZtWYswxT?**AgZ9!_s4S&znIS5 zy{9*N?kLdZoT^>%@S{~@M%ea68Sl@4+EJG|M5?BR@;ZDKsGBy^E%g7JL+3YvCLxcU zH}XEPZIbWS1x^zc7rXZ#J26qYl|5v23)|9vC*EgYU8QRGC-~O68HULU!C^we!biV? zrq80bW;h)>xX`)1;L{V&#Q2$BOMDt%URc;XW4%aehTdA&Ob=!@o&)jqe_P+(-TgYK z?!<o3ePN%Uo!#|CGWFM_HAj_RulJCD`uftoBkTM>1fCC-7yKC;!X;GNn0tTU-WiK} z{1(2S%H*AvmbUR_K-ezR3Q22)1(l$p=;p!LBYL+$BZp@8O+wZM@1nT=S(FOb&+m7C zo7K1?ax2rS@NfDXW#=WxG@dq}RQNGU>0GR*!{R8%idAu{SJp+Hvq-k-=Cm+mtebZ8 z+lQZ#Y0>|lMaAl_&{(Xhan)_vy*(ceaYyX0+uJc`hDqimKLN#wiATFcom3XQzIxx= z{^Yr1z0w~)oz{QNBCWaN#1=&_*+n99pBlT)o@(06?>&9uKUOxjN2jK0Ct3d5{_@Gm z$$}yxDu06Bb++)%jB~DEAH98@K-r7p_=t!Z9tR`0<;?tWSx8XnRg~6~^*uftr&k#~ z+x7iM-%FM*5m4*mWx>}-jaTe5v@*<dZ-x9ZI4yH@(i6X^W6|5P^g$zN{gY3j&X=#0 zYq<rUFaH{lQ`%%%`O~7@QtsmP#}lW|ZlA{6BzR9jA}?m~u`u^TuWvCj)=kTNKjBB@ zvc1Ndo$kN7E_o$3zL_AgG55Av$m%fO+FxG;FA5vkw%VCrNN1DUB;5b2x~hMXe~J{h z>qgkp`li+%pM@F1k^HVR-z^raxbo8O(th=M6;9!sUH@9TX--@5)-&vsm740q@JrcO z_Z6O<GD+yk>!~bqQVWA7@qdZoIk`c4wZ^hn?DGE;q>oKrUj-V0$i2_G{qm*_-!pU; z^&Ma2_toJF@9GvW(V8u9w+L628yoVbC+8{sDq=b$-MXOkvA~q?52LjGDzraL>sC;h zmwG%&@T<A~=`A&rMJlG5@;JU$`=fLDQ{}{bFGtsz@01t2d-Qbl_lv*nzB*ARtI&DA zg;Vy4U7C|xH?8j77p1wW&3m$%FIVZWK=%V@=30xteED+Mqphbh#AoR*w!5<5Vp^cq zsn3C2`oGSdoib(qq891e{U5IVvOWASv;BZGxZ(A$`BJ!oSA3xQ(ypA&0Q1l9dvtGs zb}MaL6945Er{>HXr7x`d=61V3wmMj`Ce1*6`qgKFq93}r9Oms3Xy1SS=t9r83R|l8 za50^>jE~N;w$LiO=*99Pa@+3<r82hS{cc;E1K$hQO^f8*>v{UZ<~LuCTF7+wIX}MT zm~sBR(d3G#qD?^o7pK2-{u)yC<%MFD%xYHg+Lv~xUI>4hofEgWDpdU7qB!TjGyCn< zpRm#pIlIV5J?Ots?0kkLBDQkZzD3?o_stgB9r|Bs_T^fg%5v*{Z<Rpa`tsm|ZYYoE z%_^Vxd5abIK9v3c?TM@uXyDq}GxGVaDO+@M>?=ZReq{X0;E=k?+320=_j)5=?dP?V zrqA!+zc~NFiJ7mRB3^z-(KuCjr0XZcX4SL88b5SaH@TH+ectTzd3o54gY4%wMaWnR zpFVHYJ8!;7$QRcYtL@c2443%MHp{rWO0?|V9nCV;+v2A)gja2U^zb30kc*PCGPg)p z=<;hCi=AHV4PNfYIeTsNcDJjmLQ7vdev!KBy3p}$TV}0L)|GEp1?5k5Of^nF_abZY ziPDu@Kd%a1?P4X&+m#WxOn&C?8&_WXoQg7h7T5jO(ykHg<&>LA9;c$c<L51Ye7|as zb1`V0{~VLv?WO<iHkAHPb&=cKr~aySLE+t18nL^kd}OO!rp5tXZ}jo{%GVtkL9DXT zVeXoCVZL*CtrI1gSm&pPUf7W*S~o3{ZENUSyNYSChvSzg{ftZt{d82{t;uV9-dz`V zS<95|Qng<LS3KSLrbqd8+^Kk`)9*bCEX&`?Bqb-8$~K%Z6P>&Cdd7>_JT7IPa}?v< zdL$HgJqma$y6U^fb^rNxvc~D>L^SO{yDcti|GH(Z9o+N8>ebiOzbCS<uM>UY^JRmd z?a|97Z@5l+&is{f!)IR?r-;gj3yZeI@SL>T&iz#OQnT~TZD*{sKWp`YCO>Y^e*#?} z_TtKC$ojCG_cXs9Si@qpxv1d9mC%6jUh(KK_s{OyGq2i7Co@GU6g)WN`zQT>YTdNV zhXy)Nnm<Ke+n6dbuV%}l*07|_Z9)O!OHE7U;*Yb;Xn4yB?nqs-*WLX^cA{mMqUY`- zvz$O@zNAmwmauLA(HqR!pwT3$DPPx5oKeO9`g@$que~xhk^8O0XK%e57?GQ*^`qj$ zlhlf-rOw;0nFbzQzvk+-XRR|DmU4X9d?qMmYxeZ{skbbp#X`0ei@o6#*3e|!3+kAh z(g-=bYe@p{-njVCT<7PXN_`waF>LnT>B&av8=ro=wwUud|BX7ht*|M4t7Ic$Iiawi zuh#7|{BbilXLu=mdcm91xvOJZ@9M>ly8EX4KF!Sk>_2CB<KOytx8-4`f){6;zc2Ru zcvBa6X6S$o3m3S_YIStNiyvKC*=h3@r)r-Ab?#ozD@zO03wvwV|D{J}OHL5;)0!R3 zJ*EB*)~lB;NmY9FLiI<*u7%~hqdpXrU+pUfd8CcO_B3e0=9V6rmd~5dtb8wCHSMi^ z6=&SMycdVh8?C<2t+OIR)>8ij%Ne%?4WMzJHqg=F2ey_Ns&x4Tip{%p-S<S!@-uBR zpuX!h>qp$zgEthVo4mTVq9d(8Z0o&4dnPU8l(8!oP1irV`2FV64<1vCW__DFH<`)h z!Tj_{fmyavrvhd)C=~oSV>)MVN%#EJuzm6o0UM19;`%seOmvv&nt65y+w3GJp96<L z)s?}6|K|UHf0SO-?SK2(R+ocray|~84^H)_ys;~YD`CvKI^poMpYJZ{HQx6>l9(OB zbIWvnYt|nf<JXR<X-krCPx~5SQO$MCZ&qjeytf)}?)U8OGv8J2@OIbN4^PAIAHEyA zd+YQSAHK<b)Zuns-8Sc3)-~xR9LYK>BASiXA7s&ijn?=aSOs#7O`cGYUyPU2Zn08} zqsKq(cw5HGHT(YGbdy)tKe#WSmRPo%zwAySXU<Le=E>71`BqKSjWv)pwv&(MxOBpQ zdBTAQfqmTTXUt7ay?eNEg~ytob%x*G+-N##|0l>HpmMptddt-nYbzaFw`tB#<;`N@ zm^h<771R@t+?O+#GXhj0Oj~k#+suZkph^443-*7j7z<BIR&0B^xS&)s_vX<XyY32~ zID7i|y1(fG8~3PJZK-v+{QKsnRBo&CcWXWu-rAD+<HzIvqu>Ak`@SEvwt(qhdt2M1 z^Y;H;3JNan-#X3g<*mBEzYZQh&JLPjl6@PtE=F_TyoyJhCfV1{B$yQz7G_*r#JVnS zuhugc7ng+B*Vcac_Kj_=`{Ll`er&flA87^63tslO*L}5E^Y7*(QO9mANxyma3C|Yu z!<&1Q4DF;ov6cNxSDsh<F}23>zjZ}L)zlkXe}8|U{JHIF#{U}eimJ-#75l{01tuTN zFV$CAG2_+qotu|Wm^P~^?d)M+)6@?&Rny+ysb@R*Z(hY$$z1!>Jol!!>2DEtbe*P? zacj%Uj0X>2zFeuSmF+BNS;P`^%3-m&)b3P4&~gVBZRu2|YoIa7rxok(*2<mCyqR%- z)4Hc(iKqEI6R!W;{NcN1^SrlNnML&~412y_i@vcfcea4XyL)@P`(&*_cZWF50Ubo; zxb^B3r`CPfugtz&&f6*X`uciyPP4sLUk}YP&6d+TrIEYp@1pM>Z!Bwnne6-ZO8d*( z+v1Op^=eOaNPfqxc<}kp=kt%7->*^rqwqh&qwv(7*OJNSh0YsEu1xYuIrUQb_=bXW z;xp{>cOK@@+W+oOSL6D<DQ1qU`sU)3?IgQ8ww-^i6xXwpy=U&?ujw;4{=Rg{X6pi{ zq@&;7-frzy@j5@t6udTV#c7oe&@zhHT_u$-tiJqN=6Js7tB04D&?8IR$;?blI<MAS z_DtU2%+4<s|M20%U2TdgT&<9e)4OUf?BkcQ2=MSQYM0MBybqN6?ms_%&zR8>)YG}c z@%mfbk+n~FY{dV~NEJ(1&F7zR{NLpjA@_vuMqR&hC(biqVxY3f%Y`y30xMeiYY(+m z1*<Ik^WiZ6qwUk||Nq(iLs#{$@|3vK=gu9QXIm{GDY>%yfr6up+rd5GZe{=Y_xru# z^}GuU82A1C7X5Ky(z7!&t6YV5&g+@8uyl!S>XZ(ns+NBbL6>qpyxuEqUJ|I<=5l|+ z-0AUklG5gRIw}uz;`T&zSQ}-vv8deY7d!TAuefVU*UtH=%eb`63*?u<)&g*TG&lS* zcb-P<E|Y2N?wo1rnm4;uslx2v7v^JiKlsGzzHjzr5iQDgzmgg9<-1Ecuifb_AB@vh z@&8f^S@V~1o{NlB@^LZ0=fUst-`&}{sIuLzQQgWa%4TyrpKO+v(CRbGJEVntUz|RC z*!j)g%FoYs{r`XT$dQigUrG;d?P}iB5eurD^!hsIrZDk?Mks&2pCHS={z1x_+>*Sz z2mTzpxhPoh#-8siuiAe;-l@Lzx~J>1uO5cZay>x}0dmufR6Xu1YPCuTX)j6m{O|Ys z?hJ9Mpo|HD+Ce1~ChAVr4i{58{^R50QbD;(^Fa%DJS>B{oWAf^E_E<TIU%s;<1uN4 z>QgTSqn@9dsvWT{NAh0X@7&leJ-6ha{##Oz?4i|f6?OEQ`EJ$uslQl6O9QV-nPw+n zVb6bb;~{g#rwZ;ff2(x0Si=wQG*<t3Z+0x`><rmOrxX`Pe7~>362^0EZ}rR{RR^d4 z`1iVU$K+W}3%sTpmObLJxxaJwj(?AS8b|DU;H`4({*fP=pA9nKuv_e?mrv7+X`Hq7 zb=UVk*<Zr;IX7CJ>;LtgxBn|}(vuUk`>p5C6Ye{EXSeCw{S~;qJx{>t+#J=_AHNvO za><0h*?KzZUjFWX&42#X*xcJAy6j9;*DKTP*XvH7K7DxG+1CcSk2H?l-=p}aX4l!` zi2Z3h^_*JQ{rr8xQD@FyOQz-~!_sp`hVze1Vh*e}{5g4{g?w$)p0L&Dl4ls?GR~`c zl^Kw7>W;p8(#Jb1S^osNu>`i9PhWgryvB3Js|y>o?S9snF*R$={ClnXgN}Cp^LcC1 z%5I;_3z)yaiHY5|Sfl9BCFf<Ic%)1`@}G3Z?BBsLrQLOXL>2$B8_R2hwO0ONZ8BnN zy%)VbZ*GUjLc{cPG8ZgwIzQ<()T!wE2wF`sPxgEY(=5<Bhsyp(FLtuz{Q4`jYg=~9 zrgwSIOwV1L(|2I+8kw9Mf6aGoS=FevmDzM>edKo+E;ZLq*`rrA1J7Na{>)iW1$3L( ztu2|#m%25gTrJJ=@9FH*)!OBJEr^qwTi7(D?)O{siN6-Ff5+{560|5`clmoUv!|w) z^glVrD!b|Goc#J?`}Cu4=U%IbVCmN7yLail(bfe{Oa~S=2mYvh`(0_(qrLq0f=)-Y zZQ~Bl-~Yeuyc@q*(}^C|`-hKS6_tEhZn)rmvy|N_4$-Q)uQt2bTxMMVr9!s+{k?-v z{M#Pd@Bh=W)BF0O!p+Y*Zf%$Tyv*Mv;iy-}g&&-XYHR-08QzmH5Gj?*ZMYeHoHOv* z*YdUnVRNf0wm2rAne|C?+n+sb@A-a4l-zxJ@xAPhol_5faIBp;cW$fc>~D*_UNk3N zO81vN-qL^naQfwaPH){`b2E0lTC`)wj)b57w*`Zi&Ju8$raPzc>S^)hZ<DTOTv##l zL-(7B94g(HCjHo4e!bo>`<2C>A4U8V@3}mFvC#hF_owL}{(bQO@Q!`)#3F0YHHFri zoZ9B^99s``J=(P>sN==gtM_#erC%0Puuu^RoVfeQt*=sMN1O7m9Xx*h{F?1^ZEa4U z*_Eg*70j)r5_92m=93c>4|P90+}>@k`PIEHes$PdrkCm}X*y9`Jofk>;#m4FpoDel z`U~GfzPG%&knJ_G?Z^vWX)^^oJGr~N%k@<rc<i3SbQ!v6Q~an>a9UDwvg=tLq17jk zeR_JjJ0|?4y6dh9_D`69PMbDu+2{E#eT(A4<$5o%*SarTf3JH_-@pGCmUvEfx~TbO zpOKS>v9YjwzntjT0~H30ri{CVLv~0A-9G|ZyMLxUT;=}G_DpU5g^w?P6_9z6ZFe~5 z<VwyXs&@`vt6gre>;2;o-?!H84gvQXg*0nC1RL)yuD=u9)n{evQMuvNEyYtE!d)_v zn^HP|yp?-;E%=H@;MR~SrV$!vJ>q*sDtS5eMSd!=N_Dv?K6KeB{wX?=yRAmW(Dj_D zi^fDjt*nEtJIjT#H0G|@v1sqLUyd7&ZC<ltio7O6&+jC@U*GEGmwHWQa$Uz$^EXxH z&aTeT{eQYX{S)mH3GuL4sAn1SVp@y16m(nC#RVT9JJl?7Oght<+`b{}a@>{t8zLf{ zPKUVGy1tLKC}+#w{>J&M6#pxUi5{0$d$Y{@b=`Qz_7dHgyK)@Qvg;$dJ}$m@c+=Hr z*C||_&MGgq)UFebt6ACp?!=Yfwj~eBzr6prr^6yJ&ixRl>r{>1e^l;tIe!;F^f9HP z#V^2egS`;*uaZNTLZdJ2No|Q}zxE^R+4Eht7qfq{Ox<F=QsUFM)e=@&i#q;HY+lq@ z_cN1Ktag9kkNkHFx2DhB`8{2`I?K^jQr7X2K_}Z6p4x}4;zu@jubXd@IY}U9RoGfB zm(B$X6h5k-Roxi1>|H>Y-`7`HTN!+tkBH7(=e$w}bgz^4`aMcYf37rj8S#3==C>T_ zgKmmEG|$S_^Zu@&9g4fJuZun0BWdiCyLPU1xzn=iIk&cOmcG7r_2!lDyLO)U+$+8* zKZ9$^&f3K4Z|(~`K*MX1&kc4;Oe(do{-vd}V#fOx@m!nE1zdI+5xcv7_H0sBV|egm zXT%+^J<Z?a*5wz={d%=<f`Y?Vuev`U-RFK-_~uCPq^2z@1s;kmLG@QyGgqEiw29%} z;cL@aGg7lA_^bpS<h^cNSJZ-qmLWOQ7e#omEYc90wZ2DT;eDS|*Li&}E84#_-y-sJ zQHt{4>2uq2>JBi7<=J$0iPl`)P}Xtuj;3EgAQ#sYmf9Qk5~3@;Utbho=#+G9L*w3! z$*<2>{hgNS7<bREYH@VNq%GBdU!~rS`m)O|(0P7B;oDQHU*3E%S#hj;A7~rfhxa@0 zC!N`_-PMI_sb-+mWSL(q6Bb>YR{SV0=J2J;%Nw7ys}_{&N3U5>^us~qS6Qz15&7D~ zQ=ASSy=!p%e5`v-k`!C!gUGNs>%V>4w{OuFkxq5){gXVL&Tq-Ox@w{Sd^@Emr)7&L zFetjRf3162eC*B5&4LmV9p(2bmpg>Z?Fzf*ys*SeQc(G*n2^VmvZ*iaOv3Mf{bKcV zO@3_ZULmj9fw#iBJ&NRA_vXDT4()b~F+O^yMfUbRXJycs;8Xtj-HMU(HX5%v(9~7w zS9$;bMhls_9esk^{vXJmC%aK}t>6u*N};o_SRTD}o0|J>)-KVFI;XV$JFa<m<nsn8 zWfONv-$f!88n;^6T+0JqC(m5xyk9V6yX3Nh{Mw1yMounHi?1k1PIdbKVEGDxRL7{B zOPiuhE>C#r`ek-ZeSQ7MgDzcGUuMV5lna>@cJ7k6rl02J={zg9CY_W{xPRZgz{2X_ zqw_}I99ls<nZHsj+WKe4s4QD_sWqc%WfPa{;XRjkFZP(NxyrXN>s9WapRbx{X-{E# z@;p55dA29Z)GJd`eCFG@Epg$MG~~O!?pVUjaN{n~kc{3!W%e$PIjgQ_MSLo|l>PhQ z<~2<7@=`1ho_jTW)59jn$lg)jU#{j$pPYCh^lRR{rC-)8-XFZHcc0S6pa}tPOEr#m z873clQMUGt+LHBQT}Gc=W3^jZIF`!4D!t0Y8tZ-Z;MLfYFPzR<iSD&N%a;7{h<;`I z#dXb%58oavHT8S25Hw=2>CekTLzUCUWo@Dn0fv94Y%cy}@NhQs3z0zi#(#0kq*J(k z9QYeso|NQt+H`j2o4QMyi>IX|GQFCz@R5rm_wHoT&(~_EMFs_E$_FqOi><%5^v{Zi zkx>B)zbrawEVj7#`n{_2zHW0a%-%F%-JS~pj;h~&i7KA5x%env!aP;))U>Y#7Ejx+ zRqU@fUvp=}a*vD0Cz;FGpFZOq4mwig`@)O~a<)|+QgU7gTa~=!t}Tn;(tjm({8gUK zm%Tpw7rqcIy)|J?s#B&*NU@9VBaega|5O^I_O6TivVgDPSJzvyuQxAWn(uj)NpSh^ z8Q=evm1Kk05xjJqnyuIN<b^#`-$r|}5Fh*Fx`ERtPMFnOZ+bbdI{I??aj`8f59-_X zl~%+^mgGdndgn<#>3+ktWZ#Vm(+;!gCNy@Z9E>$un`(b{>({lv^_A_8T7i>CWaM{) z9rfih_P@l#^8SRD><#QYVS77Zf1q(iMMad()Ap_t-`<EcF7vlh-6b^ff+D-<uDhFS zjia_#Gv<GP*>U-JzgUOb!q+^aAuBGt`=<Mv+hwLilymC;eYO>w-P!ki`zFvc*ChDL z%8AN`55@fETSTqMp1AX!VP^Zh)W*8h3z~QS%}afjmbl6m6nLf!&ntm;{h9`PteMcn z?fUZS>Tv!qG0UQ~L>4aEGmUHIE8*xX-%gZo?O6P0Ue??bN!z!mxqh1XL+SNekB^Nt z%d0{~I9J4NUm?VPWs!xgZS0oC>Wc1~M*`H%YS+&`6?MO6X~Pmf-%UrVaxQP=5YYrp zu<NxwiP*j?`F$I!=;d?f>gN2(V(;D8Kc9W*`t5J5&c3&K&tJ1@v@U<2cy^oe466;Q zcVaBPTwgy=_5T<7J2FkrQB~ib|8!U`>s8l<8=w7Fo$=x0?+n3vQlIz#<g9!8=jiL{ z`g6r~yV!Z;ScT$Ne8_Qgt6DfkIykt_!$k6zpZ`U@$|E<<fBg1azVn^km8<E;>+9TR z8ojG{cC&AWee$FqRa@WR{*m*lCU#=9Gppz-&CkdG*Xaf{w|3psn`IoDe~N#pg6;1| zr<+`Zl5FB$-{KQLKmX_&S8dntDng+v4BoGL%I&Bb9J1p2q~`gl&lY<(adirw5|O#d z=q_ukxckWovE!Q(*((>n$ynTHG%<;{^zX!%wwo5{?sap%|2m}@dGWjX^P8K~OZNqB z;oK^>OvJnD{Nclk9Rg!KJzp-5X;;cwF~hu+Gw7Fp(u952Jp(pfnef$l<?M+@q9=o1 zZ;U;z2pQE}xgjZg<>Kc_9@CUw%alb17`~mO+<)}ZnW@$pw-;^8Y^&Jj$nxSaU+b#n z%kCW8p8dbO=qdA&_J;laGDi;GoUd%45m9os;K|14=Zh=0%+gnqD*nspZkL|@{_L#t zMz5AQ&n+nXm%jMN1b^8|8=Ji?FE*6?+izHWYWWNM3=6woC94FKCruXmQ1-rWN5wmy zx*Hp$duQ2ca;*wtyj5Ght$Uq`rsm0tHF3%;EMX#Vn>}+Dybuu5U-9?TRc-N)S3mJC zN;T}%&i`5^*!j_XW6s(74;7!oc4_bmN&os@Be(JTx`d-`wiR3IlnX^dmbW}J$@#@1 zR=+=x!}QCWnZ~&`)6V=iZ>zNl+@h~muj$m56Y%@-g;%B@p9K3WKf7dkv8nQpcyVim zQgGP`aiP$Ab@?po;`X)Z+spU9xUB9nUG`Pcmz2}X3x6FkRBQ-YAIW`x-QBhZE=McJ zWtX-08l*g_SQGtKx9nfJ^18Tv9ht@Z9rOJ7tjb?EPd?7q&9r51+`G>0_oX!#`R^)u zuYH4G_CoN6g|<PzU+nLf5y<o^nQN4FH0ZmM{pmB#VX;%L*@WHX5>8;crm!G9;9-(S zGWUk(NgmgBh*t6jgT~0XY>^L%oTaFoemdrl^v3X3*7*HJkL2(0&bA3Wu_&ig^ZtSj z<u{G4oOtRxORZiwdXC`DnaUfIHfTuvJZNoulwC)2ML@^jd$Ipnw@TN3d@8qV+oGd4 zw_g9g{+`dliI>Aa2Ci58wu|*!bTiAEuR4<_YG$!qiEN7!4Za;``69jk&y~s_AMV~e zapug0y?WLRbN~F_kg?q7e5<8**y~Fn9vf!b=Guhm#cQ;%3i-e~ut8Z<UY}lPwrTIC zBHgO~V?F2p|NJg%YrQh|;~#zXM-SwGmn`$JSh(%XytsQiYCg&w<CAB|>i1#tx^I5} zaQk9)&W9d-vkR{qmj3a`xxFba=JT}!51sBTNWTd>O~m<m-hwZ48rSb#GFy56ZBgye zW5?z9bgnpYcSZDi#bxJz`?<^uc;f4_`}xP4TUa@GR;hgZfAoi7#NWG0f}KV`PrH8j z_*MAZiSM0@*&o+@$^7`g?|WOXXr{v^)h$c&gX^ZvYzLk4`0zy7j0RoEil-o#g-)I^ zJSWArtFO=0U<9qt4gIle$(8CE8^3Y<+JEIvg>u>q&;6>lRWJB!{y5eLoIdx;G^^8~ z?3eGFstF9A-(~ABjBP)+>;AG?2V0%gG~WCLbsX2(-mh3uxhwCP`1}XI*FSH()#*2X zdrbM>9-E(kE+_sdI#|9U^7TcfT+ypxQ|cb?tvsByrG8)cj|q=89^a1VOGr7tjN`*& z6JvMF;OKYG+UJd;jUH^x1<k}SD^7<W-{YVNYT?>uIJ>cC?v$9cHqSe5`x4ON9nFpP z>;6AZk@>f;LOM74is8Ku$@F;^WgN9}S<FJ3pkBkG+~t3KmlVzXxcHv)^mVm5x9%pM z@6%n>cHp_OnD}mI$(4)5LY^GCd)vIZF8FUm^D$Mi5RH(!hja8>0v>xbokAQ8w<BU- z4WqC|U&FO|sYidFes9gkST}8E-87vQGtN!WHDHVu)Br6!UQ*v>S!k#deRtBHb%vnv zgSK1EmaEI$7Ow%Hk(aYa(D>Yvg0D}z)0=mh1pH+v-RQjY%94%FAHB|B*9|L~5LCeS z<*gEnbm|e$z-V2+07I6E`#7ey{FuGSBIV_!rKO2K+HP!W&+ePQyRrJa(S_xE>kRdE zb$Pv~>xmv^s5EGN#Ifd<Xz!-ft)F$Y&&Ai>FXZS*k4$6xWwW|B-Y28?%~b7hgOn2j zJkn-7=WRas#C?wlxOi*jMth$F1x&7DOHJ>v#Dg~0tmWLWAn6Zh&CXvOv&?y%3l^;Z z=yt|pGRxHY*X#PX-;?e$kAJJcrC(=~m$i_o`4@|5SJuy}gVUA1wsC2)g|6z^D4gCg zr+SsUL*>s?QH&ws3!YwndtteEcHnJ?XJ41!^E)<Sd;HP6Tda4@)qKtM;&xtO6BnoP zN=?m0e>Hf$?k~JPP47pL$mAs)GLof>#6r%^we0+U?~N9h$*F~Fjyk<`k-nyLe2?U0 zf%?yG3x6?cZlAm%@9Xx6{lBaOAACH2zy9bReRZyhS$CcnuL(<Du;R9|-a|e<x&DJE z?y*`IPMBa+`>W(4*W|9`Ne9k1bBefw&lwGJKELVFpQqnt+Zk+6^U3rdbYGP|^YNPt zTjow!;H2Ao_wL<`8xoy;=2&c;u;EZsS7uM8neYZx(2g<B`Pb8!(v$+`EZ9``HtNfp zo0ol7TTYv4=>2W+@nYWxPXpbAr6)I?`k`4|8ms1UW5(_h-FdHnbe(hGy1<E5cI9KY zBgV2Hy!x*fet#$H^Dkw_ibkd9lOAj;`B$Pd!&0($w&`*6?0?#qI_si#^6Xy|-5J>( zz9^_bbfa6~SD&t?CH?^mow}}AKEC*?*L6Cd)b>Y78{co2UG(gf@>Y3Wfzn0!zBm6( zd3MTa;+OJQd`78<Jbp~o?k~S5ubHDi(_XgwnGYkU>y^mI>+QdFep?+Pu<5!q^HI~? z+jE^N|1$)B36IyBYMS5l<xI|>Esr&p?YSMKqun}1(m1W-oy?c7N5$hi?q_{UU^*%& zu{*^+?&^ijYb@%2)*e@MIKN50T;}MN|Mk->&z(E7Sy(9K)%ErLyUX8mHSgQEueC)~ zEac4LS!)d#qd^0)Vpl4C)e_Q}TsgJkYrl%B`Oa#2@+2i@M*-v2Rib(YS!v;K&fS5X zpE_M@Dd+vEO<a~+l3$-+q`Pc;e}3aR`z*)S7U5NuPHVkmV`7iSrEKqJ>y^LWDX3CZ zV^yS3_wQqM&pZR!^QEu4&f812`R|_*yntcd#-=X2j!m=MYu0N_T%yu+>Y{Uf(z`F5 zGxonxpKGA>e6qmCveR}UJbaCB+?1>v0$O;sN-RCWAKm}w_xFu2mTUObZC=^oG0~~3 z$AgoD!yzeY(b~IDzP@b%6%u7ly?0HR8LOuG#_tmRd)v-qE-x>y>(y1ENAItX-QBi2 zT)t#O@wMw$o4Q=|TBlxI?4JDj+1VMk)!QWfnteg1(&mDuOlDiGKhC1#-~d_?rmC&Y zy>jKs58u8WJ2_cBGT`Fjx)X2q{#fvRzfOVHv6&&<S}F`W(H6|{esaMJJk|yUEX?Rl zWUl7^=z2e3*~tsPH(p=gQKHl(wlZ$Bm|FeL9Rb~G$*iKOfl*#37n;ovU47Nzb(#K) z7~}Q@PP(4r=jW~}-+wCXlFEu2)6d1Tw@s_NG1nmf>;DNA1)O#Nex|3Sr@z))I?GVy z&xZu2HX#X{*XF*qr+L24USG_^#N6KJdhM&m#+m>A{_fV7x?lHu?T?~o*Sm#6Iy&3i z*`v1Qbj~u(783|rvHJy%GiL>PZ*Iv9z96-`>@8?7^TU@fGrKZ3pI41n_nDz^X>rE= zO_`@AD!U5^2{o~Di#61}bVxos%haOaLBoyyYu^&f3~~Y{gO*S~*|;ItXF&?f6aGz6 z9uvbN0yJkWzgD+;wOGiB;x4V9IcEeW&Qk8a611yZ$4i^FYngvr@{buO+3wmL@Bi2O z&vw51+g)EbrW{@7^iuzsK*))SvkR|Jl&I(aDs6iCXDXj#>J|3<u3ZM8UZLxg7q@pz zW1ad)Ddf-JzZ0iSNx4w@$<@ap`@E5z;B!TV`KiTr9R>4zrzb4`#Ug5NZ?Cv0K;&b$ z{yvUcO?y>At{4ABo7wr@o}ZiRx;#iq#c4vqrKR5DD_FTS*L`_=JG{J>b6d(s@2S<x zj~?rj?S6K4Hh28Xy)$M=e4MrK-4?O(KJf%5EznjC-GeML4Ge!3=35rCvGdEZxGr7M z9u%-}3)8PfhDA?2KDr)`jDK(T$$HOSU8l*WAyb~uC{q`0zW++k>VLq*ThiCpFU($V z?lN6oGhhn;ihwl-6mo-1PH#DHCv;Iu`B+^aqqCiusr3_s=?fOUKYlu<=~U~B%Mt%B zm;LxTRsYlSh|^7{4ri?hXyM{rx9|}Ie};B$WA=&&P80p$4u<Oil|OtJq8+B&(%vpz zdVS&ths-b&do3+4(D_vYM;+H+G`O}e&`;9B^X2ZSh@f|^XU@(vcIOB(N;-N#^@ovK zf*F&}iW$7B(n(C)lpX9($k+eb_(T3_`TbgTnS~|XmQz4?ZS7iTAhq}1)2FI>#n-M+ zf4`?`nr~L-y3_okOGEi*u5yme*9zl07=Axjpvf!jnk~<}`>`S$V|RWGzq0CcMB~bd z)!RBbCN^+6J}TYyZg#8j$CuAHyV{CY{a^i5`og!Je!D88#6wm*c(>{)w`=0v4;^K! z->TnyVEpmx=fY#krLMf9YnS~Ix&E~M)vAEC;=g!h_|*br7~g#gmQk#`AH=fb^!dXl zCoKrtU6va-qwLd@lNAqJ>w^-W`fOd`B&Z$|?fUOhcTj0Yz$<><U;Dz=Mm@S;>)tPS zbcSJa$x4HeYVT#=E^G~6?&sRdC3+<Lmr_v57A{V0k*|);E<93Gq+C9)xW~QprcUH0 zmk#46>^GOJn9(Jw{mOUy#6aVX8#h)lp4_5b3qC18Xr+hAl#7~|%x6AwHa(v4|LrZ& zKjjTe-<57V&GJPs!Lju{x7q^+mMNwoda=7gJR%-WnZJm?^69yZi+Vc}-?AV5l4JX2 z=^u^SNh_@^Z{B2m)f8Ypn^S&&zu3dIhW}^Je-M5y-e>W))3?pmA8t6f*d>dn)994a zmY^jIvo&uiFFDnG=x9(t<~17`<9)vtI(+G}b93G5d#!w}p`cdf&&r5xS=xK{)V7>l zapG>p>g(JbYvY9D*WYT&O6>2r9vnRB<JTt1iuZ>%E|N9o)Y`nf_xLH^YKQ8Jmn`bu zwPl3g{T}(Z^5c}}`$}F0^+*^xdFO4`mr`A@HGQU=;l^k&g@8Mo&oFu4n(Mi${nA3` zcBQ{z+*+?XgoM;R&L|kBk#jt;Z!hnmLx(n$z78vSb0g5jwfyxpUT$%{BRdKo>j)_> z@(W#5J0ag>$&ci6b7t!|1(4-}K?jpOKC#b`>&SB5s$$v4SI+*i&BW0me!Be94Nm)? z+LgbP5np<>II4b5&*A&x`HOS8FL&*o`Pgm2ibblQmvx3654pa?D`Q67<|*rp>#kJ) zNPAoF+QP+~>J@NvliVU-Ls8ScRZkan*eNbl(KM8k-*;PDHvXUew{t8ut6u&2AFrUO zlG2znp=ARXBV%MpfD^BVM%1(@2gd_jqgJjEnZUGG;V4s7ilE3wz0iO^onettfs2H) zR|Ie>xNvYZwg{YXQ&3UV{{P(e-}x`k(u6jhQQ2IRKi{S>?Og5oYP;u8?B?pfH*&n1 zG|{{3-o8KATPsq3e)j5#-1x3m^d#uAwa^{koZeNgiTQ3<XZ1@tZ)a(X>ZzkIW^75G zW_IHJpSP*1QEMuTCtof6HE+w6$vf)rygjjhO8a-azg)4qz6Hq3dfaIKeVi}2wP@+u zjXxH0zpMNFv}{f7bKxh?o;|oVuU14lHr(w}avR@&)jv#8iTCUOH&2g?UElSlE1o;e zzR};VM%@2=9pC=iPy8P~eA#j|?B<bU)9<S;^q+oh$IkE>zHcseR0|g@NuOE$zUBAY zdFMC%dcQL=VB-5krMMSW8cG{#zTHfJ_5Zl<EE7-HREgk<5|>W7{JOQaThvy)cyD2~ z_k_-|j<rXQxZJ6D+$&*Iv7u|z#QGJ&2VEB>o>}Sgb@@ko?q}~K*33-u-nAuFo9U|C ziYsm!1y7Sajs=)}X6~xLvCXP$ORzjs=vH5`*-C3bGshLbUM>%fP+Q-{vPnPY*d~6V z4<FXEnQ2MgeH5W2_9gYrjN}qOmkIp$YGrGG@gLc`_ji=tB&D@lQjgz;hbrEdX5%~d z=;`{!$<y<uS!q}Q``jzFK3eki38CC0Hx$*sZ9Y50u++%ucH8Q2M{KLUt~_$0=Ks~2 z?c4l5x~@C^{{PgY`!4o7vwwT^k^Nm*wm_kKi|o#n-uL@9O08cP)6*?p6uRni)6#5% z2hXB)1kKVf)#Y8+oWAG(uQpw+qN9TAw%gt9jTHW+tg)a~S;%tDECvPz22U5qkR8tQ zbLKQGwmF^C8`$!!zW%S}wY7DQo$Ef8>mPdYV8+9Wq+|1^RAjCBpYr-==KEJHKXi6m zEneMgz@>GpME>qgca~{AJv=^htwLRUC0NCO>LvAPT)gjltY3cptHno-9a|K?zit|b zP_bjwOn(<4+0>*ShKt*d)X$CEQuQ@!YTuRZ`S-c%W?YY$KSe(GbVNhgz0G19i%hru znZxqNcR`e6>(L2ydQX<<e`fZ+H~o7A&&hkor+i!Qw!q5qY1a2o#Shj?e_!~2>)VU_ za<v~RG_om9C^8f0v|sjMX6Ik26g$61ax5`#Bd5(Q6x%#+hdlfD`hHgLu;e2vHh%b& z)wt$N@dOih!QkUPb6Z%w<Jz{LKmYYWR&}St-lvbh8~!<GSSB8=n&qb?aNMtA!?rxD zHx+iLb2gj0IUbGL)z2aE{a*F@Ria5^F>99o_E_Aw)O)&?VCvOXp;~u6x{aUJ^Gy5s z=+UDPuHHY}uOv_0rTt0PBS$(nGts@y`>#;!^QHTA=dNCq@vl8++3y?A_FU}B<qXkW zu|eX}`J)qhE^1!;B-kq4t$6cWz@@0SoB;tVZH*tx7XH3mpz>5HPxi*zy0!{)&MOlR zOusMd`kn8e#3l1ZZRG_LoH26`_*G7uyQ|}NUeUkwnSRMWe-s^;GI*(U{rq+P8UM%M z$JG=6EOGyEXVTSDub00+KB(KX>yv)P$EVxh>aD2x`7^9$@8kIubvLua@B64re!Ti_ z@r!%+<PB@4UClneWkHher@MdtI&<qexATQ+TEy3UY@K!c#zl7)p_SW%Vq$tkwZlR* zWVF9`8z{D>mTu`@^1I~yJy~h9oB&_0Cvk$wK|w(}N!>r?r=6|4vG{af<eQ0yc6>_i z-}!25<DQ#qApsEdv^X%-Zc+4n1-*NU9036<@0(4TGA;34_HKiZ=l*^8p8Cu6Z^oy0 zs|9ydyfiYao7SM(&)>A%ee-JG=g(CFl9|?h(wV$s;?d9Pt9JSLpPRM5ZcVJv$B##x zlRv&aC#$9V@mu`8*yJ#y0HuU^btOzo_$KeY%-An)-E&;6Kd^L}%bvp4?(;^s-!9_N z3VIN_$oY$EpsJ8*(ptMyx3*@7{_4NtbFoyvG^Ddm_j|vrwU~RKOkh&yyFZVgKTj77 z-qJ96!h{98SUj)G?X<VCk@@`me0Xc#>eXwLz8o$y(TckCTJXQdvK!q-Z?CmGY<10y z<XNc{ReU0*_Q2;jp$)2xTv}h3?==0VVx%wfSp0hV%Ke5>3XNGDHM_rCG%?Rg4k>Xj zQevDfJRv>uT5A$d*`xHEFQ=sV$QZjU{T8#<dHR*fla%i6m#DIySN%}bXXkIT7q>jG zWx2kL@>(A3_o$q|w{^?H>){nH)j4;B+7EZKhtw|H@WI1p_D^*i<F}4A`+rA=`e$8? zzn*kr!|n+c1@daWl8dZLC9~~3(>JD`|9__{?E1k;>r(vxJ(G($wL4?x>5ExbSDz~U ztA1bD@*y%zykzP-L-D0sI|HQ@8HF+gn8H{^#hh22xfioxrDIw9<OvSEpp>k*BCAbw z`jo_jvv(V6EfaTQdOfW>zFt4$;8o6)XHSx|?<nfjq$td)HnKW;_4{taYtOmPZ_3|y ztMC3Db0MXIoL}KKPPanta%NvQkAJkV+Fik739sFaU%ZEImmY|pKfinXHNEX3_ovkg zOnkbq`kjkMhP1ay*H*E2moC1s|NoEc{QsBVU)U!II62*VB)<J#<KcF`2Y>gk4~_oy z>{z{`RoaYKDr$2tA8G$?_I^uA>}01~{P&94^Y$iBQI&GtzDQm`_OXzlR?W1V-`3A= z>dLLWB*kf>!nnGLt6MSj9#b-3ymOwwbEU>v0t*%d344Xhe?Hr2d}H>M&WVP5*V)Zb z_E^BjvhAqsp|5wF3b|kNUTKueU#Z!c&$lEoKWN%zyVE6CJbhV2?^^oY$Ov>Um%l8i z^=YQ*$0N6@jlyofsf?|^dg$JHdxwSh|6HuDNV~<{vGc9pn#%0SS`)MdHP`R?Qp4(a zbXLWqtfsE2X|AmgrrA9-wVfst&dbQ9Wh1BZU=NEHr<P7zNTu=Fx5wv{?|!*+wa|hE zNxSF9{ywSL!uI>|t~?#FEbCQUR9N^_=DMYo$t}C*d$3Ra&_9+Zkzztm+U)}0zOkR# zn?7Nk^`*PZH?mH(cI#a$;H#wRG5P&I-s#-jIuniGX{`8DV8SaIDgIMu^TVCX7bH2) zFmYw#^;yug;82ps)R(WnCH@kQ{jjp}d*|he4qI6?R@`{k@FZqW&r<1q$4^98Dd`-! zU)Gj+axLeQ^tdD2@`Vi3Kk;&WNq?BU-HwNMOGSF!w3k!nn<WZ*?Z}W0+p4jpyxc~u zf3NS-KQA_J>k2UB)^c^7Zus`$#~Yiq&k0R@l-I;HKlR&B=4A_#<kxNSV&ZjNaB9&O zUPH_03XDP<Kw-1(;fl3ytY>wey+7~3jl|juhfZJKb}aMkTaFk#t%JumvKyoosDF7a z_Nwgl^Cs#31N#<B-uRQd>9XzVtl%kO?wchp7raqjal-Fh-7Cc-@9!*pwbiBU#hWlq z`~TI`O5OJ7DfX6ch%HFt($d?pGG@|kfdva5nMI#%-DSkcrM2z;-Sgj<JP0V_bK`%R zTm5*;i!hdLZ)`f^=0-j4W0bv<bvI^BvcQj;^O`bVcJD*Z8ztX<;>rJ)@3Z)Nr^#x* zT8z57ng@gXeGi1s&p)c2U*B{4oy5{(H@pKhbxs_A%zvkMPq+AoZ|%1Q1uooL%QAKT z{M5!B$qv=WE$-+&VPzE+zvZKM^esm~K;drP=S{H_8CXSszj?K6?(xERHH_~hO4in@ zEeM^i{-N?ue}?pn=0fKieYc;Q-)<EDaq-+^i`l^q){EvokDONaOGIv0I?K7G%OBqD zPrkVPe}h+x{rzwJHh*uh^z440XtO=V)W)X1Pvc6{sZHVcj=tFVedYI}^XaS4Ss!&h zTJiq!`U_SDH-c7dTeF8Jf6sPa-P*rbB4VeM-I$xKV76sO@fQ!JchbVm$LG!IJ-+O0 zShDKV^rM%4ir%uGZ&S!4>~^>5UTyvck@Z(yGuO*Y#2#G6EI*rTUG2Wt?pre7Hh-*{ zb~E*~RB*t>r@X(uzwbZVEq?g;@#A{gO9F)oLYYKDVoK9@>TOqYa6DRf`>*30!zWKf zlYcCD_ic8|wAe`p`u@u}zMgj0u&^S0dW^jI(-;=BV{(UodLNaj+x*$)^tom8kG+?Z z>3;0apZt(}{eyFck3-XCHTiaboLgE^`ZUSr!;9b<rtkH|3|-4yx#a~X%6^#sv_9B1 z<^SKW;x{CJ`>Xt|5b!@Yt6y;Ou|>(t*Uk9%qxQ$M({CewpHn|^_Uyr}w!a?){ZsXk zGG+-fPQAG4`iIxm@&QdfTRieEZPC28XXnXFKcCNDZ+Cq0WVc7xZo4O4n=hvPbNOP6 zITZ}=_wE&2x>R+>zxGyv#ACOYFBR(0dou6%snYk(w~X%>z2;zHwXTzT&=>12@Z!3R zaNEbowDtR|HZM>*bnM&P+q#!;?rwX0tXKMKjIg?&OHhzd+L;-Rd-m95OiVrACo5rB zv*U25?xWXDtlS$49y+<Wx;mN*d3ty_xVW%fTNBy5V1dF`v&hHq?(Wu<y7^jQ_r_Q* zt-L)yV|Kj;U5I{v@1GB==TDdy+;E%yYlHjdW#8uZT$?-3Av4l)-;L4;*JXFAUz_^v zm(x2?%3<+%^P5jkopSDf61*4jTd2<Km*CT<Prc?ySpAtk<JXs%lGhhk2U$&6!qfR+ z)0ds;0WmQx?#s>{QQkj$p%pu8`?jOWm*+W7UuS!zww>=yXr|32r^psQLCcaBujzTV z4<0^j{99eFeK76Lk#>XPd!IQnL^CW!CY5b@b@cg%?cx8ro}JxmQ2j4Rb%BjlrU=_z zBOZRcZz6{dDPR3@<Gfk&u`4Gza;5vO=GSz{?fqr(<>96Cn>IMe-#MH<GxqzbsFtn{ zElEj9UL}S#QCqo`-FgDDO}Ip&VrJS@ZW4-?d27_A^62)S%FhM`4;-GZu9-Gx&YF9h zuN}R1@tRZpoaqd#qR;PISNSoCF^Pn{sh8OH)~d5veUssvWg1&PectxJWzIoO&L_`A zmA>s(`SMDpBe2=Z@~PB|E!o01c4<qt`#t#(8Ftnz+wtg~r^mPYyB)pyGClJBIY$Z0 z6^pHP_Xb|e5)0YFU{Lp`!o}VF_SNNY-sI>+ZQ(d?_gkk=#<IzGwppk^p^B=9UiI(5 z>8@QFm-f|GKb>vK<of4Yw%~2;@O3Q(*95goXZC-e&|u0W5>lb(ae$R0ARu#w@1Ji8 zR@;uMKi<|Dd(rSu{eP#M2Ahk;+|>&{`S9(#Q_G{g*gCP`y@7lA^nW`I8SPH5x?fW+ z9`Zvc`$v0oWWdA|6H-r4OMH20X($)Nu`LT$1}_imP!OD$a%)Sbhqw1(&}~;QE+~So z%36B#gzBTipvGC$nHEmr2{UG_P_kUr?QwB_XN6+&R@tArD;+1PJ$e6r{iU31Yopl( zO{VF`_dR%!@YH*@S?(IQ+Y+@~-S+Ler8nC=KWwE(k>ujwE%{bQmv7i0@cY}_!y6J0 zOVt%yE<P<>zya!w#Qd96*jT3K;CS?01MjnXtGV60@hS_ep9jR;Z=TC1)wd`4{Fd(p zTzzv+9C&hjae?pdu)eoCdzBd(YNmzO@;rK+^l`SQvf-0$)*F-V{?52~sCJRiPo3;J z$D1PqR<66(E~entFSpi3q%%#hF!j_FO)mq(>!OCYCvA*sxUkLT!v#T&D2tc3wyt(% zm{_PZsimbw!PxlnB)8;w<$r&DeQ{wSvsLM<mfYLh5}%xycw=)q|FK@__VD=HP}hSu zl%0<3NsF9cIJbB6>N!_qIl$eGptF4p-HKOxgxzm~65H=jrqTL3T1S6xJU$^jpy@^3 z+?h;QHvEvyGUM#Nzx6#!O|4Dg{SE6reEU?O@&5%6XNbFl{b@bXDG37eQ@O6bSuS^I zx%|5A37@}xJ6Jute9`sNzp=jzPHxtzyU+RKW8^iB-#H>7>%!h2o)w_*{^*e-3#z}r zn_-;pw=_c}a>~wi9edJ!z8iAMUUL!E4LV_?wC{w3V1SB|ixqQcf$~H>^LrJ_Z2WR- zE_Kw@)=s@JdFs?q&8a7*EjYXA;#G-TMR~WB8ncu`B6wcDVsAM*Vcoe7<u{<a=Ko4Y z&sNNfm)dr0{(%MiWH0W{u6S&5FjBhrz{2F09rx$=1UBzhSf>Ad|3$MV;p?*<?))>p z@#}4&%lEe}aj`Skh2<~sOMCEOqw$Tc|5e;v%iVnTbEtp5XL`q!OY7Pq-7i{eITb$5 z6AN6jyI0Kr$#%mk*P#58wIaInJf=Cs#LN+LO-fF_xIW(Ao2Aq8?{S;$fj2HPwTZ>5 z>`nXn>guWW`)Yr$$`I^~+8B0Yo1LcptnQ5rYxji9I$yUijA>OCToDwIXt-ont}sd@ ztvy$Dt@ZSejOT?<;`I(T#K~SXyRrV`oQG$4ogU<b$$gWt;=C_5Pr`Z$cN24z$%#F4 zT3FtAHk>!AzEtXS`&Z<(O|9z3K1N<!F*`*hWX;mwC%zkH#_9-a99tn!7}d>rdAa}k z3BQ!*cP1#A`aW^zI+yj)rJFD4yUP)gPK%3k>q_Q|7EfspthxA9s>C|I_^kM2`%~V3 zg^I8AtWA`@ZI>PBUiUgmf7`tNZypCc7I=Yj&A;}}qZ59(KYqcYvBKmZ-&?yHzW;Zo z_V_()+_5jcx}v($Y+vw~1It*ry1hQsCUGrEcznWfuE7F#>DjlI8wwS$Fje!-KfEpW z<f;$fcx$Fv9-4OJ4twRax4u4(pJvMZDGE^Md9wM;v!3ki0B)_&rK?^{k?S-v6k9E- zn0R`cu9v~bZ{Nb0n%?m&;XdzWol<%K##c2{(@@c+Q?p-OSoq@R=H;vMlXPUXAE(A? z>S!x<8?Mbb+{O#KO*FRr?oy>FaJRL0=d$j3;m;<9C{$kwFzmLCa@lk|X6xPPzVce8 zP%cojdiU9M!zH&qKFYWaYE?(iE8SZ5luP05)W_fE9_zJLzOcPoWtLfPP<Zj4^Nt)- zrpF)rr@Z{*{Uet<US9XxDv*09w{*hYh0@;?0zO7w<E!1h{qg2AatowyJUf4WQ^+54 z!`}0o*wX5^zH|wgcxY|$^K)CRLUw)Z@K9iC6!S`1@Ke6FcfRLy`JFBn6AP7Qc%15A z!g})cOyl&VZ*OkCxU`ge$${Les$E?T6IV>#v&ZJg&!3S;M0CHL*!!qkWTR-KDzvv6 zXngf*n4tWbxgG0{E!j5z^{@GEVOzHz{HPKj&J@Nvb+zcHM8hSgRz&iw)H~k){YDo9 zt7!DZExmK^>`}_OKe?&a-MFB(zifw|mdx2%W?Op;qcRPcQlCDxm@uWS<#6VU>$)P! zY+Ky5?box-o-aJnAz9eK?)0zk9S-wXaM+!est$f-u;1o%orhKP-gf`bkzwq0yoKF6 zPy0KcIce$9z>?#9U=^qnA^I?E`lrS2n<OUX@~N!7(#ya)bywl$@3Av?ws!pew?!!I zR|&WC`Rqd%F74jAclpx4RYE89b=)5LzqBYYiLL!9QRZyjvZZMLwv}Ix9KRhqfnk2? zxt%L(rdgif7Z^}DZ||bzDhX-{p`e_1OI}3Gd6lj4y+ms!k&v9@m*l3MdoG&ze4ojY z{}b9)^eMi$w^FzJ`Yq-X+gz{4W6CGfCzcoqU%c*`;IW@$OPY(9?aEJO;YtV6XBNLv zo1c1aqraQJ<_e4Z^DZU}U4VASwjVy2<Wc(g*zO$XhNiAui(9w7JTomH@h?lhez3&k zb4FX9tdrnXO_6zLXYi%ue+#{-uc_^R=_HSg?aanamyCE`$+o??m-yk!gLx*`<`u*p zR}Xj@dCgEHQ1+)z_L;fC=Qlm!k+D6R9+~{kIrjOo1wr9Od-_?V<~y*0a^|b;iaKo} z_H}!9zhlu@ab<37^4&@kQ}O?vHr>p}jV`Qp=Gf)JwtAh^9;vp5l2?}VX0<L}Zgg>Z zwnpB&n__pBqD{{{nbXP=)4?!5wRiW-nrWtb_0ebj9FK;nWVW+N&2yL<TPJl-^qdEi zN<cW1NXRR%bsyhrYiS<7r!4uyPLnx()2Bk;-wGu>{7&r~uJyj3(_LAh_19+7<xPb) zrqT}&>J~bOXsmlL$EANhO>bL}9@xyK>5(Y{R&F06)B5L5NWXc~_~sAI*7HWyA98tS zi-bruTRm4{1a-5Lr2DowG4*;iG<hw4wEgCjozuHE{9o=P^GB0i^SR!lV3%x{ZJaJC z5!zy#*D|MSo|rLfUiXz^OAkNm{%^T|F8-dS@MW)>#M+vhYnStRRC04(={Ruy{3acl zKbo`8Z;}#RT{q!tdZfayIN?L-GkJelHF0uj^=;t((#Z14t)a>5?v9UoLIoO3A|Wza z);W)S>JmBYY-Tn)pS1L_n%c~;U#x>8xAnsX2A39=1I?y(T7`PLy6#cWSm&JF(Xgc_ zCg#NMo)vtJFRp3|TrcBs@y_?3{f4)90t4rjISlhtP1BdUe~JvNPCHlUp3J2c#9s97 z&dwE{;lb(8eg1nMkYmwUaidu)caj6M@PY-G4jhn9+%-8}UrAF{{CH4t;iEIGEo|Fd zZY$Wgu>4x+J3*hR=I;8n?_0fFEvDCU&a|wwm_4_>XHHECAHT!(6AUg}^LzVp|4Jt= zw@~Gr;84o)Lua+m@>l7R+Adr3kEYL*{^L25RWx>bpnmPiNva)vecPlti?;{O)X>!A zG)_C?5EnOZ*7ft}`A<*RcMl1fa`#V0#^FuJSh>X#9v*7Virr=D?c~Jd)+5na`T1F7 z%p}{1r+>sb|33XwW-<e-=<z$^Rjy21l^h(GhV6(+`f&0~w^xMa#Cgk|UUhq)ntDR$ z&M9%nwNXkM3sjky_gq}!DZD)X{Z#Gn3B?NqZi;`JICpmcnmgWJHkt2khAjP9!uL7Y z$?LcQmxkZ|n=uWy1s`ngId8Px`b(Htj;@pIz60qgDGqhNfBd*1dU;jo>M0Eijtb2T zRtoQ7>gv#o-j;K4mT9(th)9TX{kQk``SbJhXLlHE+p=`-bkn!@_U;x+j;#0b>2W=B z>fE_wTQV<S>9=iNE9Ll9p<UU*@#w>CEqoIlprg6ryB{aj8TzF^Q#4hUUvB();=EGM zna{Z|SRIgV{CAJz!`H@oZ~Y&?D_7sI)7^Vv&C17H*z~mZ-Q(&6^-lT6E&TQVjyLOx zoe>?M#kmA8onlW^+}FXA*;%$w_oChr{(ri&ci%EAvQ>HTjpfVcHzjU%K~E#6RdUYU z`M-MF)=ysp&+DgGPCIG*w&`Q!wOi~D758;;<aK)3oxbyLPc}oEqpQ1DkG%c8ckvHg z!Kb6otNj+KI;CSq!G{M2y$*GXiMsX6^>zxY$CbWM`LnC^wL$H#FIC)5+qR^Z#IQ{L z_V#voLe4YA$8Sylhbk5|1cOFAL~gsNdoHK~brPJ`2u?WBS8U08;)Je?o1dbQo`{n6 zb^%Lq{l|xvDpi<%Z<yaNUbDyc!lqX7J+rD0-9F767dJ2C;vMFB^Ugkas=0smq05UU zZDyG|Z(inmVNGPP$;AJXP4Vku7}q`EI(6z)U|1dN($rK9O<iYCPs=VZufU+7w$n$K zYG`RWCI)JDb$L&y-^sz+y7Yv$hErgnCR5E`ffx6g4l>^3$o>1jKB{uD?}C1Bms=mE zOk&`?(%bMca$4m+f#>JMm0mXq+nkQ7R1TS!-guAW>E<<gv)`+g&tw(#bdB7WBRNek zHY(Mm!%#Ql)s>Z^E_}LmOO`H8d~;)?Mac_+w6n8PJ4FN)6W`w2+R@Q*0NPgxid4~Z zeG}#V_M+1H1C7jAW1eY<Ef!F8I`!@C?W^xRbEnn){q^vy`TdYUgSl3vo6f9V9GjJV zT4rOipRbX;Wbv!MkDt%ZHc$TX;h`vte4>E3c(~$L_h%Y9IxhG3)!u#LQZ#d`;sXKD z_~g~J(-zZMw1gKdI20Tx&Q!^IbV8ntxW4G)Bu7I%(P;|n7qA4m82#VWA(yJ5qv={$ zD7i#6D>GBl>vX-C@2T}MjU5_Ft6tpqo&85=TZKt36F<LT@~nlGpVKlPzHAgbJA2_$ zp*)+1_b+{Ze&6ow!}qsiN>_gPoVdj^_S=N-6Bsxdo~1|rdny!jX8Q9PHs`$xckbYs zp8G-P^S3Vz(``;iRjg3DUS~J&!`Ek<d(t=jV>#306}nQxXtHIHOADW%<T9U`i&%t< zV+A(rChH0$Yjt<XDXnU0Yl~Vdyr{MN<Ye{Ltlm<Ww&mW|aq5liFj#)}#A{)7zX#iH z=N+D5n0!S>>D-m0VShWra}U1RZu@*u?sbKLrJ$As@8*Ng+UErZnuXs^GhEVkQ#gH2 zYg3o;JE>YVMj-`8p#l-6pnNZ-#EOXy$pQvkTF*QLHrrp@$DbjH)OXpa7^teWPDNck znngG{#4{{xnwFEcQ~Opc#iI9ZywW-swLi&%X0)^RbZEON9-3p8tQ+fb%zI1Mk5K98 zZ+GMq_ZL0&I#G9Ji(ilJ--Wr)6#{ZwKm*EmT&l&LCj}TKA5?za+;()roX7mDHtt!n z;8MgBOMT7>44e}hv_W}`Yxj#i*&xT&=a{Zrwd$8#l*`0-CQ&vM?;m?H^}&M&Tw8;l z6eovxmcF$6RqgTq-d^3Lo{h#6Zl-VCnt55xdt-R<m#&}HzPULw%Xivo+F#3&bX9t8 z8~A09B6LdTXzqq^L#1RxmCcWGLMFa7t#_6)JC|w?8k0CaZJK8Ti$?=X%zOq`(d+k< z-QyMp25g-6PWk@nvNtyvI~@$`A6~yNzU0Sh!>{h#YC+3g>iT3XgBF@~h$)r0bp6~q zar(}HwSg--dwRBX=<M3p`BA?0XrE>8u^n!IcP`PndSsgI_lr@#Gpf(I-(Kek?M{S$ zycH91Qts%4GZ!^~JyhEN)bV(d$GVT~>FYxS0yc_A-cp6c9y^mr$eqOLvgN%|0V}oc zx^oK&r6%cwW#^>oyzP8y-3;y$yjry8*oui)u7re2gsofX+@AE|!NFB~H{Pj#cgNDZ zUH)zVN86ppXDm{7>fciS?d#W2+2SQ)-9M~BSH4-5dWqJWa@js=7pqiRyUc9cl5bsm z^?n}DF5Wd|@3Td**Oeg2OjO(E_HKuXKOYut{o{Gvsx8L17Bm&2?|9FjNyULl<-s`? zjTJjSzcc@L%QZldG3ni%ondn)=Gp90?gKUMcivm{(fH*b<>?&iMt--HysJ|@xhGvW zPCs|#&CSghmwJnz_}?#5=v@E*!b<0&{X3f{>{%||_2T?ez3!5)g0p3<%eJ_z@z}1g zbBURET->~0`J2yg-KgqX{<ctZ=g*%%Bi|OK^jBtHHsy#A4O#asb>7hlIs1R6En71) zsruNuoQX|c+VAzQyMY~=kPnJ<-x=RlD<{1B^w?|547bN#yH9S7P=>UZRdgaYFmQ|O zg}gm}rgiEWh1@`%lXJ2&`XU~FRBe345fJe3rvf-?6dHF4FIW&%UbbiRKbDx#0K@Gi z>+Ch76c~>~O{&aVzDjFNN`J1&GLcwarxS9YFE1+=Ua;WNo!{>7AxTbZIs@y}V^80| z+q`?xf+U}(hRbwz{*EjDyUu;VBv9tQDjv2e+)yRiBBu0fcFcQ@BG+vS4vt5~L^7K} zDc0jaEN4JK;q|%YJ*B%BEqEl6@{*PF2DDvVr?)30Hc_=&)Y(X^(MUXd(|^MUJm>rx znz}Z>SNN+A_CtmblSqivWV`2Lj;-RH6B<4XO;~rpSFZZaMs4k|H3j!VLmpdpEAD)< zttgNURPo7pfOCq+0!UL^_Pq+&n1<J&?&FciZ}~up;ILkCFDOYkFnv>Ua6B59k~tmZ z*gMS)-fk;4NKC4hiCZTGD#IPX5#qp9s_x*p^xc~Zbx4W8?Y^R**z<raXi&dB_L&qU zsNT3XG<EGgaY+xPXa_r^=)y;G-cPkW516J%zYBn^1>p2BREdLFuHwM76=d+YGZpM0 zJrfT6=P=n>^puNLbRGw&WQ0Z|<91Nf=IypQ+~5LpHmK_xJjVo*S|Cn*pu?iE!s4{w zMSqaK0#KmI6vw|11slr%CL88*1_W$0PM8G_SaEd+$D>EhXPj0BhY!T24SomiHJ!S) zHrlZ2OUCIZ-qZDt%K!Vo{`FU@c-(<Gmc?2Y*Y?#`*L=TQ&cV&Sy6E&4UrFoKQzAcp z{!F~FAu(c0hM-x_jRkdidp^3w)c^fzdhgqpFC}+MF8g|TdIo+!a^y(D?QOXqzJ6^z zqkerw;Nq;D^K#7J-`(ww&fCe#%E~JGXY=uxEuNq-H&u0TT)J$DMIR_$piz2YDaVcA z071qF6W!$wrq8ck#xlu!x*lj0ZiZ#?G9h)jiU*86(&p=$0utZa8W&_IyL|caVsXDh z)7c}P!W#=8AIox;T<p6!KI+ZSkH_Udp3+{Q09uvy?Phwm>V+G>CUiU~em=MS5vU<h z*CC-gU2J)dt^C`G44e}jd_g6Nre+^<U=?UGeG>~QxzgcMC800Kapq9FY2x8oGd{iB z{r=GL<Lv)`9Jk+)a#Bcj-ihj?N00vabXs3fTs*z=fq<in`@uW)|Nnwc%w6#O-QC^h z_o`m&=G@;WtH1Zlq|l2lN2E8dRZf4hf2(b>NVj1XXh7DY;=_VZug}ahp0;ArESLKs z<vZf4-<qnvd$B@Na6*dh9#9Z1Fyssf$n^O&XEsuxEjY$9ulk*(PRx!C#~w>pJ!oR( zR<L{Jz5Hv2q4WNH5rrN*so<tHKl4PAT-ID@IxFmNvv5)DlS@v`eNh(|HTUIk^7Dr) z9sl$5v#y}rt@)sePSgF<zIn=;nkPTqSIV;a`DAj%hlA{j-+C@88=YSny!^v4>HG)g z`+xSTMjd<8|0B!KbkcVhonOyp=dbGNFmzvS@<r};(Z2itzHQ%T;vTKyz{Km5aCcWJ zs0VeyQWl(2q3JbQU_*LKS7Ga}cb#HBtAwoAg)Ki)F@LLxe5b<BZ@01yOI`#_jZ?X( zbu9bzG+j{_@y~i0jf;bq`>kp_bv?eG*Yoeju<x$i@*6j9Jk=w&_^*)t8PlXQhk|nb z8#!#s@7J2!{d_Xn&DU|&+WVos;*P0DyF|Acxm$x=<niG9{{OZbD@+s${9HlF5t?5g zM6kS3T_K@tU9zj+aF+|We3Zk)^DZ?LL}ZJrCQO{Mv8==Jk;@{FC;xAp7B5*@t`w*o zdNs)KTEavV<!sZSFA|+)6HkciUhq)q;!r=fwd4M=D1%3fJ2ZdYd*!m|mdlEc0Ogl1 z;o7%eN2sr<%GX$7aXIf|xX=oZh9)k57UYnL7Tz#@LDE{eE)J%k)ldHad;eeOQ2Rz< z|6Hz1T?ZDn3V8lC6kjs+oc%GT9aqolGIh8td~ro#ZqVkcuUX!?qMIF*zk6(q?MxCB z^thkjqcHJ==-;~9%OzX>@A^DpwM-P3*1AoTW7@5S7A$zwxBHywWJr8~$q0@c-T@Oi z&s-GWrLnpuO}FYuRIlLUFv-Q9JxuK`yHBXTG`aLEV)<*2v%<x*AMV*>v%2{8qs>)z zp1hX=HBX*Asik!!VnW%O8HQOawq#86UM}wH=B8v|5YVX`b!Fm~#jCHnu6*Z_Rd{N~ zz7(B~*JqB;Z0a(8FIQV$4r*llWhwXzjR{bF{*2{~=87H6QN^b&Ty;?_PY9ZAYPM{F zki2~V&f@2b>i_?X*i*4lXz!|BOOh|&+L~>cbcEx~Z1eTUysvz}n$jmF{nSCIKmas! zkfQv84JmjX9t#whIJ%az8eLwIe>$=H(pA>-R6h4_m*?@k%DSzyqGN+%?wYTvxf>TR zUi>=i->UDO4-}`n_;|Wp?vXTB2=s|!xVbTzy^UXf-4QWK?cdSC^)4H3Zpjo*J2xlO zQ<j^HYu76Ot&$3MZ}08Bt;CU<p1#`3Ix{nKYKu~$c6ct!!dpKMZG7k6(A4$&t!20m zQu0esc#zS=Wqy1wM?`nPME!q~l9J)QQX5%UhOQ1<xk7m7lBe6ZsPuPrZR*etTJC;i zT2m9#nwXtISO0BP>zYw3mgw9idVZFv_sz-K|Ns5{@a@~SJ?qy0e>Ay6nVFq0#4gLw zl|@vr_K3P?{pN3xwa=gZRZ(EkSn)vy)CdMg{sacj9j1(2T6uf__U^0LykL=Q)5pih zuUiN$S=TMV=(Nk(Gkk@zd*6x-q2yQnE;CN3K5B7Uzb1Zv9H+$9rEHn;Dn%|??_XpH z%=F$B;5*-LuiN+QDZXhFkIOVBeK{!i`T7y}zQSD4WN~%<PAgD72C0=48dnP}Sa3-B z(hQa}O<f$~r>E&2zO>YPty@#addR%hDh{4n$>2E9vT@L=sScrwroKu_N(PCCSX9*1 zv@SX?|NWvv#8_A`JSZqg;b=$dpN+}KH`M$rVqs^G4$4un^^AMHMRB9zzs?PdF3(tV zHRz&U=vMyFrM4%dzQ4WQo_M$|w9D_}oG07k;u8}Ue|>v<d&cdvJ7aqoSVhHe2k5mU zm$zl0A-+Cw_uJDNA_EMsvlyORc68Fk#qQCU3S44l8l`rr`W~OLEqPmN&jW+y$4}Tq zg}l7AHG64^vM2APb6%I`ML+xf<8lAh?9RA}9)gSIpIwi-bK!BW_lNXsr5|e|H@p4y z@S0>PdqS@Gk{V|~z(YxB7=df?f^1MWsroHl`_awuX_ivM)<vs6&EpWgySsdS$mSyv z1=pgu`ux5dUUS)~w(7-|1@mmHSDD=rYE4?xpXA4GwBKx**v(xUYwtN2OJ_F)F3vZT zEwBW|N1pdi1?2d6#j)qhCGQ#b_4|H_e_cMmPAV#3VtwOuu}z;;gLh5(pw!r=kRTG` z(qZ5>L*n^%H7^P7{c0*ID;`??JuacD#MBXKGqIy@#}13Be8SSw-F1I|MF#bpd1-G{ z2AZzfw&c%?cecCkbp`Gal<(R0HM_W0?D4HvvpywnNz`#z{oKTDjmeR?BEIjSM$zAI zbF{&YB8c<0t10LvK8-(FTU&c^Pi65O>vFz@3m0l=Y93tbJv~I1&EDRAd)kqs<}!?n z7bNN4b#D;_Ps%)7w883?3)fz$;Jh<UO(JuyKI*Jl#wsirtdi<p{_Eo1iZc_9l6I$_ zo>uYYqI;-g<l>6J$zS9)-$>-&WfkPUTtRa8{~O76w^SGv9UNPqLmHqE^1xdT50x&F ze>%Flp^rK{bmZjZR#hw)Iq<}liPzNuG?DT<W1+($pPr*xu0A(6rFO59R9f5N>TqFh zW66>BEzZ-v_X?`6T)8r0ciGygdlwcuD`whUdb-?qw%N2@nnrQYrY_n%apFYLTdJ>D z%$TU`zR0<qFDp;5>R7Mz@?)n`d)h8Gb=TCrx}quiFsc9aoO@fUzrSP4w9f6{dSTgT zP`B2m+SMP@dIhy08d!2%99X7Im>?h`DjKO%RavQMY&`j*rdg7Yv8l2`Q&$JC(x=GX zOQietwq*JA8kV0ntW%p?`b6lV%dyS`C8hQytS7TQGiTdYo9!xj$)s5@d)~ZtN(m+9 za)OesJ`+1cv%dzW%+ZU{jx9S<zPKV;SIX_rMYC+TWo3)EC@j66k@G*s+FQn#$rRKo zezwM95>m!G(8_UQy2HxlN-OQ=&71eiTWxW0Oh)tE6wPOP_I{7brsn0{+Y{MoY*l;W z=5^=)o(rr%y#YIjuOQ@|NezZxtrs=(Dp_QbF0NBp@uEb!v+m};+TB{;&UCZfX=Gp( zEx(bvZX&X+T8y#Yty_aD9HhD%R&!`s?d@1;)>}HsB0pJR!GcQ?S1ci20!aDT;4Cmf zV=B9R4M+X|-?!JyeI#K0sLpRk(}G8JyN`)ZK5!mXo~j_1rxv=6dzzA-#AzKm>V0%a zL_p7<)2cgW#4oCQE<SD1iXA+yN0(~oX*vgbN_u&p4v4B_O-+5OvA>8ld>w=DtOK8R zXHHhjxVA>qW|moFySs5krJ3{RXJ;=Qy3Bkp?(BohhnRKu+CF^#7&KG);d>@u{rzVd zPhYdz&wu83dSais93z)j@9}xYlO0fd%8)Kaf;m(8x|oAI=P;!_5mQoL9`N_vu7Hj? z-O~#n1_|z&Rej+6ac;YNN+lHsPhS?k7bo}O(<hhiXL29Dhy=RSnYS)?H?A<rZcFp6 z7xSHU;{U8a=Su~{*F8wzxOB}QF0a$40)nF2CQT|5nL1_Bk|jLTyS)QLLYfXK31++O z&~$Q4)cnNN6%Z2B#SpL0@@u<8fp&fiTZOX81?h!r_gqxF!0y{K`DU*KueCHIm)5y$ z$zP_k{BsAjlbDez@&;#t9W&y4F3+sAm^-om^30zWlkpA!X9l-_h@GQ>JOo_(Fo>~8 zPwdg3R;QLN-y9=0=qou#EsD+X-opK{x!&7ttHl{Mvq{P#AyS++%T*brLCbEKJ4_sr zYVCk(CiTnukB-dbwwO4teM+%-U`$Zog#*(#^4@kYXqfg&-Qd7&RV8bcOKQ)Z6gTNV zQMNXhkK8$Z!o<n_&*u4F+}CSw)&6r)afNmX&*$~F9`_zzVUY<9nD}VZrH$#YuB@D4 zQJBPf%+u3zhG8<>pTB=Mrk#~yVP&=aDtDn$XoWT-msY9j@!yaf03ownA3V9)?csIu z=*BBCs!KX5E}5MG9f_VH{G^*9{(aQV;?{%WdZLeFzNw}>d-5=+Y@Sf0RXh8F>=e*k zL~CEJ=7t@I?83LRZC<dbm1pO1#H@p_VM=;>^8J0a5@tCOQ_HxyxD@vJ%rrXs>d(iI zA3M6c5AUh`obzh--kwg5EeQviKsT|ib-$**PhCYtK}l&*lYvU^g7WwG)?R!6_;IrS z^o;AEg)W7SzE6Z8E(ehZ1Ua9GPkV5DvZck8In7IgEjd19H+EbI<KX9YaPeTUv5`@a zJs$XD>a=Ofx}TZOrNlTyx#{UWiFFHUclh!~q+^~ebEsoX+&b3-Q7vA%`z~}_80FqF z>5;R&CFH4;bg)m>+92)B46hAaCKwbvIN&u={n){z^1HiAXV}&53Jr9u$+^GJcG2R+ zbCaBVe2yjx2AeSd)UmXT+#4Qj=eIG#DihRFebYBX7?H~xehW<Kvu3Y5b%@_Sb+ySn zKf$$vH`N3tCa%43Y4%sAtZJc8+}#u5^J42SHLu&P#!<VDGi+{ag{3`Xc2k#&+mRzj zu0*a`;rC|3`D^Q9yH&lXg=FvF#W7{I>w1ev>m+8*VAYO%z07xZh)Y)tXwlFc?%<g+ zh3c}W)w#6#w#t8LLlkOr`Wm(<->X((zOSD8z$eUZT_=yz@eYL&j_kK3*@Y$T{!8Z{ zKe;96&y-ocA0ABGp{ONty_`Sv?c7RBb;ivLlqM<`KRa`9P2}b)O-sT$V<!Dt9AEzS zR%q?d>09RREPfsp6t-#8rYk@8?6EPurZcOf;KSeV_a9$a=zMCQ;nWi|n2eK-aFp&3 zyfU#mRnOD8>dnUEAMXGEC;s?&|Mn$+I_f9cZB9Kc=KZV3u-5Z>(#5agTl#<1=ElEY zWP0#;&HBpYN8hgsnqZcHujk?6_SMln7d1cK(%2v(CKhKZd&<&ZYVS67MlP*y3%q6{ zbrjfG`j$O=WZ#)y_#lF9S1E_%^b-jsJp3iiQ>~8)`OiCf@Z%L78QZCycCpTd#pk!Z z5W9KGaL!_JQ?&%Kke-V|LHnJT-@8+KJr*>Y5c1^6{QrN_yA_m_4*&Z4da8%Q<Fo6x zDknXk>~DAQZu$LO!JI7%7F}*rDfI}dIKr-Jwwn7~*mS+cf4Mn153USeex>A-YVpNI zt{l9)p>>kiRSvcJt)KY+9cW^!%%$e#m6emdoU0!9nopVktwX@@dXQj!X-e1TE6poE zK78b`TX?~Or1B$kAZ-gU8Po27I+4AiZ{dq;h9dLMM({7)ShjP?8X0&0G=-NbY^S_V z8>}doSXAA9aI$HZRJM1P>H4jUwnRk;I4ik<w)udTHmUi}TCzyJq^gTUL#gb<QtOX1 z;!mdw)h@amq0*Ilb5m->u9B5PIwdJxF0)@$X&ft&-+Un1@B77ncPk$EPMf9sY>L$4 zh@@@H6D42IxWbj7%Raj{`RtS^rY9e`KvkauB2zGM#!Nl%bC1%SJM-IqFlTnWl4yE2 z_tv7^4fjLeKW=DKEilvOcb{}#>1B%AqV?SeCe#ULygeSJ&AXd>>+G`{D<m%0mVD{x z5R{aZTvfZ#a?)X)ya-|UBhs<vuGML8vXa+^b*+1NX?^^Dueo(+1PxY)t#zua+qbl0 zt>G=#ch>{ukM&uGcB;EQJSuhc*OEUWs&^u~BUaw!E81cW8vZ=D`}|f!ulGPGN6IrX zrEkA4-zYa>uHDtT@VRNCj^1mQ<!)U!|5ez?{+@j4;`V5bw)+?O6xZHaue((^XR6o3 z$c;&@MOUMC^<N3z`TbsXXm|4F2T8hjk4w}p%3Zc(NrY0wnc^AT54vtFe7(TKq}y=r zi93NOW-+9Q*sjX{owN2sp0mhqQ}+)BcaKZ{^OCvl&ZX72+x$xpA|FfjI^@L(ZhP+; z=;ZZZ?(GG2(1H-7waYJ>E$I`UK6UzIhaf2-zU2$!w+Hk{T##EUu`$Sf*0-I$+*;Ry z{LeIXiaEFO$#xxVW`EqRzc0Y=<+r0&w_IMWt*EYcW|_ES#}2O6sIwOpkF5~+sbgpu zcvHqGg+obMS@)&mr+HUgCwkxFJ^e@N%8a+OmF;>2wcGjSw_S0&_}MG_`S*L({!5Kd zstW2J`=T5jY`)xBGW+O_D!p69wV=*Keg94;NNXKLt}txW4sXca?WdG?Kl9Fw&9cAB z^4pjB&c5=aj<3Xr>FLw(4gWo~{>`4zHlyyXK~aFa$-`|{N76bM80Fouxa#O0zbm?T z>o1K<pCZbSU5qU$ahLfU#WH=dd%u#d?%7L>9y#6@BP;#&=6*|l!=qB>I!7=*?cAJ| zyB>MGo%;6n_SGNt_x(_ct9q$A^^tM?zZ%iKNo)6RHazNaK6&2$`v3p5=4zOlZgomu z^vde%jjY2a>dCJrug$sKS1q_;!K1j}XRl6zH2gqggi~3{<n6la-&w7+`g-TWX4^e` zZ9GpO<q#2@`r!8w5s&r-6Pv9P9s14mwmChI?%S!c_Vd~XxycQ`LBlNjs?SeFB)A5p z38fE>>~2-c{G9G>upr!BY<6jLvEtF}?=n`M({ybN^E|{^*tZlkhgv@q(p+KjY~KZK zp%t8rTw10Jj~F5qe2Z8feVcpm+K<N)SGFrxmGhQg3@wTb6||`M!c!(|(HRI`lxOJ2 z#X9xaf^(Lh2h2c=8rqcCA~I@2u%HIYYQ>AE`ehU5WHINy$jOUSEPHF+IL&U}43jn5 zd-mGoWXxT*&aI*8)K!j;#X<!!pwX4`{1=jlM%j(l1OCg4H$LoEDS0o`^}2e?_R}&Z zuWDGn$@v|fDE!>u=QoX*%j>3o);-{9yKKRuCDQKOJsB8SMdg@5sRh#6El_5<FXwZ7 z+m93;=^LW5hnQpQp0fU&{^7{s#|4VtZSMVg5T9|?>cq2bJ#Fp7jV-nnrAI$=aPl6W zG&TQ5O<$Xl#?h?(cWQk^*T~kyMmYPvzV<mFpz!tE=a1&jVPF+izwfXMGGhd82b-#H zC=EB_n|tEmhS`he7#)3nfuD1RNg4+iH}|U8P20Eg-@Cm>c<;K`{DL9#s!EuOHW|NF zTOng#CShIvhx_z&o9_5~wfb>2Ki|&E>vZm$EVAIn`$-pe7f;Aqf9CW)P-surUpu+M z7Bn`m9(xO^FUzj@;bFM_!yG@xHvU(P{b|0kM^@k3t$0!4{r_5BvA=~@Y<Ft7<L+-@ zwbQMgx==fO-Ge8ci)VcPqFhn+&538%(`~o>1CCo8onM;#C-$O*fb8QhO<f8ay4uIZ zck?OhTwwoxr^;7$<%eyv1Pa6)RqgELil3iz{r~Up#)^+gKYsj(m=+!o&`|mLnWCa1 zqxUo&$C8o~-)ZLzRUUi+O*Q-#D`G^Xv;f;i{-l0I_4N{m*-OhgL#%?9mfx4w;?Sxp zy#M~6nCj7^JAR69H@i_4>l<+KLD?ItCWrmcW*Dr=Zr)h3z4J^{SBL2B?fKynfByUd z4a>N=y51D+=1{UOdvjohVe+cE>5q@~zWUrLtR5yceeT>|*KV<gH`C|4226Mx{5pr% z;m=&N+*!W_8Bb_%xw^O{oSkJlt@OmM^7r>*Jv}yU_22Tm2IOS$f-p!5QeYHXVc6JT zCKo<Yv)m;<`f;^P?Src<Q;&VPAId74_!G1jL-<AWN9SE8Y*EwaUyf?=a;|R_7j)O_ zG+OyCYHOCLTaQFy=mSqLuOO!jm;LSEid3r!nk&sZ(Z7BB_Nd<n8kwg?sQj5cdGgij zsEmvAEss8Wk<u)<V8Nw?6_$dC++d>9c>epGLkpjLmatt?e%LSP`OQ^AA?Kc_JpBEB z-_Z}d>z3L~5K&l}|LNDP{Yu)8b*}B%>A93$RP4#)&1>Z!UKQ;&e1BelVe$058Go-h z-`HPn{_AdmaM<6s%v*S~(>HFku2UEL`!Q3-`&Z!J>!z=wbRu@SEtPqBdMc}E<l|{` z*)OgR&c0)~pm}cj^}lDLg+p8(baZxRPPigD_mpwztB}<b)vMgsi8Thre(6$vw`z^G zs_Idt1ro{uPd59`He1;tvNLR54ChVt^o~7+F4xw*5oQ$)zZbi%7gj|b$mK-a^0U+; zLO5i}wo}{u?E;Rb%l~>?vh`l^%gi6oUZ4MXDgIwa_O7o1FCF9JbU*x^SMld@bNPpp z?e8Cz@BgoS>h$T4udCl5FTY>c`}~>D;kk>CC*Pb^e&Nhv=HL7N^G$xgPt^a+59PYw zS7e^dJAZ78`?1G0WoBkIpH!QiZ>`uF6C1EICZwr9nLpvogL5yg>mFHpE;w2Ln9hoh z0??_*pjGZW&61Dte2osO|MGfW?CvlRdqKnGx3{*wDi(B8DRsYYGVyxQcb7LOs*n9r z6AJzG@wmLQvNH4I<NfPZ9%SsE#AFN^ZH!tJQF6*BDoSdZ&&;Oa<$jvd`TPHFTeUPP zH*WEKp_fYkI`|(&$HmP%THh(O@>T8QI?37%+xoA)+WVq#<Hn6IuCI?@`a<OA9SOyd zh=`8b-`_wpYrVB07W<h&6-C2rr|F<x5V-B<vA~X{NH6Z#CEokVPjqbs#U91W2lG|t zm)@;Q`<rPfH{0y?qwOU>D|0?&e7zNM;M;2bZ4W>Gu5V5Mze#Aad>xbTER(>A+Wqzg z=0@k|9<82V*Ltn-;Elc7eEq&st=I40?rLmKs@t~tnd_cUmp)JPbNV!IHMiELV_(j6 z-}vUM^vq`;W8*(vnNK+ui-Xe~k4nfYw#K`fL~PHKeYfkiUd@+_?pi^Q&e#9jEEJ=p ztUOgiP)cf5-RZrBg@s}lor6lO%HDLW-~aEHQrL?sok^!Y-K&28@cRCLTUXU4iG}oJ zIy|dVRw{!{b-%QKCZd}CIqRX@n`O(EB|%!En)`k{;`XjO`|RxOi+iifyM5=DCD+`) zR-F^qePewl*Oaxj3vHi+MhSm@>yblLuMS&T;{HAnxWxk6j3=n)3EDui<U43%?i}06 zt5}3;V|@cE-`@2veEn8g_p$2NbpIL|v%lJMin)ihK6u+t?z$ddCn$YCcDYh)Np@Pf zU`Wbbdl}o_o;5Z;dv{Owp11Z`#FVXzwt$urExF&}@#$vz{Dsc#e4tyKx~5%tsi9<& zaq-}r-5m<rVQU;bJUHZPzg*;stxQ?7u3bn*Ca1IGVp8|UwvHatWxr2k%Q_~@=)d<) z>D*e``_)S&_gKb#9fg;rAz$~c{eD7+ZDU&3TF%|uY`L`7?cE;(pFV4tE%@Wv0m(PM zr;ePExc>K0bSUrCKi-u;67Sb*mweyYx}ZqWoLygU*6N_9d2@d11O-p}cuf2BouGh; z_RDh%+Y4$#4Dwz+p4AlVY;V-l)Ma?ry<1dBS5eb)l9TfLli7kXDr?>UMPJHYQ_6U$ zsb$~!9eT0muKUy9sHLn8>oWXwG)CK%g=4Y&v&yqftv$9C9}<@8SzT*U+`J*#*JP5O z?WD4lx2yD?y7!x(Pt;9Xy6`;9^I|zBk&rp*>tARhO2-iI1v{4TaNoPr8)&#!K<LJ$ z)Xb~PPW#ugPTg{O`G*U($_0;o_aE5Y9lp?&JAb?H&x`C@#_ICXkNFZGDl=cavw7y} zd7Im!W<Zw8TvPyWCV!YH5~H$d$u6^_Q5A;UEzHUvPrPpR*KLvenM~(h(>j-kc%Bv9 z+_9Kd{->^{*R#sArGC?NB9pe>$O~<)cpJOmx{iS1-Q^4Y_GO=Oc06kOZQ^Qpg`&Wi zbGuYXa_7+>N58JSdvBW}>r~hJIel-V?GLd>?{`dTKlf_N&TL*LX7=l_wE&`z=ik`> zUC!OZp}42(-HH8ss)Q~yb!`n17Bs%LK7M`HqK=4#tkV}dw=YURKd<6pt9Xd6XlH<7 z-&Chn*N%v7wk^D?^GG7zd#!Nno3Lkl{{4DA^~a*uYcoDN*2tUZ%~|y_Y2Nji`lCC} z{rOXKr}q2ZRn>ig9hHxJ&DZRU_rDUBZ_efM?21bD8TZ-iKuZ_0->Qc@!v?M%EMxKV zI&JWMYwV1Q^!gvaca<Hy&?XYHWZ9|ue}AX0TDd4%bN{w1&TH#p9&OXK|D;~_@ltBe zA00+-Ie8X;ez%oZo^Moc>go^#Eux=sQMTaBMd4lEeR+jBK6ee5ZJ)8&XG@3T-SU#E ze!UFs_a{@|)^4;ce&%y#o~?B3u9C)@N}Hl59Y@1E%_d$u4BFd1zp}bIT%&8Xc*>XH z^U8u|$4X@1?(cBCc2C^#WZd))%iG)Y+e?h2HmC94R=&->h5Odh1&^9$pHc<Q-h-Ry zJLDU8{LQqed8L%Md*y6z{kU7o`~QF6I^l$1r$lA#;k1&Mw-%o=UA}bQk-v}g+OLF9 zJZEbZ#&u)gzF(|+w*2s~sCdO=^Le>y#<evwvv&TO{oC!)kBf&ZzCVum^3tAR_4L1y ze|vs?b+NI`wlmxNU2NZv>*^ksl``Jpdpj>p66%pN=X4jUKkzrXePQzSbrr9h&kKmi zyt?#Tq5Joz-HTsbJScBi8|l5&Zg#GoSG0Wep6M(e3lc%I`4RW#z^l^+mN!nwhcWEF zQO>eBbgQ>q!Dn63D5dQ9wY}5V+1=h=+v9H^lOGvW;Zl7j{*zJN|J%O<A|eth<BxrN zSIzwI!xiU_{%+Ur^R7QUI{km&n>9RFyDZag%&o|KDJ65~|K6;FYxMK;=W|`u?mKW! z&Th$^v#y8wH7nAR?5k&#OV1Vwxs$efXMnhhgX2+F^QqoS;F%}TGCqB#o8o%OH`zHW zeuOjM&3+h@yI8p5_2s)WOc&ZWF~wM)Ju`2C=87AW^>QaSfCij5>c>Zc*P=jL_Pd3D zoL^WXV^Jr!{jNyr_nj7uoD!ThKVyY{m1OqLu5K0dk>v~sSgCAr&y9)Cp`odZIfp40 zk^35d2~^~}3q@`DaIp05q1VZ6-zPb&<@{6dg-1_ZEaB2yk<V)mT9>{OnOF14(`UY& z?Dl(At3&sRgk;sesbJ=`;9=y_;@d1*(gJI|G_bsKOSt))Q=%YNy`}ZX^|K7`l^U&t zJkIawxNEQSc;@e4*Dp3@-&bqu+G6tU)2BnF*JImTxy8eT!ZcRw_$K+_Rm^M#R?+MC z;@82~upHp!I1{~{`}>`X{JMK*?>?Bd)5TqBLVQHe|KBz8)BcoAP~Q2}U|+|AORK~6 zV|JBz{(SQOeY|JH!Uc~EZo9gBEV#y^vEqu9WIn>vjK`G>{yw$v*{d$)JKLmC=lF}> z)54(n0sVddIOX=eeO|Kp+uPfqE2jUJXJu&x1qI#tRl9k?BK{V!uxDpxHtR-jOE}sk zs#hPj!$GLP71TX14Bx2+i4Y+L#_dWUo^;Kvc(pHn$JJRoBaSP6_;LAjK#5hH<(ChK z`B%&D1g%{8`uN=YaMcwY!uk36JW?hcvAarEDy-0n+am#L)8B!%>8F~7%-7b0EmoKN z_5D5n%w)(OSBsjOnperUo_+6H&n>1C@G@}IyQU_lGqcV4IXO8&>v(5@7lbc)c6Udi za@qTPYp*@dc)h_=LaM|A)WIx@ej$RWG_K5HP!7pD*QK26?VuELtxlqUs{hd&*EV!V zY*t&r!os2<`1E}JKjXTer{fPro$}9ZX?pV}$7i+~?_&4<V@EoLMJ)vtT?{0R(|FD> zwTgwS`OnLdeDATNH(Pwai;tv5!GcercHVw|Vec~+y4FAQ$X=lT&KWdU|Hd@j3to6G zVu^4*>S}(CbJ|fUt*iNy0s{=WHGlbmMsj10966$3Wwpz1xkC%P=l)5*A3uJ)G4t{= zu0WYj^I}T3#;Y&Ss+fGSeDj8snC=<TJByYIEh#zT#k>50fzSp?MlLNgXHRED&+CE( z%Z;bY3wIQUHN@7f(_2yU`kJrkve+#dg70>{Ugu>#gH^QCV&W~W&1q+Yl2t?9^*sMx zT)(^Q?JAZ@pvL99J3FU^%viHV$2+UPCw5Z`XH#?Y)#__XK_y$dIJAYnDo*c^DDupj za7X=XoXXj>ySqxg<_Ov!S>|$dOXlTcS-X1sW_fyhZ|3q?E*t56`R1O=&0emPPD{*P zRC`&|uC=u_>+j(<-pBKmI8CHLlTB^&gc0qqhFp%EA4;6}?%C~Fcl&&+W938#XTcv2 zUr36Gi6wk``*rolivj=4j@bn9ryl7L4E4(u3ppdGs^r%(Low-$yL{~uB_ows4;Jy2 zhDwW0fW~5fC@{7D-0HKYRap1P35#5F6&045GiOdSkrK>W<})+sU|Bn#?1h!V>N&T! z@hZFb9hqlaZIE}zV$ZKvt1G_UOn)n+nB*5ask9?MDkHOJ?~^$y32F|Gt?5mOB4N&) z1ND4MA0PPmdB<C|x|OA$)|Oa(-~UICvv${(V`ujsnsct)z3_(4ijEA0N3HAHm6%Sa z&#z?@GzAZ<8ue(}SS@ntH{XBl^%k{N855nBi_bhNv2p5*86DF3djy-=`NIOu_U+r} zRWLC_qF8I9?#x-2Ya@)0PAkbg9R?aI=u&x$7?^QT7Cf=9K=QPm$AQE9rEYl0-AKQ+ zX_L{P->=Va%=^l1F<}GyQdQLtAHE!co-kApyI=ZZ%i2Y6nfJGa-Tzf$p62_ae?fzo z>7M4pXILQ3yz*~?jjGDZ%)!h3LIaiE4!XLqCA)Nr$>Kk2Xv+&Fr*p5at`4;dy1%bh z`$uA2aBWcC7TsjVsLJzEN4WfjIZez!@xPaO&U{2@<~Sf%XVMYbHNrC`(+yvD{w^%| z|2<+uim6+V6u16*u@k3k+I!!xIlNk1Jo(HG&xj3AQY(IMSu^=CXo7ND|NY}1tdAd9 zzBGSs&Xq6APEY6lc;5d%f3mtw#N}w2x|mZpV^sdkXi8W6R$LJ;d(#mz_0Q5%{eExw zRW3try=#|hr|Cwwp`9+I!9P=9PtR}Z?-TXjwqh%HD<$>ZFuQf>P~V?vzf^af^RA7y z3g2q}%tLzFZ;MZwW%2hlR_u6xulOlEZ|b=uJpX4RV_7P={qB{sFT<yne`H!_Yb4ic zukv6mzg_S|jc2dpXWJinFF(KK<7Bym#ol47PfWcPXD@fBKRo;&tNy+}+wQVX)wjRj zwZ8n1@Ok~}wV)G{eylH(cm4kDU&l-7ha2pynv<gfHcq>gB<8c_fe~m)T+`>H;_)j~ zrL2E-I4FG$>+0^_m~^y@t2Oy)ouJy14Qu+!CYH>9cXxL(WdCLO_b!RalO`nzMxU8z zkY@kpV(M|7Zyt#;KQ|taIalEKVsSF4-#O#A(|V+SXBcYZ&?^5|t4puWJbPi#fo3+A z+53KNEb}~aT$sQ2=yvP!gs;-_k3eSvX~zjMe*Usp_`;UV;63{iyJK0WK0eO(c;m_B zg1-kcRPXILREpe;>`z;_VToIGGtOgz1Pfid?!8(e^f&6Aymc99KmDR3LNBjPo<5!3 z-rl}@#v;}^EiEipRXuinJMs77!-t?1D_SMdvALp)<-av8zpnf*&}XA~>aDf>pksj+ z9<N3$g*ed2k<)A6xrY0wL`|(Y`=?c9>*n}*9y?;_9Fldut$%-rl1u1y_V@exer~RB zkLTGddw%Z+u4Q*>rOz!{y5iyby}vc{_SUNG`;{auxBq|mtG$fo_ZJ<Rd|6Zd<L>rj zN>85@cE9}4@$JSARlas%{s(u>!<2Pwgf6H3<2>(EEdUxbTbO%z<BRp#hAB5<J#2RH zPd>&IcsF;+?n~8>J>MUH%@W`E=I8wA^Z#DW)AX|G?C(B0dH+uK>7VqXo?WWDVSRQ* z*^_MZU-zr~l~op4y1ACGx!Wrf+u5*FLsxJj1E&SZ%jY(Sf0=^FkE>JzVuIRO^WPm? zF(ZzHb4_&Y#A9xM&W7LqIQzGo6HA}(|1Nir*{gORfAi~^w@t}=4wm*U2SI(Cirsua zUVkqBc<t6xF-O;H%1Wo5zu)PcaKUU^#+0T%-W55YGPcIZF8p-)qWs7C_4TdK|9`3e zB5%N<sF?e)JNo^BhtBLD{@uU6YGZ!hAMr)WZH`JQpjNAbU52Bpoqb7yzTBSYe0Qpj zYj~S@J=<B*vtL_^c~NqVl9MWOe{b?d&98rFFI*55-?V2wi&Q@Y>(pb(=Vv0t=`)sn zmJ{0cdPYh}UOxS7oAjXteO4<w7Zkl{XA#v@nl5Hq;?mU@F!B7FPW5Z|f}2kK-+uG+ zu745R>x}>WVV?5$to+{g^kudUGj6{-GHbipN~WDc6F;5uJ|8X+vZhmgo!W|=ZtIrU zi=7I*=YMFk5Yn?}XBC}(KS}RGD`*Y;!?RB>!L!hv$p>!v^C_yF;ICbD%Tku%<u2vB zwNlXmJCm>6;JT<XsmbK$yW0gjHyjYMeB9S`ir@Y6go&ks!SBob4xSVIub{EQ;?cSb z>Ow0(4Fc20M*`u6j>-cQv@xOgCUxD5t)4FTjc5_lyVVw|%k}oIZ2!sR{9sM{sx@ns zLe4aK1zt?`sjjg3_NHrffbr3}N+Fkw^^<O!cg`^W$;R@_I{#s^`|suj3m#=yJJowE zcm`^R&JlG-Onx+6768qS&ED^O41BoH>TkNO(%B2QYI?0+9NCj;@l7bC<@lTBa!!BF z$*zj?PH8`vH}zBT&J`^3$K?*pI5_v>`e=`2S^nvBrZ;tY=V^;SRRb;4Kj~IH6YjBh z?hiiOE-%pdY2(KK@~ug?`8MBqUoN~isQ;*Cl6h&#r2k7*RV!X?<oxmK^V*81Z~F^x z%PzhmQO&8<%KEe7Pvh>1<sX^u{VT9$Vv5>5b-||8=Z;@qiF8=AZ7H9%`H4<nP(b0d zxr^qj1k7Y$6`jWgZvhJxBr?grwdvbz$+|=NSJd*z)LSouD_+bKw3t4xt=zuPb^bqQ zt)KsXZmWOr^0->Uom&3KJ5^UdeE73iq)#kl+TKrkZvA`x_fD_2i!QyVsi$=eI?OiB zX>01!)QZP@b2DDPUViM=qAiEt$uMtRcy!KUccH@jGbC)jJ;|{#%iSiWZ5k4$GdF(W z-p?n6j~gUj(S33EJDc~%sMslCQ4Z3%R;Sx)V5jgn&)F`!U3qh!50>$K3nQ&xZcKdc z4NYB#b2(+<-8Kcr+d?-as(GI4izfd#dp9@xM&C6O4&1qO@sPVq3j3ebpZ7xqZ`RlU zWi31QPuSC5?#SfL&p@XDzPPbcdzpQm{Jx(>*4OrZ<}4~MF33G^w_{4ZXDQ#LS(@F` z_O`{}|J@*zvc$D$@-p9z&(8WzJ7<5t=BuULToa+~_w0l=|Jx#Q(q8uH!z0WU@853K z(A7Qo#a^d{!}y+^?o@{o#qTy@>#F51&HFhm+Fe1z;z}P&ZgSP}b;=xHvimp>@49tN zVQq*~f>?;ljT`%Fcc<Q&r0OjoE1PRxByFA-vQ6D@PREiZDxizQD=RC-CgM1FXW@bc z44<E!b#`)MQc_ad09j?Ta_dQ{^7r?AFP_L-exjB)IH2(U-sh*_CC;6W1MTsDTfWR; zx?{J3-DZ|)Y1h>FeK8$xqwNk!XU8A%_q1QMXHQJ0uygx=q2T%Ry7O$SLcQ20O;Hq# znP&H$r>ndB!-*?1E1r}ahdf{K;KH_woLj~(E^Te!_5MQRUaemDD2?t5ic0N$0WUAD zWw)`h*--yJPxVI6>>mPY=c?rx*D~q8QF)tjwfp?T{PllC&(5w+{Pt(5mqTUAB@Lck zsS<KEZ!}w4-B*3wI6wDT&&tVyx6EW#-~S<CTlKZ@g)Qn_QLppwS8vWmb_WOsswyil zc4TP?T^*Kqq(hKvao~ark4`-~IeF@hM;X%tRRr&2=_qBqUSNODx1p)4_`UpIM6bV5 zN*H>IPQne}z>KHQV;}#%les`F<V<YcoleKRIK`y>-cDGL)7kB|>_pP$&6_u7TvW38 z{bsX8(Gw1{+*=`zM<z~D*!f0MF*y8%(yT4{_v3!1^*IRc{~C4V->IqEigQYjc*Q<{ zQj6KTzteGGJNxC0x^|M=dEa#PJ^bIjtw5ROs@~PRtWzha7kzm8E?GkIE@yLf`^N|q z2FO|%=wjs6A`Cs5j={_Q)(SW(DV^Msd3nOLX>A*mk3YJw(0PVw_B5~ViQY%rw`N~o zwPgmUu$qFQVW4YKi1@@s_QD^Xj%8VYihi};W7dTI`}ccoj@+In>vADtUyWr`bMsXF z+*?~VO64YhxhnPQRo3pDdwU|6DxFO2@w>S1rO5n4hn(Kr-p+rxjW@gD?h}!BZVgRc zyWd(|_lEaHzp>PzoS+jM-NUYArzf_5riqYq-fm&-YkT{}bnmE7N`L=QM8<Mr<H_yr z4`-FFz4Pz=l7$R2Sf{>vRhz+>^yS4xFPDiKVuInYeWHS!btPA`a^!lm@XIzXYMs0; zc6StufTX&Tr-uiJk+HFErpdF)>+9qD`DCpY1TXiyu`O5Htxu-Yw)$JbogIZ0U$2IT ziuBkjxtz(K_Eqxw&4Ax0D(`@{qkq3szHSz*S#sbkr-<0pM}Kc5?+6KKa{Oy$%*t^9 zb_&iMp8S0IJ=-hQt75O;U+Ukz<j0Zs){OS@{&&p5^U*O?d;7LoEM8EwwMzb43sa@O zni|{P-Q~yUSQcx!E<RCpw$$~BZSt=Ez!w|99kOSFA6<`iG$>6}yd>$-BHdZC^W!mT z!-@|HQ{A4te!aS7-kLRQyjHqwyTiOWqr|jr+nm|kK)W-}gNGO)U9%mAjXVCPZ}@uq zamTycoO^c}9X))v@6f^I^bOhnJ$t&|^~|Ufe7CKpJz{-ez(%p$g>$#4HJqph?ItyY z>?RfU+<S3r(3T3NOv}Q;LNAfd-d@-Jb$@jPRi1s3|9r<S(Vbf&s$}AqSyxwwYw!Q{ z?d|GCt}0naqY`ibTJk4D?-<jTPjRI@vPp+uws?b<DFod<c@*L_5b5xiGbMIMPk))5 z`@g3`JTI;a<~IM$%5N#R5N+HXS$U)Qg-X=64@Y(=#tO(>IJELlxoUcEQ`goKc|n~e zr5jxqNGx`0X%rJ)=0879@vFO?@IjZXStt6zOQywSm%Quj&=e|MWc~MrmG(0Y{>49( z{@a$ni+NFY?%6E;V|=bb`;W+&CcoZmysh@yqP{=Zw#I?CST%qS#hb^DsB{$=T@%hf zEWWW#J5qG@-i7I)<7+1Mbc0qR9K3f#L}mWTgZk{HhYrZ)#^kh~+b*NKB4p~SMZr}n zr;bFd32SN5jozkl@W}1k(VQH+j3?;XXuqBCv^M$rY|sYODc}vLpb_;|`#Luktm&Cv zxpc#t4xM9L7J;t5w6~Wpzgs%JE5p;%b7P0;vsrpoaWmb68s7vuAJzGmA$U@**z*3% zMaRE^&ZoN9l8Mxxozrn(s`i0xvFd``-<#yUxd}R8#`*Fx-#HnqC0C^yKYh}^QLiHU z-`B_4XMfo$*&^Y*)y^_vf+0%^R=d<jfR<HvuqX*+EOa?G)B1sg{p54@MV$pok6jEV zPLcOS-Hhtdc>Bwj5>ZCwK<&!P$`7AEZ|=~HdNHy3sJLd5Hj{Tng~hY;^Vcs*TeW(v zlHCkz!JATh-c_Zfq`tPy=x13YxM0DdVw5$iozSI32lp+Od~siysdkalg*BPMlZuR( zQlCEkq4<*Lo19&5-CZZcB!}uPpFS6D3f-}7_cJ~Fb$g@M^|8csgT^{<`L9D-W|-rp zV7y&m<Nf(zhrH(JCBCTim58b`-~Lj@B(0k1XX{aqKbo@aeao~JQ*ZFT6}WV&Hp=1l zycK_Sf&w<mM@OkKR)ZG1<saIDv=&3p;XzHDVA(rw=Rf?gC1aZ^)-u0aEi&77QjM6W zy0KtwEKA#$Zij5QB^D3-{+?Im(&F1|Thhm}O4Y%!l^tn#*JHskP%l=n-avLY-?GIs z-Hl6LOLVR1TlgX8Sjhq@=Pe%(OxjeWqMH8T#Y&zjQ>P^cyf<+9&ey!0{riz)E8Zsc zHFd>qxTL{p!okR;#oIJ<GAMgN3WA2$f)=x8b^lkHceF8C^2IepmRP0c&~}A5Ugrl- zX3EI;pFMOjc;<`CrXuFwbGq{;u9ZqFI+tY3BodO-HmS{H!9mc_f5DSE6JUj414~S| zL!hVRH@TAszI#eqOrF}Gt<-$MP>DnKP}J^gPO=H6%P*aO{A6a%pDB}&R&BOUPd8@& z(5H8$6?A-0z++pL2NIyA5XbB;a3gYC0VfmW*cQ;SE7BOpwjAd3F1&f=OU#maryYxK zRc^_D+<)&n%cA$DiWyH&=h>Dzyeilp5Ky>7ccHUNKr)j^NLEi5GrSGt(ZG`BtgwFr zi~YPa2Nyn(QJH(nu_2z@rDdB_MFxYC6Vro(Qu0#^reR&L`%0uE+nR0B((UQF+ZvMR zHaH}6X?^_oafWrd9?~(BoE;Zkg$iUqW5MbAFE|ln!8=SECzE?3OISzNeJ<JCzjl9_ z`QQ-){J_SHX*!WjFJ5HqUU+Lq;o?oUg^yfL%XmUoUw``a=}L87T^*?AUbJY@n`iFM z($h@!pu4qSYiVd4*i-p=!h{J8g34|y{$HE^rZeW)cd1+P=bq01#R_;G7kqHPpc1rh zTr2UZOVvCtel0!Ca3${o?fd_)-<#<W9vYkfr&*<?r^SaOvFpTw1}46Ug*;rMnoVH= z0tGptT3Sp&O-xK&D<(Py@?5oIaAIX|`{(GW8Ws@X$U5bL0GqP{^Mn?alz;1MW1fF~ z_DrqP^Pcy;53%84pYB<g&)-}B{L<EY*KHM)bT|ahHVNF*Vd#}IEvgnvO-;3!JGKAJ znwXS%XI+ooEcUX=d~`ix>c^NHMlPJ1Z=-`83V$D7m&gz%sr8A~PFHtr+$Ohada<im zSaheIxHoBMl1P9{$;};w%Af(ajt-99N`JoH&KDFAcpxBnY~r>ppM@^JySrQ4VA_x0 z-{0p8Z!|JCHY|MP^7XM#;%U7VF;9v>{lYsFFDN2X?*~7on>$;7=-RUHU;6Y>MU`IA z<@1VvbL3{3b30UIFdS-P78H8Ye_;Yc3)|1t`)j3kpUZeK=~B>>Ct?cGbw!`9zF$*Z zX)$+hzj5Txjx4#3u)u>IojgvnUnp$p<8sUh5Poly<Cd$OAQn=x?8u71#dH3##vN&@ z{QNBO*_oMM8iIz2|Ns3JZ4uVh;*q!86QkyxdR*hRu#k{J=A|W8(*-RrO}iK`7;<Y{ zZgk(qneA)Jul@U@{y>sNV@1U=OCzLy<_8a^NjD2$mB?K>_e}Y(kDcG#lkRR|c7Eye z-LLIr`eFXj)nkD@6LXE=l`Hc9eE$eMc+Xv5Z*P+Oh}+&?{>Sf=?~i@>{Izj?KYxvs zc~ifCvdN_XJSX*2QynBv1q20kO}b<xGJVQ|6+2j5U6*QTXt@Oja-I_xTfATa$4}Oy z8X7uI?|w2pc`B}~zMg@#^=O9JoBj_MmUZxOJCtl@aA{{(u+m}BJ;ZFQborRF{3bTO zQ#va=G`(tSY{c|pA~MZ7HtJ*?YT<keK9FU}k_XeG^A0vLvm2DY3W;9U!NCbSmdMA4 z$E{zkx0#)PRgl<8FTvemOKqc`yu7=6`>oS+jwXpHxp-Vu^}aNFDQL3$?bhodVm>)J zYjX4Fz6}zNerBit?2^joz**kqJ@fN#Y+!6==hsvJ!O?u=$dRI@wwv7R>g=k%ytsJ4 zpKE)$D`+C?W5^3(L{TuIA(o}+6PM!T)=lR{m8{nb?0numVXn55yI|4h?!tW=Se|!x z1x7?LNxqMXiD`Ot>AvgaOPh>3IyOB&Y+i8nYq@6O(!#~}kBZ$t*8AYKc2W=jER&Cp z4fFN?%>JRUV&(cQ9%-Q$?GG62TiDDTGYW)F3)NL7+*B)*l@gx{N;_KGt`ldUnW>~y z(s2TG7O3is8NZumn6D{LhOGt2m8@=*@Q!r(A`qx*Wp!(le}|YJXd73}^k-XVo9A!i zG)+@H6l12mzW(pm@R;(ur4oioEw`MH%)E7@=-K1sZ?|VwU)+`s+S4WZ{DcO4pb1p` zdOB2X@lfN@7s}vZa&tU7hcoHh@l}?q#29y#yli@Td3pE=H$mx1p-PXuii$S*8W^Tc zO-V^vp|(Y-B;qUQ#Om<1QK_fn1RGPIo|>Aq7ks=*j9GH1p2}<0XxBfhI(5_M^>2vZ zl+u|cl#@SSBK?>1?RSn1O<mP<g!e*DUx1Wp1wxEmT7FF4o67`22dVG~FK#^bt2#r_ zb=l>Nk1lo6o5Piql}~^5ns~l9QZ;ny?+nK!)!*Nl86LBcJn?4pji~K;bJyNnZCQQv z&XcWb+s-(=4*HawdRjy1W@$ytldqs0^;g+}0X|@)^58B=?E6pik}qzKM_1i(Z<&~T zdz+(Cz?t|TrPn%5>P@Hp%Q)!rYs!i93!U3fePz4o)N;<laKD+6q4_FPE>lpyt5av! z{!YW+E^lU>&ge0Gb$)5eNxA5AHrlH{UOacFf17PH1FPtH3wJk^#*{ax4&?0HZrRcx z7NVv7{bEx~%1nvbSEbw~Pgf)fx_?PgxLXlso@$!bD`Q_5W7;+~`@w<67q_>sm&(`q zKHsYJl-Wb~-^n%p?+mBC=(wQ#cy4oZ^VK6VFOMqy>yxn*a_^T5^^Na!>i@CORZesD z%1+&vdmpXw-jsIcY0$Y_-DS3**4TL~e>eDQ4hJTc0B4Y^IC@UYdO5I&3MxE$^hoFJ zDHAzcL3eJ|O#x~zB$nTku%CPq+~$0pqO+;TecC34O54;^BB0q(S*wzU8by&pXKsyM zB_8k0{RJj{cM-X`@6_{4(_NSS>NxxD?d{t}VJT4)VlK^ARabZaoBM62+O{Px*BR?6 zZ=Wzh;IyXuxf|2X2!>eYseb34T^)kcF77ztc!760s0(AV#RPdD^aIEt9-5Ww`sZn_ znBi_!{f^VfC|}LN@#t*-`5O^4j0~I&O<eL#Z7L5so4PhmIUcd;%7i&P%{eVV(Uu;t z)E7~UC@^kUbZ|VH+jmM?_N$BIQDy!v$B7NBj9gkZI>?!6&nyO3(e-x!*!bgEL_z0x zyz^F2RAlTub?VfqJLW7^ez1Nwc=7O7We3NlWp^sX!3EKQ_n=J>o{-!CAwPIAiG=L= zbVJevq{0HUqqu$H5~SKqfw5f4!SU!^zwUjLK!*{%28Bmex>G;0qh2*KuuiRNIHL;^ zJkZP;5b*KA;nWO7R&ZdND!gF9q2-V>Sr+Jl25?*D-mAjaZYVGc6-0yf4u5;`!UE)y z9W0DoT6%>%B}kK>9t-w?7GUH*y3-G8m)c-UWeMtxTv}yKkBlH83(5&U5)chz2F?mq zMlP+m$~QdV4!#U%xZ-fYB>|9~P-P9)ATRnYe_FZkUj6^S^Tb7zl^FGvCQO*Xz@-&e z@_=V5$aauV8(2IZ)PsUFGsA;P3AEy1hhpr5d!S=gR6e{|+~3sXrTg%s8N?|N@;m6* zlfUOL%vD~n;L*9|?)Uf3WndM}uV<35M>wIv66D5z3?>CXL_(x~Fzm49yaEbgs{@bM zB8TZaf6&DL{Ds!;pcwM+-&bwT$fb4fAB#a9#5NH5p$HVie;6|!e9&BB@`16ST4)6` zXjfjt@o3~m@*U9GPT%dF%ljIdx~%8R)qXDnY5dEX@CRY%gQuV(?I-hv0}q1(G9NHM z_@)v7I)CXEL;rSA@_=+d7&v#>GjeIYt8aTJ!^kRHZfE=J-CK~x-@*reAnZJF8kA5z zu`g&mobTb7`uyD7j_z*f^>KR*>i^ZSu(5@Cfo_}wHD=p*B%36S({A|s-cLU-S0??` zNvJ>&RL4G1c)^AUw+Rl1L7QR??(BU19=ugwI(mEF)`yWB5*UAddHL8RMycY2Y1$bH z6;;*5Pft#sIC*kor_SwdxrZMdY@T{UDf{-e-0bc4N;lR-Z|4hM=5z4#dHepE#_38* zN{1G1?C{@R{(c*$)6AJOXIK;}1qB6Zakw4|TQ`ftiLZ3pF`GUHR?&XzAT}4I3>YBW zxY)h_*0e48_vPICWH#>2yS^^Abo=LGp#llU)jXm<AI+aOEiCt1hw_|=T_r0|SxwCT z_U7gc<8(f&@^?AH;h_5W$h19sY(UqHaXN%8>bRLMXtvCMe&5RA<yzH6wZGqP=iuPj zuy@_+|BohjDDSQQ9%ctQM`7WS<u`6boId|*m-%~j@V@Z#j7TBX5H48pcI$P}2?alX z|6aYLyQ}NL^XJR|>1k*Lr1FARDux>-Zs2u4ptB-lV#1Xbfo17USFTkHDm*(od%2N2 zpRCoAO`bhV?I$HFP45t%w0Bd{Q!mwokWi^5wG+=weDWkE+N|Tv)Cm(BJSVH&EZuKw zIMY?Gn62v~d-|LF{{EQ9H$lna+fO6pV-^^N88y>8y1IfMb^a8ZsA_8~tEi~>#Et2z z(*e*jX+e4Ue$WD^D7j=EZlS_}O~zkRbt-p0ofcj2;6P(hq|&bCo`@!%)<x&(zhCr- z6n{`!Cu3d413H!cb^WiG>Y&5VCr+HWO-NePf710`IUYG3^-61A7^$s})4H|%R6}^! zH<gX=Zg1yrX5(EoVXo4)9;WUi)926cm$R+9a(ZWx@O#ktQr72Y1|lbT2@a3Q!^P)q z+wa_oxxL%b(Xryq#^WJ3ne~NM=rg4`9=#)c<VCxfcN@Qap7vqEiwS>zeB5Rvn|!nH z=Cr^BgXzal*hPiBys<I4wMbc$_tpLV_Mr8b->SdgEx($5GH#*|>?DTQa}S2G%uhW% zZKb@Xr_pp~%VhssDxgY&4O$?97iRD2Ye-q?;_m+V&(F`>Zp=2zUG*!>!20NGxpp?* z8yYJ@)R`hoL#KXsS@Gi1Qt#Gzs>j+khpAfZx^w)7@KLXji%T72cb9?2{6fUKHrjXX zlsWO|Rz%d37t6N%`fw&^4a;IS+l;(-6G2h<WTTM=sFZ=!CJK$OI3zZQ%$OS_t6TR@ zhsn*+wS^<Ot+UWyJMhqrAEoc_?akV$_unwBvx6Z?%wJ`(vY}z%)c2sHhit3AZJ8=D z^K^a6+iv}RD{{O~_RqVw`1e|gR_TuiGotsKeNQ($7Iu4*{9@i@=BT~P4U>;XK6l@J z4>Y7-KHq*ZVo0BHy=ualpv1DS>vn9saytS8HfntrOmuM*_h#$bsk!Ey)kL@T$0kW{ zUZB96zO_PWe&#`!BO;nNFHWxeVj@?(tmlT<#gF;*zh`G@WQ*v((R^>%EqktEopEUi z=cK}4{l?!G`wD?hZTW$6E=h$h<H?}PS1Xr)I3n!7p}gz59V@T&4oK_EsS(`z>YA~s z@Uh!fV;48U?uG^G%rATRCEIo0OB;Lj$=k0}i#%ys@W8=Cvft-t=EX&=YxBF-Os`$~ zGH13~?x|kyYmtVB+jyIMB&P3meIaRCv?NM<x}mfix8A3nJ=)WG#M5J*eOh*Rclq_x zH!{<YIL(Hv37aEoi&DiJFrEzB`R~{3j}P1BH<foa-@G)Zmc=DNaHFE0-nma~GmkBn zUMuCDeCBdd&-{}qvY>OOOO<AHoKQ@4{8V*nislTnTq&!P7YvyNvuDj(Wt8xxMDC@s zN>W$KnuxFN6H9j$uJySoc)#~iZ>U+%kHxvS+?S=T-lDKH*`%+2-AvVWYK*@@+l=Om zh9hU49TJUm_BhS44R3f;+<M}iw#&PpN-599R^H$F6VxwstV=ZH>h=l<2x)rsNJwP* zw1rESh=7j%)Ml14ZF*b&*sb@$<I58JdZiCuzs~-z&MxE95q96dHG&V`Hy>EGkAv%j zv&RDYrrEy~O*U{kHP2SixgoFE=o{0+cejw8>6xbey9dRgc6m28ICdKEsyT7@@xuQq z0ivKRg>ra9%ru9{NJ+oB=bUfdtXVSmT=;(DO`i&_e%ow1CwgFir@j-@UqJ~4r*`{4 ze^L9!g04@>lYjjDb>RAOcDvqsabKyE^}YYZcXeo|{)o-d)YNq@^yKX7@(u_I>1tY( zBqBQXK~16|7gyJWc!i{14s+HMr}f?Z;uyKOx-aV7kbk()S5Q){;YAu_2OqyfNd!Yw z3;Uvpg~roW{f@ty-Js5)wd;=Cruc1nce~u>DwpgtEV2h((<Bli#bRxaoEQv*Pn^>| zlK;~3hpw*p{-w_!xg4`xGXJFW=QKtReqM*o4;)(9ekz-&IQ-?~{M7FM_?RB^rX^cM zEO)Y=m^Ob-%~$K!F>-$C&ma4Q*)5vIz9==t;Fb&P!qO6fDEC$cla5GTz2XMmCmqW< zw07<3SZc=mMWOIEo1%l`QpXL&!N^61^2(b(zPgCj`JO$vG5Ds2j+Sdlg@Dp*cI8Ep z6Mk{#i#-sWDLW~%*h*V|X5`KjHnY2XHb0+GW+m?XM{03ycR<VyBMI?sT+Y9zEvzxv z(*p{DxUv+U=`1;*mRTaSU;;NZSBNvY`=u$ryb<}Q<j)$RAQzjR3Q8=24;3>61$)vC zGJbv3uAMunR8M}n(RszcC47Eq&om}JJrs8K!bV>StL?nbzqvIw?6_2Ed03oF>)Zmb z*(w3{pq4Cu`a35?tsm#EV7{NjUdFPQ`Q-A6C0|TtwVJs4lt@ImnmhZ182|XS%Wr4j zY8k)6Ld~E{n+ue5xivG>Cr&Mvn|r%;adwWyu5J9`yoHsOVvJl`^GfIS9NWzi5b$x` z=d>+I?XVCgqr&IA=No_cdw%As9(A*2mbbQWxX+%J$ibDcf5NOo=g;aN`FLfsMcpft zNx|n<d|KeG-*q5W+;!DSr#-Nip4)@Hpd$SJP2(a&d=zjnO1l3!yU?U&?vyrR$(=h^ z__EwO?y?~E^fSJV{>uNA3rxQ9^estw^!)M63{lAzZ7cW3pY$$f?J?H>uJT}2Q<ur~ zshzgMYCfQibEgjadU+jsa&oeulvG#h>1m2eN{4J8Ud|R;p$uw)vYfb$)EbQDl(DN5 zi<hzKd|&6~yu2*%#RWB?x6!TD+I?01zfW{t{_(VejZfb9c&hB;kL~Nk7X;7VQ1!i8 z`dV*8WWYtIwg_HM&V!3wyD#i4e!eR=X_vLJu`uX%j|Gm+T3csNpU(a<_0Nxw(|*6d zvs1XUvvY=Fa+`B)@iarh#<cVEdM%5et*B73`&j$_-rkPRPEB>5lM*lP?k->bgPECm z?o*++RSb+=T5<&)JdKFv|Eq3?z(UQkcm7A${ayLt<5$P$-!8tmVkcE+_oh84K0V>y z@)b)&+WVI%zVwjt>hdn|{XXr*<-MwZZY*B5uy2Xm@2J!jSDtY1?NAn0_dD|8;o;nw zDoSGD)uC=ZD;{)<2~~Z67pwUB$c~6NYAPxTmzH==Th#R9#<tw(FE1}Icl&5|B}qB> zqvH{gvkrFPHNiJeFJJiR!M=6i?G$s__bP(ZJva|cS1C|i&S1UI_u#qrK^Jz`itIWP z*TAjh9CIl=zwA|t8y}zQe3wfTOTO$hVPmd3wxE)udhL!+#)+ycJknhL|NEP*8y2Ek zb|U@sbp7K^tlX=%#D}tZmEP1|cjESmBRUbDYhGVl8!V|=q#L=3rASXFwdm;N65aV4 zD|TF~ydaH~zBHL$rhne}_x1e^?+c{#USAQ4)z3QlY?EH8)3tLR#<%6`JdbYoT>0Xn zrd0F#jNTOn@1?!pvbitaw?jW}&y8oRQrbX^=RwOu-+QhX+PUP5`~G(~HZK14Nk7%P zu&{93q^UhUJX`J5)!o;ccB?7L)cpN=-J;+@!=`*4RikMKcqENn?&gb>K8d^OeQLtH z-S6#czFrNNFwL5>_KEwS$)9HSN}Jz0mEQBzdzX0kyZwu5ue{IxE!8shLGr%qHTxcw zr>c5Lo8=sMaIpER?y)x_mAN7jpFV$Ht=nGI{=CcX8fa15*TXY+L%Wio4s*01=nk#5 z)qR?ZORpbYTNwE~>_g_6O>*0<To_cBF8!gW$y#0S<9OchqC`<>bc<o~(WQMCbyi4h zR$WrxuD4gxszd|S7G#;Rv-tTf7C}MDuyrvjU6>;C>((}lTEE}_-!5<8&$QVIi&;fu z%~X~;2OUzj^Vl4Dw_w|<BFVS$At54ta<;3sP1c_YT0K%yvgOlhiOC$&yY{&Kdv|GR zw@{f&O=oX!XsXBheZN+Hx-2QF^ej&6(fV^fh12T{^u97w?=%((+4F}n;RmAlNl*z0 zW6QZ$%F_9}?(o#u=*8~SuVviXA*i_1vhmU-qnhd$7W=GbH2t%UPQGFK_F~8JS3=9P zu1*Q#=6<xGLoj+<j^pmKw+3ZzBBt6dJRR)fAh^+4&$jdUpD4S@Z-iryDMjjh{P;0q zN5MiNoszV!l-V!pG>#R4j(X7he(_&y<<qI#=IK70Bn3X|f&bu*<40z)Cx7d;4W8q9 z`*Ut^z{fwvX)Q>u6>q$2KkrC=nQY+Xs&y}Ji821GE4zE#^_{cA{skQI@-}QU1x((| ziijyK<o;fG&)L?LOY2yX{9Ie5B$ueDSyJ&i=bjjJONTw`NVr@ZY~u7dM0$0c=B{?{ z^Yd)AeSR6HAJB>2C9-qp&TY@O9?KEm8J}J&q5dpHZJtD{`0B^&yifY49_kG}*41v8 z#dDeyG||GS<%?(mHCPLtIAPWQ)w<5{-A|>Mt7~m%pWSu&;nhpwnQ^<H9}r|Q{d)KW zqpZNqHJQ4)AGhriGT_n*dun&d|LL~ddBMtkXPdf1clI0pcBv7beY{V0bLxf}-wn(U zh;DTL?l>_=EZK5m<)R5w!RI<i{m!{svE6Rg-C4#J5$}SptKZoDe))n&cb}hs0WXO6 z%yD?MPx!``&*Cwc7u)VC&w2XPWRL!zzwQ1B*UQ}tlJE1qxZnKzzJPy&SjZYLfABdD zY<w~u`)YqbTHJ3Jr1$#cQLEc7zt*y_usE<x1Pzb(MxDK>cx;Wp&o>VaHlKRdz{q@I znXhzo<%B)zwSiA26&~KSpTjim_p=`U<YTA$?f+%G?p^eK>cw-b!`FvB^(pdn>)!b1 z#KrU^y>z2*U4>ubwmkm{s<{418ap8hmK6>P`xi*$=l|!ltaN>G`Lk0?YXMWwc00B7 z;!hj1@2}_J`f~V&qpaYA_pjG#NU7urhPd^~tW<mP!rOoK##jAH%KcwcWNcmC{f}H+ z?^<A4^TQx0C@4p`;m9_Vxl_MCxs+;u?4(0d_z}sEpgWtgwEDip-P~2WI_m$M&F3He zd_F&1)ef}B;ih)j<GH=6ZdLN1|Lv{%8uZlVc)z^9`OFooJZ<}|6waQE`1M5N_Lj%7 zARk<>G>$^};K2F)bC0g-pLF5rp^TWi!e}8yM%M?k<@+CBSijuho&Vgzz}E&rE7h5v zE!*;Si|%$sMxg=*(5|5d4`D=Nf1t-=cYl7@S?kG$E6UxsWQ)Gj%e#K+e7$abiz|29 zqxk>#npXe2us+P})#qi87Ae(yd)Z!*c`<lL;t!8MU*8A6F0S4>-DLIOitqlb5_9i+ zM_>E>@7@kYR?&Q$(qnHlL5@D<X~c(c^oq6v%VJMje0ZJ7vujuJ-swMls(<9wd^mTi zNY1Y|$!^_CbB<(p9*4TRxSi+YDql0l{9c~;YOm<&d9HtgjdSMTFL_;I^ZU^2tMk4~ zYfnqM_(%Q2t6BfAL<CrV_#5ZFe~sBxi+8gv)<)H@ivIBQ`TR$R&%RImDt-E)#_X$a zGr#Vf!jSvli1V&~Pr&1;m6o8}t)72cS}Iha#>l00Zi)A8q;OGUx%cx)`W&0N?FX;N z@WjtQ_Vrz;rzfY`{{3Q?<#uuV&SrbCwtV~JO>yCx_UE3aB!9D)JF@KiwFi&#raz3& z-maizv};cP{y$%o-|Z^pQ1h!}QqJGcZf9q=weR7dpEZA;H`hO&cCkD()49g4B2jUg zjj?o?QeVoHHPyf41**&M+poO#Pa^-$|F_pAsxLeE%(1$xsN|bFS4&UxsQd0Zm(w2{ z?o_>X-D-OH_Z!go0-r~W6O*1-LsM6`9M4@PL^bX%7_s}W`kZr`M;>JFvN)Bp_RW)& z^oR2<nmcT=58M0OQ1AWS<7v;AFOfHR_bhwrgiXJi{V&+qY`P<Ny#HTUYw@zghm)=g z2;Eu}_WSj0yMG13c6YzY+lc=6+FDjt`_1s(zFZEs9;x)1qLZhVvb|qh$y{##A!F;d zH<1gBljY`oa%tw$x^+EwH=m--5>7Y%R~h}kV}iLs1N)4<+b1-1gQkC!*d5IggAoTL zS+2eR;hMTE=Kgb`^cm|n`o``v{&%l)#?LQ8xBsT5rp~Z_EV$?Iv7$f4U(>$q{`RYA z+x2~0nT{THl_)>oY<ll<MRUroJLY*ULd9=1r7tlZ|7w0O>H04#OLmQoo?Z)LTmvRn z*xmWb9M!^}bftl>l(+wTH0ZR><4r7gK<VMTE$C`Jcsd9b`cZH`P{zZq`62VJ-8?G~ zxAQ&vCiquSvXn7w?|(z3#Zemfw=CH7>+5vGZ}0XeU;DE(<I0MOzkIy1<mT7NzpJmX zvMPPK%By9X-R~u@OqEj2_tn)&lz+ef@x_;&92`7B-{;s)UU{nU+Wx<6^Wygj-PL>B zI=A!o#|!cKq2FJ7&$_xUVyj@I<H2b?tAs*a=A5*u7Tde-PxCHwdwua}v$e-hcRx=) zcISCvV!PjjdxrPxHcQ*C-y!Awf2WxEmYm$%?n(Fd7PCLI{l}r=tiC03#w+Q~rI&Z} z#(hd|+gNzKuB1D+@Adku=SJrzEw^xTJR0mbsjvdn(!N*ms%Ivw*?QnH=Ns!eeZ2Gk zcSW<swk`Wtrzf26S!lS-Z%^=7gUCOpvi|&Bc6&;`<jdtBUi{tu*yGdrS6^rGT3^_e zyXIH*{p;~gMj_AiqZY=U{(JxZZ?5%gfAZhjvirid*{()$D$TAeGi-MYeSGEp@kic! zxi$ATICE+hEuHUmbj8Bjg3$T@%2MZqsIBOjF!{qH;~hJq`Bj@dV&dQ5?EHRhU+4Gx zmE869Ukay8oAyY5`(4INv)@m?bnZy}p>agNTG(#izEk3v4!@6FVGoH(az3)G^Lt;@ z6m!#*;HcH@Piq_n@7?_;>-J@@fUULb&NH8%aQ5x!Ua+b7x!;kaM;C^#kDFm%Z^y*U zocrVRr%wjS$9Ue{-7U^7rsFW*?!Wf)&n?DDJSqX8<?J<PNU8TQ$DDI^{jIC_9otYB zd(lYi%F{){hEFfDAJZ~)<>t9`-9>7X*XEnzkDn*K+pM4bMfSVq{pq&${2OJhR(@q= zeSURsQK!P=_xHC1O>{Zc9ar^Fvz<fhnWl@>szRxWd%mA^pZ)QGtoh0!HNkR~({4uL zayve!EtzkX`I^7j<Y)Swy2}nda{tY3OiHJ{?J?W;+iaO#Mf+(^tw#x2Rc2=OWdfIv z$2NYruuSiJ%+A%$X^yT(y4FT-Usd4b<g_8<qEgAbJ2zK8>{Qs4d)ur>!f?^4+G}ef z7u(4jr}?y&&YU@OhIzhR+POKM9UUCYf4$~-{OJ9WPT{Nl91d=Q8ft26r>E&|KB`#w z{@&i*O%tAQ*k>Hx3))BU{`2DR@cg6_(0JhVdwGZM69F-|G>@si^e{WMWA=Jyw_l!n z_9_a>>b>fTy!>z7vhOUc?JFiJ%w+g->GgXp!=zuz-?bW-x}N^@(PP?)zPJC|e=L_j zzTRGbl|kq6iA`NQ+q*2CU7N2pbwy@Oyfoj|T6p8T|7A+6T)j=Xsv`f`toipKxa56d z>)Sao8{4}+D*pQSA>ZTliGwY(ckg_%`DveDWa^45-^D8g3!hBVnY?P<Qt#<WCnhLP zWAYCQnk3XDSNTM6y9L|H9fB8K>X?|ALf(Kz&XXp7@P}`#6gznFWEE)k>|Q{zGNQUG zuxmWO&$=;x(j~(+`?KpG&i(#FWlc@)%vV#55-tSTSeIMPi`&9f=R51c!QJ@>r_X<X zVAXf~Mjy6cHOJjMW(()PUa7R#{on7G?K6bG8|dwSCG{uuOYDq)A8bWWRm{;`al%v1 z>W6Jn(WZi@SL?UPuCZ<Ms&Elevn{ax_U>lu*4cK!souYeN*!JQ+?i9sFulCbW&i1z z;0?w9_8T34m!P_$<G{uJ_5Z6h=U!ZDBdq4L;f&42i(%6ngF?S`C3jjLn{}l2%?-oZ z4M}GlCZ4~xHhQ^H(37Z5DV$H{+);eY{(>F07U1(S!;*}naiD7;RF9S-BKyH3(CvK& zzqj7qv9aFVrS<>4IafpVUv?`cPtt#Rk6GER&owS?U9QiDFWwV-rgjFWDZLHRYU7h{ z&Hfd;+)2>z>DB3vH$G}jpSU4waTZ5(WV}c^w^mrrTfq<&?&tdo4~KS6-nhT}Mlrji z>faJQGgY|*bE>Nsch2u$ZI_hyczR@j;KQU}UtZ3zDqYnDn!$OseagQV*JZ8Cbi(VD zl8$|Pdiv^fr5=x$eeW`r)-QeEd1K=Dp1-~-tDoF0zwa6qCFRyD73z54V%bubv@b6% zT2y>kpxf;_{mhajOF#qi7FAzXZ2I`?_4?IuT4BGX{!Z}`|L9&LaKEUiDCeK|G>_Ex z()`z6G+%9u{#t$U^|t)`ee-Oq!%p~3Jl`wn^z-M>8{6~c*?6U%n4K4qT?@K6<yF6F zIa1ZVT<FH0*BqD5i9PC{zWZNAbf%2<OpW>PZ!A;0|L61Dvsq33F_)&4e(C)?q4XP% z-rGvmY5Tw0%`(kiXE*tz)#Cg&{f6cD`cD>qzEaJryJE>ai*-`x@727P-6p3MI`xl> zTl|7wOLD66d8h6FX}50oKeyY(5BZw9EPmh5uX7C9thQsLfAw;^%l8uQfI64TOFpjd zVB_TElz{dxjZ~kVo4cB2x>@cmjX)(UtEj)zs)dDxa~HKwc>$WfnqT*8rSF;xe<PMm zN&Wij>W|a${}xrvpTR1cEIDE4{f-XV&FSZl&9N*F`PskI<z!a8?wyXikB|2&+u6x+ zi|ZY6m#<CHUVn2#BJ-}2mr0vfp3dueZ~beg!EvQ;Z*?1sw&-tpUI$uQb${l?WJGRT z;jm!E4wl08Zw}3nu2M9)VJp?P?$>p1P?O<L;e+`h`=>1PWe$ls=IL;0!_^yGLb>^b z)s8-FbboZ^*W{J^SDv)3|M7V1Q?>6QQx_IhzPIbBf2kWS8JOCCW!-tZV|Tan9_$Ew zth1cS^O(;eZtlXTSN|%Qy*(K)QBG@@;rbtY-fS)9;LKeXQy}iB`uk4hnwy)%x#f1S z)$KbIwDR<Sl}OX2y}i9#r{3@I*pzx&Y)kfazU1S5p*Mwg$+)(#=~~UG6%b7M_~>Xy zSJxrXbpu7gl__iAwF^l~W}XHgvC`hL#&p{66WMbelVkMXd#80?-FK``HOMS^OTO5I z5}B!c<HfIqeV=ty;hRsPN#gl!?v6({pPznlB5abig0t~Re(;CK7jt6DOVzh`{@9*; zQo=ZiL9RN9vF(0QwdMZQSN$o4Yiiyn-#(EXx#0c8w5{h)awN&e@7b8dS@z!N$kh5D z%6ZcN&9`Jqck4}$S>-0Q|NDFM(EMo=li!6s@(jBsd8hWZz|_B+R37I3srkYFWp^2e zlG0-Bg3G<>)9rq%tb1`+oKxHUMhkzWj@_QGuext+%CwF9|2?e5wEsYt`22&PKhHm4 zE3CG9M@rVkmuE`;eEpXA<LQU~ir07Fu6nrcOWQ=jlktDP3xC=Fj?HK0N0Tn^u8j%T zL~6c1t-tto)9H@~4qu*nLqy=ktf$fP^_3Fh+qqr;%e`3|G`+sW&GBf&yTwPjPEMOJ zNk((w#P3hGa_9wJQ?B>k*?Uz)LD%EO?;g8X`!s*qJ~mNY9jAHhjCk>S5kZBXx*6Y1 zxkWaWfmY2edlA9uoR)ap%Vd(KZBSWCX=v!D#n)$l-*75o<>{ZF;?Ao%V21hgYj2#_ zd*530mNhX?cw@>Uo9|zEdUjVcMQ!iZeZJj?sVeoQlc`-q`nnTLCpm6zs54EoHFM+? z;P;)`dHa18L%;t{`ANQ?LjGubE!a{2hvUu9N5-?y&d!s~KW%VxUn;YjzeM{B?x=@H z!|xwFdRK4t0iX1)5Hr1-6^DORtFJOVX>{^=b9vY;yDZVmVw=VGG`PRtFY9K#OXfw4 zpP88coYt%B_pf<3apQ#`GZo%y_j`5X$L<u`H#x`TzuC(7;l|0$H+~;Bv@u(@{I*T; zx)&?Jvttu;Cfv^3?fdnE0hivS$e^n;l63dXaF?0A{Nsu2*gEB#%Fi|_-aYN&)wSe# zN92)<P5pnS38{YG_smY6TTEv|&bH`C<+yb77pB}UI^PSA)~l|2EzBx<-B!8^>4umx z!4qe!`hRS#E<EqM_ifa9SCt1EO#go+m@n&l*V>WxE->xciXF0<?%Y~oOVyOpK=%fG zbrIa?#I487&gXJ{UF^p*#^+bWX-!&~6r<f6vi6ABX4}rg;Nxf33*UVc_HECfPp7B; zSo9lm{ETJMl2<R2?p?oAe^eqpFHa9NMrLYzT;s@|U$0hAdv85y_qJ4#31^mip1aX+ zhPhtpK`YBXiwRxt>nxjJuY32V^`h~UHkA(_r+e;L<6Qh_Vf5*?lEZ7ii#fU;>DaO3 zel^p{rRoo6Y*zm=MaK5gjfh>zI{Aj_GcyF8m%V@SN$&22uzQnFzpCA6iM*Dn(x&9a zgtOr%%_d$vygF=c(0o=FmMPg?*&@0nHC6H_HyBQPo$=q5XH!)?i)wnT(zBeqyF`u7 zX58GAD%1Z)=Ym|u^rkL$IW1>+1@l3VY4vv1g_)NfVs5RRTF!bdLwe4E@9q8%YU<>U z{p(DccQx^ZMxy4;$|n=u3%*_rkDfPur)F-myq2s2qtFJ>VIjK%3l$M-1a_!3{@DKg z(JJrci$<qPf9LK{v#bgYXIlC4=8eC#MsmCNhR6C=KKZM(!o#v}{d)ah-`;ks&#&3k zw86>osB-^2$4bzFka0y9cqYSp9>ttzJZ`i`uYcdUph0b(w2E@EC)3WKtv|lE|K9N6 zUv|u;{cUAlEK~FD?s|A<XYr}24<003op4oSO+Y~Ai{MB9R066&UCr}-<w&Dv-U2he zI4P;!xy9ZqZCb=x|Dxr)fQGL2;m_;y4z<{C4|m+2b5lq>rl9fH?<XfGd-(c(ef9lp zQ&*jlOT^A+v$8+@c-)`-_0?6-T^-+TOnRoU*mN+kit=0ew87_e9?WHti`v7?fB&ER zd!BiL<`#{=<z}_5nQtFj=drEz&xxrMCM>Ai^Yia17STi{-k7E9y^i<EYOXN(5$wb6 zu>f)*gY<iNL@6*%S3JNYI^B|MeX)yC$h7^Ng}vq8y)WH(TX@6N1wvwC%Zw^PmGQFQ z8=f4On-t^Bw9d1ksVjRP_g*A3c5`lM@4CBU$AyC|H=LJdEpT%@s<Y&UwdM+o9}AaQ z3axNw5(#-FXto_mOS-^=De|CYXVn}9r~JP*bxrvaQ*zODTaU<RS&@)8m6vw3vBZEj zKwY;HuR^+arc5~EYoHJl6H{U4^02b|hg(%1a4_AMw`=_K$EN3ZaJu`9&mPjV&GV0K zNIb07dsb(~nfdne(cAMvUpqrOBBoV4^}>UKgw*`!^~{+g^X1i5?oG$H<=!@^_>jQD z#&+%Kmc#Ew<I|zjFguVsXoiM{5!-Skm6VjO?90Bk=HR=#yF+c(iM1a-cwvDz=wN_J z$DNiV_1Ef{;$=LJmhYc)ur&PoTkq+At&5h<JaE%^X2kbz`#1c1YyRQSr>QT>GWFLt zd_iPq@AVzmB^^PtF)kV>&YU@Nq*K_i=0`z~q_Nvh4W$+iHD$M+hR6jM9-Z1z_&DeF zT*2_%T&ZMnX{BXf-rbG<sWo3!U48ZUEf*HquYOy%r=R7OQ$v$i?2C_ah^9;dBh$>t z=Yspo^xy4Vxt+TrxLW+wsZ$>=*55n6h}C%FA8#Qk^Sqve&iRL)?5MQ(^VspomfZJ~ zoR0qd{PV_UZNc^XMEc)<dw1{^uXW6&^S9>vJT3qCy7}%5t6kzsvrJ3dD%WqwR9)e5 z40M3z#pV9<qYMQeF3i2X?bW}z*5yfeca=WfWbwpyXIA$9Fu$9XkmFr?T+ir6ZgMgG zdR0=pEbm~d$^!$?7KXM-ZHNF}@Q}l1dzySz>?N^WeU5Ak^;a7<cWAEPC)1yL-oAC` z`F%b1{X0Zem#Y5waoBf;@n6L`=g${cE=)SQDgEQ6&;C32%rCWAR<vEtx>BsV-lFaJ zu`eZ4JlAhY77I~%oC0miKT=$3Rpj}yzfQL{(xv3)_I&wAj~?YLHIS5)+;&MWa(BW( zCKoriRo~Yb1(j^;;?SN1JryQ%!X0rbsa2<PQdqWeNIh!5F=fpRG2N($X}4djnK@;O z$Z6xNsqSH^R?=oU0ZToKBsT|d$=`KE-QGU_sC~u-h4nRg5?iuCBUVz+9rTgB?8j18 zGrP&zeRJX6t8-taEMJ)S?`?$9u^x$r+4*~&Q<q4rfB(I<)m>hqd+Gm}*Oz9>-&0#r zv+1|Nt}VQ-|K$vMzuu@gvM<f?=$t^MM=c$a&h30he|>!&>ekj1BX-cWs;5I^6KJ)R zU57(ro$iD!1&dlGBA35)b9Gg;wY?kWrtEa~$H&K~Z{}TJC!3U<yzuwIH#axC_tw<? zd^+8*_?gf0l#UtRQ&spr`f}^a75?Bk^cK`B|E-*YwDg!kM0C2syQ#4f#m@RIY`f!s z9&LR8J?Tvc<Bre!+_gBgChgr+@lfZ_%k$>J0fiIWZBd%Hc6yJdR_{u@IwkA~_g#Kh zmT9`t+Z<eaHzprnwT35|v;UmN#n)%on~G`&x^|1~+H*qZSjXCCcK%1FwAX_!BsI*x zXT!qIu6@vTQ{tJWE`2tP#XI?{msw2G%e4gECGI|Pi7X;tWF#=nE|YU~3sd|RcW+^% z?aH6umInnJ{5n==F?VL4>-sgvw$@9vx%Eoj<av8<YR7^H0<#wc1qC(fN?%WWI49G? zy3%Rw?nUMCXX3Xoy|pfB>M#I}(T3?%^WCret9q}hTzcQXPsKK0k1WpW|M=bS$d%*s zkL@hBtoYUGzOnT4ZVn!<W0P98UwnT{@l@Qa&c_kIui0-by}Y|3@22#Ry8CjV9z7Q~ z_lM`R^A4~1>3va0=gza_R7tH%$xr8>o_Bcm{~E@dk?Df9j@oBt2=`03^=pYIpIvEs zNA~~UXW}lV*-g^Fx8z%>+oYr~UXY~o{o>?W6>Vi*U0z`|pMXf^Pf^@@N=6dKURz!m zWL?p?DxdoM+uIj6H!s(1K5}P5*f-&cF6lERj2*V<>h9{8@cB`<{;HxSa<)}dJ|C_T zDb@UWaa#{>##7tXJ)-=TED~+f!V4BWI@a!f9X^@kalm82h7~NE<8Sv$|1Nh9shDv4 z;Ln23)fG?nUDVLk4LzIjcwP<P+S|vXj(wcZ|F2iGUcUdw^m{>%j;*(sKUDkJZNcAG z@rO&V-*>Hx)3f{i#91fKKv*f`&d=@b7d94$$K2V=E_Sw_Y4*M7=HK$a*!`CMYrB2y zKW|-~uR-M@3)N|BZf;;!TzGcEpVR&oRnHb%{CUh6W1eC$tKEH(_jT`&Zij^aO!>mh z#`kZtmUGrE6NjG}sw<v6op(5y|8DEQ>wE{}^L7hAKbM+(@9|`XfBLZ-#jf-J?!G-Y z_}9yr950&&%kSUZgzeLoU-`<=+td}hv!6p^``xnHuSAo?V%D6hef0S8#)5}Vs*6DL zbIxr%8+&8T(koBYb#`{Hy5s(DTAj|Na@Qjg8*{fTZI%70``5c<{`6gaCF^?TTV8H^ zYFAo&sbaq|=#E9U&|8R&1dVJ;A8wXw{!r6oKK!dZTY*1o|JszPZ|?16_V=k}INtYX z5l<(FVdgKHm<yXqEe{(lsyJ!9dE=|SZ#&+8_`TyrCjb3jr17kd8HM+EJpA$VLx05X zm&RN6Z&(~v{^G%R3FAff|2`bg{&=Ws>xZwOgWs>O&1OyW{cRmrTfJG=+chUIVmnuN zd}QxJ<-E|>DK4M(CjaYUzF*sX{r_Ik>tA-S3vzUA5zbum`%ZWMf#cW1*Is_=d$&5K zQFOK0sxuPhyUf((4m_Q^_`>^5Veg)7JN;`FtLW-UVw)2du}*JfW?v;Vr{C_E$I;X| zFO-=&zeH>5=p1=;wEMJa-_+l(GbS9{WOdv1R4r)tM(FA=MRW7;yV^--HYOj>N$R!~ zKK7>Fc-fm7N98hmwC&H`IGrlbXZ7q8i^hr_e*`Z&B69u;$;PMe<sCv?IP_k}#I>xL zIkhcXS5j3wK6=@&Wg_CDng^4Ay-5cR!yi7ryvD6X@9o5`xBtCo-qMvB?HKU$?G3@( z)k2}aR)+LQ-C_^_`m#SgzT&Rf3w8P2W6b4uTEg%Ba+oS}Qnl$+`|Nvb4R)qq-5+=8 zj%0trE8)+D_m5;wYx43eGz1N;seC^6%IMdvf-TwGK7Vfyo-l1<FQ@tL`3d2hJznQ; z<<PqHAas-S7u87BNv26x<x_utdb;Y5d)2ZIi%q4k!=l4F>vX^0FFLJjQSxGfkatRy zzn>qUlao_UQkPi4w|93}FJn1*MQ-Q*(A8m}efU`=(V_XGi{;NPTKZV<zs53)Zlkx? zz`G+aJl=~m($>V{mp=daq0so`i2ds_Bt>5}$=!N>Y3H0AohSogrMMHSi%!`J*U2kt zhoAbWJmYVFr^VcfZSBi#SDvcacXRLNIF_lg^J{x{=Sw#UE<SeCMY8y0|G5b-OGD># z^_IO=eh{@f=<?=`KQ}keuzSbV(!MMHaq{&=Kkf6+_-4jl_5bC{q!Iwy6KyAo<fKNH zZ?b-e+s;oeJiXxbg)9HRPZA5?r;`2T!M|DwgNzJT^V0mO-1@JYuFBUes+xI1<7u@! z|Iv8)IZgZRe#|%(vvSRo<C2nEVZohR!XdNVU6M{}=im0Ki}@*|_4zH@@O?6e-fLr4 z(do8QR&GpJg%&Ib(pAy}FJpnEpYJLk9?n~AF`<U-FndY)PX?EOO4~%uWoIf@HGP;+ zt;b$3Yt6HAzijwT^@WLN4L{BcJaNY3@ay+EuA9@&hSq%5Tv7AwWEf~PVwL8*57Bwy zzh|>fZEE^_%3rWPZ0~<gtFnDd?pUhS?P0yEw_8SV;zhlBJL&Q_?%Qt^#MFmIPyc@P zuFR4JkMh*r>OCJob^stbj$ds<-En=3N-O^B-!=cI-n;4~=J@p9?f*TM=l3?9u8Ivk zXPe1XeSPh9WebZC)559Vv(8SNGVQ_DtFy0Kq`q8g^!1?ioS;veOCMK(hPge2BX_3g zznuT+XJ7vni)Yi;-iqMT`t)p;xv;@+dHzSQ?3h11%6@<2n2!9<uj;1XB7d!ak+yYR zncA)S{N;Ze#LhAsW;OioeOwm0%x%BMiXDeFFS-kDP;+o>^+#GcUt!T`db|G6%;Hvw zKka&Y(kt^sW>1^e<-W|e^Y;51hhDvN9`>!Qo0mInvi!HTj2kpKx#Z^SE1l)5E*=KW z*zm~OapnK}%&JniN%Z;W&j$4u?XR}Xw~wo~eJ5GV9MmU&^i;Y1v7>kOf<M;({JA{K z>-^Ha>yBvW?_2NEH))cPPNW4lr@mdY=l>bazvbuUX)FJFFn8*XMdk52RjHzj7Da0* zKKXd^`@@^!_c!#~PJ6b~Xzq)>_4_-Qi?2O!!mxaC;9|EEC(j)^Qtke*`+wb{W7^)E zqb0d(-@MSz+EeWFx=gQH?a_^GvF!qKmsl3F|Fu)>oc<WpXtD{8Qfd69<lwk;pGUDg zBx!-j3<sw7HJ^-w{GUJchfEgpzUcgVO=*RUU5UV4{g-{9nX2`B_i!vXzI<^<Ve+5C z2VZ|2f0JGixcq<W%|D+m_g6gGX9%k8OdtJN`8@t$x_o|Ua(}ASg$sg<3(n`7E7;qg z-?q@_$wcjcD<!vmXJTU7nDkX`&yPDYpy8m~zr+77oPVmV(&(My)i>XMEi`vt#&D8F zN%HcaAA6opjaQoW;@Re7zw>vM?pYg|TNk%(R>YQ9U#DNaq9mC9Oh2meo2=E&JC+&k zUEW?FFRqinXk;U>J+6A<>0d87`vL<#wgji}-1BN^>e@cf<}v)h{|{D7v&&Q;A6UQK z@Wrch9Ne5AcKyf}E=WzDoSl3+_MPSI$^CER)fWD46_hCRtu8OTo%?smf=Aoj-H&@R zh=lwQKw9!7F8HISUd!yg&#}_I){AOa7wC8GDO*@IdqL5YBbhaOeyj7m7Vh46H~Jc% z)caL}jcp<!W$*5E?$}`g>KJ~0e%?JHA)&YCd6Liv%q=K!?hl^dKbLgX-Sb6JqKV%A z8Ntz7)eKAaJiqum|9D^j_7%^z*Z$)F^dkM~Z2A6&7uIJ=d|!TU$BNP!?#Eww9h}K3 zDrmMX?`~Fc;lU=>7nhg!Uyg~}oYuR^7RR=bSic;>cxcCTLGkl*Cr+O}+#_kcq5ORu z=sMw>n^HSEIs!I&_UtZyzbxa)mgOg^d4mH!-rJo11YUB+`M_4DJpa^H;Cr4sk}0K1 zjIU<Dc(OZ>#`Wf-H^h%lmXp=|cys6b@D`R|55GZ{sdzrzS)&sssim}P$<n1)yBRi= zybJ=ZUn?}?5{ZhDG|!tO6dm){s7vM1><&%P1lGP&=_gN~d}XV<<;D3JUA|wB@3CmC z*zx`6#cEKZhLlh%gd30K`zKs}zJGy#e?-aa6GHt~2TKmm{{Fv&Y4<+cCh6ZX9sg{T z?-($BFTbg?B7+gM`NQw5k6;nfgrRdgUm~ba=^~@aIqCFFNu#Z{j)K0ow&kv_F-~95 zao<X8<NlPBLLBLHHwN9dt(51S0lF&E7-^GYoS%a7YnyBDf3WU+-dzy7{o4)&qa&v~ zE9!oz8y9UrS@pE?Is4m1g0omfPx5s3_a8sh%B?NR(39#YtmdOJ9dy{ukxt=>lO{ER zE^%h(lR0o@WpHR@PmGeCPW--@sYiR{Y^B)w<w9o4gr+Weao%Omgsr#w{!II)9i%1# z?mY?#2`MxkTjbg;ASt=B2DJ7*bzASn@YRo3uG)UOjaNEEb5hK)HzIFm>wP?V{`~QM zwZ9EACZtb)v-8E{w;%HY13vyxPHTWiwn~D0qpkhCL(gJQu8Mps8hcym!2R?4q<5am z<#-}4@^E(Z@d-7LO#apQ>Qu$wIh0%TJ;eQNlUL|pyDbc$AqUk(9%kJg+K-R-hc|WZ zT;FkX+M26OE!$L^+Rr&$Jmh)2Pd1mSV~y}c6)i2UmoHy#Gm`qePdj{_%kHwb0@Bjm zXJ?xy-`J3NV{<w`=%kzJ@pX}@2Q8GHkH~C3lHPMUc)s+pr&6Fqam?}p>H!R?sRy3L zx=+~g-aO{!R@17I%-&<Y(mPx7OBQ`&@$x?X;qAi5KVBtp{raA>_L!piqm9?(RxrOe z;nKSL_4fuLt|xo{{r$bzd%E5XyV|PO9FfAScfwun8BhBy(aHzzCT{BQ(BI_7B65;v z;z<XkJ08nUPYsXj+*kX1mC>RH4-!<rq@<*TXs8w`?BjZBch#`u$-OPEOd=saco4-3 z180arf!T6~=<hOz_Z`lBQCi5O_gaQ|{;^)qQ)fA*OqurR_Y&bB&yL@}usPVIE#|6N zqT;_ivDWn6*&-opHtCjp31jNmv)ol=X5QkX!asA*#7(>;eOKGHTRmvn<QX$oxJ-O| zd;8+_^Ygax2o?YAugqV(VKFO@?k<nYv!D$re_mZ&+<r=I;u_J_$F_mGUNNAq*WOhU zRo^Bbc_G!rz&f>U!8u!`o|iAjlKE$ke_LN4eCSl_+^9vbU3CRTE*$aQ^F7YI_%nCm zhvy+R<rblwn$7DndRJ^<c+dLi&_rog(bYxrf;uTB8&eiYY<5yn(N*)AWwJ8nWq*xY zQ|A|xiSn5itB(rnT6x?D-4FWM#sAmE+ORW`a&8}8|MhiuH_JWGdG|AEPJqf^?=z9c zeRf=rZ=~zKI+1_(_0fyJFUoOBfU@Fe@a|A(q7Be(G`3{Du~DDZdcVKp^KXGKgsK^5 zUk~$M`%m0s^G)ZI>Jv*;WNY<$4>rs*%sAIG<(GYj)SDHoQ%`w?mU#9<Hn&XJQ25wQ zbq45wV@5}RwoAR~sWO}P<j<)p{_~^o>Xfi`jm+$evahdOwZC&?;Tq7^mW^vVbdGIW z1nEJDJGb+NO6r2vFLs(fo2OS5f79Koan42PnKzQvS06;|yy6X72|uYhZ3fik4oskt zNY|rFZ|t-e-TiI(q2t%t=iYK(T>LxZ$IlHiCj@J<lNYa8BI0>6)1t<NSM{jsj%C%} zUtZo5s@rEX`PPRu@2gz`CLUWm>1$%?NfS9iP8Wp>PMvFv{SO${sZFj2-79*nGeJq! zK1fVu{c@j~O_`UMEp%?@o4~ex<;s;>%x;^)ii(N^goKiWgST8z{@$g3=|~vMw6L{N ziPzRdYGp55bx-S2bEVM4#geaAy1Rw--E02laR4+3VkeI9f&<f5rGg(nB5MjisO<Z# z$vgL!>q6(*9;S*N;eQ1ziXNHNS<Y)b?0&g0@_5Fdg|As(Tv1z7XZBo=PdQ=Ur^g0| zO<b5%9+ZHtFX)>gjmR$z>B1TsS_jSBFMTKtlJZM=@@SEnV1~SKW0~&k>aqvC0(UQ7 zF}5gD61JB=?XvoWLd-==sp;jNZTsi@?|!sdg@Hk0o~Mgr2y?|@U0Kj}dS#7EY@8;b zbIN%S&)tnQ0`D$lQN51g{=Yemf9=yB-B_7(<d}*3Y_W$KmyLSP=42N>x)k%R;#H)K zeW}z*&GZY~Ts3yZi}cBfyDI9;xLlRhc;#u{!Ud0t+@0Dz4&;H>9Jn2AMGhTP#R;?H zTjcxZ9BX`X*~6+z>a5c&B{eIawToses;!c&x%qf8)44~Ff|e{vf9Mh=7If*HlJ;{O ztL@z2_WC6|8ArxCQ%k0GrOcom#t$aEP~r@60&UFZMO2Flja7;TPg2Y#_02u%fBgKT z>%x&8eEf%41r<BE_#L}D5=^+i?c2C7^7*Git<N%-{GUHaN#ncZ_x#bJFr?ifPOqQl z?C?Acx@V{0%}!&^D^o$o-UvPUi|n1PN(CyW(VW`*mpxnYX~CgIL8_Zv+E|s9kdHc9 zHMKT;*UB|(c$RPeG;!wC?lYTrp0KF)>s0ssBemLS9(Qz`hT_s%Z!V2>C3#;Ta<fkT zwcw1c#{o;w;^KDgwTQ@J;Jh-yp)60a&inkq{LGye^X7G5`BLm*KfC?eStbEFsfG;? z99mdF`+OJ{I{tf=KmXV|W2qf@_W6W{7$hk*Ur@8+Si9IsK<dhpwkbRATn6RKEyAfb z_gos9y3FUAB_r)LGFMiZDZAY7x#HU#yG!SvJiaosCMD(R<C1TcDRZ?O>V@N5SbixR z91wT({rm9#5q`#h`#yaC?tEEo--pki8~4xulgDEpFaP7$j|0mKm4Ey&aZ~<ze!`!i zFt#ZRc~n)GYT(;I70}akNa@KueTTR@!=g`I0Ra&`X$Svt@aJ}&*udao&h1cA!LaBs z(*-4)3(7{hciwy~<Sv&E3b?tmSpCtXM;BIy>w{)88XFt)4)*v>Y5-jW{zyyR(H=2a z+`y9MqoBTCz$jAVcZ}ku&s-C$_i^0ZsZ=7OXue<KIk$Jgz7-O!t*R3~o;!L}RYUJ@ ztcj+sc5{b@(yABtd!_&CZTn%C#}Xeem|SyVNhd$kpPGmFkFY!X)`lkEnBZW~!u|ug zZEZ!TwPNELvy~qiKe5e#wPKYwCmdw@^78WW%q7>>L@v(hmoz@M%^fk(@-@!GgF{R= zs^#2VYwNT9zsz(D&&{)yE`D|<urM_(E$PvbP7hDdl?nzYXPz)kKGyTAZ1V4&7NDK? zbq*!qP4)<%9w_FFNL_J4{Ku9pTdGb<MeZumY~ztk6828XKN(}lrJ=Md=gtnn)6;aF zU0hmX+dsbDeqTvJ;eeu2k8*D2b5+phMD1fgfBy9HnJ6!~{>k1OHzHJxKxf#eg@1^7 zVhUPWd^XTH04Ym?7QKdp4lnui=~IZ>5zyH;vt}yExadIFt*vYk5)}IO{(iip#l=O3 zK0G{pI(1XXv7l?}kgYPMddfvhbQkYeTCpYf_BFKyC(^B$UF|^IWY*8!x_`B8`<LZd zrslx*H(CiBaBBs13CTmY9M9rS(&3(EkmzJN@o>bhqSLzD9~@|81}#I<4R$%w^z`&} zWi>Un#qRyb4mPt-El`NmOuD{mi+i^Xs8wD)b8|$`p&ky+T`8Vbo4>rdDZGB~w^^r} zCa&S%yZv0*%1+(l`QpWylYSbcoIiD{#4P7V!@*|u@DqN5(#M44Z#o-ARDF37_<Zv+ z#`DS!jz>SAn*usN8BxwNaPHt_+`J%3$JNlh(=@%S<AP%RzvKP#>sf@0;{`VBE)Gc0 zNfo^4+|vHyMTR${6Sw%|W4*y4N7tX7ZGO8&N-$YSSUC6lDZ9VFzi&^yapHA+{a?}R zvE{OEJrWDAby@^VNB7x<eT+RKtNUTbQDyh{?$z^L8=AV(=c+G8+Fk?-w*qA*H^-&B z^goB44cuCBajCbs&wRVRPG(&TCae)kmh9e>en#u$i4y_78LlrjWHo4iUl+CY)U>@y zOs7vx)xKIK7~aFwwX^5Ms+bcu7B5lTHm7h++Ojt@AMu=;mp!?u>-jugWo1yB09gg; z%oG%Y&yz_^D!Ho@k`d_Atx^5`UFhkrTjY|zd8MSJ?2OagbZ$myQ0UHnF^OH}@8i}T z@3?mwc9zS+b(Ymfbs{8|^Mt0Ku9*UA)NSi|)b}juOhn<w<L&QE4L}F0)iB(d2b;hK zZ?5(@P|Ya;X{Nbt%e~EZ(!nsTmBVmusnXf_-lg_wi4QI;bbjhpv_)W{anPCdy~`8x zLb64&Zf(g7UU$Ylef0}Y%cSEm|B`zG>-AK<zuhQ07M%2D{VpEG^f&&q-+}fsSI<X2 zq7>}qf@G$sfR%4f@A-E~KT_>j+SX%%$BgFPu#ogHpLf+avNuxsc)xsjZ{kxaivk7E znT*GzPyb!+H+R(q?;}6v-AUHDD7eu5m^C{)`|3{1OWm%2WG#zSKpTT*?UQPiE8ah8 z){RN`w9oD6ku(bZy1ww(y)7^Qf%*ymC5@O6;SCOTb5(_=E)Idh!oq2SCrsq-8iW#m ze#?}JC!;Q0T<To3zp`n<9`!{X-$L!Ce>`M#)jelh{{4H?HY#0idNS#rj-{pLtNhL9 zO*g9gmd`Ded~EjndC%XB%bP*<%$m<BCdl=viUU)g%K@9G$9rSeUkgqC=DxLiX9LTf z2@I^F<~Am~kPmJFwHGB>Y(fJJ`%Av{Tuu^E37E&gDrzT)W}eCg2Ns*a0K;jYB)q3d zaTsn_WyE$447d>;D*VC4u{GU~>7Em4NM(-6W5iSeB<d_dCsn-jcp<=f0~EV=SL{GK zR}WIa%6K<4btP9`lH$AqI>6+s=j>|uGB0ROZvb5nZ^x(dU>_)6-ru}fj2<uQ0W%jQ z<-E~SY-?#rxVXsm#hsnSy-L$A9z8u>fBT+v$bbjG5%ff}%bU~vL1zx{t^R&&hGDY8 z<*KmtaiB>)B?E&EZq?UIKmPgoc`D~e_jOB_sQApYk+ih5G`kF%x2iLHlKMp5X7#Qw zw;mpDkN&0mT(9tU@wK9DyUX8)z5f38c01^Ng83aC9b9@GyKinzPd+!t(zLE^&7+CW zozAIRS=}nLtD1YnBLAMvnK_o1pLPG3F>TtinziqrpO|@`ja4-L($ZWszX`2qYM8n} zDc<U7_tuZzTg8Nr^-6~;Gal6O3MrR(rhm_L?|!S_-|E)w-;kZ?eq2BP(bkNGM?2r$ z-5vfTDF3}(Uxa#k=+qgBcNS|Y9+D{z-FG!*?TwY4{of2WTJi7n-+zB&vit0cpt&6> z=j+z(YHR9RJ&)%v(!vRlYd%ykr8&B8;xo&;lQA<hVDcMQ#_-Gfcc1CsV`OA}x+(P4 zXM;15^2c&`lG~aWw>I565-~G>>djR;(TmkKUQy9@`?F$Y|JA|`rz$4y*p_#9Ri3O- zN{8>0RV&wDef!pHesDnH(#s3<k<;N-p^8r@)kAOXS{*kjOyBO45@R)o1nbmO|KjU& zmoH=L+#8?L8>#WD`}>uZ!5?oV_baNZF1;h3k{i7L{_61cMX54L+Gb{ApaG%NTlG#F z*4^Bu6>l`{^NHu?cT0rremr1~p5_<oG<o9YojMw7YRA<4B!6c9-=Z%mDcRB89lWf^ zF!Q0qsjjd!5erZCu1T8qyCiec&NE)q!!qsHCK;v|X2gGgcQ>2+e8zE&3>P=ITVC5r zzV%Hk-(UB);N2a|)1PFj=LN1d-L!wsd*yzcB;j{U{<zOekF`rXqvGY^abSt(WSdoY z+;;>7tb8kc1m${oP>xv8$I{c}Rl3O0msPa-SK5qRsiUIB$69v2IT5ojNrdss^!mT^ z5-$BUK2tc$IRA^?!i5V(j|d1#^xWhROX}LFb7BVXr1|sL?|aiNvE=XNL(SVV)0S1= z%n)?FeIeB??9aFN_wzsB)>?hyRZNdhN!o;s_MJETKIXXaT>2XbDyA21@9f*Ssm|E# z<Iz7BvyU!#C0AZOZ|AF=9haYrgxq<#<%Kq4l@us<Hn@Wh6ubIWd*#XnNqIThEX!G0 zlEu8I>%~raut0j*tkW)Q{utM76#sqf_tUMRlHQ@!S@Ns3x;LIunfUw2x(>l(lN6G9 zK;^e@uC{Q@6T8g{393b*X~9OWXL{q;o;x$gGNn%Udq+WXj$ZcF+ivESre;h2zF6F^ zHKiwX`p+jP>H|zQql=#G<4x^u@^YT|d>?W$QgL94^IxFW)U{XDMrxI9t?`r7Wsqa@ zIFgq&`v@CxX@m)veX-lM<{7BoQo9l{b*%({%qICKo3dU+&E2si^2P71KN3`xGC!X9 zlX~CljlsH=YubHMFPAP^<N95|(Ei4;Hv*scg*{KvTPsvOYx7P0o$+2XmMl4DvC#8( z!2C$%Z#KpAzVy#)>QbMpmW<wF&}MozN#2(2de)YlQdP%i2UZKKrqrfBYWR1_F6)Yh zY3Ol{JrTW6O>YbR-&(LBH$t|DL*n(dwc6L)k7`(ewlrKiw&U17<*K_YgO}@6FZ19R z`8>T=yYbWxx9Q(KuJsnmYzjRuS($%wlIp7Z9^2$OTX|Q{Q{Khiz46X6qy0U}>r9!A z-@n@ACKLK8_%e^y_FwH$ots5MY#xe8GNVOtw2*=MQq$=@FBW83Rb9LHb!sPbkX-VY zqw{pwH#a1o7tdL(|NB{;;#5v&x8Ii@yQJUBICwnfRnoTLn@gk;^=8V2_GVpE>b|(l zmT8)uzwU&Rx3@&u`Q^57dW9)X*%|Je%A48ys7tJLmDJ@oFX|0U&n_tX@{c#Mzsbuv z;Q2hX_*7w7u~MU3Tz}if3HrBIhppY@yL}>miKKU`uj^WeTbIojtMO*8-8Un9zsh8% z`kChG?lU%LeVLwj_sZ2fFRrap%uW5+-LO#lfY;7R8|}O9sasn|Z@h9gs`~r8+|vpx z*Rh<qGa+nKUeDgxEgu%;&T3Uy-L~h~nx3Ve$9zPz&plhVX{zaxtEo}PmhHG|x}@}p zy;HS|x%<24T-!8O>^P)(0p%2BAqB?uDxh2JnY!4LOw)E)MTA{WJ@dWeg+cAFk`o;% zpI^i#^Yj00y?^KWVT(yOGQ9WQkk&8u?5r&635=QGBV2r~?Z&2850mD7OO^@OIRo0S z9r{c;`I@So?CNj+-{meo?mKHJvDpK(C)&yAUF6Lz^PZlbe*4gRAC}7>OWxer7_)P7 z=o-*yYNSro4nzMWqiH@fe_!*NX~dd+eO>6;rruQVtG4!Af9~0JY0<44C10yGR_yr2 zdC?wJ;)7c|5Jtahg6fKjoDF#*5w+7!?GO~(m8In1*xJv6no1ZrO$0z~MwWfEa`$eO z@5r9ll3nfUcy#Oe_Iqgcd4)maEH16kUj1t`&MY;M>p7pfurk?RVEMr>35P{OY<?6- zN}-zFkS)Ao<$_1IE?%$}`k)6on(Or*NnJEG+=2@hJaTGx4EI>j20EESKw>)bX>{Pi zYB6X<gNWxX#l~Oi4vtIz%`A3@coIPdfR4^^RABtB>fm^^_I%$wG(&b+G75%NOl08P zq2Jij!eXMOt*u>rU*Lr$s&ilq)vtg~1N(Y@jy3YQgo#08`n*cE%gg=4<F@497K^X@ zsT#E{C-Y@!R+iSfeZR6~tjpHidH(nJ_v6pb&K8uBS#$6Iv)TC<*2mkQnPtlT|HpBA z8L@{ui=P|h+%N!b;{Wkx^Z5<6zssKPe)IpyWdBvQY&;SVHvDZlduM0y)wt>Lb(O5U z%kNdHgRYDNoi6s__zCB{DO;_}-mI9i-qLLK-&Nc`-y|%HRPy$GblZCW*Vos%`?~)Y zK0cQC?99w*8$;4(&j$sALntV4ej+6fp$}S2pO!|iGrwOG{8x<eVY__YhP~UGHfCN{ z`|28dUpf9t_}ZvecDV|N+Ro|vUzpkXN^VUr7tDTkc6R%Z9~EDJFP$Fe6ci-%ZtwTF zoSU1L1{tm}V_*G!(vK|>DO(o1PS6ZqrXlDYrysK;pws5rSGPPRpI%90w(M(bG@s{} z{tgvwuFT)8d-L<t>G5G_K(R8RVKHcS(<JZ~a(}5Hk}2#_r)~U;r~AD1cDi(`P3>e) zJNRH>yWFAJ^1DwboZ-;*IdLy+QHyfp?4!z?bBnJX*IvJ;NqhaCOGbVV)xtw1t;2px z8h3p8XQg~>#!;m+_J6+w->LikHf!e1X>)S@{Q8`YD`Za2+UC)~atE}W_`98b71H6^ zDhcY0KR?wT<~4t?^?IE4_ow@<99`2>RMgZ~&3(7yG2hgci|+gT`Gu+K#@GMddMZiq zqVsf)ms@xFxSi?_TNBZ^|Ibr>t<|nBQYZU1?sA_lb#mUujT<jWDk@3&%(2)QBiS1_ zd*Z~#jt&l+uUCRsopF7)`~AM(phE_7?(7JBt12lCI=G=jQ2EO9+TY)fUiP<NTO;uB zaD-E`PWh#cC#om)F4~;3M8q@wm51hqrPJfO<ZP=}oG;zkdqm-BfY9a*t98t*`!`)m zjruX^j3nsbMU@9RpfOk98Q&0t{tYZKO%ADtIypF$azduLCZ4geEPUj$vxhy|t;JB< z`JVX4LmB}wg-1or6rGN+ot%?#ch^=Svyy9_9Y#g-JH(Yv=|peiDViV2F)5;CXa9k% zQQG^uDy}T^l|H&Za&y{=Q>PC7`};feW^ac)=u+oX?<7nzCTMj}Tq$Z)>vm*D*xIPj z58jV18hZ0sML$2tE+10e5wWP!i|1I5l<pM|o6w_bWpB;~7}`a=nf^%V|CtvooE4yx zj^ax1@JvJWaraDb2+iyaoUvk!W^SmeL6nQ2<G~w$Lb}<Nygbs};^OA5{h90G(UE1O zJ>8^GG||cY#MMZJw8CdUpU*$Odi}mtEZlebUDA^~7?h`X$huwB(9`3)dGlt)qfYfH z2}-@$s?ILon+&-;t&X+J*G1f%{!cTkE_0(Zw@J_|<>~v}|6P-Dxp1*s@+e>Cs?~p| z)~cEn8{fWVvvlg$jFr8*_rOPreiK-*Ac<df9dc`;pc>qin413f*49-!vr@xTYaM!& z8qYnO@JaIJ>`&MC{|ilRd|{*@=#;YG{9c7~ZC;LAw$8?jN}U{nN_82IZffkE8cBQn zzh_iFY!$x}HTS3Dq0SCPrCS~|C&WZ;5qRjn{_0I-rJ9W0kJhi5YP68w{?7$7+v5>l z%KyBsb*EhSSlJ&~VC468BF_x{eLt8ctNAu{ctn+4w3A3KJ`%FzRn`6-f0@rPcr>uY zfQ|~BZY^Ymd{p2A9i~r9tABiWc&euK@uc3p!CKiJT1&MrwY#`9Md$Ag{i-?9``d(# z$0mgq-7USoa^mT#Gn-5TP5pR`el7Vu<HaQLo$5L|Jh!*y9)5JR`)Y~I%lS&*&Sa~~ zeRQpw<?*ub%|c_}BkCUmUBq7gT=aW~$Fm+ov&9NZkGj&9e!t{%WZpTgi-)G%n8YJ3 z7?kqn({cIwH4!JQIwNyBWp{qLkuDpZsyyp$Eni8$RHT0T0XeO2lO9_MDKzd9Sg;^S zylT&MMB)Ku{s|4Xm&@-M=s^13U%eWdy!JkNmWJGlS?6(JMrz_0|L-P+uJf~BPcD2k z!AE`7nsT4qx1a4m710MVP!;!diKIHVI;G(-#~=IMe>+|<n1yLDFfcH9y85}Sb4q9e E01#I1DF6Tf delta 96376 zcmcb-hO>DJyJ}~EpF1y?6c+;n1FxrtOArGClQIJX(^3vL1_lPn!*5C$7$W9QG&0x9 z%}>cp%S=sCHFi-oGBPqSGS)RP)ip2<F*H&&b~H2v5r%r^7KTw1la=Zt3=LI{om7ns zd@}P&D^!gPDlE+6%}gL-MP(qx29|mTnyN+y?y1Sy`Km^4Mh1ok28ITPszwHGnMJ8- z`4y^0Zia?NdIqXSMyf^zsU?Z&5X<A8ybOE|d?WobGkr^R-7F*H{fZ%K%2JDpGxPIQ z6(No^)HBjEfyk6p7NkOSr=*r;CZ|qzWvmr5GB7uSNT*anBn>T14L09k+{8Y4fvo7} zY1}+aZ2qMs1*IjE?^Vp7yi;FgvVxn{<nsaoOeO}C9}4W;JdfXzaq?rn-J53#I<qkv zY~Cos#5j2ZFZ<-y=<}2Ri-|CrO%BjW6f!n~`T}Z?iNRz8)svg=ii<EYnOK07O#Uw> z%w%c?5@s}-e34mc@_H?+$#T)`lSM_%CM$61OkU;AH#x&pa`Ju&#mV#d*(bYee-|<} zL^apg2xOL!sTr!Uk@;i;RgcL9{2Y_>%40EHV`e_NP}g*FftVzdq50&C0^*Y^boD@7 zW<v{u$!E)*L2N-IV>Cl27wSHl>>$s%*-CmY)8qv<sl3klc`2DCpcpVRFq~W%E;(7+ zhktTF+M3A^)OaRq%YWRgqrlC?WDN1KoT>nb2?~f(Wj979GqB?)gM`hDCL5^Uo*d^~ zf?}o-nwe8U`U4CmPUg^1!f=2whGLKf29q}TXf<+zG%mE?wfUsUbVfE4V@o}A^T~la ziIWXH`C(i`)#H;Fo6neBVIekoPQL79g%A+UGuhuo5X$D8+~>j#miIA|1k-!GWx(_s zYoW~!u3C(n7uY+qfJMkNp@5YF&036`O?=%bv0jUDGOx*=&1a*gGfqx0V_~u|ga?wT zsimHU;bcSAnUf7fSSQb@iL9TsWy>rE1_cIB7srr_xHqxv6~U?Ec1GN?TLMmHNTyEP z`+d^VhD|BWi#jwkl=gEpDJ|$&w4i}ogk9kQ1B(kw<f&zEcvD5K7VN$d`|SVT<@cm6 zZSlRO;(Muhp1S|7C$r!0-T8fQy4@!S&Q1=drUnHC4lp&rox?^cMWug2{q#&$Mn?w$ z0TvcU#|A+GBPI1Fm?8y^Cz?!7Zl6TzX2w7ifYi10oUl~j_|xs+<;7)bX&EWoU-b0U z#ozDu`zyQmnN+^J^To8%=q1FSRsjK{B*lc(h}Vh*KR=~rU0o&W>h2!AG3n@vs;{q1 z3Lm-DOIekyxY#|zAd#uHz5Vj1r>A@0C9i9nsujA3-~P{q2UXwS-gdA3^<`oHzMpOP zzpAd@_bh6Dk22U7O9cgtk}_LTYr2&SetpSY6~11Mm6g>{^5%v_=Dj~2b#EzuAD4A+ z&(6Tl{j%26^6u@Ksh+YVaIu?7_BEYX7Z<z#igH|2|KaAQ)YBSzdVO_&zlJxb90`bD zY^Kx80t%B2iYzTXH!d8Uu=EKJV{gxqW5*`#-fhj#^!7yd`n_%nk{xmL&2pvwemKl8 zA}Hvn>NO?d?8zmblV>zkR8*wQ_%Ss+ZlV6ZA4=)mMjORVEb{k$6}!4N+I(+%L4m>9 zdA76f)qM8Ns=u?tu=e-c?QADI7VcB?(?1K2jT6NzEj>?uDo$X1!qV8vEpD`NhFz`I z%SjUtwQ^s6c6Rp7CyZx3E-&+axqkn@MUBktm(I<#UKzDDOGHxA^ZUEIkM}E<zrUCI zh$(4P$;+UBYaAMx{+#kM%f01t-sZDUo7&c_tBdaLE`Od9%~t=rqN*y*%k7L=Cyxp! zR2}4$6jD_94^Cg{>(0QEd}fB>m2J7vzh13gU%}AZld;&++WPXTsoE>U*T?<2c(9p$ zW$o{8Z>BS<2b!C2=MjE>#D}^4`id1Rc#<0hrfLQs`*Jq*lJkj<n+v8ivM@Rxa05lz zVs^J_Uk#Yb-`!a#Sg-7M;p*ye&M3hj9T|&OOE%WCo{_!Kw<Yhc)!wt;-rlxMI(q;9 zd^Uc$Gin0*`s=^EFLrWUQ`*zg6TzK1(_KJ-<r5d9qH<;Ho-^4dOuxUsPygR{ak2a5 z4T*=1zFht;S^52LdFJhHxop0w*Vn}^{{Q!Vy@-H-L;ATnp7O81UXNF=|B<;SYU`;N zrMY)@TzpWKb$y+0N0Z@n4oO4tNk)AN3LH1In4H{lzMeR-OQP|-{r@?2zg{la$$$4N zMMX`mO)F%DL;atR?4@sROgwARu_g1;5>8Rws423+;yMur_9@Rg@Wi<Ina{Nq2M&r# zP5brrb$X?UPVO>KU*FW{(em>0*VyX6zPV}a|7pRAl^USXZ-AxgKQhW6-fTW^WccIF z=JT6m4hNX<ZqZOssQ>p<U6|*IASVw`%JL9<dwa8+C9kjP*4}ApVJUNSjaIR%ez|n| zjVY6-Gxc&f)s(uLCu!(kS1aZQ<^2RdmX@9w!oHHHb2z@dzTPhz;OJ5B0#51zEHcuJ zipqVpHE)Z`Lsy4A6^M2@(*Z6j6gVvGn4H{X{!84x$|tDGEH8MMC82C9W8@lWIdPJM zL()*v=w*T?OVqZUlYL8dqqc0gB4V@-D$lE=kaC2L->WVrXu03q7jw(+J$xZ92q|+K z>;JSiOqlqmbWd*4_7%*Rm-$LhUiIeI6StH=XklgP<Z!~HFJZFZGbe_=RrUY>n(Ibx zIuhf2$^xpOtgT^!ptW^E-<FsZ=eHLYGB2+X7X+1N4gx3kb8twW+<)Bee0ki?qSSRu zqE`kjwTbW60hMDcjE)NL1?mNiCY9fK{(9#8>UWl9VN;i+{rqJz;Vd}34sdWtP82@) zietfnJKOKqd1qf=xAoT@qlHj^y;W35Ir6Qq^YD6(*86|{{ylm7_U(t?wWLL$mdi0J zD&I_2(LK{~U`^y^4NJ?J+*c8WaMH4g#Rpb#)E_x=B;f0-tHvRVw!TtIF@gjO<7{UK z6J@h<51DeuW;R}>kjJtX1q&YM1(m6RQ;9-?5tEafPn(a`6PCuiyUQ<cN<E$N@zGJs zmwL6Z_>^E&ROYKG$j!`--Bq$sGk96R^K)}^Gb1;NLG6%sa5&LX&nv^?9)IZJ;r7fk zGYm^!Tu^kszv_)Yq_|gL2ZbMF#-*bsEWf_L_jhjNNvs!yMjfN0gFK_6a*<zzNTc_3 zy}<A9?!J6<v|DVhJDWHp1UAHj%Fp*3H+N6%mon{&+LGa@zvn|!?3!>fO{h0&>seZQ zBIG~U7$@iC=$K|+YFQVvb5i~{9cfXB83wY9iptB9)ogoQ7$U=hgM%}lotf$OZ9*C} z_J0a8Dk}f{xFS09ylK`I1(Bo9*FxbAR4`^~={d18QILgC#=_zM@B9BV{{Q<cCK=Br z%3{J2SM^ervEErgfaQ%u<NE#oX0`O(_{g~B>C*jwKAjF(A7^_`UrC80LWps~#LCal zB8#{rqXh(5bXpkXqFQ?*IASEHoj7{5_0ZwNCvV=2d?3K0)73CRu=|j9GdGi%tHX&7 z*N12CH~;^3JAX^kQLd=XX}<s1oXYY=K^|sJo9QC3frH7(tzL&|H4hidgxs$$m(O3O zKCi-wL6AkK&mnHIU<QNt6b(l|KflO#U*Fx`y+-%Tw{P1l)QlPx67)GZBsWf1PECKk zr~bd4s9wyBcXxNEr)y7tdP|$B($nqSe0%>7{l7k+x8JO)ydt9Z;UU&p#_4{`0``Bs z7G3i9SLv^Z?eZ@sy33uczo4h0((*U?+&tUU_s`F>o&D<i`uX?x7JhN<7TbE=S@ZR| zc2F8<XTK{Iea!XeY5o0ACd3Ik9+2XAF<DUM_UpU5&Hw&*+#j+sNVQw<;q&?R%VKwz zT?~(}J^K00ot=}X>BsvWJa{l<fdgaNBe!{Tm0Y_n+}N1BGIVvANzRQ8KG*BN9Fxw! z(9F*NVzR%T;f=H-9fBdd%W|v!{d~?jYucry-k)s*YOZb1kAHP@^K#ekI?dcn&s-c% zbXZ=Tvhk8&#n-FhE7Q)-s(C0X$g-xf!A|n$@AvyN4>qxaDv)k}`^rx#tAdtt?fvnn zd(B!2^E?^fStgyv{Kt<U4~~eC*qU>*e$wRsUte5oes+HTc@|amqGx9$<?0W&@rLZF z_}JdIL5=bB$y-bN6(&eBIk`Q#vw?%@>Z;Ji`~UswUKO@BYvRY$08lQdHENRncXqaU z=CK}0wu4qBF9bkUddxD0S*;%*9}oWg?Ceh6ExZbbv(0iBEm)vnW^VWSj4?|u3(J$F z`kR|lTWqXmFu#3%Ztm&7^}k-K-`ZDe-M?1<c%SUz>v7e+Hnz5!ii(Y|uC4XX-~ZQa zs#a*%Y(6QIj=VcN4%QzIxx6-d`xnIxY#G)U{KMIJBpej}N8EmTdb<2CzNwoWV_aAm zqum`&bgY$Ew2qP2`g|x;w5(9j@jw*Ei^&t8&#SKQ`}O7JVg1^V-@h-{3|_Y3**f3Z zW?RbMMybiYzP>*F5u-0V2fN+`1)d8P503qNGTC29E1)@G!Tk>p4{K^_cDnV;omD%T zbhJy5<9G|FFsN`}*e7dkXYzHDs&~fyeYGZ~uR^|kWq6ozdz)@1=WT=XkPwl^goZ0G ztamnjNw~vRFRJxnmI5oc*prPH#Ahi?5bat0J2vb%!#_P?b-yzgSR^Og|NRo2Eo(PZ zeZI0nipu=?4AXA>OP^nR%s*p?K^F(pH7AGZJr2<qSBL9giwjv7V|iFe;OW(c&g@R6 znJ0D@Kc69xb8}PczaNkJzkNTzU0IZOch}KmMW4IJkGE_4|NdG3Q}B<K0!QrqZMo9A zF*^)u<$m-$s5dt^S2Hts=wMQ0T=c}_pM2@;2kuOh`_!Vh=cV2k7Ep0!vX_`J_uJds z=|7~NyjZz>o{`4^BWpfQO-&JT@#zn<Ee<GIdAJB{U}JJ}Thq9y`8=b#?HrE)Mf+bb z7XO*jq3#|ly1Cg|;r3?6dX|!t948p&y;}J4g1E~{9yyyCo-AjK1!jag%&Y(ZxBQyL zmb|;O7DP54`2OVcd3*IIXLpvpm71L$wl>N&_0*Jy?-#Z<G_R?%`ej}I?t;5~tqUU~ z;~N+5wQPS}1%6)IQJDOWJ3Y9DZEd$&K@=-v)%(5Qjn>EpnmD)fr7l`DvusPfo1vkh zkJEzMizoVdWyB(z6cR+3oZNbvJf%`w8Rn@y+`GP2!6~*!-7_X-ciCI7r>CcjGq5st zD=+YNTQIk~uWwoT{aW+Gd))iwQa7`3bg1nRc=U|#Gp7mvhl4LJE#<D~RbukxKBLUe zFPHMUFE4&Z-ZJ0W)86GTvR2G8I;fsff4on&<ky$XZ}%@8s(*N+Yu^Xmi@HjkmpCun zYA|?t`q|mpGjkNG+~XhYXJTS5p182!!5Kb=PmGO!UT||vv3t1jhvO8^Pr9I9%G-tJ z_m33@wmRQ?dwaXQ)Rn7Or|$i`u<TsslZKyRn#z_|OuJH8qIiGkHi~37?J?)5zq*B6 zL95<i`++~7&fEXLV1B>m@Da%r20O_M?+)6tESqv%uDZw9Dk}a7hhzK%=9Ue~JYO2q zmWHj3T2t7ltmQFr`gHNhN?RB$e?IEgukidJd+>$<cV^<wA2L6^5~8Y}P7VK2{9|DT z(^}7>BBRM_wKq4X^SARa-pB4^{~@(exiYNj!^0CN>QC_aI;5Gbow#_u`6m0@4hIxZ za{Lkfpu&`28RPSzexXzA4Q;uTI});6Ga2nGTJ9;bi1wxJ+qZ99VaS^TQBm!%6rWPo z6c+I-pxzQ+xr+BTa~2b$rsXxxmSzhYR|GC*%jZ6~utM>Qn2Y4|mEV55XXu@ayt8ED zY364$CaHQatN%Uy06%M*)QniU`agwchaDy}UFP`Z_<7Qd87Ej|rTAQSHcUCJ&+_^3 za~7uglU9eX-=ygt?hxTnwxG9h88hFySqIcp9vo;~6L?X4f=EVUpS=CKeR2ls2kTv2 z1U9g<$h7qwV9fpc>gtw~mqEw&#_zBD6Vf1l$oup(-P8V(6^&o(mFMt_Gc8GNJ0)6{ zC?Hy~@iDu;|EFbh_iadi{AeBLyfYtCxU6?~C?xQ*d@^EQt@@q)zVMG`1uynlf-^FX z)FgQxNqw`&;lR6-97T)=KJqMK@|SyiYwPL4JZ_8Sj;UOx>NY1QmMg5*>8va~kW=cn zWbLubs`Jh{{8*5CNO)O?LPEVRi_Fv>g_Dvd`U(mKeDCk<+`Ls`Y9$NfYQ==@DJ=Is zt>6F8$~`|LQ0AG-+Yb*9=lpNoEb(U7g=rlreGEmSCfV0|ie(PiBx{5Od=O^Q>1<d$ zNzgk<Et#8XTT{c`iGnwhKC<u$b31+CB4Fvw$YsxA(cRkC_R0E0e@7xqf_Qtqm*lp* z^z`&bPk{}fp2ixk?LKN8GejA8D=BM-uX`fHP$2jE-rn7jjVJO9CdgYXI{5!cz^vzQ zem!r0ztGF=UW;3=)YJC2r{r>Z7!{Sx${bQGn;JMdZtzMvp0T|or);CA|8)2JeaUBe zCTSj=d@oQ%^Qqq59{;5~mfW5)qo`e|e$vNXYval_8|`;{AMF-f^x=leuIl&uylnp} zy#4#H{=MklI~p2FeOzJ{EnK2HAMRHl-17hQl#*pBre%f8ot^~k;n*pr?Q`zc(@*bD zht@uO7c^zRxFCxUsQt6D!?W7)K$*aXN+YJJ^_Q3VW?owp8RK$FjOAg&jt`3J2ifIo z66(3Vjk0(JyF6Tus0EkAc-{KFR^)5B(a}}^xiy#`M(<NmU3yP(xl-$jjERSyEIHx6 zaZ!c-oNw#w+^zR;8QpX!ne;1qZ*#+g&DH;frc`Sgz1*jyRdGE1$M1coGAFD#=I^<8 zbzJ$S|9*RW_rKRl3hH2TPTra8xjiXlQ;YD_qGBce`u?Q<`^2B`cV%I81~vCTI|*!v zXUUn>qo65p$oZkn%B6AJR98$4W;nXF?Cq^o@6(T@s|#G3s#JqKQfy-Ty_RfP!LsVn zV@G~D8z;SUt|!ml?DX1U@p1R;OKu{XIo7v2u2`3A>N#FLeLy=fGg~NF!*Q?urxVPs zVR>4--i4p^>ff%4(+k<y!~JtgSy;-+vTOOl>dtmiJ+_ktU6_^3J0?#KZc}c%Wq4w4 zQup-(H6>Li!*qKZ&Q211!ZXu6U+(SQ-PaEZJjwfUcXxU5tPB+=r$_7eOxW;3W!I9t zOVge5w9X#0<=V4OFJ@`Xl(dCAsw{&u`O`m@lqc%8?d3Mn4cu7YDN<@YF)2?qXv;5a zr@wEb*RjklUsXAML6+USkT5~9iGNxfR8MfcI=5gNuhVtjr4jAZL^2tQlq+sHT?^Iq z-SaOZf8S5F->Wi1tBQ@Me-UNL5oMgMqP%0Hyk*gmb*uI*3J{aY2@I2I`f^^r{*R&i z-x=0xKYcyn!o|_Il>4Ch%le`hx=-r^XPla@=a_1HcgnT{zL|DLcCj~Kab~P5Rn>Uw znUON*62sAprBAm{nlQ&Jbno4jX|Cm~)|oin^Qu;Qbb~`eN%_3pZyi=Pwq^M;M;Og( zm$aF?1<VxJygV_-Cw_sT>#lMe3rV3T4o*kpWp+>WF*J4*GVW>h+WV`?rRPNbHml@g zJk4A4du}#w5oCG8$T(YBxj^73fAHxgS^NrF*)F%9ZA?C1Bw=vLLYYxQPpM+pskL)< z$oL-Dcf0g+wPDE0J?yhXPia4T_;zW??o;!fpCqz8m|bN1NKAP06zlDpDms0Cw5Bd; zyK1|#?rIRzbY`W5j-CZ^llJeo|6QgiZBT#8Ny6J@Q!|GPbLq@w{`2Q8)a?4AXfAl^ zgn9nGIh>wpMwOpZ{8aNjJ{LYb#OhZvZRRzV-KM9$H#O{4NC-}0(Y*Wo++1%3m2X<Q zQCl8FS?rK-OxWd^79Onn^INP{o#Ldv*q%kj;fq&xm3yvQ6BoM2JMd$YtB<VS{q6oC z6CE4t7he3cYt@{T?B7*JhM5tIO)N$AX1xDc$iiS`d|6@@WAiSf6_1NPK63qb{KLnO z3ps_=0{;H`D#>MWdhrEW7JlKoyGp&=IhI9!xhk&WSyEp9y#HYVzf#Mi$`zfh?ZUo? z4jsC&rdw-w!G+^d1$UV<8xQdFUaZoHY}{k3v1fUvZ$Q1GbmXE!Uq->Ee$F7?12g6J zsqQGtyS=S<zq44%j0u5U%uTO_x_%whVd?d5X5*Dx)!l5Gm=m*m1OJTnEvA--ekxXP z$YsfC>v0JE`S<&M;kuk}TG`juJX}6w#flYQba(9BDX15B%T;gpUfJMV{k6*vYuLTy z+qqjzIo{Q7)sGXC>ubt(%=~0~<z3@KLm!_9<xK^Tu9coPv=rPJ&tjyk{6pp>S38g7 zq<uRtFZVAFjr-B0;3&_*?ZK?|-Ra%k-N*MQ&j=4FK6(4L^<MpR@>jZE-rlYc>JR;q z{o^^IilJ%t-yMaIe^`fHa^03OqgX-XPG@D}xz=YaQ%eia-q=y79A5u?u5GnhcF_fS z7X~R=n+pm20wKzIS67LGIwdhNF&38%eU2#DWia0tnz3vGtE70j2&-$I3WweCJsMls zCkabS&yKSY*t*m1|DR$r-R3<E+#*7*N{835RL`|2OlniRx;i{vcxs12f)10Jqnm(f zv+%8Jb3->XiT?C2U_9vRRUcl^c<88`wsc-b&w>vXRt0K3f6m_tP@6F+Wb>x!0s_hB z6O6a)783Gh3|H2$c%x>zK6YBvHBE2PJuzntHt{6-vhYk4yz@qI;`WS-O4md(n(k|_ zdYrZY^xEj{o7x^ukFWF0Z$7_ZV#MyU+_u~Y&ur`e)m%$>!R@oP^wpJxhRMh3FMyhO zXEM^#L*9J-{8{<OB8LwQ%)V?V1lH9Xyk5k@%E9O1|Nh?I$lZ-W+!q#od3CjWntuGe zgOPH-9k(WAL=^BgotM3~{B<@{QmfXX#csW)9+YtB@^na?;OJ=C$duc&@Dl%rvyLSb zz(G*y=QbfvHb>UyP8_S;*BuWIDA&umKANy8F8ssdBdsp+eDk=MPoBOg)Wk#R=g(=W zLP0J*Y?WnF3QH^$44ecf7o1DKv|yIH>1XZ9>8~et`Z^yFkZhdBc<zaT{e$f*IpyjK zE?@m);t-bb%VEbpwSuTtPWS6a7S*;duluv?>;C5b(s?g-$T?UuuGQeGJakg@vHj;W z^~Q4?qqr}(a&pY#T_gM2W$SCr;AJ073=|(0`(`(7+@NN0>=n0(nxLTI9eFje437o2 znU|J$R$XprV7PXnrKRNq^Q1hn>=|7SGaUL}U0ZuP`@@G125%WWvK<w~Ii6TbzPz$h z`0b62%Hq)*)~>toNnsV6eU^=3!wQG1oX>aa#qO&AkW?<ixomBF^U5Mi{;UVjeV2y( z$c^KkG(qulmQ#?{(iJKxC-%)+^(QRoO85QHcS3uVi?fz+EpI(5>3POt=FR=<i%u>4 z*4CAAQE2hU-9hn>)fzq|^_=It8sax$(JR^d$4}SPB+Ln!WIFwkYh_Jz!HM3mhlh@z zZx5JoX<A8URAarB>B<S$+dZD0XJd3uVG-RS=*#@MUCrR2f)lHG{aJM;^Yjef5?{*; zIS-6e>aU5PY0aLVr{s3$LL%2e=4tC1rZfb|*i=l&|8#qMzW;xz*E7s=rPed^8&?=y z)@p4&;E>I<h^0}Ib(!*-=y*_1_u2eDS?jdwc@B@6=ZguL%&4y_VSU2APsu<#+4d&q z2PgU3#!jx#HdhwLWWf``Mwhl`hueMJI(y5;?rqb{Ht;`gVv3)!{oXvUccHf~eLL)U zWy>~m(=VR`D-G8?@8Fu6uah3_`>1?E&xaQke2uK04!g?4UAZO*oIQQGm6OfGIm#?- zkNC6lJrmZkuqgLt{Q2%wuNJ2JIm^m3@z5l<n4tXo$%~kS77NNwkV(n!?eKkYBu&B5 z!<awlQP7$dr=~wTmHi<=GSc?W1oNZ2emXz+!4*{W<xlCWH6AC0*Vc%JeVf1jXk4B1 zvNgfedm1DfN*=J?T^DQp>i&NFY~BaA8F?9RDLJw7b9GcTYqFo4TOf9o#k@ZGhwp+v z%;(B~lw=qcJ@F`GU@dfz6UvA*naq=SWCnN9pC5(m^!nKKGF005WW9=?ok<Mly_M`Y zBjUk}u2&BXHa6raa7z7gt*NcO>BD?*&V{lsudnMf>lCbTmA80!=s9x>hg#B%?hn72 zS01d|82{txL6xnEhuQk{n*Q^AYd1Ym-_P=dW2s(A=gftV8?wDpQc~L1H*DN_S#m~^ zoJaI;7lrdDIC3^_c%HQ__;<_s9ijPm>Zg{?=UD32)nF1dOThW$<R0mhJHk9Qw~Jo% zNZ8akJ97nB;a<u4bLyOr_sY)VnxJ^+L*ZlnkP~G}UhnShoxW^opbKYpqgq?%6<yaQ zSM2H^+<3j%Y1Z7SYD;}Q#Xkjc-qZ1YQ}EEX%x+5GJnn_=(mw;fPn+6fEph2o7RQT8 zf-`gldKYmXs&uq_aGGJiz@0hb`f+FCos%1-?e<-t6Y$Zp#>+v*q?pI)yQyP+hE@S@ z^USA<`|XnAd0IZa%2Mx>wLaFuVW%b`EvRj9xk2Y)eH(vLi`~}j>wf;64eb&F%rhbu zlsatv(9*)9=F>54W!Ty%HXlJoW_iIMy$vx_wZr=!%x~J-u;k1f%gLrL1u<6-b!_c= ze&LCd5XTWFzV8L!SOty4IksH&W0-kjo!|*!qb7NYx&v*0G~P)0?mhb6vU2XVx4X`; zEUC0TeRofS6lZ;R*vWlYtUWlg4k|EfZ{ey?d~3aht54~|yE|R}fz^HKheQP@PYCPq ze=E8({8ZJhA|?y(&i^N~OCB96eRX@Gmixnw8*EI|P8`URww@fy!PM99FmHlj%a(&K z%;|dWQyLaT{K~w|s<nRJz1t>VOsnsT|1ehgq-+th_sZ_&mx@Ay>i?;Kuw~>dJlifA z5xAf2h>BaL|GK#Tg&9vpVivBczO}l?dTNpR`gp!GKEM0eFZHg7Hre9syDCmmcYlk= zJw=5SmG>K_&tz@9qm)o;#PnOm=EOp=5|_x0strOx?}8skiJpj^>3l}@`W4=9leipv z!;e0G5Wetk%E!1HZ9Y2BZsphK9pAaw$JXb@Kh@lIr<Ock^(S#v*oTxyX?l&*o}IXv zP*kqx;=JpM!SxMBetZG~Mw6H?Ea_HAQ09o3+jBsZYvboOn=832lVx<7OOy89EtnOh z?dvgjRi-3=vG(+)MK6j9wkRnE&iS@?mRDWa(xy`fvRsc}zw_>3*ssIiTQ>6aJ*w}J zpD<|^*XgWRcV&ux1xd(S2zo58y86_qR_PjO-0rL4bV*jmV#S0|BPRA$=jK}5KZ!_N z*ZbtD#eU8G6SS{Ce)-X?PNsB{bmRZSGfyX${PVI14e|dFs$FHoxlxt<@|`#5#eO+u zGbI&83Qk_IA!60j=(blO+N<YO6;FS`^R=LU+Z*fkT%UIaz26p^o4ERC7O#`rr>hUA zowbr+3R6}75aG@tQ{yJ%nx<>*@-=(P^ChV}Cr%b$e_8Tt32$Q>tGRp2sjdhy#umZm zKaABbmRHw?wY>_}pTFAke0<>J*nN(Z=jY8@UASa(CI^S4A+LU>F2@Zakii|9?m`B4 zKUmgBGaa6?wZ&Ea;+j<U%#82H-Z;z>J}CU_p|N7gkDLig3Qw=}ZqTV*84x;gkE4Q; z+Ps83%hg+ge6zzBEGyM2nBwQB5|p{4?q<=Pds6p$PE}a=sRa9N*bpYKsC>;S>X{(R znf`{WCpfr*6z3WWHQd}ihqGsy>HnBz2fI3+sHAfg)z`~d3A?20ubw?`*TaX$+MgsU zZEIw3TFkG#{L10<rj>bLi)v~ns9p<ke6)SOx%|?`xvK)#da3%p)qCsfa$w#`j-ti| zIV`I_yyAYfUqQBMn$ta(h$TA}62v(odV3bIn7^{PQSJFk`}*UI!~3$YERKCEBl}>l zmW+v8>)b=~OB~PE-<io=w1weiiV)NB&_%{A0m>#jN}ErqeZ4C6f9GqRuUXMEYfkTc zwqk#Y5g%i<s`84OZk1$@U1=RX3f`t!XERDmdYql3IGMh+HiVv-A+&cDY%KwY5!WIO zVJ`cdn}4i6wM%{7k)Y$xmbDkm3$5e)FSF0*?CY?U+>Vagdc}elB@-@9Tk&SK@9Lnn zTFb=G->y?=m~}<N^=#J6d)N0(IJNt#l#=oaQRd^7z7rZn&1<t$#9bY&MXorvg@&9t z>r?mdXS!3PZOYPX;!BF$|4Q9`wY#!?!x<fp2tLNu69h$4ow|~)#BB(-6cTX!_graZ zwzmD`Z4HNttNU2B>}S?9)hJJ%qo8&$J-9c2^{RaeQ#^R@uL~5_%3Jg@?eo3jE9>GU zvm*9x@qK$bP%OEl=gz*`*#SGnOXC982-Rg@Q&93a&*%PklB)M6Gl>=z<C!*<MO9s8 zf6c`8Vjghqo|)+@^Yq3^I~K;(6DC%DeO2h0J7w86cgtGUS!Hv1jAwStsSgtr{ioi% z;`-v!B3ZMn{vDRDW<8v<>s|iT;AMfStAdYi(O9)s>&y3xZK*4_tq(CV{r+>}%$aEq z54DzjeHFS+elcg(;RQQnwru?X>K{E+Dqa!B-8i3ZUF3=l-A)w-^AGqmz1krlGTZs; z?d<F80&8k)>iZuRKRvyCX52>xHl>z&DPu=R$DBpiRCIKDvaiTk6f}4zCFmAEKj&+n zn15?a=e70m=QRZayxETaHd*T#_BrY7irD5|mJz{cXPNGN#>e&CQ7?Yqnbw~PVok?b zTR)c=9-Os7T|l$Eyj(9S>wQ{j@%&_?c~1p4SV$i1>~~4mkVx$pD%Ebai?3~(S9fVk zy}?=gDf1mRE^1v_-2Lj?j@-!2f*d*41&u*dw>{w${@Xt7UtNb9Po9h1I@jJAuCpY% z9nw-*<}dy+J5Mw!rOA!a+TxnEwY4w@x5r`72&!QH4?dN|J39*BtY<vLbcoTHou&0h z)Yhz{p(U{~F`#u8uX7y>&N|zNyuZ6So&Rn9ot=~Ie!nsP$ETLvb9b4q^tCw)F36e4 zOPl9CS#@A@`uQ|pzF*B84vT{~Cb_ONnsarJf?Y=Q)<Y&wU%m3$v15Dw{dsOZ5(jHP zT0WDtC{UOz6Y^qhpz_%#Jx+BRf4+Y_E^l1PD7GS^M{9p1=QpduM@M*kCmdo_(-L$% z5W%A3=T@IE>tMfhsPfyuWwq4}Ri$f=AAQy%=n|mw`?0|4j}xB;AK57AFfGPH)&BPC znHQz^uGA99zf;?mW^@103%g4O)sXH_;M=l`i(J=eUPw9GB^opJd$<0+2mRaf?w*>( z8}fy<lfg1#Q%a{}dr*dP)z??9r>E<uFIu3Ve7tX|RekBJ3o{IpGd@2%yCyMmSBa*% zvudop{r)dmPqVMBN%UnqapB(H>YL^)pR1~?H(LtaT($6S#<ew)*?~s0#eX{<jmwBg zN=kZhVxn@)(eUfnS?aW9tx6ueI`m=gMbV68ha(Pd4k|rh))&^t%AiRJ12M+#$$~bv zXJ(uCM{TRm$$T>_XW89TYsFXWsLC=j+xuHL)I9BGoAZ~N`C^t_tXpUHo_6waQm`|e zS{G42!R<??--^cMo;g9G_4)Vx79O12yZ?i<ab8_saz~HD=@0LAzu)w8VS}HLqs`gJ z%T~LtXjpUL$)|_y@|&(b{&-xz-edBjZtWnEnolRyS=d8s8|#&|@?Iz?T<}})wuzNH z<Lj%dHg;Xj>!P-5+4_i=?phFd@Ycq2pjpEyn!yzjGbVi4xy<xrR@@p(C8eg{hmSDv za&9s6on_(~pXA`cke%V=<P;&@ynxAf0V`7?lVy{oZ1#r_2JyVh|J1~ty4UM`U{MMS zkF0&g4TZP9esO)v7T1d}Ug@j+_)?W}<IR3)zRRC$pJraa*SB@&wcmGdF`Mj3H(oq< zO1XUK#n8HWA=kr;Cd_y&A)%xkU;o$C{qli73s3H?{%(QPRY^Dn8Ukp)wP@20#YRhI zEv>HKuetJG7__pmv)g~M^x)(;da#-Ory9S#i0_8J%**x5K3W;5pV2tn#`}1^W5NPc z#=xc&GyQ$d`rK#iWv$E3h<Rl+KDf-(#3np>-2oZac)=g%5@0=*vXvp4AG=TS+5h}! z&!Xey_CTOje%`W0Tht#cuYc}uJN0oU=kzz)?-z00?&@{V46*-x`QMGph8x?r*6ppb zx4pet(^9j(e&HJy(R%Z@cHWnd#!QwCajRYL?dHJaU{%nZcYU4g*Z245Z)Nic;(&A> z>;yZ$KsyhunarLij`ho*m*NrN_t=_s^+)ZK6BE~zzPP^rzVqv*Wh_#HcRCEkpZxgv z_{*=?>o51oT1O}wmb?g<Wt`48J1gPP!8ryS57b{2Rm-(G(D)>w?nn2JU%xiZR9jv7 zQFcz@MRva(AAT|@IxWkd(fwczR}gc1=o@jr4F;aMH#Rgb?tWm;yjJiUgT2s%#cNEQ zZ!Jr7>+0z_Q;^e8`0|pFVcHc>?cPg*8#E*<ANQL7$XuL$eqI^t&i^+JtjaXQEayv2 z_fNUFs@7yteR;7~)RGCYZ-d3M>sSJG_@y?9|K4tt^&wa5zen&%`y`RDo2Hjkl?|d5 zq+EqtJ~!1In9f+IxWiIEZqJPUZO53*YijzXEozJ(co)66p!ltwLGj>?3sx#BDh7p) zSGwH%4A}lWTA=E{v3^|{Q{Qg|mjZ#KH!2*OXR@Vmn%4*@*MC_VyW6a_t*z(^@0=J% zo`j^vYl*L}tekmn^;`a1=|4IS<g+|k6|=MG4Y=8VAe~=I$zomD%AnS>!M)Pv&)#W= zuUm4z{{P(0*4eYAt$#adC-n-vc+<DCW`4cE1`WxNU%ot%pS^eG>wEvw<iEbZH6f;F z!~g$FolK>#f0}sV?w|V4vrm>S7rgkf#71F?T=v{rzgatuh(v9FEONHSaZA=srrEyC z)n^aPx?SsC?|48^V1tCDW#J>1+x`oeZBe%@v74jUrV_NdDl@Svl2hxg+i|s(J!>*w zMGHDQI4A7>@2VAi%2m`}XS%M&KlSxNZ@u!a>}XrDZ8NKOH=C1NQS-bn^`b1F#2C9L z3c6f4ke8Xi@fr8^d5cy}JvCu{`L%Q58>ZL&yDTSVz9sgaoTKUQW2O5qTrqdMJh_(r z@)=9+&o#E&wlr*bt$1Z$;nVhMCU2WrzkK<;KB8pivN`h8n-{;h_0^+l<@8!L)-4(n z)Ohq#j<9T4vQi--nIod7=YY3N;kOOz>u+`21aQ~p{`0FU^|+lgeNVjY*{U64%J%o) zWcMC9e)rKXmA`EE?(*mUn;pI}IbPax|KCkh`n2pt#l<gouk5Wa<oNsZw^mW9wxhdV zitJ94tO$Ru{eoWm-&@RjE7zM@@acx)uTPi1zdW-tSwvdcb?M$yL4V!OzG$5uYIfS~ zY_DYfp+&huLXR?UKHAa}+&EqT!mq2^C!|tXKF{4N<i_zkcVh1}UuC`d|KA68Cp~=8 z%q>~?MOo)}aSDr$o7=9Emxmta{5n~hBY9@>^u;gcKQ>=cefy2}C%r$V`n_*%PwEoU zoAGwqgEe8dk9Ssmmv<}P=iyzqL_2?v(VV*Y&6CcxF56T;dG^YpClBI&J!xKf<?YGk z89%sZUO#YPhwSXo&|(oK3%_S!eR8+l&)Zy`*<~fVSZ*)d1b0vWT~ZYj`QF|3G)}Ac zyj%VC{9BQ<xBtIi>-}=cc<)*_x1jv{PyZ+{X5VtIOkTQt?!tAxdsp@?={zsC`ivBZ z<VnMlOMwC>idl4=+#b|B_I)s~D7!uR&%W<mq8d+JOtsV3zTF`t<eMHMdT*ZWD~Y|f z6DA&?SJCyXey@?Ue1_S!{F@HT*QrU^#`#Iln%wgz|BHc{;M%)I6JA~M|9qx>$*MIy ztRgEnndaAIPZmu0_}hN@%DAtePQ964syDGX{Lo5;yUWz0LeITiSo)&QqrARvLU0Pd ztCPO7Qp%Bq{){*0G%F_v8Z~XOaJi`9*>Bsq@t@M}{<wvo;_^bS9{*AD-Z#4{==3(( zm)-BfQX8I3eg6A$;(yyG^=dkQcTaS#3OKOya>?s79?^;=456zd+kNGC-D=w&Uq3Ny z?H{|n{q84&p46M&QFx-8H9as*<gnVDdL^wpAHQsMnaqFnf}QNk9}oYQC>hvAKiXJ% zSSrdOoz1oF{(>b(ShONnnQVQ3rT5;9UkiU(^BzymT65D_?PBZa<x}0xcJBK6X8EhO z9h*2;PvAORQ~Sy1m34;2_Pt^iozM2zx1O78=P3B_x@Bou>it(&${!eidDFeV@E=R9 zVOx(vz53x#@Aof>pI-0Y7_K*=d$sh|w-=_W?J?~>^*hDq?_Ajo4{`Zri?VN-eLA~I zlOr#oz@qnEu>Nkv&riRfi0hJ3IMLo%5Zbcw)np+><)5FfOz^elU=mYQ_IT5LM`>5< z$~TPbZ=UWC*sXT;#Of!9BRRib-<NfobLz~kMpN~3Yu>ijyUz|&+<)t9=EY5=bCMa% zQ_`QxGi~PaVV|x)ul_^{)8*c1PX5$i8m@+c6SpM3^%p6BtycT@an-9Erf2!n&)Y|9 zK6vB#ulnWo`P#iMMaxoBj`DMBs#<o6)tb%Lf1%$ucgnuCO|OpqowKWPwwCdIIe+`Q z@2tL+<$AppnKjeyI@Yr+aMcXHHk)g8OMtt>zA2W=;|?#|lJ=SL-S5|3?=xPtOkdHj zB%+}0y>H(6B`i-K9hkTO<(JOGTMD0hep(yV{O#>6zw^8`b5>nl;hSq!68KX%uXwih zbfy)Tll?CpTAFQnaO%1gcQ=QOxavZKMhnx-du_9}X8B)tUiWdA_jFBn>H0F6#X|3v zEj_{4yTkRje@y7YN4%SksK*FS+k0H^^6bO^yzl3}`dIMfn}V{(gQ+uSxXlW@<2Qc_ zhh*UsV~20gUT{RT^eni>^h!fQODXkq!@jRy?WBHGEY5j-s%HPW`D`m}RMwq4c2)7< zyy~(Xm(){Jm*<D)Ejb#0ZlR^|@(W-1?dz8(DjyTrqF|n!d@27_n}OAO5BK-ye5b^F z*hg*n@tntZUezAfR<`)FQ+hIF&txuRw3NE_JMdb{miJ#QZ0*ySw&q<w`NH|nD{khO z>+S0n+lwAt5w^L^N-}c)TZ5~sZco^-+^Lo8@_Om-7oKuIUs3(L>dQO#_)8Pg<Gs|C zAK$N;ULW`G{%sreke`o5XWrQMvwYU?r$(=?=CANfNjaQvf3aoy{Dpz*eU@~-ubFXA ze3qnzq<=-V*xoO%X1l%T=bh-Da`xKfnC)uXo*rIHRaci^_~4hh@PLME|B=uM(&l*u zlE44_*=Z+val;i4&PCrR+!T5LOyo)*<F^&>R{Q4}@=uz3vwm{?9LtRpH?lAmD<zZ} zHR&{g=FzSk|E4zoUro%!wl`OwWN(|hq%QW=>@9m0UoDGunJG1G-90bnsx1pwpJEAE z?Z+IoElryD{q@#booRo5g|`__o+R{g-lZi9LCbt=<y|xOyxhM3kMWk%+(w7Qlb_0e z`n$+~YUX3@t{#rXv$npf-?(f^>F;xF9~&iEj+|cGV!bv@ZCU2zIKS)PGG+<(_SjfI zFy!Lj?Kiu8{<0Y_G_oR>>+Jn?%%m=JmSC(=6KC_fxMg~}*+K2+?q+`M;^kkfXnpp? zibVfQ`|euLka1LAeDdw>l~=Y-m7hKJ%W;!uDhA(bDzjhlaM^6@Y<Fq%S=&)By=vv7 zsq^jGB^?>fQyi{}UkP_S7Lek1RbBZ+Y0H_#vl=WEF0b17&*y{^Z?7cR<ColBj~0HA z$vW}k^trj#J6Gvnl6DY|1TB_qTD7#({Mnv~W=#%tP6ytkr2PH$wdMNv`~RPxPS9_V zS}$q2!bJJ&)U+r2rNhdqWo-BKd+FA8*FV#ZIajHlb}rWC^3~po_niV&sZSWRR(l-V z<EmXDaplU7tb01wL=<?$nI`j{(FtAkQ#1HzC(q@lqLLTInoKU&|J~ST_xFP=7bn*e z|9!tER@s@V)XrVrC%=qU-alQ4(OC3M@X;>oV5b_H+HF6?zwsM-xLx^Y{@ya#MDk!u z@9O&LPfpBx`%hf%kfya!)0h7TumAH_T*tp@ou}sR$7^fzyV6p=UK2KX?fUrA32E#7 zi?<jrk#tN;7kSj;wj}rVwmF+(=l3{7x1HL%;`685`TIBOPu%FDV*O@<v!<Qhyk-9L z{{>v$oPPe&j>5+omzH?Wxg?u==+L1zn~EySBu;#9YS>vXU|=md)27mB`aHL%?$wiT zp637m+IUjwy8h5t%PuZ!{3Q9&=w5otsUPAu^p}M1SM-`}Fh75af@*U7e6Q8@QBJ&4 z=F3;UU%qn2L-R)I;@j@KFA3MOt*jD!Cn758SDe0gsYiFe?<B#u5zf}1QjWL1DcSu# zL}T@~jqm^6jW%R#%&+$<ecDs~{hjQsO@+F-w>I2*ld@!Hd}ipry)17nN=~X6U0NAw z>MD^h#k2pI!@5&5w`O`@o^+@4d`IOvrd=s6^II~EQ=S=bDtPF$tLm#(?U#%0FS{8P z-3oVhi|ZHt6_=Nn*E4F*+B(6pnQdbw4^y!3_Po27ejj4#-S?~Mm)^T~_3vVI_*tC- zd;H=rh>Nxa8jA1doiGKorQz1r?4A0rZXEb||Mu<K0e;M`ZvxWOKRh`2j4{YfkR?Zi zar0!sC*qDX-<+GvyZU9W2z%VLjTcR-`(hUs&C{B-tm)%L6Z!q-Gx^^9ot>XyI#u~~ z^!r*TW3~RLD+Fff%GEE@@2$(_T3z4rI;==-KG)Pp<ptTx+xEMEe5A*Al0zx_+#HKV zO3{xtwMf*7pFH*D^ZAEoOc{3Cy6N}7aKCM~cDeI&<(m(SAO7Lbxc4Sga*OILeYdn( zf<G4B3H0B_A#HZehuJr1x7*YeQ$NK<?#ept9DQ?lv2?G*m0mUf{}Dg8@0pi4`@`Lv zf9t=CsTm%)FH>ZwF-d8W@RY-wT`oUi^S`qt@CNU{j9F?f^?S~o;IMQ5Xdc^Mb>~Up z<92<HKYk7oO-)Ug&L&>)TNL%afsuJeOoL2D(|wIqufM&$Jze|DBkMnYTV)(Qav74E zA64&i{d_@wVw*u~{g1?1{z6*c7p<~<W>Npo#&?cI{lx!rhDj{z#nXIe)NJbZx$^5( zGSk_Kds7ddJ6ow#`&OKB^W=#_s$LuBid>a{>-+D<@|@GG6Vs1qpI-W0<@|~7-Onyg z$`=cma!KZZ`I5@ld@Zw;|A^RxUb|E%ygc~7qH@K(wotDLySo<MT-5d9<*gZ;m6X@_ zN}Y*nTl@Qbu<HFY_1RAk*gw6{c=yZqg{MQd?eSm7Cm6K$bwA@~@AH1WFP3pB+pXDj z@DbDBx6_MXKAt)G<=+1Niw+)+_nB{OZM10jjx#4taO>}PoV@Jpvt>Ix_bk5j$a(db zb8CKnIdfto|J(O>W(vPy`EzAKdgiyL_eO7O@2+}k^788Q87CB2r0cA9PW@W1b>4${ zYWk8Qvr4yft8J87<(6C$Ub|UMcV}$B?e}&uX7lT3jtEG4dU-w4xzH`9yJ(ha_OkNW zjY+I;@9&>~k}>7=wY6(bI=IIBez*x*^&G`r>nIx6B7RsU*TX@<p`bvvWt+s*BE=O; zy4lTqFEa))DK(qf|Nmn=*Q&JZUhVh#*jE=9v(Mi3|JQ4MHP@S&vx29*7t`W-&0UjH z;43D`k|WEwd6Hm^&WFSM3mzs$?_JxxVD0-Urw;6Te53T$MZMoCY25X9mm6&`3-?<o z`8iVJ*864m!;5dMXg{@b<NFki`%50CUtE=E$#?VbzvsR=(;6L;Hx->!;$zMG{USLe z#&&1D;@Y43r}qW!)YFo@(vuVOU;FR-r3;?~U!3k7t32hgx>C@C2P?Jr|JgC)-b{<O zJ=!6Q%4Fr2|9-_O@Z^d4)E#GTTE3o;cDJzLar<J8oDT|N97p#`O8Z)G`*W~PZ@tFn z%h{9D3Z^GKb-wiZVq3}kf3|&Wt0H!4*?*J`otgZ7b-|tbGbYNrKBv05U0_Sx(Q$0& zf=`<i!Z}Se_vhU3Ju!cg_KeNN-LFcNO(LFVOCIoB<&nO>>T})F!lE>z#jW3zmPjq! zyL4|sO%kV_{_H8rYc(Z{g7fY#T>6^-vN!Xl@5~c<*6iHxC84DJLHyuZ*)&0mNm)$1 zEFHbiRCqQq1y5ME(V^bjV(p=p&-bd|r$)$Z;Vqb9`ep7liT(LA+7ikd*;o@#Do7T% zUF#4$<9MOz01r!Xz+$)F6X(zO3-4)U>6N#i2U<1x>fYY!cZ`z+Ss10=4y;K@d3tK< z940w`*>76w&;35W;!!!fU)*A$b$%hIJ8qdAcyuIClyA+Vj4$&~Z4LQQA621!>ygs7 zkjy{_gM?$AzP^e31=nwS`g5gh8e8qb-ja(={Gs>y^(_OXJWhM0c3rsYvVi}}`Oo&V z)?GTk{sBu$fl}_BHKw&&9#m_+%&fT@ap%T$ZC|D>hRdf`a=5T$KFt1cbt&WFdH&Zw zWxTd2{-ja6{X?*tonfG0mxhJr{YCXbAq$H{s~*4Qx47T2k9oCW$`a4+ZBtfsNG+N? zL4h~rd3|tN(Uv|%%hg#g_85OmwW|NdV!86GS<a=VXEpmwYTw@w7dp8%u+Bz&>P!xn zQ=3a7T??dE?sB?6GsODEnp2mg=da1SeC6yerZ0bg^S?M9|Ls!xW9u8Q&mU8}mfO)& z^SA!%bs1k~dtToU(hhHRCQNyD_OjDD_kKBFS*wzY6H}URMg2H4VL#imS65aF|DKkj zdSTyz{VB)$WOLdWe>U24&dFH3c=63E&9BvbZ1+}uO`7brG3n@y=}Qt1xB0{+cwI6T zmYl_}CM%#`%gEW(-`k;(@S0=B)Sia3(=~(J;wq1BsuvB^<$QIhbomOeR-=%k>c8bb zq-&Q;yT<73y!XedEN$W5ZB6b{2X8Fy(o1{)p=;G%$Lot<9F4n~{Uj%~e%lVdSR*F( z&<T7$TDN3h@B8)r{dqP287>P}Iz+ep5YvyFGimbV$i=^(PLD5|7Vayc7qhb{b>BS4 z)l8EXaGr`a$+*x^-^MF_tkPpaYGF~4lEucPo=Z!;H#6%v)$iT2XU2~MHND?%r7I|A z?9aVz_V3-*@c3Gf=Hh2(BxhNbu5vV<sQ0fzpc6Fg<*^}rjWc+~?HMn(U1e`iZS%e1 z@-~!je*c1o2`pLje3yp4dH-dpw8*+S!V7<iZ`5Pj=I6Fec85d#Q?K2Db62HqW<3@k z+ORJ6WUtiq3DWM{5>hWZOz%-(dU|T=|2bP0b~5~I;Aj2g<iH@NA9rT4tWCv)xxOFw zu9M`8;<Y%P^-Q9LKfCE)fmW+O*PN_}_Dqvi&)BRu$vT;5QR0HgMoG3M>`d~a8U2nu z^QvBHe&cksFnV^#+x(GxaeWr+L_t9o8E`RY!`N{4wzYJ9`bWlBw<RlGHl5$_YkHAH zZp7i)r>2~;lDTowUnTqf`DrITB0^;52Dxlq{b}V%zdY3?7v9&;U-UgLV`gmdPdjNA z71=#=l$sj;DkYd1F-fb>S-<5*&F@whTg|!L%ayf+SomfgJ8^yW_sg4YXV*^(zIf=E z$#gTm9*3Y)xltQGYV^KJm)Ut^(TN=Hsp3ml#$OYR;p3TkbDLVqk(_{24owYL1r4Mn zFJ9^Gm|e~?^W$E7+l%}7cJBU{u6`~jUUTKChb7HjvtG=vz0#tbpj>@IrqNaFR8~vZ zCao-&DWPZ9s@&T1UB*{_V(DF}gSRbM>RWmw+9z)jV#yI?+&pn&zx}@%dk>f9&fB&l zG5LClnnBy2^X`GWkA?QWDhjtets7b25m#|)hkDXtwyADq%?vVOfes&c-aET8;NX8J zkIlCZyjwhdWvH(Is?eQF?!LJ^O<XJG$h7{xHNFBTq!>3(6g={Fd)C#ixtnBM>u!}z zJRJJEKGc8pyjgRPs4u(3|C+z3HSGGMo4;qLn9r-pm*+U5xxas@G~dO~wNWY2A0uyH z>}+^l^4^b&_m$4M^UthKIk}x_UDBh>5g`vU`$xBeoZQBbeJaalWN)d}4_2EU_EkIZ ztCx`MLyzZ83-`wCkn&{ri(UHAcDCf+P{Z>Qyi+}S>sxzfuuL}*W69wHnbC4)q1DpG zQ&04DdN1^^w{$!I&FJj9|IgnQYi$g46b%eKyo_&$&aoPfoSX6siX!hUf0z6Jv~S{> z7v598<vhA@?8!T&TgJ&BjJEYo5KNvpsjR8ttzv?hQPImws(#@;B_H}U($^ikV1GRC zLh{<bKDX}O)UHq5^8H5WtGgTZDyN#*UESq5*&}(ivVfed|LgyDYqe~`4Xv2hZ#!|e z`dr}kw0lp&FCKpNy6g+*=UF{1?d`>~5-Rq>pv{Jt1q`?)9mNj5-}hVZ@}j_Hx+l$| z<@ZZ?R{OPHew^!5&E>Y@`MbrLC#TEhM)h`y8Lpewo4aVj{_xiNDC7Stn3`Eb(@t;e z>JTwDoKk)H-R3VDi;F_`uljg(-e>bi%ih}QpX3PItDLMYw477Y@a+!IY)1x;8Ld4F z-Z8&YdLcHm$fbh+@9g>|n<xHsFEO-`m+%8k@%H|$2`RhY)yL8A{Ql(sE2dU;|Ex+g z!lwS&wDMIB2S-S7Uf^nzdefDfKV5W67rmG~@y3maS9f=ti?4K^7UIpN_3quRt=+5t zI0bAi|M}_ZoM*BtpS-@h+TA$)T#1G8HdVu^8$XLPr70?(xB1KyKCR~0%jL3idsmx3 z%D6i(TZ`TEt<2lf{a$}%C1-nxp4t(tZ2w-SS8?u|_5&A-6-9*Vf@j{0S-G^R-s@cF zpN+41zGlDo7Cr4T?cSUR={fSXMxValufGhM5xTpp^cdg7$7Pe%{g-Klt}0O6gVdk8 zvcyyP+fwJC6Vr56-`F2#@OE!^KcJJsBC5RHXQtEF*VoG{4s1C1`Fhfe=WoR`3%(x< z30SVPbK~sxm(M=jOt7ka$X0K<HnwrrwUr4ad0ffQCzM&bKR9={y5xtCf&Ah&)4eM_ zbLF+(g&cXp_+IPzwfW|<OBcpw2l##zG?cUtwtg{L@JhF1>`Y1HGzpnk7Zy5ydAt37 z5wG-=4HEWsHC3YVBDa`3Z*IvHW@Tk9yl87vvhW-a%af#=n^H?29AKQs{Py{|`njk7 z1_rZDtu)-W@6Elvv$Z+?e>^T9vxWaLkBr5H?uR>f?=DWX7y0BoHSWqb%i3Qi3(xf} zJaur{BjvZOjL~ifbW)C7y*l;sf!#Zv$g7|2xREkz>l+2$nl*`ibAtst8>Qv)YU=i- zuJGHsDI_fG&Xb$(Sxr;V#qcd}SS{!y;3&dfFH)i6{<?AU>sh(#FW){qd*ZU~Z=HRX zvs|n~^!}E?78BUEG}uWV^xfk>-|o*P@%sAux&|rhGM%lNm)lM<bR7Tn_4UjOhs%tM z8n{#L?kbIZ+<0ogzuixjgNgD>82`5M%bz>?3A9s;^+UkT?>9Ckn<O4$(c5=@WAgF# z`ekkl_guJep=7d|QJ8-0t|^>6fBYt_=d5r3@<8$ZzrVk8J~;;NTjTP2^?kOhEug(% zmccBHv)vW0r?9B7tn!$0@m#-A^-sZ7v1{j?3>5tpF?U~0^SKxvWgFk-DOW<T#&L^k z&5&2z`fA=nR?AvVpNSI;wAOrBw|ay5vP*ek-8S{Bk8$mg@|zs;V_nBrfeWh27s5bm zOt*?p)eb+mfn&y$z`*h=D+2%A-C6vc<L~yIn}?#fe^ftcW!L#}IB|`rcG!gee*V25 z4skzu{@gu(&qucJv76I)!x`gt6eRw#Q4siX|Lob`PF^1ifiJG1#lDvr?X5FBF0j?9 zFZY|P6!P+I{l&%Xwg3NqkGX2DrPZ~1VY(`G6WKz}AU%ac?k)lwN?D40-99XyZC7jc z_w#xCZTrHz*XG}Sr(L4>V_MgikEbVE#g``rnhU?|*=0E|-2eI0P|jajqBbkDT7yE4 zbVR9(-CoelJE!WoUMyc<*m{j`>??z}&Z(NZFzDU6oww>UgFfZ{%D!JIy6v^!-dn$( zW$C=ku>x(Cx~`hRvf$+faT|+9o(7i;(PN2WjrE*L%nMmF@9r|4?XK{_wlVF|_WN~< zv#+n)xHIkQs?d;SK9c|bt=)bvi9PbndhPOecOHI{FxY*d)6CFtqUSO5`!&v{*~>&9 z%9vybWIOIEeSPe4y+Wl*$GI(;mm{K?FAM(pIKj%%MW7%B<Yh7aIG+uBAF)rH|Fr0Z zZq%NKJX-@M8kJ66c0{H~mBsu_#;zrKvr?!0WLv`W<jBq$FaL2ri|DuQpEzU6tiDti zpQb}by-z|vYNk%hox7)Cu5aGrs%s0io<8-wqO)L@MTef`&o`UT@2C$G+rsm!=*x>h zv-XCDj3(~Hl|f50UR_x!)A;$->h+u0n3=#E*!0-p8`vD=9_TO9|NZ^_^6mHQW|zIW zaWG9nK=uo0_u%^V`S<s2DSGPlO|bDBD+|{$xfvZ3&PqLDow@d7Z5Y#JJ`;;yOhPTS zog7Tt`W)7s<fyB^QsHzVWZJfUiQen^`_^vcEMHN$mMdhFOW|f;aS^f8=9hQ0q;v(F z7Sx28_#NH!?#be~V<8=;x1HXkEVy#JTr(omwkdzb^s4gmQl)Tl^;ORvdy0yrJ)4xV z^rTgh-VB@AZjZT=#f`HL7nYpl*dpa`^O5EL15-vuhZ(sZ9vr-~^;T0lxwZ%x?04AQ z&A#f>)6<t{7$&c9YUO$(&h&r6_xttp<*MHpn!U`ZeST)<;o66vKR%rv|4HHn^I5O8 zQClM(GYOl4cfy@-HMpO6xNT`Yn^4m?gO3la1r%m<Y;Zd<(>Q&GjHC0UsWufK8kCfk zjZGSVx?leDL?Gy;8b<_gV|~nIK^xZ|8Ou)#<@a6<%U>)U8x%EZYBaNj%obne_#&;K z8*8*(bA@M!HyzFknow1_Lv*p%Q=1!S0+vnTo?39So^P`G<H?*-{V$?BOH57L@>V`7 z<(SX*@xbZBXKRiKup3)`<$G%_w&Kv1$C({n(^fvqwQEYgHd$4kciBY2434V$Z*OKM zKJUm|8K}J0hIx+5M=xLBr!orb_k7~|`D@wCYXY;l<N5A9$iBYrEPu4B_cWjH`i=5j zXG}U4G;*@0NeFQMXk8$0qHl0gL5i(T)8Md({=Bk(2OCBE)@jUcT(sx|Cuo<BV{_B= zysO1$S6-b^rY<iVb~DS)5mbQrGbYr(tMC%2lxY0BJpaP2*zl06_cv*|yQX#@Y(CMu z;f2L6IYIY_7dctxcNl5?wehj8m>Lk(IO$bdkGg90^xxm*19EDFjvifpfp_bBj<1L2 z&FvCX(sw<w$9wvMP4m|Iu;{A(?q|O=Q~TkS?arZDk-OI{T{f}M*F{0!c*Cq6A(?s{ z9Q90998YHT91!I87h>i1dg7jzem$Oj{l)w4&Y|bJK3xe=wL0yYClq|{j>y+5a_hFR zC}?t?3TIMLRnzm#^byevT&7%pJ?T~2+clSFiYrck<a%{=hp**{dtoVBiZ9L9+iE`T zyJV*lkQ1}1N>gak3@5pDM^End;VcT=XB_9VBj!x~y4p*}r4w%)XL5S^;-)~=no8Hu zc(+Y2W)=BGEnYi8FnfVcF$dE$M}_bdmXi&)wq_rfd!`hr+@Su;{u}G1Z^{R+EO4tl zw~tFH!FT7%ycY_p2AL|$?=0m=O*+6UZ(jGpXO5_5VQ6+!*u#0J@*gaD^<u#^zBs>C zeBAq2i<{`MhSvY%ee!@|<DuQ(%zq`WuXy;r`v1Sb4-VFP?X2}*dG!5?@4H#%^X-{* zb@hZ-{GV9VgV#K5jN;}H`q0?MUVK=DHJH0&im7Vc+Lu3m3QS#~*JEY+b>Y0Vt5^Ju zE@m~Fz7e$OQA{&ao#Tca(@rI2j!NgftQB3|68#74k2__YKB(}mKDA-lk;}I4Cm%hy zWwD@eMwq}du0;zbvZ)EIET6f-Mbo#{&8Xz0yQOGXjk3`@#iB(Mr(KfM^D*htD(=!d zsk!U~?=hWKf3i%NlDPSHUO3j!5)=OW<)tl6S_RLO|1eIQR@u}rVWLfAtePmxnI?yI zCpfge9(s8y;8ybHHRlepTh`RuHTlJKEs{3$oYN@EdSl6|{Clz`6AYe|a|XEbo}OB? z%<Zb}%8er1PVZ(;dUVFg&4<Nj7B`bw3&^NRUlc@b9=&rd>T}3QVR{>UZXJ7QXhQzd z*cB@~I6rlEM6fq{I|j}%6pflNt@Kn-x7E`Vw~fm=FRa;IG}Bd_QBk?bj-#IGTQ|t8 zP3+%ZU+?F(?VQ2h7#zMR^Z!eOu0HR;e}YF>ZYuX&^(a>BxM|Rt&05E$SkJ9!)cRxo zp{l2-%Xi5o)j2Cl?-qq@?^0>s^jujt<;cALd24L<D^Jiiy0j+Jc-{UBTQV>I>05mB zd;RZowN`b8lloR&-+3To)rwCYA>Y2$CnP219uMD~ugA~U6W;lV$$9b1RZ0pc&Ihe7 z(##53yv69~lrYoGOOt#$Z<sSx`nnxDe0V2c&*aIIYne4yi?e)UXpEUCsKT_X=BH6* zMa7eYmp)&g@_gMfjUpk}<?CD~ZV%_2YO+#b|Kq8XKYi=#lancMR?ZL1_@TRN@v04v z_U6@x`e#L~6}h@9<kH3M^Yy<^pPs_9@?L!1&s5Oq4QJilCQRUMeRoE8n$-0>ucv(c zB+g{1ro2Mn<|0?_b!D1Ku`GEm>X(i_m@Tp(Zn|@RQ6I0!Rc90KxSxj1+D7Z*`ggb{ z1{R8}x|hE?YftFu-NC(Gsw;M#>WlKLaadD5>Dj@Y`d=w3W@gh~pIKnX&2=*}D4?+X z`nuRJSHt5!ns_MN*Z-?o=iV&xSz~(O{<_-5(?9(Ae7^Xul=IA#Ub!O<&T9lUUxlxa zn>#yk$$Eu^GL|AAH@|r{C$DPz&#_4S=6bSWnWBK;>@!QAB%RRp^4NE{zPi$4@^Y@_ zu9J-Yr>e=-i)+<q{D0Q5yDP<IRh2>D#?Cn`yotvIU%k(=lhfjiQk*(%Z_BUSbG>#) zo6C1*y8A>$Gcnd0HC<s|ab$PiT`O;&?-yoFR`-8a@<F4e{NW+ib=l1#pVvlhedJW3 z!04fv-xD#(WuZWPO^r=>l(-;E4rimxWI>S%r;POrh3;<Ka`4ZN`e|3JPw6aFDsfU4 z)>KhyY`S{ie38(KqDuaz&&#?u3#TWS2EWg%DA&yT$g^yj413EBrOR4dWIud8P~G%P zzVm88_wqTXcD#DLG;Zm{f3ok-X*I7&VK8G&cF$Su@3vs3!`8c?&cPkMRlUl(2d8R> z?^tl>$H&K)wcjs#$K!EknQ#4Uj+3(QHG}2l_64M~HLcpUBCr_NHvn}FmaLE7o@Y|= zAt6s%Lc#;I(NX;1;lsDz+}!*#UxojO1qXwJCvV90qdyF4Uwi%k_c!y-jzX4PlUG+_ z_Ebz15)xXGbhPVF<>O<$J1u{ey}xH`yWl}Hzuf~Viwmbf-Hp}t?60}kJ%0BtDEy>g zJ^#0D%Vj1DPPuxlpGnJJ@VA4)tFW$1N-8UpiZs1;Pw@F6A875db)C@CN$ZugJvFrq zXBzz$`ECAK>)zZsm;SjoZF2v2c30Gfgs5+!b(!_rXSq-CrN`}Eo|^FY{rlgmc4c&V zz3)rO`m@f1Jw5U1nl<GoLYLN;@0XGC&f=Pyd$rSRs)pOVSF%xkL0XTFo_5Zxyt2DP zA%TOj)`%(S!g7E4x|iMi_uDU5kwA1k*yYwa*erO-5O{!fQ{LTOYd$h)R(v|Cev^gy zEc-8BdAm82CQZut^5S9)!}~8UFUMqxyDW>?X!2IZ$MnVi)YD>W_Vo)FE{qA_Z(6nA zd%E7!MYRHFcyswqum!0*1Y|KEVV&A)|L;fguZQjOH>R`vEqiyzbN}CO(%IG<wjJ#j zUoOtfa<cMatN4yb23o(59XYb$C3~87e*XI{KBwHKd~6V7J^KI4)4ApMJk|4y+_;!_ zx+)w`VaeGYv$Mz~@lea1b&kJo*E2AAT$Nkg!Fk4}vr9u|Rr#N@>z8c#o}^WswL{6c z+|feh=8n~RbISj#%2wF#|E>Nh+uUVl^Q8{1d)zC#WlwPVxTK2AbWjUVcg>6G5)XT_ z*ue7t@1nr>dasHn2rO4x^mIdG@S%6pK&LgVeQ|ZQc(%S)__{fX`u_HRO~S9pn$~BD z)U)3=|H7Wwa4qTQr>B343JVoO7>`A0hpkbNmzUpnaIt~Ly2c5n+1D;?%e`%}Nq|57 z;>C+=4qgDwe0=%){l4)-hx>Z5yOw18I(Rv7In<dj9&~h#uN7*2wNFq`@TCjeGld>@ zr{Xs^3}=~Ui>=?=@awJOrt||1j5$(HR}<?w&V*eMo4M-ju{wuIUsxG=TDe3w?f&c{ zmNT2<$&8){F7ERLZFD<0m>d|yLv0#6IhZON8}uX_k1_lcY|GnoeSLho5rYupXP%Vb z-`=j7*l?36s^{9;=*>G_cXv7q^YF5~DmWOkxO#Fs8#CXg8S>&+tV&-QcwS(UV)6I) z|F_1yzF+RkS@Zh>Ts=+&ysee2X|fz!#1$01r|Bfhi^MW|HhyzH{hEb|rHQGRM<#va zwtl-`9lIMAG%)=A^?LnFX9oTWTfX0`*4O9jKcmT!(&^B5k|SqveBDn~#p@j%3Jjq0 zhbkvb2kjhkmg36IHL&!VV=<8vbWFyfbY?X%m-+{Le!W_~=3qlZ#{)IKpu!Ii9L?Up z_{Qo8+Q>FrC*hmp6h#hhmSs5>n-0}XnltB&3wtfMxZW8lkr}=Z9&q(?v)E}Ua4Sgs zu+3bwmG$6?u(eXzS_x9C;`UmBj=BMzF}Eset5!e%&nJ`pZ`8DyW;4}TmA*Q{aw4K} znQON|{iV0e_eBy^lsIN^g9^tL|NQ1!xyHrCJ&Wq@Nq!DGXXrC?#`DwHRh2Jf*?c@A zoD+AEg@r+_XTk&lDXS8V*+B_;jXzocNnD6f;3+>d!!Tr_6YDqb#`+V#-|s(PCvE`i zIV@~E;4FE}K|UkAAl7C6iWM4qDqOi7GW8M7&CQuNHYDbpI#?>K!ZJ%D!{R{(7wE8z z?>~RNUjNfrfNKK(mV<L<JU`Yey(g@P|FVEgz(w{tH3hE^fsJh}x5N?_ojfs7d8K1B z+a9)zc88Qr-WS>oH?q|hmX)dPabaOBR-B-0)U?F%$HK?#N=h6Vpl$xeiu!^VPH@!N z)bl)j-tal2SIV@a^TYiE+}Af%eSNj@+o9{%r|-9}{-*Og)y2&%=<ThoH=i^86P#7g z`6nP@nIp^D$NlzxjEszHR2`yQo-TU-@$qp>X>A|vUD=@1Kq6i<E@Iq20d!1|ukNgP z_ATliRTqCbA8smBP*SinXg>7h^1j;Yru*Guob}BdG8rKb(>BOY(7M9Qyzvst_3VsF z2fc)_rvDr@Nuc9<92DGBSXQ}D5VQ#B1RWXmL}mjk_%Mv6-}!y{{=}4++D@And`z5W zO{YWOi4%RY);Efyujgf*bv+%kt+OG+E$8!&C(}bMwImzc*jPOmo^<qB*P-yBgX2j@ zk3#)E*~Fv|Kg0xCT#S{TH|Q!nSdnr>Y{OJj4yI{tAd8~}Z<sw?qN)TAwF6=T0!B&P z?vB?KIG*q{%1jh&nPQrC<%OdE+d01adu2Eo4KG_<y0~TkUJl8P`;*nQ#aK*Oid@_T z!uj=cEjD&>FfEdtSbRWC-~w;**4D2lq*&@19S@kW2weKt!6j*IRjQVBHSqp{DU)t2 z)AfwHt<1DYa^vyEYT06-!@v%d2^e(tC_IxZd@Cm>w{0_Xq~|<kg%p+Z{Eg59-FCYj z$S|5TY0{yM?=&=2l{j7~DWtfZV~3n~)_6xD!9>!rD&>l*!y{usM~4#~bC{dzAxC09 zb2^Y=v?=?#p5DHeRWp>D8Wv9$ydv!Q>uu7}u9FAB);kDnFko_<JaK;gznQ0tl%*GR zC_Ffk^5nzA!!cfRqS4*r`sbouE6aa)&$X%iv~blbDL#Ybw6GeT-TR8nIGLIn6eipk zG?>*>^Xuhu5h<xts;gXC8uuvFD{Hj0b*<J26O)tk3tbiRFl(hoRGQyG%YV1?_g}oX zxB7B>Yv-qbe}Bh3@QJ^+F81`)xaOuN9zEsN`<}&Y{}-WgukF$&h0y5jd0U@^7;RGk zox8ac<Qb#FM=t9Oejby~f0E_&@=XOt#NkHKC(NSW(q=gx7UJI1_0FbVkKS6Jb#%#* zIZW=kAr7v`5|de`#wRBw<+x=_$jhHs;Z=RNc=6)QTU#<sN?(N}Z&S);0iBC^LYSpT zO&PReJtcjGlBN<zM6_{J(VKJU&ao6PRCJsoUNZ|+h}hZL#Tdn2p7H0?X?>IGZ#lb) zo^pw51T_5m{@&kXQ}ces>+514*9)<OPQ~iz;LsD~=k>V0E_UV%7B;pb>nRi5Gs}Ii zt&MiC{r#;r!%`tlqabWm$V#2xi-Pm6&ABSck^cGR<>i-8>+koOYhA83WkUY#ZENRj zX#z#)4INNS^~qX)VtsefU4HA$vnLMqI5RBk12x93TkuCE=2~1gv8?-JQE!@ktw%3r zhr_;o`y|7*RDMq5vz~9BFSp-W`NLs;`wg1xOhG}L(|oV-CG>y}1PWUp=WAQ_Wr47| zpTN1?FNePu78YLFl<M8o$^7K`y}i{r^VWo{6#CusA}eu%zJZQTkM^p0w$;;Cg|0sK zPX%<$RpsVavuB%1S9=6p5#kpxsJ|?t8Fb`EUfFd&qYbz2Do$qQX5v>&2x1G1Ib)u4 z!@)G`ihu-bW4pkHEi==eote32Wkck#2|s@R+_=qI`$5Hv3yN9y_E@T_seSU5@cgyl zXu~vyrecXB$v-|kyt2eoSk0<iO!v|IeLo&?N9`<9eS3Smeyx^8uKx^!#&z-g=OtFv z|5y*IfPB1oJkx56H>V~0+fUd9I#KZ3+uOZwlcv92V%8fgXBN3d;Q+^snAyj!UY(kE zXNRMno*vUe1<<C%OAM1wO;YtXQs5Wn+9Il`sJLcf!vP)UCWgtU4>q&kbXn+n473>D z^5FB1DU6y8GnXYD?YbjAQGaXp^=15aKk5}~^{ym$b#)~jkF0In&+*Lgi|j2aP!KH+ zSh|r(SB*pBOn9G;lbg)X7pLyY$TCho!7<O^;hrw;ogE4b`Wu#-D(|@I@VVhaQEj6p z(?u<gwO5yTPuC0CS5x`z;|%L^y|s~>+rGWOe?H#%uu;JShcb)18<W`&io0&tud!Vq zd9l9tg5!+*{dIpMtQYC)Mr~Peu$kR>x4BB&uV-mzXK`xm-fUoMI`z)oyEpZh#_le= zX}bOO`_`TtUms3B`n;IMM@f0Z)W?r_j#~&i9=MglqBf0@nQeo-M?0S^2j@wLo`=61 zi-ppr$JhUzI?F8gRNtx#K?>m<f4m*c7QFoNVsU@P&3e$Wu)jNV?(8t!`+k1?zmsWm zmwHdX^m_gNb9Jg$SBLxGPn&I)yTWg-mCQ9hUfvo{lgv9i4BdbF%(Iy(n<Dsj@l!QN zY3JJF&ClMPvulyRzpr-o;Yknmnbz`N3tkbh@XfAUZ@*Xix;>a3vdE>==kuA21BVQy za!q;X-DT3_7H2tA-_hWi!Xm!S{C<sb@a(;k*HxWwDl$#G*25q)fzx8yqa?mbjRK#= z<0=|2g7$6fpEP;$O%>)#3`|YZ#+z0wx@3}fM#{2bv(~wEjzi@Se^fm`C;Ls&vgirN z+4=VKPj;#)=<Rr@=*8y3{@2v8gukdqVUAd_)9pvko=wZWe`k&5>iXa#6N-&$GVj&> z&b7AL+!I|CH)-PM=jV&B2yx`5dA{Egs}r|pMyFn1Z5q=ip8F~S`G3}ZVSVN?XMMqp zW8W3-?+U%LP*6K+%ZkDSp4yHF*aQqZdmPU4y4$!YbZ{{J;)rPO;ds^LkOn%g(8cNN zySuYJH5M(<(NtKhG4<os@c8<VCK}T>*8D8GcF(c9t;V$AK%4T{8yk}?_4zKTq^K#} z?6`DFXXld+LFE}=?Ee4xEYs9iV;$9DW#_!Ya!aEU_!t%;$%Dc#cNRalxa8m>AYcTl zMim$MyFHlPyd>Y?ePmR^yk=%oqq|PghIw}^W|?FPxo0{?v&E~obU7#2HwF}C+;s>j zQc6EJ=ix`et1Gg(jznBjNwAFE{Y8+)$oYVZQ4>#JE4O%A#rhh{*rK+E47Z}T*<XzK znSQ0PnAf=Yr%v-`UwHq1-EZCBKO8n2)cyHUvRMXn+@ME8`<H(wM4Mg8FL*dO=sl3A zh4d^Rs_<@>+j5*^@v$4f>WkjoFf@C9Fo&al`L5E}n_lwpT?Q@IE8L>QvTRn~-Caxh z8%-T<FO+v+u-9_wP0ao9`m$)tuI3#bpdoBf+F2vNQ^KuRD)sg6Nnf8bGC8?@Vkntu zB+FQ9^yyCV`N9sfxL=RnzxNMb=94&?b53_)IL8s@iBUUVI$vfy)W}`0!oj?*cE?8h zpHC*g`M~4USocBS%gbxg#l`NMjZIcrHy0>8;#zpcW65%D4sZ8&Qct!Ye9zj#{LeS) zklC5u4}}6e6QpX@!zak~L@#t=jT2^>7%IVCyO5J9^5BkL_ftz}9k|cBRP+n$x!WsT z)s#3Qm_Y@I%r^&@BVw7o&dK%l#o(68GY{=+pt+w1RjhAapPrg(ob&nR^7+p?blx81 zdY1mho#Tsq{hx#X<SG>B3vn4IfhK+mIvFi|l=XYV=5y~W@z}b*;NhV!l@E-Y{y#jj zW@f>MrEYm{eKIF=ABOPQ3(Y7oaWr)PF|R>EQs~FQhBpdT-ti87ptTV7%`7^blY1wW zrN~?pM;sfdHc@be!b5YWx8P311PveIm@n(&_RjjZf9YJdryLwiyEtA<5}d$jb5;73 zXS0UrlNQF#hDtW3&0Jf!_W%E9oh>s#oNbmUM~#2LV-C?dh5HW2G-qf%iD`1+ZY++q zm$g;eEbv!UK;S^V-<Atm2jW>K>T|HRAGj$p-|_V#&N*R@4fm?w@8w~a2sBy2&9-RK z%(5+RCuTD13T)ATrYEJDK1(4X6IA+f$U47}n#bqD!f12wV0QfJ*v4-Cnl6PMA09pG zl~GV?YA^-Osm-tbCK;~f*0Fh?jBVAFvqHOGek@cxa#r@4a7Mk{gXYF1l>!2S8Bqyx zj>qC=l$ZQIRKi?ub=ROE*H7hUvp<K^UjO-aXa7k@{m_?*z9_y=b=S|F32Vipqy^M! z9d(r_@H)3DJ>1X41U(<H@8ASQ=NYgA0*@6=vHYaYq&89T$oa=hrvCW)r*f0TEz_JQ zyJmWCHM6L9=c&~@!O1ku33QC)>c%-nyLKixOcDIIDpTAc1k|^AI=}wkLeS~s#^0KF z4yZHNDSqH@)MQ;Er6#*pzo=z_{t3n{M<tkR7euZMT`iU!^Pqz(=*iQkN0)HqI{0nL zaQV@fkTauo%Z8YQ<{H%tMvIOx*d5RJ5zg?P;Lg6pvpzmxGv~v@8fI*l`D-Erwis$V z{xJCT>;?CUo@J(+u6&$S$jTTCYHlTbELdig5-sBKS)VDu`SD7Dn|7t097+-i+TwyN zYg!xJ+&&ygIkBEsiev2o^*PQTomS{N<rF@?uH~p~^8GNs{gc@yMU@9+f;Xj{Ecq|= zW8ncG=C|@jLG_1un0>i?lwYu)<@QQfNMZvW`KQ1lUZ*%C-un{!e4!bpTh|<r@>9@J zu)c82;W8t8xbFkuCL^{BD_(GWaBVSIk;fa)KV$L(dnF}~8_Y~@lLb9l^ZDD2^RKN~ zFDtm#<DN|WAG_?hw1llH-wf&x$+HAnUFbRBqWnzQTS+hZc|9vg%MIm=5!xox#Ji_j z<_a^$zUAoX$Z*a1Y+?Cp72<S04n;TCG(pD$7X=Jv^&AlUb+`P!<QKnklYkWaWy%XO z-CeYktjaDOw&AeQk~HMEGU`%DxXmHq>*nCHK-6$b=!I?DHCAtCW13aQ(pU9JJBjy5 zDqk&V{mltWMUET#AZJ*l9qjzt%x|~h{@qijblVu--0CoMaAC6f>B_>Gt*nr8<W|S& znx=-IAP4OE_v^LHt~NfUzIKP8Q?a`w=X(`;Zr3z?%BQOGxHNk2yCA0evguvox-Jhl zdX{{@S39-pm*cJ9fB)apuG$$G;36Zc^MONDt3Kkv{_jnh|EFud^f{UJ=8<3PiKP{S zMY>@#=3G7f^#1hKzs}rUs!^}6#4!VOyl}AK<Zn$4Z^1p8Ur#`X{ziVgRklr4+pxu< zMM+3-<4F<O>65<IX}kWN>$CCIf2J;nAJg<bJy({WSm~jt6}7~`Qu9;b8jXA0%lEE6 zf01u}eUpzF*OI?$?>RbrxVg8U^VHto-$_1159=9vJ!;Aw%iV2RPOixO{Z>A@kpX(i z0lT#Mw+9_8U%i%0I8m{yUT&&KVjyS8(c{f>HWe)~bGj$Z-Yh(|pzu+-`O;pEpc`dd z1Xq^73yNu4HN7!>(WPrD%L1CJ>Yq$x?^<&wL~7cjC$YCe_r|P9k`(`>`F72TNpIKO z|8`#Bc+5iE$rC?(C^*O4bY#-6rYDUj<}O+~zx>Q%_1&l5gHpwWSrVM36BOz_j%*X) z==NLW{YtG?^U1PHId>Kxc>U^7=qcAsv98tAji-JqQ1dSrzm{}kx5H&2(b*n>=E9oK z!jxQB?^nKZf8SHD4VBAhm2<9o_Si2~G*R$mJV!)R&w_V6SvUWDILyx$yhb@QD$h7* zahBeuby=B@zV_dmoxjgBbaj~T`b)F-_tmd@W40d@ohu_YD!skCd-`8Dmrr7iuP1hj z2*`MgH|1Y?dLjDM|3wB<^<$e(RqfKuZJd3nCZ%TAsoSE_b>CQ)+H&^x1WPTj*tPCY zn9!u)m8-T}UtROU@9I>y6VaLeE;7=L&M8lBrq5q`;J|?|z0DR6maV@UaEebb<eBTK z5*6wC%hO|bmlf{%esy)Yv`pIFU8ON=_${5-cqAsg4Dm>6+ZeDiJpP^br{0{^C+;S% z_~u*odir}-#%jj{8AeSd$24q~Mynr;GkeML+NRKQR$qM2lF#AED}pNd%~nqdKXUlC z_sX)V_U$JQa~v>#T6IKMO--{rFW4hO=4YsuC-3U&p!&b7ma^!vyBrXZbezdlUtizH z<nqu>q|{_uM+&=O2b&Vla`2&e>!y0#?KAnLAgG`+lhf(pL@&9kO<$iLYUQ5uLdSTm zXIb_Xh^sV=nwq>dX0=ptD_wI`z;gzkkX81pK#!36iH?m}j=;-!RdDGZo8XBvKFKTC zcwU`4@TTVDBiC=o9aM{c99d{&nQ~!)W6TRa=zfOsJ=Ndy-mTX6;CcD(-8uR5vrM~R zn>tRG5fM3Jnr6bu&8>W8yJJ}PmH7vwo}HUJd)?0)TQY^!r|&6x%2ltJBG>xeWbMgm zy3so}+}oOceFn$D<19Pp2Rz{4r+R{YD`?^8y0o*iVlsE++}vbi>Q%L1h5Q+(3p-3Q zE<9Mc%OXPf7~8}{b_sGqxjnq|UhwTwS$u+{&gbo|t&im!I#LBKmTi&$?bjYyb4j+S zT-R-1S53&riRzWbi}<!yCuH!{3k(04t1xFr>D?k9KbJS+jiB8I^<OT!XP%g#_;R*& z?Jtw?r>*)On>QObZg^nTZeuy2`un@UkPwmC;Q;~su1=;0e>O)M*8cvsRKEVt#Qn}w zZ#S`C_R!7y_V`%u<M#oc<<HN}%{kY&fXm=n?&W2?*?u1uu%A7CtXDenwN3T6oce1| z7T9+%m{=K1Oy(B|QARrYZbw1pQm?5qek|av@lKdkR9gDfgy-$;?a!TR1#0>#12gzl z)XmJy_7*(2U;p2Ce*Hho+CLwU`@}V{YOy;j&zqXC9(;oIlB%z-aux{*vh=tf&@gJ! zn&OdB`s9RQ^6{x<Y6=1(^>Y5~=UOKIpDBHcC3sR+*f$}jB&NEZxmBtvvzXSo1r*%y z&5GNtvvp1IG_8uAPs$=*=BGl=e3)PHh%;(ShF~_AN#w>P*7Pa=9(C*gSd8u9ySb2q z@0y<)9_y3!hMs%}Iry$#CwAAA;|@pT?CtHF^tn>b&N5wdl0mY`U#`Y^noi^)7WO5} z%irHy13mNsc6>zBBJCUe>n>l|(4g@!s`u;5U$Yt_7Iqn|d-}_7`-Ni-9gRH=9unFY zj!CR~()UqNRz-<JqPrn5g~i@$%9ggSfFm^=GB)CV>iVwrt1~93eEO_=T4hPc44yOW z8#<L0m!O|^m;6ZMlFfPn0~faisSce?Gvv8e)L6`VkSS*#d)A*hg>_bZ%B*WFeya^0 zzL*uU<^6{%{6!1?%gO{6I<qr2mNBW#W#tyzFj@Op{j-_r8CO?@zD(R@!05QSaclD2 z`V(<Bsi#Edv8k!ltjqK@5WB{5JfgPom<r;22X)Z-4*6070tcjKO_(5X?U+LT6g30U zMuSF~t81gDUw`oM;l%Lvpl4^>IV4=&J{-QaA(44)%uXYDr<8xX?=6IUqWRf_pBBko zIdFcq*UAskg14O%qFTA-?d6>uz3QVcEzM+ob;V`TiJaFQsWH8ZMxVZ3k1saiJtIHs z082B6=$${N^EvL!S!jB}^r^$<#`6=dIm8=;FZY{!W4p`uHojnwf`SjuXC1v9H2CCf zPMH6Edwcux`u<X%*=D`h8$ZO&2n4P0zK`oDy85*086OHb!DrDykD~i9_h8i~;RmgD zznFwYSMhXnFdb7$5Rp9C&!7{#xmwD4$Clsxjn?JwFCY6Gp85NsLRQr6*;2oLuGk~- zs3Yt3a}Jq!N3Xtl`~BvMYE~|qy51`{|NqaZ9NF)4*7#5FdEhC(gxNgf$L_E1|JQ$8 z$6UW|<>u=7>-($%3i%5jxLn!w{hsvJcQ?;R{l0FM^;$0UcGldjd8@arTeWq5boSPF zwi~*tmH8Y51YHB}{QqwG<(P^}gMf#}xtIO%IYsZS=god%XZbqwcpUSSvIEWy$$<-- z=5(Z=pI4~CesZpd*i|0$<R`wMt4H=~{hHdcx9aPodX@kI_AiQ!(_OhwK5$#}(s-_A zaht-@jpgs-zIl|qywtk)49C?66=ymHxP@ZXeP$d`6q~?n;^@4uWA<$6_RNGC!uR^N z<=%E{X6M{$!S1=^#7_oACqC{&t{!2m;1lO6b_h;7#P1U#u2|3Z`>@mmcc!8iwduc( zoVoJVK|<4_-ooOR_W=o3{-mY@zZC@3jhZf*?%DWJO|-h6#leYhKKBBnqcJPid}?`c zSZmrIfdk^}zl+ve)!yuXHKlavvd~ZJ>v=5>WPLr!aY$^1+eMzIdlckPq&mcL<elpl z*MBzs;l=~J^6RrbS5(MZsw(hBSuAtwmD=^Oz^-nIqB?7$*oyivWpQTJJ-_a8=I7_D zxtuUOcw<F`;|%EO5OW?seCYV^-#?jej+3-I7@c%JSoKI6w;eisc&5R^sAUBY4_#cR zrj;;3xoMB!gw=O;78loXU1OT`>F@XZo7*`$S4T+}?ksv*Aycs@kdq~8qG7U|-#1pl z%^{pynD=~5=@xTPsP{`@+0S!e!{bNWHXoSvCXGX2f-igRjD`>`RdfC7og388-Cef% zI@1c@w@xvw%4yOZDsJpyw{Of`<0P=5p5>8?+krc;udny-@a{U=ci^h^!Y{wyWlwQ8 zRaqT(?Ci3V_@wx~GJ@hP^H$p#xS#)VX)k;KO7G^R5S9FpdQQXFiV7+9N2+d|KGWpj zB-kM=*=QlR>AbXA&WsB`-~9U%YP~+F;)%3YxQ)K0_R~ni-);&fZ#3ubDw#g#^719; zc)M<WPs^<-cXG@5!*uc!OQVE9hp^<zfQ3wo+Ohk6d;&~e7_1EU=j?U3Iz@en%fc0X z?MZ#j2U?{YOa83U|N2emq*HB@XMKOego#Uz$E1m{=(IY_Il&<`FQBjOOy0&99614% zissDo0v^A5H$`phiz61Vs#&Tmto!2v57kJn2<>(1<7&S8O*iF8)`inYnjD%yK5+aj zosyKji<@)a)jqZvJ07XjhM4f1>k13AG#r>ZyRqcrbGhCZVU7%*PAn}wDvCFZ>Zd9s zB(fx_Dr;oAcuHkmJ$g@3K;qLBwRImB>G_@x+;-;D7N2|4Z5%B2Jj?CN<}D1g)e1Uy z#_)ADN7*#c6h>;nlt327Yy|;rNyoodCbAcP`s;8tcJEW%Qn#PKG|0uJs_n$?Lo!VH z_x3C`we|~cuWDUc@lS^-L`iwVoRb_?^^FdvS+({YWBV)qMf&8-;3b?wKXp|OM+-Ja zoaC@tzv$+<t=ZSt?C`lg^H-XKM6PYs>{(a8Y?$kM(kn-*aX(+2(s?P?6T0PWv*Xf> z;$w<bl>_#<@~fM~8oRn(m?s-$Jgd*IXusd4I>!SdOdBT&a`-N6e(>?}aap;8!d{Wz z-<+)vSQYK*Wg+|T?1y;_Z!GJko)SOnCE9&@{q659dgt0_D=A;-a@;pN?Zttv*>yoB z;;jp0S-xIa&e^r|S<cy6rYw!N8Z6S%haO*8e=+=iudzbW0)+`Z0v%I(9HOLh`#&<4 zrC67KwVS&`#)o(HE^8y6P2X7*HatF&x++pjeX6F?u6kL{hKp}jE<16eTU?*Re#L^% zn-UMRT}$%hQfm58)-PwP;XO@9r-|Ju>6}}S#KP>0c{MyXmjpf<KR5N{kxBJ&JRrq! z#LG?L5sTBNqNiTjYtE}Z+f!iN!Z(jwL@7x)T)9#|X}Z?mwZUrQl{-2Ytz5c($xef_ zO|0B6uC0w;Bfq#_a!aCuUb}(GC&QT7*vJ&VtgQx5G9A{+=<+JGw140{q!J~b)p6GG z>$h)jZ-04mQn*g`QE}y`Cl5a_?BZ5p>78j^{%*swp0vis#x;S=`Nk#r8>YRyy!^FD z%g5T?m7mkDU2B}ibL6+ldQYFvNoOytYs}-j))Hf%@y@}5|JmcmhwBg3aBv@d%=FNv z)@Os-8%`IlsgpVQGglp(wlB<Ro<c&8!<v+omzS2VVR*ShsghsL{nDJ$^p(-vnwO{a z_ooU(?0c%h`z~afQTmSyM$D%)dKxT^nw~5ETejYbdude5{EvU9+0|AtB{F74Y{?L` zt^YSCp4n5vqCnw!cEg5-f&-W98Jh*-udWJ>biID^WaptnhawF4+h*IIYp#0#@NoOf z1C7j9M~gciB))ldb@k@wEKb!QYI1IG>kVyG_n&uWp3EG6C5C>#eKkKT@(s^&KWa|i z5Z1EHDCLC1kD~RVtHr*)xjFg#(a$rsJGb-s6fL+Ay(J^$grrm5hX((-R#Q)MZ)m7b zG7PCpHhLp^cV{oJ)-jI2PEMvmSA{SmrmgOK3ct>*jbY#3{`lCxqB%CNr!DgFySVwz z+r;`!?MvJr1l};dRrW%u_y3lnOa6Jsjb|w-@3^VV`2YF*`ZWK>v=2X=rk20Ev-8iD zb+Nl|Y-V(gpTIGpIra3knLZk==7%p|p1k*{0$2U9Yy0c##l%1}GjDHfY_`=oJzf9# z;RQ=xzkBEB+{W|pfBgPB+iD|+wmuolLpv2`Tt9lW^+MRf(A8mY?yiW~s8k(z=4|(0 zhmGQL)7tsvFRct-9&t3>{yIyg_SWp{X{Cuz&b^S{W2lg%psXNNXj+l%aHNO#@nS0v zAAt^Srj3*8CxUK1itV+ya53lWy6h=_f3qfid)HU?RJeZs^Qo`icZ*NFva;^Z{vX2i zp(m@^f>T)H+Vc1RopzXCzUD(?Uh@yr{@Lro7BX#Wns9oF=j0o&FYYKzX7=y0Giv9P zT?Fb9OjwYW<Kc3YSC)n49;b|T_BEZT?Rm2O_xDzpN9``FU%So+w7~ui_@J*VD}yhe zn5bMC(K6w~o@b_=nQ?1={`>p8#?DFS!-NCbi}fECeti}C?E+~2%Y0ep<z>5`9_D5_ z#8MQpDaA96c~)!}CzEHZ!<v*Qm;LRR&N9t@6;tcE)gb$P@j3Mtzj*$IuTqz;-M)2w z>)vzqFShW97M-tmQ@y){y;F7FMol9oU)_+)3V#;fe0_cWPSBZNy6Q~k=@q;Jr!p@u z<DGtMmN1*E1#|86f_?iQw)F*Y9J=+3Er`kK;nSx_%`2ZQ%xEiBVCgGO@M{SK?ZDG- zK5!tLX%UODxqh6p9`_;p(%08|MZGE-CtPM~Y7>~ePf?~dMzH?Lxdhvdk{=FP9%FkS zbZyNfaZ%~IPrs}|Rl<*iN6gDq^DMb49@p7A>b{;5@K7@FUg?zVc~^e7JbM4ld3wg2 zmTVoSy>^m}h72zc@JiV)1FevaV>Z!jX=gaT$cfcb@cca6#{qnktS9PpU0|Cvfmh0; z!&V~d@bN>f+@Gzgzt+Dx8rQxp_qNm;>3KpGE(OZRVm273pA*<~y88RO)P9%gda)U| zwq(kza^zqyRyxA;{&Nebu*DIkNzFee>ND9Iv}7jD5?`+<DgIo6Q`}Ns!R5uA-U7i% zp^jM-Hgx?};uKR{xi)%xQK{gk^arMgz|Aj_$>|=qO%8b+Jb3Wpx_ViIbMs=gj_G__ zR<^(~NmV)F7O3OMV&J|}cskR`-)<UL*X#Usk$E~RI(z=^(Ek&;F4Z4V`tW+PV2f@* z?;^=lm5z23PB-j<p2Vwv7<3YE+;Lu+osuV1Eh|5%Ja=xGkdRX&-qzl3T<CZed?MGR zxQD6^oa^_MAG%$Cdp4(_kc*+2R+zvt#>hjw@<%wHS5#C?*uUTY`7_0nqDL4M#VTZv z^++a{#pTwoXmIS~_~hoWuITx>vp+Q>xIMUv{y$K3`X}GwFE~#te4WhveN|txZf#2C z7VhqmH2zd>yGO}0kSTW}w|?^tR;G=UCK{!lD$o&Jb1N|C%c8|s>enSo7I~>(<?Aat zyLRjO4ILi0wtTm#{U0fst$Q%<neRH;h_}y}PPnl&vViOON^!5tTQ+TFIWtwq&$d#v zW8H7pfTKZysZ~i$4oS)dkM8JxIx&CZDN)huWlkH!j3x<uc@pDzU>B&43YgdWL-|g5 zUjnz;yyZ?mizm(QR*A2-JoI&2tL?8lwGP{vn_m96@xFDaXwqA$mL8Sc-s;@qEIX3K zIiLRzJn50&`|&_<N3jy)DM22F8q3cNyf0!7>us+-_gm%cY{N#qdEb{^Xy@0c?u+>n zc(d!vP4QKqQ*L^(RoiVyXYZB0Hl3}1mdCu@-8WR_wr$d^Irr>O-Hc~7q4meZrcKeg zu9%_{83&nVKH}jv;bw1Cjf%Tv)7;OM4!_UeS-i~jjsL{&UrsK*^7rwtFNw3ZTsgDZ zHuc=3-n^f2>gyM?XZ(3mdF9IHxd&D6eK=ku^)Xd{mRY&w)-3VRERAUu968n%6Q#lm z77ATbv%9CjE!k;aQnW}Rp_C;_rC#}joBLW@6JD8v+hlfn$}jP8KFW1t{-#&qwYx5D z^R2&dY;Ii0qs1$9s%{itb^OTu^smvmIpRi>w!Hsw+PqnVb?<^_q1-BOkDN>~+hXm0 zQgc`PqJ`5ng^ecp=q=L;7BFaZ=t+6<=H})*nF3EU`Vzj)(Z95`G}h|#c|)t$zg3P+ zs^2@+``N|v#rsUEce3}-^Z0L`u;bm=;(Ku>#iuMj*;V$02(zyW*lEQpV>Qofs^OJS zI}5)G<=*^YJ=dme!k@o+mk&=!c=vOHZMB}1d8OXfb@yG&m!02w+9dr~dC8j(aa|o8 z>f4)KSGwn`9=`W9cz3Pzy)WE~_jfy9SzfBS`);yRy;<A4r7swp>LfJ6T_sl=Y6==n z5@>k}Ni!a97gn&({q@C~EvkHHr}ghAQ<twu`*~=Ja(80*p(ArmGu{5zeNp)J_WDkf zPq$xQ`TU=4=G-rzT8&G-Jdm@h|0eQx>(BZti+t6!0#~hh_oFK``_(7!f|&Ptx}ope zOhWb-dSAO#e`9kp_uiVXlf>5E@w%FI?ebjqqQ?DAu6I_J?DSe?oy=GD_nGP4y-%Iv z`TkGq67Ao`Ip4B$j$P*Uj-VB3K4+s!1J<tHeBqs}*TFBxLZ!Z)P5HGZe#)};y^#SY zgsmREbw0d%+V<^hBU9`ZlrtWP3JM;4?0Dzgj42$F8#%5Rbtoj%i?SrCD1%NL&1;f2 z%h_=1(WI(Nf!zH;?Z;9zYj3T1*ZD0av0pxLdRXa;TT{71R>vj(+VVbuc~iok>o*RW zWZb&4V%6@fDIy-P%Vm}?dKWlVVY>djrQgo33hbLxnQ?ui_8bP@%O_6!-zK}v=&A4b zD-MfFHs6xJxVT+$OWtwAqO<kU4d;79eCI@&uktwP`2EET%lfI`lj;|>KJ=e|c~fci zO832Y_N04UTwEyn=HA|Fo#gi?&Pz`geEWCR#7Xn(esx;UespmX+gphQ{?ho0Pd&V6 z&-J)I*RkB+qsn2y#bh{1aE1G#;~aJ!eF?_b<}94%weWfz?@vYVx_OS;)6Xn_@Vmb8 zO>e{UB~fdCUEC%kE$Qf#vwYgt6|v9feL3tOI9JD1+VX{Q)c!Qdm%{x!CJ46F+%jG3 z_W$o6?_XQ`7aBZ1WEq@!_0rERdA|+UF>G>|HchknS(X2M?Ly~eYLD1VL;qNQ`mCTV zP~glSlH9zH%c^Zr@hU^f#wn~;{aI{}8vIrl*1y%`Dvho9Be2fQtmBbm1)r4Bv9|ZV zD}F7~WpeUf>NWL|-ioN%71cHWPt9X#Y*7$UmTbJGVt1nByj9c9&FL=xe=St~a=(7v za>>d26!%;2`||kD{S{A-&*jxC%t=XkEU%sMWBU9{FW#qLP~XcgHD`Ct$DCO|&R#c3 z{T7sUd7<_GdNFaMO_^`Kq|6@dH{5qsZ0#MVQYn+oySFRbD8DY7yR>tD_}1NfBa@t$ z*(eJnId7G>Q8LZC@?hHAV<{}1>jU1kp5wOJb0MiyTd*_tu5sF#1?v9uHpH~;i``Yy z$;Kz+0a_(B?VW|1fx(1TArtj>Z%ou$Z><N47=y|?I~Gi@f52f@`PBaZv!0ZKmbaVA zm$=?F`f{+|Zo%T`e|hg*;8axh7C*Pd^KsjSE0(8Ml>W{uR8y8Z`105NeIefZ3q$`W zDHxhXm;GK{Hfhep8TLiLQjW}M$w;4dS~7A&0^{9XrQOSXW-gk|lIYfPI(C2E+^_Ml zUdDz#S?i(-p8B=`-^pt4h1T%PS{->VCH*CK&fK|?I{cMo0*j5!=5VtnYCKbV!#wE{ z=teycndeT&*{V$S^z^3aMw^LkY(D3=+;6VTBWq8ympeF)c(^@S<Z?$!KFHmmdfhU^ z^1u6D+?-aHf8R%aJxj3K^E0~+|GTwm8e8qXnQCYMwB9QFmA|F_^4`!?zvE)2KTTy8 z=YN>d5V7X(>khB5wKC~OO;4`!oy`uI-DjI|YK>~&hiRAJ2Cm9X+IMG1rt=NnO(L_@ zkNn^%PGLFk{D;@6Y}P}yerbEB3n#1@6L%Ir@6(fEI~u>@>$d#+^G>p!XiVd{y?|X< z<m|-Y_UjHhTmEQtJbq{`{HVVA*P==bd8QhHBeP91h4|T@#%0te_GyQ&yKrHl^UwRX z)!&|cRqE$boNrnD><Gt%vUMLFKgb+xR#xM<VFilDD__Fb#mu}aFMIHx&8no+nitYl z+VAF?8yx5_dRl0DBmBo?gRIE)I_f?DigjJSr*<_5G~M3nYy0ZT<Kka=um03$R6IDn zYoS-dUU`uP9>)W<;*`8RK0exc`N~39-cxhc_21f5&3ycH!;Y5B4@YnB*u{Q#Z|&q~ zdXetDvnp=gEj8G_P<z$+6YTl(gFk2G@oj$o{jSCyi5)v<83(64d3kyHnoYkypSK5H zxLvY*UDVdDK3VHyPZ+jkU)TGV;&3tC*rwjR{$I_vC<*D13c-E%_Ec^@`Lw~I;emr& z@{ta~HB-0`muEJ!@s`Zvn;;}0DYH54tdv##KbzX$Z?|7r6KNc^DTVXz-|zQ*y4v{b z!~OEJ4tY%FXKPJNcJAX*u{{F1ds4y8i0Qjxo#cb_f^YV`I<V`yb;GQyI*H-8kLvGa z3+<{e+_NWW>#ZrO*=1ECQcl(7|2!SP|5<tedh(0YiH~`|@tBwWJ>|-wvMg?~*p%C< z@~kWVDZJ|{;R#y0W5eS1Z$a<Z>1u?Y3R&gv5nQ$UpY?`n>%CQfyXqqM_O80NVzsof zUJ47lvf;My=g&k0|Fx{n>k|3AV2anjzthf}u8Lc(SX+OuenxDpbguEGM$?DWuZl=I z?&HXN$I+c}M8fzWGh@<XRnF!$3L;A?bqaVUg$W)q6kXP~oso01Ve1CLM{XG$iQ*Lj z0n>OUHKwHWN+`;(=yM!$;9#Gs8$IpL-Mc#vN_$*b;MlyP@bR%LTQV=VFsmqYFq!o@ z^rW!JGwqO;HqEL}IUK#=%_X)!TjsNst<1dXxYkC>hHrLg(Ti_uU%zO2CC{YPwC#h& z@!b1ggV%K!ranLa$9eL8SvT>kmiqDg6t36gty1}s{p!Zsxy#QicsWyQiPKamlY|pH zGDP(YRh1)-=iZn8@_Eh4k|z)HxH$QgmiNruaYj7U>{#cvu(oSTm-p9KexCO1Z(&Os z|Ek=HA7x4ff>T%yMSC1_pVyZ5;nmiRi%p(hOT7YT`PBaUvhdc{Z0VMwU*F!IK0ou+ z^#d_qUtN8CXVMIwd*V-~FGv@5(p0cNq3$T8n_?#LDspd?X?vB8t*zyfNoKiG=TtR= zm+?#%Z<+Mvu*<S%&K~SXPTp{6noyq%&q*ouix;silycw5I{RGk!9A8M7p$&nkbOEU zx_W-V+r7dc8tlGr(eIB5dc4Zt?ZHjvmkx0rXJ?tNjNM%p6V^R}!$#CXOm*MOOG_80 zuj=P@vi$t)>|?$4vlhneaA;(@vOeDa+o}eYjBBgI^|fMmnUuc0HTCy|1E=dJxqaR5 zD5QPt#5`MT^#hB(n7jAM_|ybE>wdiTxr0-|pLch6|J=&R%qCG$pcl8tBl%d5p`_ZS z<6`s9KX~vUqrkn?;@rF|(;c4)vpi}A$E(&3u7_qNBEO%Xs@?TU+;6Ja)AA|0r|s7E z9Ox|GenPq4O)ESkPlj`+$gdO@QH}Zw*DmqQ<CwtzBtSu*g(czRqZR)w;^N}w<aAx% zsuE(uzn*PU>ed3DQ|+dQe|l)S`f*LlnrPo-pmE4%x&QoU6L?l7K8SRbU|Yi8CNnkn z_BKPqUq2rATdK1>Ju!p3{+FnIRFHN@&kTix_n_kW!U}fJn4LvWH<ioqeEWX((c>%i z%l^4fQT*G^w>AG}&L!m!7B-W%y|MPcU#n)TA=I^ROS8X5Q_((!1Y1xu=|zr&h{%zp z`F$yI)0<yRS*7QAY55F=2{Sm}gy??O-krXj>)p5TgHvbhm?;%~!Xrpo?=vUUH0J|4 zl8!P$dfqDbR*QP$i<)L#*K#~3dGBbatRVZ%`r=25A3l5xzE$|dsQ0zxR2R)@1}rT- zDm?a<(E=OPn9@#i7;P5hcHMJD@a>}a&Q^s_8T|zv7Qhx0T-6CbA-G+?+K-8aZ|=8+ ztB!6C{KynJDZ%Xbe5Vr~f8&#HF8r&MFs(;n_wK5%ukK8}5cu}{-RnD9wuMa8_!?s9 zc%VLrW6oYFhxPGpLC1eRn_Ur^rROf`exhS>Oy<qywjiwzZ#d7M3y6DmLhYfH`@UbD zo69-RtMILJauZ??mkbr~;9@y5Y2xGK{lalBJ7+52KD@zW*2i}9+DDp&weEHD5k>D$ zn^j-Dp!f8|P0v+Zbvjp15cFQ8nas)L+1(Inw5h)MxnEv;k5z^H_U`R+m+IbEUs*Bh z@t5erFWK`Ve%~+rVPv}Ih10vA_d12tuEq43t=oE%LyLbQbMv$Gq^1Ui4|N<fRFz*} zU!VT<MCt2md+&FYRehQH`e4bAnh8Qh)z=qZ^xLj=+e?LIoBS@9(o@ft^>d|qFOig~ zj>w)QxTVVdczu|OpRm!R8}FTO*8UMN@OE3!Uhv|AVpyL@x6^|s`~SMX^8PPa>Agpt zY4-*HB^6~_@jkyg_o$Z?+TEGa)6&)!v^s2U#1g)#jh&eRcWf&@D6IZ-Xu+-BMNhr* zKKF%v%1{8^%2xb5)X>pEprfxL(um1dio<8&*^M`>S@Q03FRu4(&tEO4BFEINAfV3j z&!%GHt!qV#Yb=eAysWU)sN;~BJhArow~gDbE%TlI$oxU|9MhyD99j_@6u2KM{;Yp+ zpwT4fhJjZ6J{#N0Pc5zQ7kpI(-H^Ua(7@YG&1Z(g`T6$gX8LIz2d3WU&pbQt^_7*G zmR<|%zIJdjEfc8t`ir-I?ajJbyG(Svj;gq;T)eMxEQQ5>$p!VyKR-UIJ-4g;r1JOE zY5g^c%#J%9o7rB5)F^IY7usC=yDTrC;o{zRcXw|-$HVg^>1dZ|i%b2P#>dP3=bwA7 zyoWb6t|vF=gPF7AkMs8bQ!d)|TwdtRevP5HtSl|jp5v$EQt?}$D=HLT)W4Y##OGJy zkjmt!zzK5ofma_HWvxmwg!I&Z`jpy#k@Ssu=qf8Ub)ih;oJr>or7rbsatJsf9JT+q zOz*qEiJL6F#qTd+xI3fgf!e)YrP=?Cw+XBJT>x!}ssI1)jz4D#!;$3A&(8YTJOJ&I z6HhrgN%iJq#;5nibfYfxN}JcuFnO>?&bDepMBIG4+6V^zQ~U1SyJu4IAwlf^>get3 z4qg#B_4)hvbVu78eX`aWKR-S7NtjUH^gjBJ^pfSp&(Gaqlvb4Q_;fVo*3M(c9egW} z^+<X$?mwf*p~AtqIfX?&WW~2fht<;L8c%BbPjr8HC*+Rq@&hUy229gym|nhGt57es z>{R*DNqnG9e)+A-m#bInbBSmO<O<&1RhsO2_uk&>&)L)U<JIQ$YdKZuPI%vF|Dt%I zwCS;^ty!WwckQ|ax(V9r8EBxic;Xv=(fh32VlSRCHXit&erCqRPF^PqgE_YsI5N*& z&b)+0PDrMC&*aa~&kKiC%{I+G_Ooh5T7Auj2aLS(b~bJb%F4=`IyySH3<EUXTKi{! zj|x*&j@@0h^x4_j!PE6(b87j+;_okWHh+2R?(tQZj?KNabRvuC>UaTd-3Ko>i+}lk zQF~R+^_e!=J0deh`>fa6Nis?{xHHV-OXlX_NHAg$VtlX9lPLORI_O5~y$V-Xg+BgJ z&%v`({ew@=jSY=?_xGKBIBRS6^`LWe0_`2#dZmtXZkiE)KW<-*XYewgha0#jWhg5t z%}CLS+S1V_+AZ|(&5e!9)sC}FG7mkTq8mM}^W2S%$v2~$F9be5*1IzE^0L0_#MI|} zjSZ1TO*&uV_Evq=XWDo}@WaP?pYH8(OHM8Q6zEz1R8z26hvi%>_kw!8yG!rsdIub| z`Q&{?Dm;FE&zX6)vz?rrZk$fY0aZ2y92^~KKa|eQGS%*tG;Y%_VB?WEAjc!o!C|Az zvAd$OvarJae@siBgWLrDq?`;X%c?IKw|1B7w<kK36y&W6Sy@q=duz+WtE<C{`#APx zJ1!7-<yhab!e^$DPh7ywj_b)rEhP^Q8k$W|c;oE@I``zvq=~=2zW&_4B5rThwteig zqvVC6oe#$c?R-?J>AkGPY^zyh&r?B;N)gB9diR&+NCtJE>v{UHcD>-&lN@`bKD>GL ze+Cny!_2kw=gU{uIEFlEb@-9`|KHy?8&6DD=l}Zl_ViEB>d&68R6N1&XjH%0t=D47 z;<?tjw@mEBE#*HyJNwwMfX_yoBmeN@qWAY~nKVyLRAxWlY7}2F2i!EUak8o4W#Ld% zS|iA&$u~i{!XwE@V+}hyySp{ZL;16xKgT(oI@r`83My5#!q=VI&*8JNR(p+cq;I8j zrDxdtU8{tmjq3xxZpxdYR=O%>Yh7f{8xEW5f0O#Eeg_r25Pr30RVvqRi{o{ZWG1fQ z$Vg$4YnrAT?KYeHs;+Cd*wg0|Rl4j$cowmH?63QK;;gRLmbObVPl_I}y?^-d;KLa@ zk(*w8@}AJ%WWcMHA>iaF!REqLsc6AJPrM~1fVX*%NK4|SrQN^k6PsAVRCX=8f8w}& z{TWL|`@dg=Pv<@0Pn12oWl31@y}2%|L2TkIYZ^d_h+i>jRl?7tkIoNnv{rBV_`rAR zui4$JYC;t1nomTUwIA4MyszYAn!whTu^p=_o^0Cr)$&!-nwyPR0}ZFwax+bHI-nzY za=N~}tYuM4Y=07`^Mm~L^|!aY-(o*ib6WeU9lJg9C$${RoVq!F^*qIU;eTI#QMJzs z(VTy2`pNXEr6-m=6BIB~de7&m@Do%oAJBdI__6a$<L(91RrTJ_HqU=~e(}C7&#%O4 z#hUO>4PA0XbMA_5QB1dw$UHuI`FY8+F8R5;#XP=h?Fc%Z#Tu%amUK=d`M7vJqoVRh zSHE+@ENl8fUYw!!Xr9L}q0Kr>zxV#lSbkmh)a=tUZB=j1S(-U3RnYH8#=(UTeVmw@ z4zF-*-Q)G(oaw{nQyiUL4HG81w1%^Zvj~YYPEKL*jLn$R>}HkJ*?z!2{N3f7()=&( z9bW!q-K=Fh#ZNfOFY}vOA$;nDp_0JL`bk0S@9gpquWi;SzxE|$n}?Z}`k}Iu&tjER zRBpy-MXpc~;02lZ^?k;v=5zH)o&62<1s1ZQC)dS!iQZ7HcGFtc;rVcnlJWc>C*02# zJU++#ZU&dW$5f%6D~dYrJej0h8|171If8>xQCW;Bs7(+Qlb%LRdd(W1yGvhB6Rn^2 z<VNtk{gO_{^;m*DB2+BXJ=|GiHU&6NU$g3agNjzftGSISA@Tp)pGbe0;B;6?qk5T1 z$l8=Vt;m2`&XHWn**(fBN2FGqp3~HjDq!I0wm_t_ukM1Uz-B%s{kVM#jjuPq-12Mp z8)>JXMd@KjRE&j%J!@+JXMa+8v{OkzvBbMxaKi4!{uLFCYeKeVl!<no^jjI!_36`Z z<*e%Th}k_XtgM9<*1oe$wz2oE@etTh$l^0e@I=yMR+EQ<n|WFE?pLiiagy1p{xjpw zCnuK7Qd|1O{ZFTy-<NEbxf1^$9DgGJWk#HqXqe43ohiMiM9z5~{hYqBw_9uV1`Vcb zD#{sO|J5HAkG~LK|2NgpsOgR^$KA+VLfJ9i>w}LK>wv7{a0cyT+0D~+Q;zAolhTV{ zcil@u|Ni`8|A=pWWym_uclG~`EG_4y{C)hvgKNkC8BFpm6Zcoue0*gpdg`%{>*v%( zN~^51@=h{t+-tt6H9W<~&B@t0GM(+0j=&~wpXNvJ>Or@`R13G6eKJlzmtrfmWk&m< z_wMqwBF|MpI|bK7C$E~EGl$)<P~lof*_YSX)_R{XC|akG01gO^Sf@FemzVj9%@1JP zV#D;^dA_0M&UfEu9GbuPN&WK^bLMSIElaAMlXEWczu!!ypY6(Vnv(?Ad`XaNaa&Q- zzx<WqoOkuB>&45?`uJrAuiExz=9T?(HFs}*ENFCk|K>^8J3x)0RSS;H7P+6S?AG(3 z?1R9e-DPj3Uf)`9v<5V1%fYXru+ILS&}0|Kj`t@gtDEHA+3}>bFm-ELe}kt{6F>hK zVTFGx)BXrl{0nMT4QcJGFJSq3mw);0SNFfmwFEcX%;c;;Iot2$<p8ziCQ|}!(~c~@ z+-|mXezVZ+4ILg$+nIODRq%xW?^n5Jt#x0yJWRdl?81MWtXIBLwpq6P%E};>{oJbB z+ccPtrLfH7T=BL~-u~Vb8+HGADle|y*;6^0^(h;#)RN1Onm|V_e0zKQ<+-`muZlm< z+ExDkoRzV`idRmH+3FQ@Va+z@CF?yWt6f<ctZsMh`t|8{Kc5JT9R%$G_MK-l^FObm zHMkKccDQ+$-ZA&hp0(N6*U9qASWEy-S{J<k_4T!goSff_NxjccPt`u&{`k%^-`Srk zHptigXf!Q&Fx9f;g#h<_De0D9bLP5+pInshBB>L{F{55ZIbgj_=_?V?@lkQjr#!cH z9%w#3@l^MRDNa|CKP*mf`R{(!FXM)B5O2~+--%O~Pt*@tKRIN|x5FWKKC|>^UUK<c zANBSB|G*Z7)*w;eiI48P|E<?P&wb+DYx7s{zusQqzPEmV;HyOwC+fev*&QC(-&JB0 z{{L9=lkVwL6mzql)?ejR72!)gH=}J+nwR&@E6RIhB14aQ_nkR)da>M57RF!>iOGT! zny#&l{ycx<T#Ldcw>}xqzrVjPe|2@Wu_VLChH!}^%=7pJSZf@o=|oPll~Z8VjoR`+ zfX7DOV!eC6+?OvegI`@<&i}u``IG&WGRKrl%X&YZQw(YTT>kFP$tTC_@86%#`ZXco zZ|uGr%kFOn4b1mgDo8JO>wWY*Xo<(cBdn(m1uyqYU2j_CS#YnBnLXoh8}FO92X2Y! z$E^`&X3+#4;uTRSacUpGyxo%v{D;Ey^z>}hj`f{=;M(|O{>IwRXU&zCNJ&?Gs+uDR zDxQ=$J+pUwIU>W{ctU*r%Y{nyY8;<kG!_Xgl>3<fuUhKsjBkghh%7mAMq7Z-)huqM z{<-k~llm?^aHx_hnOP*Ze(@p4Ko{12&8yR8JGh-(EJbH_ggjB+t7Pu?GD!2&#I8&K ze?MO$H}}eC1;xyu<NsJ+N`$+X{j>w^KC95Sv9-Nf9k{@uG2?K_>ubHY#lO6}TR%H~ zcg;_uhcyCh6}n;TVouik`T4PkCiJlSZ(O}v`~HKwckkYO%+S2x#k;$^GY>Yg&gpNo z^Zn(udw1E}MXKJ@3?3fX$tz`Yp%Qlb0`tMfMe7Ya4}Jgi_xJZw7q*9>(-$7Ued{Z% z?)PMC{Q|q{6>l={@3U=hTVTcGe50Q0o|3@2?}l&KRZiYekep<+)FiV;Ugv4VXO0;v z%ED?s37K!-@BbgSL73%H3q#VQA4^-}vyz%X`+C%sE94&?pR!Uq-@$=lGUEh}mh^<` zZ*LO&Sq_PPND5gU)_Yj@mT%3uIhJo49r)E+vcKei`jDXDDE9d=_g0rqAs-==!_VuL zqPOMziP@ZXmSbMJ$<&t8H#a68*5-J9;K5!7&d6;!lFt{skoMr(BOfKf#=^nEDkrIO zE-4q(91eB}aA^4VegFTLZ47b>nfGhI&o$Kpox*UWqruaN>AUKyr(bXGdZ58^q~*ZF z!|gZU_TJbsLm`2e<;%$qP5#zxYArHte6sbYSX_*21eMa66~#gx@JJXm*h&}(2;Mp3 zsI_O$o*%XA>h(Adf%XHYG;Z*JdTQ#-4F@LNZ*&Q3@t<c~eawaZxzlHEIrbLc35(hL zxp^!#6u1>6KFr8iCd=IvxT7HPTZn>5$Py1hDYG02&~YSOqFP5DAFliJ(Vb5|@$4+d z`Sn#_Ul}rXtv+~pQ7FgD`OSAk5>(VUBDg?xe!h`GdCi6+ER8!93Z9%0{O81?DJaac zrm?|JvN3#d<>zNIaTj@57!-Nz?d@M(TFTuXB#`I$gY~Dxhlm9{+w<@5n_`|HcaGb! zj>G2YiQNt!?$+hEwq(}m*$6-zw|lfR>cu*X6_zu;H`=4<k>L7bclPylGN(>XRA!$n zP?7ZDp~E6gp84`~nrm!r*qs<ZH6|OVKigUUUT(eUV`Y;TmXJBkHo^j`PgWdoX3FO_ zxVR>KeO$<{lFT^fJ<bY78%;9~&xmN=xYU3CybtOyUzxr$&AxUewJ$o$Nb-1Hy`V$$ zo`cQomoF}Me_K9zlksdf2W90G9}TX~XFj<Y)Xue4sAsO{aG$Of+O=0aFE8)Ut)<@6 zE%cQwEN0l)*jPMg`Y9My$N4EhV3{MU@8e^=FJE0<{YLe`Mvc=)cc!14llb1qN&8p! zOzU#JYFh`B3F4rWMT=Lso_^i%oh4Mi{?^YA8y;8)2nxunEK+(BygE$R>0WmjXET>f z1>{(URXmbL1{*K&w6Mj=P2g^sI>Ekaj)bA(0WnZ>=fSb;+uPRanPqZwPc^+^#&l`x z^=<3>mDik`B^x0u9bZv2;rXKXFFoH_rq(zrXo5<h2ltNXv+fW-3X0W+2&?)!*+nTq zJ^~xqn7(<rJy>|K-?^eFV3M{P#|>pBwUZumtx7HCbzL$ybX3seSkNfKq2tjBPQ`Dg z_Czpy&kPpu$YSxCG_gxmyC^B7l}mI|m90^{kt>7mrvl?2&*#@qddZh^^Q0`JqVmbV zT&I4?N-|DPSyJ=!)0~%UuO6~Cs(0A*eTQO0k*CU!#wi_~OxGM7PIQ<COt)lZJgty0 zsYl_m)L*3qwF+t+M_3tC{x7Z+wsPzOE8E|5Rq8XS-KQ|YfF<D4KlxCjWmh~G@x*do zl#^6VNosP45olnLsM2fzncD=~me0ZT(eXe-&w`n}MmsJq^%kGM@kzZ5y9-0_ybC@r z@9Zq*+fjXU6Zi;&rUq330|n&_=QYnYHXiw5)HYX{Ve6%HbFGWNdxD0N1V9HuDA;kl zIKg4X;I#N)2={{@JUY)U*qB~KaZbI^Ev|2MtSxmq)K)Qf2X{Ax6D?X&FXTEv>$?~% z-7?Ow1SzR;)N_E2W>DZb!p<lw**GKk>#M7vX2M5F_j82-EDO#vZVm1z=>(tO06JRX zqoaen+mmHN@;{!;0Udj(AaTzgG!6%nYjUU&xG+)hOJ~CslUWhqbz}@duYG5mEq#4` z{qe6NmVN?YXFCWOI5@bw^~qQ|9d57ZUw*iqpWCI$VUA!!i{+Cmj$TK=hb#!Nur&Tq zSfH#7D(EvWFS~eduJvQ%zB!K<aQyi7E9md9uP>jSoz2(PkaPPMhX;FDc0A+EcmWRy zCN|j!^W0l5r>E;L@0B)J%L%c(y-;9B>1#32VtEIU>DdYjw@j`%#6A;Y(W!5CFv*qT zc#?a2TW{FfsMMK~DI)C-3|GTVv#%|=y*=OhNL}jWZS4&c1aI$F+oa^Eu#Uq;S$Y4T zPu!r!fS7)q%yq*j7LE-|RATP2Tyt|c(Xn-X!x?>!Bl3+IDNnA)*Q?sw+e?|}#r$!f zYAnmgSYKbiDrTqA{_-QBRjUcP^+rM>A}%wHQWu$IUOKYlZ}|E+!M@VM!i{V`M#~iv zCWCAXUgop#!i9iYHkF%v^&-SlXLGQyuml|K66IXt0?s+0ru?_>(3ZTYwq)aV$=b>Q zjf8V<y;80}d~{=XO<5qCmZR_&)VnRWIrjR;zJD(k_m{NW*4O`=WB2ESb9M~d%wT~I zai)tWPW<@z_~%*Oc@rxhzTBYB%ElISb5rWedwZ+-x*K!u>;koi{;Y5ce{jF<ckid? z=jX5Jj^3Qc3px?~+4=eBKZ9;?1=ZsZPxIUTXz-e<<$AyVzwJ`LxwAm$UWBd=OP!is zY?g9DKur7Z$K&$#zH*l~r~7~U@L_?!{og4MGEdI4wLZ^$xH$LLmWOIBNlgw#jtWwe zj{SlhPkE)ybauXRyq3w#ao}ytu98e9XnkNPd2@Td{MlKi-2sX-ZkRzwNNfN7eEw!F zXt8B|`!xOddGl;456$n^3SA{&BX)L{>EfBj=|+zAo&AnILXY$B?wWdOsdu`8OOVip zA8TUI39_6~6zJ`F;2Q_3y$li>7N~I?k!*bMAVDi|5zF2E_4enUpJ;4qP!%*#Q{M5= zzUoWIgSV!spvJe#o5kjQHr&l@yo<c1YJFVz!K~p(&i#FJOLxWXuk*dTyIj3OB|$-4 zJ8aE@$H(jYKg(Fu{rd8<=HL7M|NW}Jy?MB?msfV({y(3*PfgR6Zf$Q5J~>G>^UI5i zf0zZXZA^BbTQ0b8mYVOZ7i|Zm9=ttJ`HO99PECArZid~8{I|EZCO=XFPt9ID;qm_7 zUQ5A`o6p;A{<`(_uN)4>6*l7fadV(~Ai>A&-|zeN|ASs%Tl@3;jQ~T7q9-1FGaV#E zYNtBbnC0I)18&&z)i^d89C+L)UEM9FyW_M02kW`l7Z<bJ*8ZCE>&wf-x`LOM`FbnJ zG_&(B18owne*fb3rqt6fUR+#kl6FRduf4h8%8J0|Ep53or01)GcB?;D0qs_wZJr+& zC#>UI-z8!rcCJ;OBZc+xtNmh^zdGzYePN;VV%aA7rN(JzHXK_z#Zp$FF(ZYg@C<Yq zQbvI9W>Jk`#g7k))mNOHoE}-X%uxr8x7HdlArGP6$-BEN@a?Uwoc8+?rt8P2IW?TS zT>tN<`t#}s=TDyOl(Vlplf1D0`=r&Ywf_ecmzS$QDLrs)eZ2qf^7qTY!>EquIR4ou zAM5eS5jfPC`GoCP{02^8wF0@$x(J!r4HK)A)_qj@l;BX7b#;|!ZoGoKeEpw|o=j<c zcTSw};Ns@){$?bU5Gbpn#-YN>bnyhoy%PoQN{n7U0v();DmNBZvGxD><X(T-_>b0+ z|H?DZUGa4g5M>eK28{$8HN=1YP$D6{D#WVv)e#k@hmsP9v_5Eo#!YScuC5AIt_Vvw zVLQ_}oey*w=<Ht)e;2;JwRMVdx?i1a9EZvYsqKsFe|}P3XeH=%&0|q(IP*`X6*Zcm z)p^}1!8YvcyVE?PSQ&r4+x@<N(OTJ1lOGE?7A<Nm+tU1@nITT0BHrZF<cNsVJDM7n z3U;`;E!e{)zNBu=rAw1fReWna06GaEep!KVbHjtv=BcNq{K>azH*(*x;3!Mu5(fv! zsOjF4`*pu_x2#;~(YJvGJZgI6tn5SK3b_f*7Z*4(*Gamtq)0y$)Yq@q=X?KRe)om5 zH#R1h{#5%VEN5NTQ|$EZ-Cb|c71n=v*ZvXjTx%h|Q}u&Z*0t^V@!xJW7)ajkleM0a zv!LqujS2gin3@YFt}IF@zP_ON`8l7u9$uDHGd`#sEuCU1Bixvgvczw$)gQ)V?T$VI z9lVUi@l_rdCjXgu<HF?Yx}6Pn^^%R@%n#FA!cDxVYKd0AF?r9l?>VR$2^uKfl5tV# z)MR!3Mji!qX8ThU<eLJ#pu?oya*7t>PZI9!skC7`*O<<_Gx)=f1!rWJXt?*u{F&R8 z?BMt8+}zXNPVYF5xkY$rpFaBeML5&?r2Tb&e}I<CvaLV4IsN<$6Uln%Dc1t+cs3fg z)J-@Yw4>mmgyJ!N_Tc%!HS0{*PgGq$u}76-hBK%H{G?UKnGyMvX|95RFp~yryS0p7 z##sUN<I|U^IG(On*A%>Pf}`%~>{lBZ`CTq9a;^0A;5#bsl^dAUExmvCZ0Y@Nm+p8@ zR{Q8Hwbw?V@8l%aZoU01l^XRNmmk>P-%*&%clf~MrVAYBnxCsGDK%-QeOSyFs;6<# zHIC!ibvyABnvDlkoGgE_9b($VoX=w;`|F<Tla`Vf7aDD~j<HQ*(mylPINjnp^Fx)E zyGL0XpENj_7&YnDC4Bg}w$Yz&_B7KdF~*jZdwVJuOPdrwJJa%G>kNenQ#c|v*E44) zy%T=uUo&q)KkKA^f!-E>A>l&F+fty4ui!OkaYe|A0LAPz?$3PMI38S=*r)PQTX;Xi z$NVW)pvBIe4SOdEnpieJXJuiTzxvnL*XpWkjHWA0Pyy**k?n4*aKsPP)>LY!u(@+h z(kR6sQcdD|;S&o-g?$`1v?c31_2r7@DNL9n(BbALAnht{zvC7}{Six*$G&r|rV9T* z!qT|J%>gl?3yO`sHX^F7A1@XuSReA>xcDHgLB(;(caVd6LHlXkVx9@J=(K|ZVUpgI z>P|~BCV%Dli;LCIYrc8O-PKX!`SARQ?@oXBE~+o6dndZ|w}C2atKHJ@_4ij?yPUsR z?*5+YGt-ro@9va$)3p1+ztrdB!QID20{_o9_O7a0cFb?OnyTeeZ(qqv%NBikb^hi3 z{XDNM)0gxAEH!j|P%9{4^l4wm$&cWD@(ykvUW=(FX7KBz)pOhlcX?Fz!kCM*yQiu~ zX63)XJx=vk|JN_zXo;Su^X1D=Z?DN#s<kt$M3)9WQGVNA;UoHW#k}K%KfDj7JZ@f5 zzuH|uz~=j}_@+-$q1X3-#sMn${?{$`cxP-{_vCZ<tM^Oa-9I1y;Qo3MLr>3r{}$Hd zOzX+i^j>B%#WY#!)I`g-`}<%1xS!P2pvltGbK<v?fX6<LdWi{wSDq{TH2*5w_@tX5 z?@jsp_SO42K5^GMG4d;Ed~mc7EjhbpPuzdS<zM83j(y40m>euscV@*N!Ii-``^+Ex zJRL3~w(g732l0h_Oa&*3tdslydH(jUH8w$V(@LI9vTgoVyr{-<?g`WCD%WW_>3>gt zpF4R^_`EqMJpuv*{tNijPge8Y(%m56{v+?&t@G;dSF5ZRXYo-^aB%z3s1du%WOZnl zsyqvm^Sn#ErIYtP{O(a{7B@M+;n$;6ua?+wzq}G(b!wiaZEA5@lD=D!c}0|5i`#`} zcfl_o3RzlL)ZY*PUv_$K=V9yCSfjwi@bptlIl5;gD^8F|VcBJTVN>L;lKPb=#a@3< zQ#aYqw`%8$U8}Zsn(xcX(CG-{p5?VZIX3j>+QkY94sHret$mFzxHQssbFi?uU%0w^ zVaeNf%AY>gulb}O6gcnAOpWkuJ*T241XkKD`oC+_-MbNKsSi(8Ka!VOX5)}3vfxwL zq4~DUo%GIy=*%}34U|(9e`+hZIiDq@o?CwrY%zh)#EJfPKU->QYfGis3lI3pFMUyH zsPS2;oo{b(&)WwFoA11<y}PSat^L-POyP2MxBdy=-rk-)m(TZ%f!LI)Uf#1T7jsMh z{P=iD)LKv6r_Xa6hr|TIEv9WAA~SZ)$W<)3rrvTchJTs%cDbN`HZv{POmY|Gub(b+ zYU}DxmPdF59rC;m{5|gDqZGeSVgCVxqVw}xPl=p#lNUXuRd7?039?1$#gR_oFQ9|T zUg>G=edOhm)Xd?+oK*h!SZ{ZkhRd%DyJXi2DXe9LY*#wC_K9z$UBJx_xAbRw)HbdS zj1y;>#LdJ8UXk1qFLc37pnkI+)A_hPi;S-~o&5cac~^~g3)ilcg-oy3FYpfYnr^nW zRD3#5dVj!F$9?}4|CD>Ho~+uDnw%80EThb8vX-mSoryX(B^ZknrGljlGA^{AJ9vG4 z{PP-~@bGXcn+gL*K4wspO>9@QV#wn4Np&wSDB4}Wp)l$Bn>T0t{pVOrbf|Apm{<P% zob0t37kLs3Ufgy_75`Zoar(>4%cb-B-`?JS`PtdoppMR(7T$-pXR|jIKlc;6)+{dV z!eObVvD|&K*OO^~e!X75rTTkb-P(waNo!2zXou^~llr?@;Z?i*><>E>RMxNWZZ=KK z5zu_Y{7~_t#^m#nL5iUC{qTUWKvuobW<I8Sr+ycHaZ<3Y{55Hg@e);YS39m<r}Qhm z<9K)6t^F%+WaxO0^Hk^Rsb|hUanbpyl+f4npmN2;@^^P4x9{W@*DENtd(yPTfBrm& z2nVSJcetzn{xY?#_|R}zTi}Xwde+$w{~E)5W}D@{*nYq6@%0Nrj8eV^ciyn`$(&g4 zSnv7$KqIrvxkg7;iO*r{<L26`2V84?o_u>-?wWJfm7h|+J$X<gz*tf8>FMd?wlxU> z%Il)GYMEwV>zOlW&W_uLU3?BT1w2LGADV^cPWTubw>nH$>{au_$}`*xZ70mRa3NrB z!GnFZzatbxeYqXu8d#?^E4~+)oVeb(ov*jdW1>>MtA&fI8pjb)MrI=>$y|@v$Gw`< zN;vLtRyiHlXS>wd{XK+3jayWEf-{H5rGx(Ff1O^uTKl`i{DWZVrxUKBUQZV7v79rh zfYoTKs(^v3Tfyf1dwVSZ{`q|VjphZOqy;}NnwGzdsmr>)F7{30kH`J?CC49FR;ZZe z-I2(x{LweZvc6cY+Ms7`{r`U<i(EKg-QKPb+68s;%%`WPe;R0f+qHYQa8Y0aXn~7A z+ldPkmEA4OQ*Lfb^(k<u5-@8oUz~Kb>y7KjFJG2S)ebjuylDQ0J?r3y4GkKg>-DZL zpP$W?)H>(Dy}i}$-n&}O*<5&1SX`9WvE}n{Ox&nw&VJRg9yCrNXrQ3{<H4C(rrjTo ziFntr?9pStxKmnjmDCf>ox7hV2~CP}V(aAJGgC4ksOIYTeM)MMcg`;<d6*M&(RTUO zYs$j=<(Zb9;Ml|Sqp|hFVfIz>eQDrrQPY+)Zfd%4e2M4elH&@QqKYzq1-ic})qQQ2 zk=Wnn5_0E)qr-#xxegxe_g8up9sO~4clq+I+1Fp4DiLIAN@vbKZWX-T&sW*K?@6YB z)1l9^uCpX3`g-)3npOC`yTAYZbLAsU{G5B#pPrt6UAAkELq*1)KYwmWU*3`#d^ejz z6tq2ls!rsj>k5+;-hcl$d9iR?1Eb`@*)sVa!TVW+%zEdn?LTlYxV}8|x_$Xdhj(W} zZryF&wCYo|;cX`cm#N(IcRq42pR#?4W9y!f^)+#~qZX`DlL8e&hg!LJ&SHDWe^#K$ zv6U<DUz8t9-nkD_FWQS9+&oY`*Q(UWGJJ2<SBvc~#&<3Va_y}CzV6^h1yu!+xVX5Q z$@e#>_rEJ$ll<n!#yxyfzAM%DT-ur)UMI`K7AGvADsf1ILpJg5v1_|Zv-$pCSsQJB zt(?uq?nEY|qw@;)`wsgiXgA%FT%&Hr9A|O-hQOkxQyXR|2!ylnoZ#>}^Pr4nwfJTo zCjI!m3v-X>U7T0{E`XI^T<h_>Rlkxvlvbq%Zu^trQNyZfS*zOcN;~M%o>jB6>T~D+ z`MotlPV;sD3V$~T9tW#}=5>*q)wX6|@7v3E;%x)wKB`rcdB;x7esO)he0AuA%L^md z$L+OX6gk3J>+vA<qLa}M!DKy&F2;TTer1CeKUAyiDtYO2d71Cy0N%dib2v6CToiSh z%(1Z{XTfvfPp<WM?%c8PVLm+PL)n(x+h$TXJXRdF^f=^tj-5lWC_+HbX_J-*@3n5! zom3m_*DzM9D7g1Zo0}=5EnBAt*-RD3bnNEl^zHwoox&!p0S%vZgeu+S@{CQI=FFh- z<ikz|t>81Qr?mVz?A9cm<Yuh-SQY=Ve);9;e^>ZLhG#{o)_=Oyz`Q1Gevd*<W0uI- zfOFiR8e`a=v_5#Yr{ZH$ounN1oev*(O>60TJ~5c-(Sp|GtSc)PO7lNI9NaiZq$M(7 zYEul)ln<|})%Wb(J5xaMI7{;4B-TW!395HKIQA6uwYRhX{QbN52D|4v1vZY22VNVb zosp>L;^$94)RHyxP%F3l?pav&SA}eSsOa=)RhV1Mx)@8j^sle3ws<KBfHqeZZPM?U zvD9mU?1Q!H(OVdp*iu;DIZXMkG}BAxAxA?)j@669EK7nkcUG#(1m2c-cx318l5bHA zpw$Vh3y$P4Hy(L$Agf;5dU7ZS)3^QxMoGrkY~5}_QzQNe?x<3qQg^?$_tT2Dl&hzA z+??{x`%k%Q`YYuRF<%=ktBy^7XItSDD<!g6sdDiz?~X`*Mn&aohvU-3ScJM77$qGi ziQj4ZCHa(d?tzQv;<tX*YIWbYu;}RLNt=V_iEhqkaXLKj(n|00la{q!^{?(MSW%mQ z?O3^b%8_IG6LkCqIv5$5jhc>V9yt~`Tkl&PhlBdF>1)MT%=*+aMMLE3$AB}10y_$n zC-lw#y7b;W)yNh8IxhtUj3#}Vak{6eVJRryInFQO@m*cK{ff#ryK`()#fyv|aGI`r z=a(7O?bow6KEL>Pv)s9Ji^HcF#MiTBg)W}3_)x;uT5idWQeTWVDojWK=cfx3j`pe_ zebjc~h^YF@#fvI;WX_zSz`(NZ4$qQqyYCq;+qsxLgp?FgR5%Y#Tgk%64Qew6`1kMn zvcqKe#t&AL?iMsE`e#1k(tmYni%jZi9pBTF?y~wkVP!|z$zE^xx?1H^kW5;IqB39c z%GAYW9iRY=wQ`wpA;$fT4U>4SbH?8~`BzIiI==9D?kYdkVi<q%*Zt|2Zpfzv&+fCG zl=rCO+?Qu(qoX!IHYr^ZKBMdTd7<-xPqyq(0&Q?#C9&`+lW1IW^FMAb?xJTRnN2(P zi9gx#q`iSr^5wO)()F)JGv0PgzF#I(f7G1$P{!+vx38>O*6ynI`c35Qyn8#G`sG_c zRYYz|Tkdr=D|o)X`-I)@Q|9c95Mc`SeztG|`}dWO!v3@N=QwX_e7e4Q`iaeMj76vC zD&AaUX{l$jbCRIO{X^2d%d(f9;JCHfIos{>MbEzLZr5};j(|$z##PGoTf{C{Me0~F zsZZJT?&j=;A=@WC^|J7O=I?)V)AJ=|bE}I~C*Qvty`}ba)W7eawpy=@Ihs|Z`Oo#i z_ov*Mr`zslUigr|a+6Zd$&=q?_Io|;PFvb_^y&57q*(Ld6qYE%7dERTtu{PbHeckf z>%y<Bj<zKcN6S}RHuJEvd|M!plao>Zl<%)p?bo|U4*4GwWm(hNz$n>hV;Uv3J?G}7 zS=pOT?(~n{>#wfI9(;J!JF!^#6CN`^g=Rc$D}NL@{akhM?q#7b7jK%HarRoX*j#_M z&2miEeKE^sEiDR~skG?!M2YZ&B9fIKAF(>_6t~isHp@xSowh_lDdYUT#qRv4CaH3F zKd5h<Fk`czvfGQOEz<JEZ*Q4~-7rPkS}!qSqFb+&;F7J<W;q$XTW`FXBc9iG;b3v2 zn7Y!94Vp$x&+YSKW;%H3-KjhkzhHwhd)ei8Z)d;!Vt-u2;>A3!w>BY7G4erq(`&ZW z-1R#(?{Tc_`Ddl8GhQB=S@QUnYUsMT^_{QG^E{c`dzZ^tF5a$xf7{RcEoE2N+r<Bv zY;bCVp|EJ7zUO`4x&Ex&;+JEm|J}X)^7_xqFI&E!th458;LR?Rgcla80)Ea-W9Qpf z@J;0GEUUi$YN2m4E?K88xKsHl=)7Hg;B3CTrH>uwCm%c`pK*Jc{SD=oKhn?7L|WRI zKA---K5$lj>q)5)O}FyApD)g3-+7c=clX8z?N756YhPSyH5PeL-+t)o9ltF7t6A5U zD=w~An7*e?_vd?Uo^=1;)4sj^Gg+>UC3x2tzbWe-6t!a43H8o4Q4jpoShn)geXG=> ziS4sBW<~vC&e!u{uI!H9p0}~CC#~YWW6Zl>UtiDZfB35Y)hVUofYq&v{>)7a18%em z$Q@GWnCu`c&bHBV#l3s`YG;Fnjn_NZ==smH`C}DQ=-3i4w*u5)pL0>iz+i%DR-e56 zxn1UvCOg-m+uQTg4+dqtcJO9<R6Fas%CgFhX(boi^7yWWtcftZcBipG?#%Jy?X5or z#15si9;&SWH6y|IhH%G}&6|x^f6rQV40i4SC?Up}u}sanx=PjKZ#QUBgh~3{$XC~t z3Qw7wojCE_+E*7=3b)Vf$>3UW*KVD^dcW+G3%-Kig}knuisUSHop4_^KI+Hqw7X}E z@AYtY@${%)-^041YHpiI$@%$wZ*KZo7Vo~W>uvq<k`|VQ3m!68Us|?Rd#1safaA{s zkFd2oS)`=);hIJ2i4z`cex&j#Hj0?P{-u@vc<Qf7clP9|+6I6AzpU@_Ay3Cf#w&~b zGVPXEzV5RL-|;JHPu*80)6Z`bCRA9x{XKC@#XXZ%5nIJV*91-W3{Pl~|9NMJ*Z%x_ z>#O<K#qMo66jJYZ_Vjf9jAKjkPsm6foW83)Tk6ytQ)$zjOI_bfA_XTucK>E9`1AFF zO0U%+-iiE8%`0*zYn7b;ulHX1$?KDk-M4&R-z&0APXDLtD~|<B?RidbpR?rP+*3i% zc;ut1GTP<N%SaylEKuKkXKz}-s@4Ws7st!X{m)m$DDZZR=`MPHzJC7a><W9(GM3M# z4iOF(4_-DncJQD47jUt(sA$oK4F+Oonjfb1e_n8}`p1VvG5NW+)n@zI1Z{d^_g0mD zo8yqdKd(Rc_O^{$2D=gtPj3F~$nxOg$?5v?t9g!_=y&k<9gGs3<+NX;X6NJGd(zL( ztI?Zxe2=VENx~%N)_aRw7dzFT6Zq8p;r^AC!87+TaBGM;KQ&i79QTp=+KmNCJpxmq ztvipYTA>-&)<lNvEPA?QUjFyvy?>utR9@3{ojGSw`p)R2&&y7Dyf`edDt;$N>e*?{ zWwN^$&1Oq^a%Sb@l80q2H&@oyt_!?8YZZ4!Apfu0(~RGW4qRIk;gZ~5>sY^bYI@SE zHyWYOzGhFmc*1dh;MS<Gna7$!wJObKpDcX1H6!M3^I^~Z>+UW49PuM&ztdW&DbN4( z#@GMvKF_*Q((J*0lhSiWS$FqE_Xu2FA2Wqh<eS9wRZBWL3bdk6ScVw|ZB4U1xBcRq z-GT9!PN{x=as220z|;MU^E_^tfBS#-$jtgHd&BGRZk)d*-{m;pTi+0)Pa1C|bFE7z z9;?@yZ|q&uw#CqAqTro33(L3#11h*oqPJ#=uKsjxuJ!c!x3*@BSDP((!7apC#2{K6 z%(?VKn!=L3MNhrdxQ>BNmB^cTVS9f3t&Pd-)e+P5<IlA(OuBgY?%XyWNujTjG5hD) zN-8+lyZ6aFyd!Yt^`l3JDwEenZB2UbcC<_MW_MCv+#B|;y&pE%)cly>H`nT@*1lgm z)lNho<o9cNG|_r-{s-tWV@Iw&=w%c%HtXqsrC<n}AC#Cd(J1xQhjzAi)`qHU_r9K0 zba%P`m2dA9l;6oMz1myUTEuIwd|&!{#>G1;W$Iu3C_82I>W}9YeWi$)6DQ7ZR@?af zzzm)T-Ml~b6i+D^e0s(F?`pr-yvyqSm;Tk~tqSmu+dN(79(RRdn%=Lur$Sd`6~x^C zU*}^ks%iOJ`fKZ#^B<kBEG>;y(|fFbUa>zY^=#j7&)sb$L9+b<Ueo`7yE;*(SpCTK zo&&S5Z1uJMRllF{aOQ^>onL-^&0e)&lBaR%Ij8Eqc?BmtH!o38p7DB5(BImRN|UUv z_Wu^&U!#`nc0fe3aXO=sIEVd<`1C)sj{AnM4q%LQJi)pv=0lZ9-klo<CNHx8ywJJ* z#c}z16_piI(n64P(8`&PKVrYPtMqlnpLch6U%s_9yEJvzi~1_wey(eMrH8mzl^$^8 z;5?rlH+jahqqE*4dU$4_9-i(;(6WYwA3uLi&gaomH*FT@xc^tdUDbQq6VsG)b0Y5* zH91TI4QmL@zO$oH*|^+&d$FBj$*(GoQ2p6Ea`!sA#c6EcuuswCQ$2J0=d;20A33?X z)!gi^H~X`3j@f%l*Q4GNlTPVZZF@RLctXF;x}Ph8KFUlvY1!TQ{pgia`LCh*QOkc- zA4y5ivkTL^yW&~?>S=llJRi4z*ylBMrN`UdUqa$0y}NjV?T|Zjb-7ahRAy#=zsu9! zu6VsX-X(gwS$@sQ6TPdauZVoxzvA}tzajmTB4uW+jJ?-e|H?GW(SE(bo`ou+k_YGU z&Hc8}>)p*2OJ;vj*m&f7ucpzaf`?A)mO1`#Hl7d|vf{zB^-o<MJmXo<=wz9DYs<r+ z=;EEl9O<CT1<zkMhxa!>!~2`=a$RW=$8T&*u9Tf2oG7w(D-#FL9yJ9ogW}JROrLC0 zsQ(kZJ@0PB!WMB3OI?Kw4#StyhXPNCI==IXnHA5ZKPNfogI?-ct;)cSaXgj|pjM-1 z#0G`>fID~Y$jB`!+&^!@v{J7XAABBZy>IGyayq_)ZI#P~DJz3kUhpX^HP%bM<UJw4 z=+gJeW?P=WUt98Eihi||gWCjyU7ue(l~}*st)TwF%9B%%UwyLC^tGAUiH#K!pBl_o z7@WA)n{AQL{8Q`mv^9^HgeO~<RmjWlu0Af-#Zm7RJ9T=>mzMd9p5`2x-lH(5<wEH7 zdGRZbT)9~i{`AI`^&u@%ruojr^|9F-<}6WB4)}Ao?uAhA<bqz;efeKba&$0yu;*Pc z&A#@ejP-+*LcI(JKVzS3D_4;cXhG9L8I|%!?2=B>8BS&y7ZkoFG*lem64yJ^pTWhs z-ud>nT;6NE{+u>SI|Q>U<I>N~Q9P0J=I-w4wnDMHN)~2bUiOjSuBE_0-ATuzWUfIX zQ+tF%k%9du*AKBh2EIO@ADOB=ek7yvQ0X~yi-v2Lh>V_7J*a<cbMJ=!qy)i9w|13k z*B_f;&%9pnT7!+ygvB##oNq0An9Iw@*H`woq43+AAj7nY=3AM0lN{U*oO-zI@}~8& z9PG_&=j{;jxM%JZdav#2ug)2VFU2seUitX3lUv<9+nH&94BD2h*dy@wlxU6OLM_#h z(B6#1S$(=$mu_BGreIbY(i3HNiKh4Sis%T8{tTiS13_vv1KxAX6^OV?|6uhQQa zQt~6`%Je0g)gr$psMUR*>eUk~sym(e_~U0yELWqx&bsg@G<q@bLe&jY&wC^$PxL7L ztQoFiEUFPP<)2l>xvUfx;rC&G-35#${ZoGbcUxCklbxjF4W_Favlh+~uYbb4ljj8Y z!LyYgA07Q;WFxlY;p5Uh<`ZW(#!Q;5?q6ib!ohj0?Yg3k&74l|RbeJYO@<S`aOpF8 zReaqmeDB^ppN<I%T^j>8HW~2VTfO69v&2Iget!N>-@iX!C9SfvNkZWW)B3|R43p2C zTm6<_)Zm1m<2{ZOq3`bOw6tf<X7qHdPh_%j@rXIv@7J>Y)Ku-`%R#4%Z_BxP=!jDC zv7R|^xYv6GO>t2Fv+6X*x26V0$&=G`rRyzZ?(Q|Kx)_*seFKl>Qtv-m?B0_n-ri@v z!n0TCN!SVr^$lr7eO<rT#aPYXCv7?5B#Q^Dk9U@<>FGH~>jT7|PBly|&%ghKC9I@9 zwti>f`dyu~&lfwW`n}@6{Gv`W>+#ojw<c*<?a{j*es9K#4Mz^MAH4X?#nPZ^jlxQi zlS_|<Ep^HJCMEg2>cNg3lLTeDYeXwjp6SN=^UmJ(DD#82|LMh^XR@UJ+P1p7Tw1pG z`ODwuSKT;W-gWic#2D)hQM?8-BLp@mg1Wd7hBqwhljihgsjp|bSh}BMRm7<c>aIx- z6ElsPO3(ezR60AysLxsJgOGyx;}-{Q|NMVlE_3~>a-#XS=W{cjZ|j}&zR~Q<3023N z@3v}-XC9caF=TU|@;xiBYW2F$rn^fGmvw7N*R4FosUL6Sy?Fopg|AIF<y_Qp$~3I1 zelR2Clxdx-?B@FX>t=fYug==>@L2p!<>gwUVY_ryy+6--AbE3Zt?>Htle6B&6lLc; zZu_oWlBBy@D6?Bk-}40f%g;|eR>kf=Sv_%L@x8c~PcOHhO1`q<=kY0qlQ(<qvprKA znK1qD{Mlb0MEu%YfO;s5DB@5Ui3x)BI}9%BxObOFm1ka?S$pu<=Mas0zoKH#Sx;6U zQBZa`x8tScB-8yNxmS8;&ubLP`E@^ki{I0n29pK(9Fsh`#kC#dS3cHW@lSr%!uaEp zSdA^V2(K%$exRutu|lI)!roV~%cy3?^^43~9$nuV!`l)kCn3MrdqOZ%(PT?+k##S+ z4eGxifB*8qJz0?l%ca~GI?nXE<9c|rzuo^yU(<agZS)_ze|dgqdieUdpuns0DObwv zgMNBlz2DuFkfU-=X$fn-PuACa`pcfVoflhUz3fw__kQErcXkVIGamd>KTlQHc8hbb zddiW7dfRiZodXSwc-R~|dpMBS`hU?gMyU%oH!NOJzxdI^jNfjXH@jW!3cmM;HS=w} z`=p%TKhB)}`Pbm=&sBbt&Sv%tb4qSJzFMogNQ9*%#pQ2MZMR>`kE5?vl%0Fd_}0F- zf7Z1PJxc2rKbj%)jraV;ce1m0`r1alvghJm$b8DXIKFg3?1mcU>3`Lh<{}?NvjKDv zO??UEB$|>Gm)7)qO3c!UQtn%I-SaN7YhDi6$h2rp*8BI3y0629KSc{nbL`--RMxP5 zck_An)b~O9C%4!COcPkTK>W&{tVWgj)|NgU@}3%&uHOSpz8IxCxlKAeb&4q$(=x#e z69q%1zKO|l1}Z&UG40AvrM|AsZ>+7D%>O#opZK09@HI2m>w#e-!>;Lz*g1YBE{G9L zU2|-S%ZZLXr7Vq4x*Pg>G*VA(Uy<GJv~=+;<U?Sb+>bhcn_I%c(rU9%`JSE0p4Yp- z-Ssrz{q{VIQ4?F7pkUxG9}Z3F$wG?C+v;UgTbmjzK_Tdwv)|P^#Us~xRd0P%#S|+O zJ@1#7mz9NZq}&g4Quy<-IBHv-{?`@LXNNOrR<-yhet&oO@?VdFE6;mRc9hLD3Ho%| z-@Y(C*e2@I0@-HyjDYW^s?R#o(vK}Fo;D}`m=KE$E2FIB&a$_+qD#a=`ujG0&-guO z<1?PEAzXWtKueb;py!mVyr@_oEL_+;OY5J^&&mmc96J^=uiEGs&noMD(emny9tUl< zx$dUSg$~QF?kE#)-KDo`u0l_Gj=<684>BexJ#P;*GOu~nz3mN$?o_SNuH6f)if*%H z*DOmp_oTU@uV;>Z{XgAXlB<tD*<8iFKEy^@j4h<C+nDJ?&zjq%)70wnR&>ccuV;Mo z$02y0U`dzTJZX%xMNYTzO7F;wkLmQtnQ(4~VYBeR<Gs@91uvF*PtSO8pb@n9HSc9# zG7~fNN-xouo1~?eecJU{X@Roxa=*D%-9DvXUu?+miTinezol~D?Z#_~`unDu>scxr z#xv9!CHXjQF+N-Wv_~gmL&M?o!OMIu`ZB#_z;RAU8|au2-;(EYRwW(hP0e2Hwy?I| z93jWzuIQGYV<FCUDBz6i4R)2K&h30_ru7vsOlJ1v6Bie6vSD|5vtaY(mBH%T`<+v( z92E)$FHD@c*u8(>(e^-FwRiPBW;VM*F5mcYbmQlxo~FKM#3jsgId(riKR^CCr=i_p zX!rGbxbdAQg^%6!I6nC~M7+DRb1`?jqZVV<-nX~6rx!66Es#897H}POz_j+4N2UVY zz6?T-Dt_p-{+PEfL+)HVgS?8ln(wS5haGp8|NQjS=J-_Y@QfE17XEo(KhL)MQ<Y*t z=Y;cfEQ^y^Jgl$(P^jp8a8OW~B}bM~R`TZl`v1{8q^~YsyWaBRweQaZMWg*1?UXHr za`_}91Podah+a*9(!Blr%1Tpn#uGeDACewC%R1*XapH3S`I}C?J8%Er=V+Jc<+;1E zu4-A8y^)yAd;@eI;ABSE__H7G{Hg!>`DS)vPQXLg%8$>^&i2(~XJKMtuZmZ8>q%G| zBQd2!+9YGa=aPmg4JRJVFwMSJFyqhd{QXPQ&d$0xN!9zs`~CmZf=p_De2};=K5^p2 zH3m63CnlbpqB(ibau&wfpk=RR@9z8*+Zg^e<8!y)8rFH?vJu~_*aJ4tQWeVPk_;E9 zH|T6AN$~w4eHec9g~G%T;|c|boGmJe6GU5@O!`^-oG)oPS=_Jv9=qk}!P^Zjk-_UT z+4qMuP3GWLe8a@gb!w8M(S)8gg32w;4_F-~I36z8lzMv7?%mer70jGcCK&>zd3R>a zGRvJ+(2&C7c%Th5-_}ui>>1ydhxL0SQZkwAZuv9s-Xq+;`NRUF4g~>b@NuP;^)d@@ zHfM4BaXK6jk&N6?pcsBx!Qa`qB_V1{#zB>(K})^<l+3rQ<yg!6#o4m<_qV6deV%3~ ztgHI^sxZ&fhwVN0C+~0X?w(GRm>~aTvO=dAubSX1^kXUNQO~6~yvuP;53dS`rCCx_ z!&>D9%F0@o|LES^al)cJC3?e48__efCv)w(^`G71N1a0G{}WSfZkH{5`8mwuQ|k6t z57+LS2wO{VZ{e+K^#_c#?=<Chw#0DM8a1(mPT+gedSy-I<Xc;_kEd?s=sXbIm|P$D zXtrLgRn@mQlI=y$&dxp_8)xdgv!IcQbw&LCx;LSWo)=(?>R;X3s{LKDak{GSERz=b z5((w%Z*S%>bYHqJV_9VI(Q&4J-}QOSoCiPdE`R^*IvbBf!h?f5D?TdqN*W(anc*R? z{$v9SJG*-`U-eO|BcLTp4ShW;D(as`?_d?&UXt<~abCstYKfMf8^=`b3g3xUJ~{94 zwChx_vSPT){GJ6&PoJHgz3btFPKKWje5{|G92ms(<Ib$FuB<HFq#1X8Qou)>JyIO8 z*$*YA@MpJue(353J+NX^VoRmpe7m!Xk|Bo=Y;H2(@!;pN)VjT`J~uh(%g5vL#eN*8 zCK`(W`=VX9Wl5NTq@m-1d!Uj+R6A^s>!E2?TfW;K-?cwqOn$l9SNlagFYSB2-gr{e zFhTHj{NCdaCvHi-U-|2u@k_^v7gj62eIVSmV2(aV1V5v!WZ=vv`3KMVUOg6_UwLij z&DTW&b9ae3@vho)df)bQUPATd*S@PN&%VBP(Nga8OTF3CHJsagKu42FS>)I}Jt28@ zTg>JK2N-^3UNYZO|2_Vd_#<JhS8<c7{@qu|y7(-1J<AlGzF(`%V=bz?{=C1p==phN z&D~PV9VdrfdB6JN6xG?u6`W;qyPc-q-5ktXzVd<Bi`}s&Je&lld}d{Qt++s0xqcPT z+qXA2r|*>U?aBBvN%+d1FwUZLAN2fk@^|dvmz-&y%yf2^)x4O`VhdiCN(Ei8t+(2c zZvFJkTc_9C<Sz?O`tA6AMeOBOf2!uPot=>&b8r7-ra$j4EO>bTl6Y6f0fEck3w)ht zZcbUMrh8lSd!^K_f_El=i~k7hd{(@;v|e^=b-mLkJg0A185w0?TeIEH&Tfg^+MRN< zXa0ts!2vpVBkfws%hn@Pdw%?iS-i~DJLusexi7z`F;7uU4!PfCsx5Z$Mq+%xYreag zuih;EbLYap`2sc?!s$ATzQx)-eJ*FuwbXCk))V#LkA>c<{9^P}JHW%;ZPm3k?x(Ns z(^ii+DcACyZ_s5`^43+jE+*rp?(HiT?S8)lu0FcCqM}j9Z0ouwyCf8qPkudhau+M3 zlB{H4XH<T3Q<Fuaw+>h1{qp$b{Fj$34RYl<Eby(#KjtK8VCf={XxU7wbjhuG_kFk5 zrhGJC^UAMY@t|YLr&r8kBC7*Tw4a`s+V0uD@6^j<|NpujX8*S2;9~Vl|9K_yru8gf zTI4u&kxznO9H*Iw#uo8A8~ZnhGr#zH+T&Hk{TgBY>Rb6iZ(L7!1c5KC?*ugfG!$2E z7bt03Fy)3d%NxrW;cz3PN2lYqJnVnErSiO{R@|a32XEIu?w*&M`Tg73E&u1w_Hla^ zrsKjpYuloq-q)pN%6~qJ%nIFav-Rzl_*o{O#W$z0sDFKVan`qYe<t(I`Ly(oUgg_& zZ#5pyJhF2M(s3juDJg$`e0&x6Y1)<Kpfj^`o>fSQc^d!wu*~1)t^T@vPWW(ykIaNA z^;vyAF;?$=*Gh+hW}7bY+w1mC?D_J0i|pH9*}`wFUpnhmzFmK$>Smh0)UBm5mex1R zw}u7PpJ?q-F@0n-Um?K~)S%m{RL6Z#V~dKIvCD%;YumTn|1D>_>RDd+g(FjY{@7F- zo&Ax>;~QA1m>W{pk)|NO!h(yJ^O*rJPvWflH8+bdy?Y$ad&uO&ngfT8QqJ&ysr;X4 zI7zUjj_Li+i!Wa<6q{VVeJc0%#~)I&A}+Sx`JB3Ks_M<NR%?9S1fI3=@ywbJ+MaRX zHz+qbxA9cYHcs~o>VI$E)GSl`tja*vPTr+=_5RlvX585>7j9H^`#YQI%D|>OT%wOz zuKAp+4>Z3t)%*G7a%J{Q$7Ssop4?pb^m*ILJrjJj?4Rsj`FUk<|M{OgFSILOiB#Q? zB=cm_le6&w?rvVwSNr_GT^8XUGvQB{$_=-(w=dj@zphr^!Fz50-wUd%g3ZipLo{Z` zzC9ggmh5ZP6!!kbjjm&vPHs6*Wffn0_;I{A(UCR#&$YAlXM1m}ch7W#oV9Y>^S@wk zkBI(C6N9L&{o67_&M%nGk@L@AIP=+*!YSJQ+6$T3mhN`ve+N1i__0Om`H9{AhiBx@ z4q14B!G6_3uZGPlpBLV#y;}Wbwc!20`;LM0%-3!a|F0LZLt^UMfY8kBmP>J>p9)V+ zn0UICTV%`pC+F&8U#JJ!SWneg`|Bd~`uEWpNQb(_1_@uju=Cf0gUxF`aW`kZKQ&c* z=UMBhlc23w`~Lr{ez!*pblA#8Q2#=e>#LZ&M2B<dO!o)Z_8QzO`LXSl<D-dh5-*+T z*x756eYG)7FJ^7^%^fcz_qyr6@V;t&B<Aww+THcr16?XYE^qe#U6Xp@i;uFh#^<wZ zIe3L)0zHFwM&(Lbr3r~@W!cNEu;-6kvTf@fjremsC;!<dpO!XIQ~v$!?c*@k*=D(3 zUsC%e44b&bbWS)`OxKHD)X2<!X+z@S6*WIUwH#vLxF5PwDYi`buhR*ZlVYGs;gEm+ z>aew50uA*--I9&k+vP9Kvc0}C_;S${C;w?N1>M$6Qj&)6jD@}n=KMbqzW)A&S#OuG z^gg^O#HYwb=x>wcWWg6l9m0>r1pPZXS$*eY6O$YT4fBi(3Uj;k@6A^*yuCetxpnzF zgTQz9_FBI_bHG7QVQ%4{ABFRnkNeNJn|r=}8PAkT-_p%?CG{@^v^oC&d_G@h))5QP z#E79ydV2adALkSNT6-^S+gb3C$ua%-l%j$~%v!=6Or9N}TATmY?KWQNPwaj=Wi0R7 z?G|@x?^)rz*l3D!ckmna6M}3UH>@Q&xhkDrm(O2((N-(SEdBS}N7>%_*~vytvnwF` z9sK54I4ZmM)i3$?_cv(Q(@dU*iky3UW_GgM$SQFCXwr$?q*86O;Lq(vuH3r{AG1wn zn{$1!JHOcJ*O!;`b3bsrdHwe7*=+oBXC76TzP@HUL&~Z0-@ku4E7)&uOlH@O-#3So z=aXL>pX{msY%gEl*=ZcULh%W6;Jz6?*4KYXtl&I9!|?Dly~%6pT?Gs}K^@Fzf5kqA zCO&xo-EZcePa>5f=X{UeE!ua#ldo=z?c|Bxc8w)p!vuJPpYBfbYzJ+C+<pjjg0?s- zmq^F9j=a0OHZID0e{b*4t*58!3!l5cvsk?vd|HgUy86`9UuGOfIxoiU-Me?YQvQE^ zeSI_6hWdR|*f?1J!M8a)yu-#T^<rPTqt^q)gN7%pE$jc;I4<2DxjAi1%1NQHueJD& zi+ORPBk5cIZ1encXX4-d6}VCILM?Xp?}*S_JA!waOj_`*?#0dX7yak&U9i7DE--cX zxhIi_>(ADiP4g*R<nQLd_CT;<`QaN(OiVL)8e9}?n4Ke7f2u}r%SqJt*qU|K!iLHD z9jA@3v{}xA+uQRuf3J9ZYir9ZXNg;ipgpy(Z=as7pLuGErc9ZmP_By$%j6!{Zn40P zNr6gpWUb3m^gSl4`Bu!?lzQ6eqNH`1PIb0`Ro0yy^@gUow@hZnutqLZ5U^({Nm-J5 zdRqQRZAaT<`6}%8r;q<P)V)_VQEU1sch#xOUCwM2uqfAU__woUdewFvN7m0L^aHbF zYHN**s=wuMadIZ=H*cJ4S3B#lqJqM#husF**Vcfx!K^zVX^_BBZIGa#^kIv_n@3Nd z9(^eLxA-G7U;PutpGwErRKHwY?0)&)-fBx8=0(lL!Arf4zRte9jCXC^-dV*i4{8}3 zomQ|bE;33DnL1lZ-+Q{=Q_Rz1N>W@5KX317Zd%xVRGv{~TU*=yB}GR+2Tk*N`N)34 zoS8ZT9F-y;|G$~bUJ(-d>wo-GRw0Ei^^+RD@CAvw1PHReVO^=W?$Nt=k;r<jU1k9X zyY?<jYK$!qJ=)fBNhCmEiqhU@2MtXYCnu-5|9{S@IDRtalKRY<Pip6<&+|-sXZiik z`?)iJ@6G>xs;jqpN!8I!tBi#o7(erL`;hhE<x{5j5-bfyxA#_mKQ%$oStsxyx8ske z$K~tmx5#zxDt&#c>rmC#S2y<vd~!b4FRwp6zHTS)ON-=-AChz|<@5LbTsF7aup%sK zTTZ5}-tX`4#XSPg&N6+wPvf(!RmqCqrXC!(g&#e%<q%L*y4JBae*e8m$~G!DCFgE_ z{OFNUuG5d>AOEoYxbotiXrqi^!DPW=@drwJFYoX^u?VU+KOxkZd(8XO#XD!!Hf~*1 z^8P}=p;OZWw{%G|tvv33YEr1Rs@}&HJZ4vod>1Ko-xO@S_s}ARg}+gsU;nD^*;%HW z+1(~-^glk{e_KwW{BG&=3+bGTO{T~2>3vumwRO|O8LL89@A~Y0!dcPB`JS+%$RPs( z&QDGf?ax~$@LV-`vb0{HZDoMs-(xK-VM4Jl&5I86n%|f+VS0RB<c9?x6xKYRG5N}? z)4PSM(%r+?aHRA%%<h??-`mY^8!u=2UtvSZ-MXJi7x@<dijLm3E&IWI&(diP${R|) z`bF-4ydb7NF!|*zt-UvAM?DbaNMXNrKz{E!2SJWpmOCj&RC0C}*iPE9wEkH<%f9%3 z?SD2Hx!p2ful+XKB{N^>|DkA=&2!Ccox^hbCQg<9cIxT#tLDFqzILbV-!=J;@`4nV z?-4JjbvbNMe4wTryQ`#7@VCkNgWHZJZJcME9`ot!q`5}*N98QHN5;NP@bGkD4bA2K zHo5xCjl#&ind{fwEOMwS?VGk*KO@Df-mtm$s%mkqmz&qK%Ik~u<T!Sm>^OO+Y~og5 zw(U_5l|DRPwbv;9XWq(i>q}K$tK73LZ#m>@^M3PjRkdiLP5OGCRpsl_S>7%BY}eVR z5yUd3M?~|^E@RgN>p6Cu^mu)3ZS4G-Pn|Q;_rG&cKP}aG-|XnA_qQLV{$H4U>5z$U z_QeJD%cf{YM=5d(FlDM~mR?>LadXe-1y@#z>B-Ito8G6DBfens#P4^tBu`qWSvd)E zh=IZ`W#;a*b8}vvGvlAeI<2Mj$Pv}kLX+R@y0e1G{pt#pv%H3xLH?=Fx?kPNzb%_@ zz3dU|%Uip)&AGp;&n1*!f7RXBb$?nKCJEXzuDq<lRL|&ez}L+ua#r@cJ3HTPJIWZ* z{qB;&hBEgio!D{*p-GoiHYKrU3WSDAxrQ3=Wj6^t7%wj{DX{#Q%%r)$`8<<?x~{B{ zQ4`;?QaM*Dvsj}(QKqAEN)HQD>D}c@59C3vHF;AAT93S~us`a7phe-Qo;CNR)V0qh zKR(B@GJbh|m!evzj^V{4*Z0hyzgY7)FQey#*tUi@mIV<}W-HWWXI(W|I72FESxMDo z&8-*Q6fUGZd2p~fj9pDfM`ugpm8;rJU=L(nU-#B2_mT~Jg5<8#a|CYecs)T;{o}D> zA^kv8?b*vbm%cUTzk2v&kDQgEVWGRqvj>8fnIRFCd6(;V?Anqa<Qp|F*i?PT*S`WT z3KLRV!rqtPt6X05`|b8RWo45T=NIShhedq;RXx`#{-o0ZU$>sR#%{l+RmlQ><Qx8S zYHlh$pLjDz?shWYZNBxTzUw^a+RIN>_L`UScj6P1o8r|jd`Hwhdn)Y;Uu`j2C#`w* zRrs`J%dE3x&oXlUtLHCc41eM4CL}Dp_8iYI9gdr#%NFj~opDjA^7Y#7Z}Kvf|DV!c zA7LvMb>@Ek|G$^k#qN&Lf4J>--sWAV|E_T*_ikD7|IOy}MSI2W1_)|!fdbqkcJ~E$ zhfh(uTLe0MeYP%1d3{fL(wwSG|6(_L?Fd;fl=!u9mHV>)vAaTEv@6&9Nlp?>|B~=X zIM`?3al_AZbT&SFuXZt1Wl>G$<!O62{j?6sa+zl1Bo;M4>i_y{vwIe7>lS)HJ-+Vc z|GAZCf4r~%fBV^O#g^@<r^QxhJ9q!gySpn=(Z+*8_epVbtLGwtN7hweR`kePo0)rG z%oS-=as~xo&=PJ@PZ8y-PSTC_kJWu64u!lpa%Aa=dpA;UCjYx9KVOIURhZx;bLB&a zmlV0o2r)W8wW3c$Jmi<<lpAVGmvG+WE3&QdGy6aJ$nM)us;{gRQ@m(;cClN_O1npv zF{h?!ir!N94UbP?*>jR(9_N)Z`T9SF^NaugdcD3W?rfi|^_m5DY`@=m9CpvOoK2|S zxcXbp&Arvzf8LujZU5i5`C;j7&Y|MHv*PQ19(~vRHooE^tF_!jI~I3#Ua6GrcXt*q zzf*KtH;m=|_xttJ)92S-``0@`_A`%!!GW%a52vR60}Y5q<p2NoH}%Sjz)4f4q_BS9 zmUma{<jIpk`|E0dR~_$@efzEF?e+EZ=kizodRf1GEgN6l%%k1n(O(ntomc1^1(i<a z`t$HICs$9y?4BulvA3qqnx*w@=Yh$uSPphN{F!L-*wsRf^O@zlf>j^vL#;bKS0+gv z@p5(!>svb4V6WdPkDo=UIp>Av9X@pFzkdEd`_lqVL2jX2LRQ+(xBYK6PyEEWm&VTu zZ%zI8=HqwC#r1l#rj-Q+`OopHH(T;}$Isc%w+O2*P5Qa%*y_m|B7C7MMbxfpO`rbi znWVnEY4FFO&0QZq%}6(OJs{3>FNGzj;BM*lo9)|mqqq6URlQJ@v@BXu^8Vi4NsJxG z!{cjHJK5*)buiga$i1~?qa44-!O-y7RA++<I|=ig8wQqTZ)V)7FFY<A#*lw^S7}(L zxYFZ0Hw0HD{+VN0tn;(8vvXrpmBgz3!OMI$E~=IIeQY-K&59on+uvp{^_sfr2y5!Y z=kx31GW<OCI3J7Y#c0g0|F`pN*@kVNlht<KHg@pJ+yA$0wMEaS_Y0ldb6&J>2n`9D zGI#RMVxv789setDC->VvQ_HWnJiG9{Hj^c&BAFgj)R}#-iPbW9{d@6XmP>wfra8CG z5P0Tk=%RSk=JWPi8Si?%_;p)kOj4eSv>cw$8~m*O^OgBalnviC$XM?P%-Xu=MoaUh zPOkGy&-Tk6X%z_6bymB?^OM8;iP|D3NoTuL9zW*yb9|ObjB9UcnR@mo`=%N7^Vn?H zFeyCnbo&q%Q+(F+TJ^;Rj?F%s@6~)h8z%Ba{{N5m+Wg1IdJk1_FvoST3|@ZA-NmJ4 z0n?e7%gcNxzj%>R-I#xIQR`QW1mm<b6DmJHJ9(s2IM<F%F3o>wY?NBfhlA|X3Jk<j zOO9tVX*P;D)X6kISn%St@1lV3y}iAq!u67e9M7;XT=?U`%H{JmW!vAb`M%=K&X>z( z=VWkPP3+kqdQXWXJg(<)qe`W;*RiV4v&2<OZ@&>Jm@IgBLhKHyt0Ikm6dZi!SgXFf zaz&)k0pyV%GLz&VzCN@&|Gu3!n}T3V`i7sMpGWtzBy#VO;AndkS*Z7D{r-QuzB>E? z?Y}pRd0aX1c(Y@Z&hO{!Q}wP*U^)IHE@XY&-F;<8MZ-_*e!oxq>}>Px`TQ~#7uv!( zUME!OD=1D@_dj>#Gv}nH?}s@LJ2WV0RHS&!NzFZP`+d$__9iCAS$4I%s%0NAdRBir zsm@$jRWiTJVH>Ds@6_F;@iQox<x}$kfBU~Fckk67S#}lb?wU%CIi`X|61G)aR2BL@ zI(wXBQxwxGc(?C&-s^)06qI6)D2kq*uD^YL@%fDcEr!X*ZcJcJod5Z}{e2;^o<Dp- zk(~1d*xFwhZ1b6EbgkLxMhi>0jh=+1#spRlHaX$rb8;0Wd}o<#oX6$l>^s|RD!W{T zgIrDh2gl4iJ2uXhul{&cJoiV3sWqG3-m0&gSTwe`EkC+a;FFAV9+$*QO{RaKibpGC z#RD%-&yUylSow20H?&p$QE>8th5LuBn98S9&GtN!U}+FIc5iQW>WK-8W>cJYw0_|J zDN&)Laah(csU`QHM;ymb#mC3{w^xd{l+@4JD6HnQA^D@jg0gcmJqLGhDtjAct!8Hz zcdS=BeXpX*hM=_;B`*S`PpypHyi8TGBI!fHgp96_e-qDGzu%J_-yGmzccZO#)BL}` zzu(?!WWP{RC_vJA9;ZvEjHr!DMf8Cq8y_BSKfUw$yzJ`@<s3?rgPYBsrSRSplatf4 zxgx??Uk}Q8qS|346W6X?#_@!`ajEz8Q?=jks(Vk<IeET7b}@&D1Y>*8gvSq8+q0is z?ACnxUHczixr*l7+j6t_it|dFZF!%2W5dB`sYi|;^;{jcHs>7cPeH#r&Pt7zOpfgD zFE6{lINvh8Ay@NsD(DicT=Os|?RnXik9*D6TxVWX?-+OD`~CWSXI;NI_Itvs;^us; zh`7P0#Pm^7aOwpA^Yd(5_lPeSYU7fz(VC#z#~zegcUgC15sy>zboL_0h|WKPMM+mg z8WTXRs8t~=A1TGH1o?vT&gq&N3aVLM4hjOGrtcxUI2+3+J1eTHxT@z{?N<tz0!odE zxAp4Zv0MS?A)UFqoxRk+X)z$?B**^K=JzTVe|-`#Y4tJ=5qB1&jLQPuA)tiIz`LZN z)EN@%0Wl{%6gFI`)nan(ZJ6C7aqO+qy%iw)8%4FlZlvtIU-w(r{%~oJQa}QzX)56~ z*Flg&f+^1^NoZEg?y|RBH|m{AjxX$Gcsfbtha<$y1t}^5D_+})GX7V1pr-8h<mA(} zoe$>6zR*!+WZd*W^PY>khpDTA07u2NjciH(clo<I2x>?$P1!O()$3l&locyAnSMGl zFd9^8I(S_XVRUs63}69yupsR8G~L)M*H?q&8{GU&f}=L4`PS99y_SHeVRUskXVhC1 zQ>A#(->FW}VQtBW2M4$PUNTE*0fz=tSA)_7d6sio_xJ7fe*E`mqu=ochB(s@r|awE z*EfKrL8^W_Jy>&YuJ!I0q6<Nxz^HN~^GwJbr`n9p3st*oet!Dd=yDvY_=#ZS=AKJS z>bs}i%j$Bd6Hxdmxi#2jS6A~zeOAfJD^a~2OKeq^++As*Jm=-g6|ZFSqoSgw9ZI(B z)#aP<(hzJ&Kmf}*qg(8HB8uQ*a6{^8F>Q{p8={dN3l?tc3b=W&nf>ULCDBVmSWf@R z^w?1U@8|O-sg21emd&>;KGrq6^0s(<O?_f$T>1NZZ;K^w_I`c7Ywk|JITnemx0m_N z)iN|R471@~8XgjT6&#KnVJzo%eK^D&+byoockS!TT}?8KORnvFkau_2)(c@=KONRy zd18L@#l^)--Fl_MiuOit&zm;o$7KPP$4vjO1p7bDii&!uY|>fhVIZ-DS2Q&w`t_BS z$@RM*%h^_i)cyH*JRxG<I!91!G0x|hlUnyAmRnpeq(Y>zM$zF1(|eXBOE&PF_pYee zQS;~Hal3NE^m8(mZ#Ev!arG}L*)r9;+(r%5)v@{gX7i@x<9wFC-)vr5|Noy;v+TcP z|9(8?m$a|jb7r1xbW(EiS{oa=38(dTpAninx8Az^*4qjF?$_>a)ZhOnXx;vQzj$6N zwlwdE+?sWDlkDjQO=~RmE$sh%IDGB&;`<->ELe71i>b>YP`Timt9a~_OS_jXYO~$& zTlvn%ACLRl%LH$}nY*=D*7{nWqw!X~*j*eC7qsz8r%8RiTYf*X^6T{Yx|??Ue?FW2 z>0!J4tpGkY&HBuHdv@CVda<~y@3j8@7`xwZjCVfj(r#(X1+@lbkN@`c^aSmY^YroA z^5prKmzT}1B&rDKoa<OFe(Y({$wp@OHM6u%?gka?KUF~OlHX_ZavZPCnLgP~N$*Cl zwE4MhZ}itKla1(pJ@e{gElrLad~3wxYc{@am#@>%Jiey>_{(&b3#N~n-c<<vvDv$K z!lRW6I*(6BZOKU7D_dc1pmq41^?MsnPtS>)QcrtL)e2?NKelvwT-JSa*>>HJ5@tCw zN?u(#8Mg*>+{N#E()oK7<!ir8)QQ}5WUuxi{TADAZ*Nb}{QhM9o!akrr%m~>Y0WXQ zl(RdJiszqbU}R3Yu%O=Y(;;sCA3l4|zKuD!Z*JCQmfw<>zG^Z>DnGck%urnDdJ`+R zh_<UjJja9`Hy69}OTW|LX*LlEnrTy6wCts#Lxpz0++)s-g3}rm1d1Z})$E)xN25H| zr>JO?s`?(kr94X-O0Tbvzu&j?)6&q@VV}NS_TT;8^_^=?%^u%5U(G(b*5Bw~|NX|b z>o=O#>~wDDvvle?&X)A;R`&Xn(fNB*s}G2j2O9<5Iln<JzR>M}uUpmES5Ifz*Vh%= zh1qU%a%l)~`2Ozh<~3@XFV^k6@SyU?tJUj`d|Tc7pG10P%f7z5d;68O(bu0<JP@2= znB1llyX(rn-gee}M$Y8|1x0~2$0xn6Z%jY3*{R(q{@02JvLDTY#qw?WYi8EWT)&Yu za<eegDX!p-6S>>(ra4E)*Z<vmWp()a1s~dvRH!N|7S1Y}*wx?<>T&(4*!}F>+|>q} zOh26(=5Xnqs!-=T%(1bc++VKaWWLY|emTA(dyiSFZ;Wbxl^CA=owG<Qj;pG5LOj#W z`U96g-YGtRbLqr0p7TzuiQIfAdH>&U(bCsu8l`sKseZrLZ2gkV%gf$5H)d?jyBnqP z^Qg14UT3nbb=j6syZ`^b@6T=0xoYQ^FSPBW={AMzbDa0T->W{~wjgX>jArQSu&uM5 z6HZOhoP7DhggW~RDJ_4NoSLegelz<R<MbyP+e-IT)GPAt(u))PAPlM$R<XsTe1jCw zF<hVY6jWPNCvXY{|5R*Gxl{lDZ_)3!+i&0Xondg$E+{xS*Msd61LHz{j@Xd@zwiG) zH8nggb9<}Igf+h&H1ps3uX$hf<JTuAC!4jW9PJYQbV_@DMA0LOBdy)Cp+*9-$E@8- z`EA`a=GLdquZY$PT{Y$Ljixo0);)^O6LkHhEsK_{)zdmB=3(TtdB64hJ;4fF6B83} z9<s^2q~cv>`9D&!W#)U4C%40!Z9VMgM^0K+DbI9oU99!@lH>jI(~F*-68-t|S+!%u z6s;|Lq8yJsD~;b(`vKI@c*b}6>FSxmA9N$bMS3^{oD}P)C;tEU_uvkj*kDeF1G9Sq zcwNI2Iy9yRtlFf=09#DZnkjI}wOj1wADJGZEU9x34<4TEc3x`I2G3<}5|^aer@mgp zv&eS`Z_wM*d3(QxdDn0rf0()Od0+6dbJ<g7KNi&SQhv{6<j?GIT>1DvOTI(%D)bcp zgvXXnRn41MKke#`MKSx|X>R~E2s-<BYnVc6`FmWus?$OPC(XAk?NOQ_4(iNST9!Ol zJraJyt)oxnRsFxu^RGo4W?j*!e9*|Avs6sZc%S%`?#CM!%#k@S&7x}GCZYQI{2WVT zXNw6tyCyYMu9w}TIsJI`%gl;`6Y+<HJu^fXeLcutFMq?R;`k=1+440dJ{d|6)Rb#~ ze=EKC!P8gp1V2-Y{)v?fR=M~Ja<DMnOKIV@yX6%5_0qyWv;Rnas`;REr}(_>y9+Y+ z*gSalcZPIb)Ne~GuTU{K!FuxIi^`4s+tdSRU65OPM}*m6`}T!Bejfc76vdyJ<=#4> z+;5X4{Uni@ov*&6TAcIhjQgqIMb<Fpvp=@!jnQ&aUejx5G0$zM^^v6-JpCLyJ?AO< zl+00(4>>q7pifZmsc96W#k1_3z%`NI1#Lj#miSul(Iap*zTnN))YH?<mODY+{N#Q8 z|J}NmpFPYyJxzCVyIj?YHlGuUkCHe(E{N*xwwqVa$H8ttL4eIhF6IWO;e;BVg$Xi= zoyo`hPRf?wNfcfbSkYDxQ0i))aGqN)`@tfg|58FdC%-lnOnCgnBq#ZP&2$Bc2~zJ> z!aevu2Pqz%Wx&BuY$)b0kkVRdd;j#inhEcl_B3suc;N3PZ++1hAx>L7zP05{RR8?v z^Lg&6c~9yq>z}2FW~f{3hyXRV+Scyc_w(88q{4tI0oQ(p)J=aXR8>?&{+=uC?`m*7 zFuCW!+6R2H?_HbOc#R$we?Dt|dzH>|<+sOrrQcRe`teg%<$g1`clh~m3`eJuvBM>k zy_q|9AJ>qcZ=OHTXO6|iz4AqFKjyonska=S&9_t1u4YI5-Dz<KPbLanD(qS1R^+eX zv9HHQ;sl>)%sn>CT@Tx&YlJqeu~V6{^>XQr+a3)|tu*$t6)LG5H7xRZGTE`}gTcen zPiM4GzPBrh`RI7S*Nx|KxnOfhFiTN4LuY2l`44CM?S0Sh(0)=s>19nUOOf^VyyYBE zL>c{!m?R&pyB8iWRlh3a1vhi2f=#nv*um8f@nSZ7U)m0CZkl-BK~+fWoJv%Y;nGE` z!q!H8tKB1@a-wScV)aO2eg>~=Di^K9)3xF?*pGXdJ#Ff}e$;SMn4*Kf-Om&?jundx zxn56OVX;s)T{(~A1JlFWkJ}4U;?A?)wvMQL<g<?BZ`}%wpkNjo!Gik9g2LS;A6+4C zj`jO#c+{7N@!|39B`+_1%a2=pMo@gqETsSuj*3ZwR_7lrb*?{o`^El4vb)kJyVr5- z+#zgt@UsIS+d~!}C8nEdHcr>$4q4Aj=Dgb@X?!gGMkBZWLatMLudj_x|7<?zQ@l{L zq<3Cty1%s5w?|tW4JxHw>)9so#wSgDvfd$qL;R-}`?*&#JaRTSCdubFJ5&nK+cU@A zKVSIixt4x~qLwW-(p=9{{qAo20m`Sxcwc@h6%VZ8kL^Dr&yn(Vv3t|JXZ=5z6Xz;# z2f22Sl!{lCTJWVWy!~?@$2P1G$W#2&<ndzn`+eDybrhMOq)ZTZs^h3nWSjL`@KWKi zOUf-9Cor#apV4f1=fXtm*5j=kSeC3vJ3DKd$VT2LEN_*2?g&0p(|I!G=_jky^%bHM zB_EW23XnOR?W8a3F?svK+b%y1l9F5MPNaTZ6Y;TC*_?0RzU4LRW!DGhef)l}@06TZ z4`=YjDFWw(m#~}<h&vHf_kI0iC+>Pib4kOVyGPqyeFaZ&GfjE@kncF_TZzJ=I+M9= zDU~z*w}-NP>TYm$+j08))nsOV7ID{}CjoL(ZUrTsZoMDayv*eL%=CF1#WSla1^N{C zA3UkA$lq$fJHPVX2hPI}JQYn2S=i`vm^hvISP<~k$vy6q(~bR~_bM!BY4lufyrK9` zy@1#WzIzfjvh(h_7CB8YI<WXr?gWuN22(Chdfh1A^k<@jl*HxamM2k%0$UC!cx81p zm@~bSym;QFQBXm}d3o@0frZL-YnO3|s4_LaIS@7DxaW__?}dLxOsH`(Vdb1J@+_(} z&sAYRN5(mix`~$y^H_FBKX#wA+vgp_&)GXR%u=ckn8G0vZsauC*hXJKU|;z3xT?&+ zL#uuVv2?L8u3e@nG^6{!U&rys+tx*FTy);(;G_Nqxx@v68tYP2F6?;qPqcB7gTwD0 z5!O?x3GyoECib`oD+~R<BGS0X&Ecd+pz^xk!7P8;8)o->d42u7>&cn3K)ooAiv@-X zo)bRBnYu2hUm+M^6m+HI^*<5D|B4H4nfyC!v?SeXTA3H0yse(+aX$~QTb`bNPYVy( z_<3%seLE#YBRq;vO>T1V^7Av^RD}QfJahlN*Vosm>`tD%<jT!-&zC{z|G&m){rw*m zdRX=9nOMa&TU<gkv_(%(pIGp@<HzT~i+jW*1JCVSzYJ6msMaf2y83JnXK7+*41LQx zuQ>39cBiR}l9^$s(4<S3=Jzj|xj$I-;-C6$iUPc^!=@%5_xFmpc`0aJjwGk?lf^Zb z^G+|ZpMCvipSz~F**U-ew{4sqD&BsX&+>H3%5|2>9bD)49J`<H<KG-&Tz~6h@Uy~8 zf4_ee{!@EOVDhC+#%dSy>Z7*?Rj!P(^jQ_kHF;L(ssBG?4*pxajN^xs!^w_1J(0!> zuAupmVEOCc@7K%ka$lrfu6&@i)<0)c<&qQkb}ZhcZ|BN)MBRJw3$10=uOfv6<NUN* z+dZFl82pYoS)qJt8mC<Tk&ly?t7zVQk@~^I@ZPK`CZ1;J)8{@lN_o2B<A(Z>2`i*T z&ni2;y52S6h1A0~9oF-|zAl!KU~!t+BV$u>;TzjR%^BMl$~wOnS^l>s;lkCuT1>6o zpn14!$Muf%Tyf%WWad1cGJB^-{_W};6=r^?&(%I_Umex*Q76QpzhGscYRoEkw+MZ= z71Hyj{Wv1hX+KA)y>E#}(@*Pbf9`Im_e+(&mnjq)Im^Y}E7v*VikBEutE1b6xsIow z9p<<Ha?^B5j3&!duA~*uE|p%*6n<|j-peX&p7$o~rS6G+O_e%#i!>AWz1ej7)1EU; zJ!e0kD{)u|s>+s?-EIA}B<h3m27NtOv-h4;bgTJJf19DK_J2{&m9>G9S>fwb_OMRv zsei8g`EKY3`Ot%5GjjH*8y0#VW1gb&+vlEF(W}Bt8Bxu%uid?Z9}9LF-4ovWsJB7i zsOYrr_7mskTHj^oD}1oXU$ZKYCp9i=*=6hFx5ad$Qf}|9|8_IoZn@LUY4482B;@aU zxlE_rUD)`U)5`0&Gt@k`Up+2ge`onO-@HCWk!_CkA^q<*aWGV^`q^YF8?)She%{vg z2bdl`%Z%*hZGC*r^j|9|^Srux`l;r>B?_$KO#AcwCtQtwoN{@cbCKU&EweR2*9H1l z%sRF;`;+ArBPEBtQ~PcxPn)r1u8m?fzlvvf@hY$1%dReseVoM=Zs>AAT+*`eQHxIW zHlKNQzcN?vs`p+Rp4aK&C*Wuzuv^-?EN7Xo;LFF4PPKmB^ZDH778Pyh-K}Atws?Kt zrW?KOhFf}MrEgJG@`^qEMn+5c=F0n5|9m<<x4L?dGsjy;zQBK9uiQDAm9s)-_c~)) zMsvxXPbPWCXn3z;WoKHc?sxK)ZsDe^>^(VmrYiI<TII$3IYXpA)K_atcy9Zic}qot zCAS!>9keT(sd6)ncXf2qrG1gSoZ7)!>o33j=Gfs7mM9f3Wnl7Raq)+1Yol}R+)7He z+$q0bdud&)b#)3eJKq+ka*2hr_SOF0)nD=P(b2Ls+Z88?OG;+O*S%V~oJFan;@-Qv zyVoA;NoMDh5%`iDaMQKEt$ypC4~Mw(&L4ksb2ID3OS0Bw5%~;<s{5{|oSS1A7Qvs- z;L;%@eCnm(59RGo{(iqNZgXeP^*xovt4(5dm*wt${r2|u+ugQ_iZ*)B=T+yi#mZbe zaz{Y3kC};Kq88U-<)$?)%QrlnWty$?(_!h1$A<;%pO_Z!Ez&=I{l=n*&F>EHuLm_B z1xtz+#;g>JU<s3ae|%CY`;!+NCksVx++$+$WO3k@dnbQ?Ui0ABUCH7TLc*W?G}QMk z@RjxUyW%9?xaI`M`uLiUM~x~zBz!-(HhTLxv**g<DmFGT3OW<mrc@iY+<o-;ac%|c z#_cOsXnc>bv(GrXz~lG*|NpM<Yv<Ax5ALb&-YY1#_5H#ny9*vVNuN6yz?ioG?(XvK z^Mt3Aeylk&%k=cB&x<m;)!RP`FxpsseSLkqZH>W|j@_lN(_Eh`DJkV_e_VXd^0<)v zHpT5N7ewYx_*wY==H}(1uMStt{j0Qv{iI{)bwR!(yFdJTz5e#H)(PSp94sX&+u!sg z%i30zEc30OWtN-e9KveIA^*J1>_<gM#O#x~TUb`D@Cb6ewm$iD8>pn=U)!zU8+B26 z!|w-yKU4oQMsBOy&v`Ip>NDOfEk?~lmp3HmH|5+D)vo4~nf5vA>a=xhv%UAu5_H|c zba$$~zz0{i9XE>2|9-ojAMM|me0iC#@Rh>*6_0s;3!VI3Um*dy=;6EBo;LR;>-_x3 zkN$$Xiu`Tcdu1$xqPFMhD!cVec)#!Wxk)oMgO}Yn8}KIg;-c2QyN{=xnUQEKIbq@a z`hPc{wLRc$c;HaAZtu6K*LPoBTH5_}(F4mFR;8;{HRc`rxInC@opsTcq@!HB?as#t zcZg~oTESnfe)mytV|_>A!FG=)*FGF`=-AWyb0y!Y^$&HM3NBuq`MF^_*QI75!<`oM zDph~pZSUjOW4V*E#JyimZ_<h@U3`sCrTI_I%H>=p^<>7DjELKlCTx;2(%2>ZV5_=U zm-+i1(<4t7yiG64oBZn1QrEQ&Yu(G|n6uPO6x_q(Z}YK**M3g!uZI@L>RWre*G*o| z7^(Q>;~C@gJGLukP8H}&?>JgHd3W83#_!dC+KL?88y?J6Sn~PR>h-s3IG#OE`t@@8 z{9UI@I2j+_OnAFybI#38pDsA_-@Gf}^r-ZB_Mw<Ho!uL^KQ!#@?cMsAbK?WWhe`KV z2CIKxHrqUZo7}9u)!(D?dtUB(Ti4%hU*E!6bY+>Z^lr8*+nH1O!qzNz>y;{#eA4Bh zpzb?MWJT)BI&MKw8%@tfyeDSu2f>I9N&RR3=JuPc2zEV}E2w(a=jYm}0>udlr&`1F zZ)xxD-M*y!dDW%W!FwM>Em)@}%(U;s3D8Wz>P(J$3pb9oRXlqC`gYInHjZOHAHX-S zo;Oa~BxAz)+L=r0XGcGh5HE33tp4`q<ObU%EKVD1eil_v{H`OCAe(%wXXEPyUM&Wv zE-Z9@TfxB-C)^?ACeeC9@b$NCuh;E9*H&*+thwn|$Ae6T<*ZF^D~?}Qh=0;G!TNFR z)<@ZPACh{u3ocUHGb#S|j>5&NNl#8p5O@Bh<<eLG`O0$t`G5RYKW>s>G?#pNYwK$6 zYb(PnIOf=tx~1vfRsCFlTX$}>tLJaAi_7%e)E0^H-TF6s>XC4dlc#)tKM8X7TKDGK zg)Q6o|JLdLbJnOx`=p{Q!0f>;p7HqW)PH|}N3UnxDO<sDV;YxekrHUsM5FzQ-y~Pr z$4Nf-j>MMVtxw&n^`ykYtma3-YzD5v1^k?GV(Kb~TW8cJhBSf3f1C;#<T@3d+fKA_ z3g@h7dwWw|QA{Y7(^DX+G3NqHZ_UIuUg=u~yvOW5mffj%+&j}jeSedo%EL*Y85Nb< zTMGnFu9_fq?exc@Ms*d_;%7e5u`d63jvtpgq1-4_c<{SQecHZrMu|&y?AYOx-?;Jd z*%R-VH<wPB?7UR4QnzBdg6agXXW`m)pv5fD&&`e9|L<4!N9#ih7D^r`{IkD#{(csC z>0pJ^m(wCF>Z<+T58BJGE|ZAdS-3vtj$+iWQd{+Y;}_3bdmGdx6>}U-yOO7TcCtH> zs-WM}z4`IG<H-kE=huIqs}gdou$Zl|;E<tsT*bm_yJL@6DyX*_aC=UDk`!?0^~<>t zTeGIBx;&X-U@ofNlBwVw^Fh(AC-ds6sYi|+xv_!YbDaRQlTL-KT<w>@*OCnefx3?) zuf5Xg;F2hEIU(H`b9H6#@n_37h<R|Wx>eEP5mS1q!@BHEM*HRC&0Y%i9j;5grsizY zcR3TUdf}(-`<7i1(o2Md+&s=IR{oqDe4JIEDbMKALT7ey`4rRtiVTcDi!PRVJDkg2 zEw2AxQToQrX={Hv*WKUbzi$7EjcX4Da`UlpEoROxkeRv8Nl@b-DCBN$%Z<HKx>{7G z@$7Elr}dw=dBk3xu%`NVo8!{o^+6vNUzT)uu;V53=9I5~H|6VH+P>uJ`MzHIeR=@5 z43n1|Q~Ta`k%Af;paHt1rR#X5%|uG}3E9`(nsav2oH947ZEMsgx>bg)tx%pYqr@jH z=Z5mchf7ln-lrY?ozVQ}#grbFpPZMTYBJpfHOtf(PrD{dZvCU!;CH6l`1(oK`eVYE zb`@3~ciuXw`M|DR_9xTtm!Gm<mzmu9>q?g#qpGrN|IT-Tf*-^|)^FMtJC8H|-z`Va z8PAI+i*HK4ANca}-qx0>p>t<UDmYgwt6XJKJT1rQP>tx*TinvqLnN5W?s&N|vF&{q zA*f*hGQ#TD&J2^q)67}s{W`z?(z?j{*xOrtd<7XAeXaRU{CfNQ>3#F^Fo!Mykj)(1 z-gyarFa~LB>4=#!ZRJxwP+RxO%Ktjk75`d!ebu_PMW=kl)H!P(@u{9xFAh6>`0y@| zwOTh{S5J`%;ri||wMRs6pVe-qfEl1@I6KQU_R8YbPkRphn#*bQ`1o6+69R(uZzU%g z$ElWfgVv3GEZ0tTSg&^J)0|bRqHRjn?*i16|9o5Y)G1j%-}!%Qo$}VKl_ri8YiE?W zyY_+R+a4;4_ZDB-<eqSRpUTXgPxyB(a@TJ45<DTwbYJh5!u6ON4pP@v&RG4nbnzcW zfmJCiPorn`Tr4>&F1gUwI?A}O&+lLT1<rqg9SoNk-fcRqclvV@w~^U->&uL-O`v-B zT(w@j6m#pQ>jHC2W(HpSTkF0krfA-@g0>f$JU2Ey|Gz2H%5=3_Y}Bi}xruXG;!{}G zN?7$<xv_`o{c^n+E8=L)@^#07MKfkkt^M=yc+&wnu7mg7w9CI_+gvT@=HBmc&U@dZ z`VEPP*EnrjuB1P=|K%a8+FvENZN+r<m=<r6l0NbHV(oKKGtzJt>$)jZrtCQ%;N-UE zhK%-UvtuVy??z3TpnUD{7jvfjfA<7dZCR`OcJ{K>6IT5cXN*sIa->tZsYu^SAG9dr zYLX_GQq%OA-P+<|E*}(BA|-!m`*oMS&&}B0P;U_v7AO2)Nn*#%jOqOb3Q@N6zI{5a zA6+ZI$9c<<{r~^{UR$+3-TTj)V{Gp-O3wcT4KkgPZSKCYBr1*N+FOqauGxGVf*1MS z8wF=%d)4db#Yab(p4q=Bvr#<INBxABk8$B6mz!I&r(f+a<jIvd@^o9?-K@`sixw|l zdqO5_t3>_&){MmqHgpTfJrq8qvf^=4$JvEdr(=JDu0#3Vaek%~!*9D)I|LL>(#qc7 z%Qa1xu`YPfaCODcr_<xtyyMNTeAp^}hwISh^z+l!@B4Ks>-7U(hdt*%&Pv%Hf32sC zcbDawm6e~Lg%z_uw8{DX?d`WD{|JtL?n9OHW^7RF<5Uurte4E>Y*?HXb3<OzMk(XF zKwe=_--8MKSDwhmTzvk})c>!1d@^_SUaS58K?_GeI~IIg8gRM;v<#^7@8<hYpRL~F z6KpU2&oSZD_5G)6o2@*ic0K)LyzpF-;EArD4_1%z=V@)xxT=)!{b9SjS4G7RhX@7U z_xpaYld-S6v+wx(hxIE07w7C_YhgX~uth-N(d%n#XNwkecXUixvP4CDMtkALbeUIs z?f-t6tP`^%Ag=nY>2EouiZgfjR+qoFbj;vCe|$~k<~3RdtF}}WN$?9ESe$%I??&?B zO}F%qwC6@>FI?Frs?BBp&bIp7l<54uSNoopezQ(Ez~EgJaLiRu{P6CE`VaR%pSRCH z$sMsEqUTh8*|Cj%a<*2N)mBIdFVgC86tgtHx++xI#%0ag^7r?qIf#mSYMuC1nsX1d z0_C$}>Bpmir#lYJ_+B?LTQ5_4s<Kh~PVF$zbgspmDO#Jw)=xj4Z*6aXxYS3C<A;)R z%$uFF>wdr8zIOS6jhUC1MTXupufJDuc%Mo6yO`HE9WIIsF<L(8yA$rDd5`DagXMm6 zvpSnF+M!hu%Y0@gnLB%KckLGY_D*iLVRGBo6o<CDe?QY_OCPFRyl7ENO=tG{z1OPD zca^+M+N%-ycKTz-7b5(p7dnF{L&A<;H@@DoXKJ_Jt`qgExF=gb&a6mQNQ(KOD74db zkD-8JesbNa=1<uIjve=Ye0=<N=gs}~`&Di0f8V~}5+ied&!j)^oR71LGf5sY*--zU zKeIr0dq()@i+6N`4jL5azK`3oV|vTpwWh1~tNSfExxl;l|KWI{0?iYKzm$|KB<EE; z;*_ql`2A+{-OI&^kLwq`4q4$4#<A1p^BLo7zb`EJpU*Q-<b0`a+@6TInvbs4fnUso z0(UNOcKG18;qB`6`?5YO&Y0i*a{2taS9+Ige>`ko>)yI`^SMo_r%yTa+omj6Z8`F~ z@4CwFznh-jxDjDJPk>uaNblKPtI{I<!q3mnUUS}D{yy$?@5}4!=l{0V`&TcZb|P@L zS?(LDRUs>bCR`3&uo2P-{UW1X<f<@XvYM~fg*#Rj7J?@Nd^e|`mop4CJ-G9dQry*9 zZ#g#=WjB?)Uy!@ETjH0{=G{lNx1X-mUFAKy?N;*<dG)*El9kWrmgkuAKIA{{H{ULI zwb70r*6-@{&Q<VoJdLUU`*mBAa{X$d;6suhKYlFRv%JtzUF6XF70VsH6huG^eZ(t2 z1;`{rnw|;sTLRnoWV7zK9e7~csF4`?cKY`dsfvFd&-9)sx~xL#L}udx!K6<nc^)T4 z70Zw9&N_O%=E2j|T*B{#9!~vp>sYU}uAs6z+k26ds|7623A+TY{Q;Vfx>~<Fy!<1h z!TF=_H9i-*B;Su$3y;5Zt0g_8(p>(-%Ly?G4UgWxIVzp9rY*auG3?yzib;YUj7yri zOZw$(m(>6NcP-mtvXc!<j(01UrQphyE6t`j7pb3I)MA$5RCeC>djvn*5$0koi#C4w zyuEzp_bQUxTpwy4R6Yc2VAj9iyD8xy)9Z;6MkyUWGYk&4WpO;*;P0fPvP)=^!V#vE ziT|H;OPn>3UUqQPE&cZs;}1$qDmeMGL13bQ*N%>qP!C?t)iV0x6;46M>F2gwb$xRF zfoT%&lZg&}n?CbcvinMC>gnlS?qp0pK6~Ql$j^IOUpI&ZWxB+1-&6JAToNQ*@B2*r z>I1pwYRYPEFApvCT(fD(?M=MwDOb0-?EF&mRz2vFJ$GyEpU*!3C!V`ie?;G)dXnHF zv3ZB)gPM{Y&XdIt9lcrj{qe>JP3M2d95{JaVLIs2o2@?u1caU)dwoFG>PYJ<(es~z zCdGXeLTXH^bQnPzll6$!q{4B>tGq~!$%{JQUo*I~@>nW8>2+B5>dMOG&%qJgDyesN z6kc<7d9*6n?aszzck4NJa-gYURk4a=p5KmY2Z^X&xH-3?LpHlP#OWW$N=udV`tlNU zRaOX`Sa7TRw}4vQrMDByr+fTAeaqX0b!~l_#>@GwhwT0>nPT#@=wOs&dG+-}_d++$ zw-2%2Aog2$G5ZRRCrqFYSe~=^*IPUIy*K^c^>RVZ-Pua9x0X$Rxl)s<wfDd#)7?w1 zT25V(Y@FK`Ca`8|j|hvc>}n1cF_6ZOF5)+@91->2<ohRSt%=?8X(m0V>OX~g9`o<F zeP7R!b6;4${!RDf|K+~3_wZj6%ww6-^P=5RkRui}wS8sdZjWV)=IvcF>$J>|{c({R z<^1~$o+$qKvY7wL{`dPet+(YGNq(NXBz?Wzr~3bL>ZOZbJ^g*-(PO?-XU$`@p2rtV zwD}lwY}?tN^Gx`e@7HAR@tOH$R*h}_(kttv=eAEgSie`Zvtj-J_v)2@|LgI+Yi0ZS z3bn-w>JxNJRez32VvOEw8&?;zH~v?w#I3G9>-T?H@*(5qvb`}Snf8_&^83&H`XszE zYVWcdv+9*sR?WS)$!h(!^7DExuP*JpWAenT^3~Gv&sKX5+_wL*{`Qk@|9{HeVeho+ z!%|oh(sZNrr<e9kx^_#=?*4pXRpp;;e(YLIjPB4C2a9aZ*s#|GHbxz|ZvTG5X76^- z&uj}<ui2G-JZ$Hp^yrrto-)n+^in2zSNqw&-@JFe-uAEPZo~eQpV!yU(C?Vq^Mrd_ z4DYQSj~7gtBwUoboo(l>eM@p(wsNJ;3+bp2SoiAml%6SD{9EPn3qfoAe9Y~{Rnz9h z{_MKk`0QCkm-D_8tIyl(wHV*~oiUB~Y{f0!+H=!$qs>m}zviD&$Nccq5$DAzx7zb( z#)-dPQh0g4(DeMBFN-2~RW#<@n4Na=%rxKEPgZr`PUlSQ_tu;K{r~s1wf~P-ZchEQ zd;N)RXX~X^J_hX3<k!(&d#iNH?RP)yU*7$$FSy2;^W&whrMWvF-D3Q7%36Ns^MAQF z{f(a7>P|gk%RhTV(5@Xf?r!Iw@P7YKo6~>&=YO5N<-N$Qs~Xnd)J~kUWq;cD`qr~& zi=!TGTd1sV>+r*75ohzePIJvbqo7B2VpRu@a)1)1?4OvDdj66S&F+ha&wd5Wo4d=Y zsw7LvbamPruNkj7n-UI3vwxea+WqYGtGDh;_Dnx<mHV;$wDS7~Ygg@$)0lhi^}KAO zNfjE7cK<6jy{s&VxL5a8GV}UFo0sKZ<9X*VdTl<%F8ppn|G%f{$4?vypEr%WDo#r` zYQA>6(4&-xe{N37IB~qWK6&2chvoOux1Z*gj5K&IeRF5-=9=GMmv1WjnqTz%zim=f z?*FTrKVC_<dz_6bZDRQQNB?2Mp}?P&SIa63Uc|rM{n<YHqco>vW6hE!OKvnTUcD0R z%RlFK%LSeUHCB5jE$*I>;QSD_mY}am!)jVc^^du#MhpDeIqUW3-?KFoS)1Q)R&--R zd;GQc=ePX%?_249%&ubk9+SdVF{OVO#7q`UUv#G>Q^x4i#c+GC=btZs?oZ->H{CJ( z`<9*B#nbX8y{O{-<l;8xulVyh6Q3j>f7<N-?8E}OmrwU{Y`FM?Yw>I~tyQW&OY@B$ z)u_n?efo4-|F+&-o8Ol&^wr<oo<BeI``4>KCw~Ymy!_dzhhM)k?Cpomhqo&v|E;`N zvhD(C$-dA1dU1KXU;n3vRKI+DwP<VL3*OY-JBpQ8f7@Ab^qfz-<0p0TFCX>yDKoe6 z=^Ggxa$51O^2xpWQ_TIg+S~7!?w=$mFTctCc+cb+Uq8RG-hQ`ic5MPj%SVlq_3NG8 z1PT^2uiCC??EkvVs-tSM;GVrDa-}?v9F||bQzq^jJN>=zp^AHL8ghq<Gfq_8KGe#+ zc9p)%q_Eeyx3_JL4QSrmtYN_~ZRYdB^6APRZnhHVxpuX?-ahJD*0;UPH!Rut=l787 zaV4N}-b~9khj^_rE-YAdyL!S~Pg~`e>h(vg(~nsC{`}fwQ1^G%)S|ynpL_m4xc$^+ zeg7b%M_+FqH~w_<&i$t=>&v(|<+~Q>9G{-fQX0i_SAlD;b@?{k+j~!*=oD6GnaN!e zXZQb4@$BMB(MpppPn^8H=*x>hN$awlHed6c8w!ivdMB~VRUFt>l0KW6ozLU?x`Xqt zyWFliQ7@V+XTuwF@us5-zY~XNvqJT@Bj>Yn{48Gm?qUGBx$yMP30IEUh6la9xpM#C znZ`keDT*h&&z<<;{@?%K=X<Y>vLC*`wB={KC|9ean^|sz^7SvTG|YMSxX!EnT5DNk z5x8s13dLIAcPFza+Z8^3tKE3Da<fHyrb)WW@AY%kCF?(`DV{sArpQgrZ;r<9vbU3- z&#OMSS9nX}3b{MGN>^X4@9gW_rc^vZI_JTG#!dD0RVyY3_}<@FdpB8JKQ8BZmGm#Y zHN3u}OH2h8e>OX&^sOyPFHzouYhj^{V2|r@w!Km&hXiz8kMPZ%yJ(kZifqOUfxN<; zv$IU6)%eV_iF|df-Z!7S!H$1@<*N@rq@*|5FWL1$2~_OzbeAjs<e9C%GVIc-Ob-*) z<H?`Cyw5$fGC6YM#FifsFRv}j+xGO}z9P37-(O40pZr_SJGok}=8)QgN8fUb{dR20 zzJFBhiq$k($;v<Xm7gv?nSc7v)99u1JZI_pExT%RbH4A9dje&T{qEF%Y^msnc9l48 zS{`$q$8En;p`}tm=gHGOzw@g8%N)5G@OtmdWwUj5eh?9Oy19Fo-l|O+Up~nlY3jCU zvyeN&_R;oyx9NMu1MLcOwsQ@pRKKh_YiE{wOJ%Wp|F-)3Rj=2U?bUcE^r`ai*Xx^E zPe{JrD`-BWqJS$&K;PieulfzCr^PD&{d~R@)W5kixBOlu>&&R*T1<JM+V@1-?DsQH zpGuz|@;j}wQ+N8J^B!j>v>teAd}&{?`R(*0g`VeUer@fMshb>QUogYwdsfxIgZ#zm zC;$Jxy>;fS8L6&rKN|C{D>%I}&CuB_zV_?P3(qG^EMI<ZN_X}5&A&bEW~J0yZ_kU^ z?UnX#gV(I>KIcy_yi)P`*w#;THFejO`zim9Doc6ND}MZBw(z_O)9=qy3y(85NI5@o zeQUl^t=qXW+3DK)8gVM8=c{wRcWY+j-8AQtxFl#_$KLMx-*2}st^EA#-A2$xg{9up zqly~N&b7W?WfbtGwCDQ0s@J-&w|+3IcM@9hr0(n0aJ%cwjSUYLG<nyHOer$_wWL6? z+(veSPzTR#(0WtQs`Sc7o$716bQ34M-~a#KB&9>jHzT8>W`#<8Gb>8b3ST$p{pAMs z&aFN2_V<!nwsRbE$$L0GzHX-CdX@Xu7aKwAXMEjwZkJAEV0*2*a@)0%gxC#P^JD5S z9xe}F8gTIF%LBI-g<4<EUb0^1#{Teqf3tSIJz({Ccl;gMh@Gz&)pP81&-mzPKViy~ z#YW}drf(Cu{?hnS<(=<+J0kb}e3Tr0@AkKtM-Sbf6eKmh&2F+xS+eG*;GPA?mu?Gw z<!^s(>fYVur!GXlpR)1%o@ev&rbS-<|98J)(9)>V`kh}stzMe+)Z^xsf91CyAK84q z!#LshHQ#Luqkn!9eNq(cB@?IU#PR>m$Mx+#%Wv+#^I~DqJe%t)Wh1!juGLHw{N%c0 zhp?F9#4Cn+D=K&=EIqEE-O?n}&$|9$qhibFCzD_P&9mXnKm71;d+omGIqr+p?=c_J zs|e8Wes-wu`%y)=`j+MoO#*C6GFKKlvulT0rJa%RZt^(u_VRN71HbGWIgcbC>yZpv z>{hi@T!mA~JoD0$ruimw9R+^~gKF?R2l4n>bM7=AmEE~J{$HRQ?@Pf??JrmQ3d;os zR>e(P=NoLktFA5iw)|%IdDV{?BR5s-Q<-U}6!YS>%hl=E-ddd~u3r|s`Z>P^Gyl=! zCnxv+IW5rk<?U+8rsBx`uT$P^ewmlj-V<}{dDdl}+`Te30?MVYu1!98_@8F||N8GK zv+{p!`JVd8di^Q+-P<}l?|P(6&DzFzd+jpMTdLDzXTG!%cvty+>+?6??gX0U-}IBK z`^5S(!|Ghys=1brCz;#-jEvj;yna!DZOej)?fOQa&RM^|ktOxXMCR~ecT*0PqnyTf z3XjVcU33*s<#^g7VR&fYGwt~1+KX$Yj3&-4yr_0&W5Piu>9)o{6a1Tw8!0LtY^z!k zq<F=79-GSYnxCJ{rZ1`e{cYMF0k2Oy!ar}xE6R3E-tO4MvHr<*v%EVQ!p+am%v4US zPfRqc6fI(ko1kLqdLW)-$H^1F-|v6_>*w?NM{Ry*znd^8{n7O7rGa~+E@@c(kAE=1 zrodj;YV{mJd*67S<&Im+zwfK~`P_8j+lky?PJUETZV^|IebV~n#YN{|-{0q-&QjcP zr(~n9>ON7Q<O5f(gh-!S*wmu8zAtc>SHz2TyWef9SCN|Z;KqlChu0qZd10Y*uDsA5 zotQPANvV8$I9g0J{;rMOyiC<@%Fj)EKTD~!ynTLlcKZFr6$dUiZ?5}WWf<CLci3fK zgmB#P)o*iUR)eMwc6$2wY-#B<2-=)>Hb-B)Pq^s$>i1rC-xog?0i~FDp6h338tZ!N zpZs=vS;Wmf_2!Z1va9_(+Z68~Uzeit{(^4wX78xE!JbxT-sR1!pYk*M8#%F_>XWs; zBmA(lp=N@!V;l#|0ZwC`_<eWOW`Ei@x#g6@9w}ippMv&e8&?;}e({-erhukIpY;cr z`OHXvdTOefinA%tJkdi26Xbeirbcc~i%cp3?{gM7l~#XKod0~6y!uK}C1H<b*%)z! z@`|hv?^vq$PjPs(^!GV=(CG7|i7`8vJ-xJEe!H<G{>d-z+nz^16sgK~*~ssoG;xn( znTn<FzJG~pZ7Qojd|z<l_C(RY*KYCcdtrFpRtvIkhsU{m;s(}W{g>Nn9y>;ERCTN> z|Ev9b-;(4-^~d8aI4;QjueqGRw7C1i+6P)PDWB|CPnx(Vd6}|EV*;oN>0>N+-I?E3 z#pG$AaOI!o)S}e$*MuTJuD96uXc5N`;YMfozLVwl`BOG-&sxt`|6o<h5qXVQGF=V{ z0tJ&N-mm+8_UQDRHtcDtj@zz(wdOy$$@k->#mSEqqG#$Y-%+oyq2g)T%WIo<ZOIAP zx<e+&q2KwWhr`3`bG4aRLEVv_c}<~jUBex9WgGvWy{+~1&?)cR%NFYTuYYd*L*Pg4 zXFJPUBVV?-TP8om#20A?83`>?_nW?!LjqKNm6(@I{OLR0dFy_G3kAJ(Qh$0I+S32@ zX8fx0a^qqTpBpNuQ4ij-nqj|V!cI44r?>hne5y-Nde2`oDSF+-9sBC^{xlzWvVPj> z7mBi~Moz1@{%Kw@NwEIWiegQsM$ncUpV&Rzo!KH%SB;c5)LmEnS$MT>=bt7qu3n`8 z1(tokGZ)8PQ}v3vvOZ`*Wl2FA3)5T4hi-hb60@3CYBF^>+!Fw;{|ou_Vuic8{nj%a zpq<I~Yi%`Zcm2P*Ft9L)<x|svH%FzPJmM=|68rd7rR1{P&x9HsCJ9FEy}WVivCM^j zZW-SG<~L63?bexo;{Vo2$79bb)2$XnC{AeXSzyq)r}Su-X!ljeU#}DD{%-z%V(G2= zBk>ca&8U2K$$v>I@5?JOzw0|iu6ce}Q{G~<|J$wXwH~suLEM)E?!<1%m>Bx=Xu#XK zR;8<QKl^D{Iz4!Nte5-OxuqPBd8N(HY+JBC>g#$f{k));hd`tDjtm01@+YP*Pc_|U zP<VZ3H$Ri)363P6{r`TgzOpuY`wy9g^ZQe-|5N$-X}P@*|D>?Gd6CPt*KyR;Z_e0z z=f>uRSJp38*>7-uqq*eIhwbufrg;UHOm*A6G;p!owooh4PH6kp!K&2h=C2i^`;>X) z-ms<3ul+Xj>dTKNQP<YT?TwPF`QWHMt^EJr@6liHsrk;@VSYL*XM_Cab@~<pCmh@+ zto!$B_4+%Xt{vQX<U#b&W%hh0kAL1?e`-OU?9wUiI+Y*RhJ=fFu=vfjQ<gs;r|GPh zt#;PbeaQv?R&z;5{bwH@9{%*>asTf-=H_wl_kN#ub%SHTyLr{`3RnM7+QQyB)3$os zn|ph2zuL4Qtos}f+s+^7>;Hl7N$$SOetZ9iL)>LHQF<Dmjka&U7$P*$lI0^uxAFM~ zM&|l8>PkjNm$-f_J~`g2c+2edwY9<}Wi^`Y;%p1nFxSc*((Rk*&hc}GP35NFZlZ~f zal4;P^1gHXr&P2=_7%%+btcIZ9?#FsWj&dDV^7pKjw5QzP6hvu)MM7m*Ee15rV-QS zz{bL-CNov?^R*Mohj)2=^?3UzT11{d-{_F*pIxul)o<p{kKUFu^Fm?OlM@p!?X52N zW>b*6)8lCKp~OMtM`O<AWxZc37X0z9NV>f(cbbVo-vqX#%JMsf?V<;qZ<b$O6<Tz^ z_Io6_4Vvws(H~&ZRCS~Leyuua*DOohp2-&%yWifgXxq%rKg~G(+>HtRi)wDpG`?0X zeWfM7>gCdUri1@aDEE6*RO|>@9p<|&=cdwm`~Q2syt{k5>zCs`&<;I?35`7;j!IgW zt%<4mc=X?l-7aT7zPTcLX_cpJ=FJUZofdJnC*}y=*zmU@=U!=moWaxGS+4C3>XHYe z58k!C*UiQ&l@fL2toi*M(bE4P`|EFf2UUN+r<|E-e7s7x{>1kOf`WpI?tL;Fi+yLC z`7T<#`02OX`Qm#{RIqK3G){{Ueb6bazUw=4<AeH7ALa<~<r_`o-ud`t!;}Y#iPE3^ zuC0sJUh!p7pSJ|d+6_N`R7lI6Ja_5RB^6Cg&C6;lM1mhqjz9ePaMZDWdHv$&=cfMs z{XP0n%XE(O6Vu~7>%K3ne=NcXN}WFreSEs-*Q?c{b8QqpJbfg)DfPTyaOPzvt(K{0 z4}09*Vxd@|^R=aBf68Rd+r3k=^>QNS@ad}b9i80c!1jUT!OIWZYJY!=G-80PWLDh& z<n{Xf+u|m0>+Lwu=5A1=_`#><-_Pexlhni?`P=^u$-3&bpRw}C!}j{zCY|5kO@wSe z$_bPyc3#`!zd`(4`Tg4KvRv{O1q;45eR+HP`nk&so!Pxhj`c_;?=@}d`}O6e^50)y zxldRY^j#O$_PBIusdwt7C7z6tlizKrP@2%#!y{>QL`d*Xb5^BuLiHKJnI^@1=iJ}6 zZ;RnPp{<iz56sg2{bW0D^%ME<?e){#N<Y`DM{NrD{QhFqq>0z#s(poogw{+ycsp!e zOy>J{iVC2uuo90hy321BwV1N)5ojxHMHI(wPzBspZgX5{SEJ1juE+vJgXjf#3v5~K z_4xX|Yl9TsTV^|Qh%A(Ia(R1u`}QYK5A)k^d7qyCC++dUk7o5A0>`JufBqs7xXI^} zR!YwX5fx8g&~&rp36A)*Pp&@>t$51MC=@L`+28B<w69CDo^t)1?c(0b!^GJhoO1M- zZP4DN$g49sKSX|3QLZrc*!0EcNDD(i+V1lAaSxAiFKQBR`f$46{$GZzScp)cgrU=` ztE<yLJDuQHbSeD#bb56C`i;hAhgvwxbS&jV<{7Xje^|36=jNrV7>-PbuB>ZoGQYdd ze1Bn~^WF81PB-QW@V|F#;1JyCmh<=5*J&~j6;Gbi*xlRReR>P$L;2&MC*Kctt~7x* ze%5^F2yznA`@9;ocUOT~Qjslcxu5Lpnx)g~S++^)pL8!apMB(7eb=SE&d!|L(Q5xE zzdW(PMd9lY&&W+#%a5qaO+C0QYMEz9O2E3!D!Qi>l`A-ET4$}yd)U-9QRUR*A0HoY zV?PmFepi)OdRhB5jkBuLo4IRNhpo-AXuHFqzQ4sr<dDge;0Lk_Jj@dpY?)qI5yx>d zfY&*WD{%&w=%sugj|A0=TXX7fZYqMcg+3yk_!2zj`P5Q}IUaA01|L4F^*TJ5<xi&r zo6(_mxoLAlHRsru#|S-(+LgDzW@AQs;NP{nvA0b%INM{C`U+pZn!Bm)xZ}=$i;i8| z8v0hK@XW%335{<KNOApmAtl<F;HJPVS^4p(_}c7=Rh6do{}n&{{bc{7eX8N5eU-79 z_m#Y=C;#BTr8N8HmY0&7vWk1w+}RMWXTN^m#px^KQ+{8QeagqEs=V{C=k_3$Cc#FY zl$IGUyF|5f)(e{cS1Nec<Gn9(Q}DC(H<X{7t=5+1(d=BYVAa}xt~dX0mbER53Cq8w zoxM$FLfqWNPhW9M&5Wqu`TzT3V=);<Rb|%W0qFrOOsx)VMoxDvesQtpP7+?x%sKV% zza3fY&qVHves#5P%e+aiCf+j9y>Tu!CTWwZ;_pY3K+B!n9_U%!n6~2eNARj)70{|- zP>dVT$;q@5TqSD9v_H3N>iz9UPycg1zN9sC*0n{BzMA3NN*=zikNEyTxY1<tlwFzO zYjW;Y2D}R4p3djH+S^@p8~05A?nx6TScdKja8(cnRp?gSdmO^HM_p7D5T35%?X&51 z(PA!{(8ZHX%#SSbw4FLrf0glu-10qdXLN}#$$ovSsP46uP^j&m6=myxe-vi&a{I%w z#BVVN3j-+Fmf2jHa`{EQo2~)Jn!6JfysyhnXjx*?xhBF<$|ZEFTi{in_l&+FAFfL( zN|vUdQM|eH{R9=Yr5?Sf5{n#XTU}Zf_;0rJ&+J?)|9^r3Mw9B_lq^va$Y3#=Ah_$_ z#l9I`Qd<uw6+C((8M)WgFKpKFV{<u!_WOxC`>cvcTGY9|(%#%XxKEmCQoX`%9>$x+ z4>oMc3GlTtS)J%)Xu8Db<rlT!tcAS}3r?Om*v!6`kH_~QXfLrsK1Ygza))l{${^RE z;NZ_@-FdMuICAcko|rJXB67EK+@h>7Gv9Wv+v#$v+9ylal_VuS>hm~rP`T)mN%o_i zzK>sB+UIGSS?PDx$v%Ep^CEYJ3H2!~>p#sczo&V>?)TdJCpdU-3hes4UG&->%eBI_ z(qC#{gv}1uK5=8uJMZoMJfJA>Zn%2+;0A+zERB{&9&Nu)(eW>ub@BBq>y<x&nt$%; zY_(!7Z@4Z8+*vC0aqaedn|3ek{iD5pPtw<n3`76&*JW>SJ+0yd4W07N{2jezis_|A z|2ChuJN-54&sIfW(~mNW|DNY+@Lj&o^;SCm>YSUsU$6fvnRh^GLTAqfzPhWcLW6d1 zE1SmiX64Dh_mYK!bv1A9`re^ZzkJ@7`s-_i^scUUiKw0PYU0cK>3X8S9(Dw`r5us8 zo$=#{$mI8hXKd8H*Zkb=St8o05r1n->iV32eN&_+s`gKK`cF96X8RX4<rQy(WIawd z9WvVzox$$E`ipM#wuo5is59lSubtf%)Xs9j$<91%hDsOr-;0agQ{UX!xHGaVe*4b) zr_-WSKrNr8-s0c)Y&qL5v2Z`LVxqL%y=U2L;>DLwW|e95Nnx3&ZYR;<u(DN+>A3%_ z4J|7#bar}A+`IAQrsIG1+S^4+U0Iv-MfIGAOT~<kK90#=&vpu(7kt{}W#2Azd&v}& zgSQ>mv7bNrtbS5O`Rtm0tE);XyOvd6=`gtJUT@RxebZ>E)8~|ztNyk%m`ffsZoHKj z_DO92zhA2tgl|qh&Zn($Tz2>3J>BnkRNBngcbC84wo7Nl)kVh56XV<6cttzjG{4=s zIo(F7w)Xe8sgBKTH*?G1-O1G7(DC5!zu)h}Qu_7_&Ptxg$jo-*e$189nf1TFy_Gb0 zd4K=@9x2mP^>J%H3gq0~b+t<1)%ErH@$YYJTzq%l#=pP5ZvLF;Z~u48)d>f+H&=X2 zS}pe`m*cCen^^C<OK-Q|&y&uYruFrDx#&a3kj{?@g@#Vck56Ymc|Br(Z9&PR1r7?r zl8q-nH@>*FH9J5jK>VRS%cK4!lKO`XJq^wmwg<QVUwO;Z$4m5by+W9j)TSbxw=FMA zgWOI{*G{gU_d!N%+Q0hB&;KLa1g03RTC~*b@6R_sw}0H@Q06z^u>bP9FX{dJ&r950 zyk*PeNmY+Rer;BiJ%0AS&GNYxnxdzto5sy`Sy-^bYpKD?u$_BC%+FU?uA133eO74C z^y4<GHJSDad{9%K&;(kvX}707_vWTl@ak3TukY^ejy7WW2-?J}^YOrC#=r;cn|x=R zU3<)^x#QKU)j1q&=h+{(@k*;5?~|Qu{cgu$t_Pqc*II9#Qcg|x|9(yo?dX`jb3rRL zTz?yU>osTJ^zp-C{@d4gR5-^3h}Hf3`TXtC*#=gR>&5h9GCmu8fSwz3ltEE7=18E7 zTk)F<3!TlrIN#9H(mHkSr6<p(Um*`&9siuaEm!@<(7aOnh5DqQ@--hEXBRi`+7xxt z85H1=pIw`8uAVAG{LwMZ_0N5~|Eud(@t8eaP_pPyapq@du0lnZkkb=7O`Pox@$T$g z5pZlmzmd?t=gNm{+-F=@R7_00dOEPkuJWICkiSvW_D8d_*KLF}vu~Y*G_z$@<8DbB z$Q^39p7iR<%5Q>#f{Dd1W^^Ap{Q`7e%<<x$<BZBXi|*~IG%Bor<TAT|;)Dqawi113 z=URu`{dmCaZ6R@ccX|Hy>nuS`nhk#}*bX{gygu8daI%E7^lLSvmgX7!PR<#BR}yhx z%u?^)%RQBUtcl!wY@Pqw6i{IV+TOP7jEs2l$rjgst9pBm4~tL9&X}bnz`<g3l0%O9 zlX6XL#rL<j)9de}9UdbdQ_#q}-9r51_4xX`RSKX}1*F$~+;igbK?TQYe?K?h+EtqE zEhKZeIbd&9>F-;j;W3IC4W{|`?(DNIc;Fx%SD5$jL!5(?n*Y3<;s6_7@aZx2haFIk zkCA0^e8^;9`>W);2;%sdO?TBFF7umf_4AB4=dPo#nz&dSeNs}6bO;u`+xa|d`{G%k z4Z2f$p0MiPy>=h8_by(JE4{vj#jRg1m$M`JkHDnOjvcMDH|~DF?{<}eK?jF;p~ulr zPfzDo9Ir3dVM#nQ!_X|n@y7E%pU-b^VO8GW>=JfJeTz@S3xjC^EOP9J)KqpnDm-|? zu~Emt;D?>%vmEY&8JCuHehv8`eRoS{aOHzW_MDEzg^!Pw)$y>F9dciD)9}N~tE;E0 zI_xyH(e-Pc@La;?MZyz)>%vDZs+ntMX7@*(bWsqNeCX|3|MP0?_q*Xnf)^K`GiUO0 z`@}n!?+Y{2ycCx8$3Q!}4{P^1GH@hLFtJQIAt3Fgc*p5SYYmT$*p!Dw&SC50Y^B$! z*{A&Z@zLyk(}X@~OIohO{C>^la|b2%XlGwvw>A0E<Hw*y6W^S?nO@JctxkDyVWHWS z;LT~i-cBFtk34v&a8cy<%jNUmNC??h@;Wj8OpyL|vM$5xWYo<07X&z0^eCQZS>)2k zB`35;H{sEZ4-XH&Jvz_yfo#)>Cs!8qT1GZ+Z*6bq?r*vwIoZGL(-Y5b@f0q7vDY8N zUo2P1zP!=3-$BjD$<5-Uo86DBE{8aQ0HaCbTS}tql^;wHEULGu`TAU;a!cgqw6g6_ z@;@wKjJUlmckTB@iHF<1?d6p=yRoNd#|{h7YU8sz73>c|_ZNzq)c*Q1@$78#Xnn@p zLDNp(xVk$0_G~NUlVz|TEYo*Cxr0A3z{$?G`kTn7xH(?+5?TcxRya(vkn;#V+9ir| zv<y#C5>u+mqfZ}9oFWsJg?$n@;o{a2DwO~A--IbP8DBa+cQs6!xJPN(?cc(U4rK{n zpBMg^#qG~msW)%lyhHbvpIZ;wl{oXni8C{eb8CdZFGNkIBH>0&?a%6uN#}2wC*xIg zRiyDzhl85YrjnOIv-LNnoSgKiVo#M%Ye&PRiB2rx%g+fmKJs!avMIRhAgIB{k)ouW zQa|~JEAMVpQ#B+%nlG}NuM|)MQlgR&sN}X6pOSjzH?zbJPKgO*TfU6ri5RG9@9MQ( zn}@~bbOd*D-P~Zo02VHBMz34vjZ~B0U7I;&X5epM-959ECPcGL>3JfidDjnoWQpqm zaZu~*ZO_WZ%k$!V1yATQdASvJPsv*jJv>HPa^XV0Mc%emUjnv>G{%6=kh~u7vaP<W z0errUoxq6)k_#Wp&;5VFHvqJMxna`8J4#D$YchcqJb5AMn6uJ*y575Q$GK)IO^^mz z&Zc_T4Pv>1Hj7b(+k_)-*BLIVnz|}%=g^QmsT;IwF4*iYhrW4&N2Zj$zqdB2@>k?Z zP)qz1%k;c892!g@`yaGAu$@0~T)sZ%>70vB*lh=m%B9@dQMf5#jkJ6pY%#$>CRcC4 z6Q&@q@=ncL0rBbs=0=`*6MLo2qo$tVl{V9e=yF&GYNBVaC^_up;9$K$jA@0{Ql)?v zj+9pi>R0_TaqXxxyft;w#H11<=MMoPv(;GqXX!<Prg-MxGT9TpF2>Tb>dT5Ly3t_= zgIJ1M8zxPRIk-exREWvTjf*p4ZkV736U!zQ<>zyX`*h;=M7+AbKAz?Dg;)`G(3wN+ zn<aJ~Uy!0wa(?c*7{L>hdgj#s`{~sAzVi9p<r<ny^*fy$PI|0MxYWzV+2}BNVlz9x z*V9u|RdsZ9ZY$fAzM8TjHzXuPQE}mAEvAL-4%1SefT}y$i1qt^y}Dqn6<g>a5MU%E zaHDj2y^?^3(WcDHYCAulvwnIzfB)HuJwCHcJh$cCoRllCF6IC3_I7`}KOdYQRzJ<H z{{C(%=r9<uddUx<R<!opTU(3s%l`Z*l+FqdW^rO|)H&I)t%ZMTu!PO)5F;U*=ZjR< z9hu!Tp`-ro*6XM0|No9xd=oRnEq|G7w;1@SlJd<351nROm9BbobF=$7yWcsPx3{g$ zo$kPQ@5DsqrP15-j7nZy(3xKH|L^zi(@!Uf$JbnZZnphiebwsF(EV?>-DdIk?v*lq zQ)dw89PX2^!u`4D^__oi3f@LWX=fxfgO~N#e7lkS@80rr;Xa@pyFUIo9Wv9*B^SnD zTD^XsR(##h)P?c~_y7N!pLu1)!~)^=?MYWw1b#Ze%<r+>Z*Gp_fktNbCE3^4H64G{ zrM+%KcwFUCp(%fE{Hp)@`t2X%^m9|VM72_8EYjeNsJpf<S)_4|z==sc2R{6~06HzF zYo5{sZBUBmQoFli0;u^G^MPO9PN(1g-;VRP|9-t*|LI=!`;(xv71JMr#$=zLc$nL8 z<jg$V+g{0Y>}qdm&3ruZfP(n{pXckJOpmYI_&edZcwEK7zU9UBU;q64{PxbhZCO{f zeqP`IPxb2R@aa#Uq-0)R)@$?WgmTc@DAV094>U5T{{Q#)TfUPi=y(eMgHj*L49;E7 z+x1dy=kIsB-`?7me}CS?y`cRzj}|TCV6kS3oFteuzxdgijXa>jfEScQnkVH2M{rb3 zp1A-2-}gm#AqN-MM{hgB)hJ~2^4{LvH6M?PPpeR9KIkg%&)(83@4>+=$FKJ$JhpUd z&h2eyg}9FKN}Kug$y%#{#$V6OGW9++U0+|I@Atdi=c}gb?|d?eXZJjt%1t_vn_NJf zQD(npe7NJoA?~A@H;(Ol{P;0z6XVK{enqWc4D$C@eSI@)L(o#M`kOl?y45*09ojSR zeQLYqPrb$N{pYrLUS8(=bm{astu>dIe%4}=1VsjDylqqNzAaf@4tbzDOnOSm>_blA zLm?D6<spYcy#4fScK$Tbx?;7L$t82sY|Inx*L?0hi+zlBx|nWMJx8VNjUGwk6mV<s zk<0hG6Os@Adrj4fOmgO6FFtV=RNel63_2}NrKLn>wsrZsv$l;2KaD;mC|KK8e>?NV z?8%EZ>AVk~2R0qD)z$T#G-;C2USB4itBzS+4uJ|2R3#NB@AjUqCnPK!Eb{t7ZHop| z{hyER)ldJtcz<i_>H5F*iR!#<8Y#QpIDtIlz_w%c@yic$|7_!xGC47c<B+jLqUatj z&<e}17BK|}S)0;XmA|)`v4b`ie5h29`TTPEe6O`pTi^VyQ2eo`di!Gg%1=vdzTYX{ zRQWk=wo7+-bB&-@yj9tojN_AXPGwib$|z@wGJSb6**`7HI@F}zxs7L|%Tdm^7Sgg- zB_}2c?9u1@sj0G}G|KhBdyW)Ow;RGYZ|?Y4Gj-a}T2-sW6N(39Wg>qs^`5>h`}y(d zOVWGf<LdV4@Bi~@g0)_3L59);PdCsic~1|I3F`AIlrA4!6SMPDo2mk66!pZ>xgR*^ zvF|ywxBC0Gd}o&rTLk3l4<`Fm952>cC@u7__G?Piu9B5!IUP$%?(Qlzt3RyyUv=Zu zjZdZt2o>fW=@7h@&7AmY+k4PvNZwWDdwTzfa_~G{wC7J6KhlvA7yhKYSmn&vm~)cj zZg~B_pXt)`LxNdq6dihG%-3&!_~`4`U5i$5h=@Vjc=fPG-jtmOi}r8}h#t~yiLd+f z(Y^Bf-SVY*cXu&KJ}~bGor}$})L|9pON(T6pBV|qo%lRHrSlzo5Se*%)6%ayCUkIV zt^&;zyXG)kf{xaEGwFnEV%{Mqzev6Hm)EoCKaMTGn;QSz`k6%B<SSP~yl3rsyL^7# zrl*JNJ9-6wDNbMNIa%%IA>W?`F)=aAautmGuCLf|Zu+^^92H6vbR`dlo@}2#s{(xL z=#GUuwQUL?wfxTQ;1K0Ga_Xu`<07X6ipqDM{(iNwk$n-UA!n)5{%OILX+qI@f57Jh z2G06@#`ye=Zj-$>9LGb}MqQm7?<B{SD7B})w*J?bjfoOAnk{Y;?ax(JRgZ?9dGK(- zsaBzwPfj=NE!8C~Ra;Dd@>NQ;ux@HLXY*(0T-P^I*eKxu!|TA0W*%H|Ge9lB82&?^ zPx@DAGA(Ry*p|{_v{^cT&&9eQS3%|Ihi`o=!d>jO8yyrT$VPB~uHdYn#P2kf&%C`Q z!=$D@Xhz5DJB<zs(MC?k%$BBpzgL~FaBbOd9zhnHy~?jdIVVmI<Cz{AbCTolybpUE z95fX7eYxb_{qW{xXRVhUDc)`u>=w-3cx~47Fa4h9j=!&PzoI?KIBb{eLj};;fDace z-K@oQuodK}9UA>FFE3}mzN*yJvAyB3tYV;lv1?QPyDw8ZST!Xz1(!$iZ<+xLZN-UQ z0lbm-v$`D0I0UXQyVcOeV)PRf%x*|WN`Ooh;MMKFm=>UYk3+`WTD84jZu*jBbrsdO zOH`JBvPhI$u5xo<nU~fSZC!TZ{*x;&r~CYu#5gaap40;)lws#ZfD-uWC40hcl@Asl z;@UJR!dWFrI9OFtQ}^#rk6AyhLxs-%PnTx8xFIjpvh2^2mZ`eBPftyoXy9yjYWm}y zKEF0s&V5@krG8V&<2PUSpEYJ_x$gJhGr(`YrE)q?;KP~MfBf!wnK>crnZI@2DI33C z|Ly9U_WMgF1x1w|Q@NG9I!sGHRJ+}Ct5;&sX0M<2^R;j6zbe8Q&!HiC@qDY2z%Gs_ z9&Q=?oU>DN-^yC4&*c6+??%dJkBNH=-BaUhx@0>1r@37WJ@T~P%3JBsY0sq}3|7hr zrp?!u%y+qIbntPnMct<<Dyq_ZdE_*YMNgijcJkTJHJfAF*2tL2P2&;@UA(B$#p7?3 zpir#xoe4`HMApBk3F^A;<vbI5W&|fU_vw<L3^@<*sSzKova3wG9ITiuCkckAAMcaZ z1|30{B({V@rryIs?e-bhs@wMtAKP3QRrb!<KIW=YPx`{9$`<clt%pIjMu(~lo$h|v zazuTZ$DfBHmL)2#LTB!8eD=PrRO|K!zsW03T~e_M%91{`C~T8}29HtGJDw;r`MMtq z&x^e_@m<`sMxiZBFE)Ix*~fduwm%*;U)oWayxl9`@!Qg5(Z+;&R|R(?r|%BCIII>0 z9;{MO&5Zs1C@AIgJeQlBo^QzMw@mt^f6C*o)YPSAU%ie+2b`?1GrAuuT3$1$_q&Rk z-pPuFNh$MBMtl0%>h&>3Y$nBQwj!DezeJqOd)JA6(t?c0LumxwClr?*oc_F<)eP zm(CGzoH>2kOB3nO+dxNp)t9}WU;Az5`OJNPK6&33Ym`$ozgrSKZTF&1$>N1qUgxIs z{9JZhOSZQ*^Zt`9b+z$=9HJ~mD#}}w-E_`Re-N@lCZ#aq`TN_#DPONy+}!ed!kpmk zUA(KWm>yAHwXE>%tQx+pJscBeZ_@wPp{kat?tkJQv*)Epb5>p2msy&5eaEar^`Sf< zXHL+J*p@TX=I@uwVLJo^kKYlRI7gu=L!qqw`HkBGFBg|u=RQ#s6qvN8C#WLo<YKWm zxzXElHuj#|^l8?UEpBjEX_zlM*Cp2+by0Cb)uSnE?g^={-gfNtu~^Pa8mm^B81%aZ zUR~l;sXO=V>zN*gQys07h2GRBPxqXZdv(JuRkgRXuTI!twDgnJ+&uXfjug>lAD1#V zuj8@Oi{75M^{2$eix;=`I_@re`(~nIYvTo3w-w9s@9%r39Ji-pqwMS4jwh~eZnvh- zuX?4q`g%)++|`adS1ijO9ANxi-E~Uum+_jXt>SS7)plv;=jq1Rex3T}#>T^1iOThR zo>%xjJ2Nx6^($yR$Ghc(p<^+7qkWP0z54&PGtKko)vgEapv&A*_V!lU_1oL?w=b$| zY5lNcPW8K;k|l)^!o|)@EcgyNzv|^Y#9w6?8`PJ=qUxct`6m---eq;q_vDkin2WyL z@a$X@5ho?}>7qu|`zL=pZSua)HEh>0tXF*BQuK)X)|HJ<Ty#qW!7D*6^PAcE%jECu zDt*01OMj=r!-o&Uw(wnYm@mVVUr<xChj+cgigum0<eIt*T|58%dfhtP^q%w6Z6Pa5 zf=(Z;yRstin{A!FCWFG9=7mgW>N00GJZDLbwUOVPc6QUNJ?HIyulf4KKu)Q_o&9S` zUp=F8OkcrE&{&nApdjeH@NRAeyBy~{PVnBjTP+9X*;Yp_wClNkQ$H$s!ki;VT(%cH z;J5#y06Ih2=Kr71)Als59_8lvlbSB``o+b?#<`caWCp*zBfBepX~P;DcOxNTVddxZ z>-SAP7p5)4QYLSswSSGh!s%=8%@t>?x>Uc%(N|TWm3iy=$nrP76VC2VN<H6rxF~M* zEzt7+mEp#VIKmg~{>09-?*xbVZ|(Jag6`G*&V8*}kaKHGrc>~7bI|^|mha;Hht=oT zJi6C*{euUn89j5_@uR<|hR1D`<H-k|=C>v9Zj@V(gyQ|$?{ig+L2DCcFT4S|+NNGn zoOL$J4mzXCPbuC73bxnds@HzaD|vb8XjS04ozJ8|eJkvzIsB|QQUBt%>!>udU~`Xy zN>O%2vVzOKV}C-}S86it1QjrcTuQFE2;Gj~c<qkfx*hr3L94Jf>mCegmZ_gC#+w~2 z6cpyn#%TR^ib(!F$?T4kj<?T0xubS<sdsl2V|4#Ji+s@T!3xO}<;s5ww!LhBEK&YB zrev-S?+LDj{ps^6kL~64T-{P&<E(RT_LFW0zCHJq1*dXbv9P>nk$V~*Uwc(;r_ulW z|Gt@PZ+tlAr4ZAh%?EEqs_)-gvB<FA$z#vE3f~#srV}Mz=T`V6B`3eFaMG#p_%IK2 zu;<>F&(6+X+ukPay~ptHudl`X-B)lNVzJD+ysS6Yd8$_Er!uCJY}W-098bD>3fv8g zpZRR}UeECR@<O&oR_$*m?%e<8(QFm^<c?Ti(Ag>XSFwsSaW0Fg__}QGrrQ<XRpsl{ z>-Sn+<zC17D$7XmL3hud&*!YS&1(D5p4^hKBH-bp_fK333Xf$!kUVv8^TF(9Hr`J= z*T?Oxx_L+F_>Kj_Tnmf0A~wtY{Q3F$?)z!7kdqqrOoyD*@LND)kD7pLj{(<0<@lp4 z*JI1)^8UZjrKo=Rv9ljHOK*KZ|8mEDCqxy?+rB<Yd2m!BU)iPg)CTbQPLZqIuP-l? zC-2tV|L4=4bE|JxDi(aYA~|zw$@DY-bGMh|iK@PhcA33TVpfOL8+j3-cturh^S6_| zc{O)#igvzy%kInXtt{5>en!q$mu!@9u-f79{RL!Q<X*NXWe*e+qP0TmPjq_t`fgn) zGs)UhthA@wuu>Rwi^|tk6D~gl4K?O6X&h;^Q>loYGl54u$$ft2*H>4I-tYZ>s)?0* zjeF9G35rIEhghUnC02j)>}Ykl{_*kg+XCDv&1xA>x=nI!TxiQQ_}01o@iFQAGc5d^ zTYDas&zi%}A!w=7((Mt}dSyd>T;Ft+2&bSs2|-9FGkl!=-t$b&T>e8Ig@uJP?=d}= zGR=DOT;$aZp&o~ICpgM^WvxmI)B~p`?D<ssV#0*^*WOJ2c6RZS=8r8OQbW0QS$;f> z-KyypmUl;6yu4#tUF><zwaglftG}u!x9F~LE9?<4e$pJn_Q`37MZNzllau>;k2i7K zy|?yUvB%Gk^T<KY<1rNvTi^YZ=wIG6N92&sim=Juj-2b4mnU6a6*^5|V)CIoA!`Jd zHR==|Y?m-x7P>mjsZK6Yeo~AgTT8?TE6{Peljh9H;S|1V<U7mcWQp0z21WOlJ%T4f z=USEK)Ny4uF6ogrKUdY^5mOI6jp6yv7mNE_ycFQaG58$*r24>H*rk1jwvm&Nc+bsn z%V+^v?+d!DOrM@EdONLQ&h;?;ILGp)PdgW+X8)UTfBT!sul2KXRu^1pVs1S2;((Nl z`?A#mEM4|YJC&4EdV-mrYZtEi;XLKL@|``_f&a|&yRVq?o~+-sJzpYZmwu(al<Y(9 z#>dO}&rG^%om&4#Q1a65ohECi--~zBE#T0Q<dpfgE8TU$0#HuNxwGTqF-`NF8vz&o zivIq1`B~1iCtHj!ZA`W8G&!|7>!@_&ktNBSk`Bvt^62X}SDS0!W0!v7zC1<cg#QFR zf59LB-^Q}n_<sLg_kU|WXH$n)(vgmiz>V8)t!@fiyXxAS*sUugqpz;HtEFpLcSNlE z{0iO9z0OLLBsd*k{<q1mdh~49&GP%-|JJ;FuJ(Q2XW8>RAMbo$CFG#snZnYU(6LqN zoaQoV#urEaY<nsc8fv4c%5}WNMr5-ri(V}MGU4a>f#&Nc>0D7yt6^#Bk*Jq1o*5`` zL7d4`NqGiO>1z#6=TDO(VpwXX?3pl|e_rt6tanok_r5rC<JD{CDvRBHv0*JgHwAru z)tW2HHu-JziH?&CrgyS7hA2-+F=}G7Ji&GSN`}YRH(>?`j@bJLW}2(#MX9NAFf^Vv zZ$A0|n6uQig?kv1TtGGp=FIdGxKMA*<f*2tp!A{7!Pv1!!{QCA@1M*4x6Jjt`7D=Y z&a~XGHqWKy^0nU8n)Qu`LN@z3FV-<Nv6*!3jg`ByRoDU>OQCDu4Nf)XBnT-gn-xq< z?GEd9m~@ikRQ2D#e|LKJubusWtwB`%1GcT99UZ1$cxD=WVvpMYieJh$lW%Lz<%_TC z_1@oC?+^I>Y<|%Bf66b~6W?DA=G}X-{QbYBSFO(lytZ;(QPDW3ZQi+O$G4{#HN`1h zC~D+dzs>PK>tDAkVxP?F7qT*}c2PK)!s1(Z;Rbu9^0pjvd7H($$KM4^mXdR8PCVat z_R8zq=QCf;v0st;dcFOO?S8FFQ*7P+Zf!WN@0wk|D(F(@Avya+RlR#M{u#}$I9?$m z<s@sj-h1k*Yl{klgZ;hS`Cq!O{@)Ymd3BrrYtw~Cwau1i*xyp0+10b+^^H1>hCQpq zRj%)e{JKeA(#4!<nwQ&xnr^k^j9tsRdluMQ@42+9uP>(F@qm<|hmmCC5|dk6&TmeJ zy<M_sP4&MtminvfGVTbSTg?7FV7Xau#-pC2Us8XclRprDcr(LO?SPIw=I{J3E{)t; zBbfUw()Dk9U-BZs`FHo1&vvNUz_vzp>#|7`{qBEV{^nbu>rO5aEid2M+x^~()a+Y% zlH=Nj??*(no=@x65)prByEi68w)usU@`WzP=YdxPL_73rlS{;1>*LipUM)PVB~tu& z^VQYi!Ytn&)Lt{&Qq*48yX@Dxg&!stvN8rMffAQ%)7HbWD|GF1Pw`4*zq=eRck$$b zfK~BF??wb4J6C+`y~fthT_4@H{C>8Z|5<zbVwX(+UuUalJ6=!IFxvF{N2=C?Uy0q> zwYkS+PH=qN^f*J|s>amzQzG@Ecll~#qt6zWOq_UqeZ26L>V=;lJ$`&RO6Qn@QpWjv z8<X8n%`{f;e$Y7KNc!P6-tOFs>uS$jT^$~oXl}Q@Q?X!*AuD6B!UP>7rc{p=woWxC zr@gs!*1)Pn@rH^Eiv|0y`OBY`p1!!EbMuSWdbM^9`<klrRs}8QI~%#FZT{}k$Mssg zukKE4dUto>^YilpmYs<_vAIU{-1H9`PbI7C_MSTW;@w;6jsMO6yeXdb`}QsVWBaRq z3e|qgoLQk?*?Wuk#rM$LlmAW%uvPAyIFY%!CiAKM=a<K>I&krGFRj1#&v{+zofXd0 z?_F8ueBI*nqv=oNxzjJr65W6CF#GotCoSzo^<LHcag<70r@M$N?4Ek*zW?bOAGvjl zGG@II_fb+mBEBqgLjvR7eYLX>pINmqUFeDKy4c;v>^H>3#6+a<Wo<QhlDQ^oYnN!5 zVoSSx6365R#sX{`Emzz-6#VDU&*D0{N5y`Aet&H2)E!$E7&5OnGD#2N=HpB2oh7X9 zx8WV{HKW>J^<N@b6XWCKovvqRyms(rdsMsXy2`@JjcFOz6_)gwI_ApGvKBbke0N7- zbMZd`u|vOE4pq*Yk>GnnxMRxRy|$~ruUd8N*S;{Lc?t`99X6fd5YbY+#`4rNdgF2b z#hLGReZKP0`0~`ud%LT<Uxn#-9X|HYXKwW$hxJqV=U!hQA9yXN{^n1k3bAd=)x12M zdFQsU*e@tN{Hx%_F}-ZFUA3R3a&PZwGfLm6eBW7I(?2fV?tT3|@0T|xb3A2!Z+doy zP1hr3Wx<DuPc~Q0{Iu|Ii`cn&K1*%2gC3{7pXwa@Y4@j{C$}z{G;wCI+vTRn$t%3R z-U>Ohc#9Itx2Ce(&x&iZ&pg>#|0Q(!yp6&AlDB3HlxZyynDA=rtIN#`c9%Rkpw|_4 z<nWoso`i*`&F3#_%(c~Yzs7U2)u+#&q4CEF4!QOpvzKw{PRR;X<e1s56S+x%gWKcq zqods~udWXNBjdreihbgG#zRbp82#CKoN7W>g(Ql`T3cI#uDa%acJM=L|K|nws_UPe z5VWfJpm27kar^vc4!L9N!q!Sv`zdT;KYx5%?(GQCic?cG-<&-7Q-SHjiznyj+lMVN z*;naUv%!Cj=)#q2A~y@!-O0YT#&fP!>7$(=EuQ7x-DNsi=0)$$okv^dC_Z6-xIgpq zGQ&znu>hU6Q~6G9d->#Sel$BTNa_)oIzwSXNIlCWS2s2Pd1rd0^97<eUfe%dVg0S8 z8oTedN4`6Dh+*kU(QfNY3norpQ9WPr%G=fFUp%-cyXEf#R;{2j&0b<B4#`bkxcL76 zPnY9v$M65|^|=1c|AW)b`?|ZjQk;b4B?FHeh0I9%x$ABhyK?*-)$F$15Ti$XUouQx zFS2-!)Sm}-SL@fm@~fQtNwfDD(@(>z%^|CNDu10$U;oKbD!8aN<G$akTl-etoG?-S zeB7mNhyR&uD^^Y3+me$c7n{No|Lq6k)`Evl-$YhyD|+e`_Vn-9>+wGoRh}K*%;*|F zfn!2*>gj1OeKcCl51&5W9kwRopo`<}UteAxzV~>ZZS|!-S?e2zH`UktEVASA+V$?; zJClqH3jgZ={`&gnB!i??)fbKDZ3%Jd>FG^DC7++2y}3JZp%d%3MMuo_zcC+;tB5#s z`0&dwFE6iow0dTC)1IkOTeCLq+EBJa_MU`*;RHc}&LvWN3>A`YwS5efRZ-(m5p7JF zI8irx+lDu0PnP8#+*2MOx`#8;r~ckO*|$Hst<x90Z|_-}zw7H_FIBr|`cZ%Gra#aq zD)%~n{^9if+iXK^<Ry(#QurMgzfxUMe4f!H=gbz1_L;MKUR3GE^496wF6i!gFsCp6 z%3@)6{tHKMPn_cT^Qu+;F_u}jxjJWKp2({Iyc$#FX7ar<Fz|2fU#nTSuKE6A-><u; z{&~=5_t+GcxVFN_#|nQuT-<NhB`df8*~g`;!&Wq`QFziMAM?S^B<F@fpSo*tY&*aF zviQ26uFDHFgaSXd@-Z>JXX%@3TWz*BdVAk-&>dedL349CGv9RxD&JV#v^9C|%gf7` zKRn$2xr9UUVd2&6Nf-7+d|vF{|D&cQ;(=mg{q<!rJBv1Y?=O1FRh{wf{{Hj#BuqAi z@Wv?!s7+WrN!9z4RMC@;*&*|0Wh+1DV(L_!pkve|k@ol3SIci1Z_6IKNzC0T=62QQ z?BccC(<jP&$^HI*Wx&KFlf*0hEnHJ_rLSE$W?OF@_%riXP1fy=*74Im9AEh5bIZdm z$)~NpRUOz=pSpc{y4Q@StNr$hy!Yri9bp<gdE&*%X_KAb?U2op&TMIXq^x|uJllKU zDLH?(mVmxFJ2PH<pPPADO7&gs1DTyWr}x~QZ#hX&=iP^y(jgb`HhS&y;uor|nfF3T zxm!&4QI=GTeF*bT_g1cbf3D8qsIfep-4Nh+dYbOeD`N9Yck$Fe>^a^q|M};vHvN(o zr+0UEi$5=%ASA$LZ*Tu^lDk}2R>bia7Z+E`&Ja!%X*ryzVDMp9gT}!}v&GLVPG@~M z|JK%QbuQIC4kt1h9i2NoFAMZJt>Y+4-!Sh8PsKx-+~#u4aJ`e=UOe`d|7@8~Dk)p= z8oH=hDDKNVYxeB><STD3*9&=79JBcr>3&kuapmi`(buQ&PssTayMN)81zCl+_Ppn* zysGK_dD^<gzkH(#bR<r$GhZLS?3!1Rx>xDtWxnpyne{e2KOl3`BZ+g($B7gF-QT+W z?d)rpIF~-LO4nnT`c^CcDRT21vv+o)>Vel|PI8FU*YdMpkl?)j;^|_S$vq7c^$jHt zxTSPCqqb%pjbk>^Y-wjWzQ~Dne!BkD8l{$^33obE0$a>3w5+NB|L@H~hK~)RnnJ-J zuP*f#|7PWIGUjr?DeiubeI174Z|>|YHc2?ZaBF+Me6`Ai{O_O7+ZV53Q<OQ=DZnih ztL`)7fTGw0nKS(bJ65mOZqF2&C4OE}QoR1T0;jm8yn;*Sr6msw1Sf?$W=+`8^;d~g zOi{C9S72<*AIXZ|4Qx*)Hpr+xkqr9YV-S>)@q+z2pTzTXx}wQdm4UY}D4bMNPWVv4 ztk{;hw#-Oj#<{ru1-qv9-1b*dwAr5#((0wXQ$YIKKhMk0{~zT&C3RiL^{Z6X#YH=V zGrf=2FSms)CiptdIb@qtXwc~fM;A4p{-3U_!JlnQCJNSMRPRU$iCSvDNL73Lk=;Bd zZqAa0+)F>+GFi$Pou#{zbImME<r&eldvxX)x2E|>c?#D>x~$#*N@%xDv8r;!1G%OD zJt|+?Pr5Z-X#RRnH-T*p>fxqSJ~!1UOlPdDSNu`rc0!V^pLO4dk2d=bOq|^qGbwnv zU!fff2j{W2>xxE3lRCLqg@qI~8BX}ZrO)V9VY*j1{rtRCrxupLp589emQ06fA6og7 zT8eFKY&0}9I#%aRc*2#`Ai%wcPuab%r#!B-{zqenjNp^rhM1>kXHWkeyg_Wjl#h>( zZ*J$XuMblw>-rFKb5rWilKlL9zP-&6DW|9PPOg0@YUswfB~EV-Pm(By%Iuz!cXwvi zXReUE71r2vO|34brYGvEzH`~kv&(NSbU!XuV){X-+{-mWY4M|(PON9bmPSf;9J@C; zd}r0OLpOu3tSS`Ey0wV+Y|IX$vUJXgf-)gHUE<`5=ijgYYO%4@H^U*yOMJ`X8dmP& zP`|9`hp%V%Eb!TxXv*)G6}$CkLeA9*mXjx@2VUG#c;8j({?<*V`=<8nQuls)AS+}- zijm_1A3+Z@NykUm4!84LX5W^2d*!LXt}^>BttnlamyN}x&DZF!joH@l$~4dK^Z$tR zr-M4#Js2lhT-M(ItNy_x*Bfkm9Tm937nN9+9bNRC!%1S7jk1KdZl|lg(Dp~FFMmyC zc=hpb!K%>hfifIyU*0%Go3DBH>0Z$MDZ#5&&$~3aV}pokOz=sM>2b^_<1*9N=jK|K zJU%{IYT3b`AB6rIeRO~KBFb0u?a{xz+0#$Hzu~xf<+6+So}c92esZycS-sY4wRLZ= z?7834vxLpoGF-qwm+7RMvUT~pB{PlFi}qZW%01ZD9kRnguBg;({gc&4_xmk=DeQLP z%1!U&qi+N@uMIsnHBMXak?=qMWPNs%6tVMj-F}znFP`u~q0VM^tzRC)wQsNUtd4$A zns2{&qgVc<z1G_`^q!c{VX%6%Jbpz?{n4yKJ>yBq^}jw=Th&$Qs~>q*RIub%Z}f#3 zn#Gg<7I}EgW^4W_x9<q==}FFR1^3Rawfk~>QKU%4OPTKh2k&+75LKTbmvL{#?7KU@ z&v<9UvGo0(d+K**-Imf#v~_aRd8l<#ik0y$C;=VNZpz75Nr{~OcXiH--;wMq^LNjP z6RS^ZYA_UFSUG3+D~_{&9|kA;q;D<eklc97TU&ZFBg-UTw+2q5rOeFCCl4MxxJqGH z!DB;Ni|-Sp!p-L?tq*0?nC)rEber#I@ZV48LY!_LSuWwMsI1xM=O@DA)9282f@9Nx zK&NX99GNHQJIv?4QS(Kuc8Zy@sJ6zbRlkme9`ll^Z#*ztaY|cU@MSGlVf!0w{SLc} zr_5PYtszzQ(nwL+?8l)Ay3xE$no7zUcbdcY2h850W_-OyF#Ax%w?)#8v%epHac!@_ ztBZ@KI4ogiEYWx67x;46VW-xqh^?NT69g+?axhKn2D#(alfvlT-<(^+Pq#K@oa(w9 zvN%St=#1p{dhLa(?>(>ONpiHb*e+k3o`2!O`g_~oZ|j*Vojz4TIpcw-sOV8)r#pVL zr*KFbp4&Phl7-P(VS<uTQ`rZOs|zgqO>cI%9$!)F?0;&K=CbNVGZYeZSd`-TEJ}U- zE%3NiWu=$L#l?l9Jf4pyY~23z_|}shRk0yKO<eXRW=Hqh@!qO8JRtXK->zS;7Tlb< z?Zq6s=hHW`Fh)BnxTdf?Q1EG<cKF4ObX~LLua;TMG`@6kGA(mRIC5fR$?G-x{=IxN zS9M5V2`SwC^thv&!h<%x6}Q-GMN4>GpNHfcF|Cy-kqhNL!Z<f<@~v2rSG!h}In}G? zHfzYWJYS%=IG3GYE+sU+rru3yOUSAa&38?!wso2xeeL~BgyoE6qsU~z9UjMfBoD7` zUVHS2aguKMrBB}&&!5<J_jzX0cdcpLE0otnOiyQV$_cpF>b|7v>#M?B2UmMLJ<^7C zV0jrPd$|bRzSbjYJY`w^`JcrBmzkKDR>beGGf6oikoU4Lxv9B%Wl??V%T2Q-mVMgu zR%t?#QB#&wdV0E8<0i4$n;yOYU*xta>h!b}d9kL3Cp{`P3r$XTm~jT4@6k_BIoQNn z^7~uvEUVJ2H!2s}8h6~;nteUu|IwpITeVphhH+<KUdG#gJb~k?N!FKr!XF<VZvPpR z+q|!P?_S⪻anllS*#26)#lasXrRiQtJ>DwLQ;Q+AQZm@xo;0y;3#X7L_u&yjigM z^0wS)?n>3uMy!of6elDZF)j6skB={Njq3I^?cEyVdHQyH$Yqt9vmci7CT?e9<vLR3 zwB>w9f6s%$iUP%y<x=~ed_3A8Bk*Ky!-hM1tIf@1*ksjKe69QWbh?k817C>q4YvAM zyL6+srL@M`uqQDp+DIJv=#ZnZZ@K2I_U8%**d6zroUHzN<BOENH9w2yJ^u9cv`NK> zgn!bv;v@8*$O?R#X>I<H@A#{ow`LqDX5sIfp^)&7W66x3hP{t@+1v7Uw|$)<6z$h+ zr`&gKlj_a(R)vDdm&Tti?q9zmGc=q#a^uJ8ob~!_`(hp&$EG|v+AY3j6X+Cht*|u_ zZ&v;=EdBGNP>p@V-QDGz)tS5Yt$Mie=jZ2}uRGmdaH#v!Bj4F(FJt&PSUC8KUR_{f z^xA2l@$%a0aQ$nS8H1RVnv=uU$N7ru$1N#*d@OLXns3I-OG{aP@=jQ^(79cWqoSn5 zM4KmDx+tZ7!hLl$jv3ZWnrg~7B<^J-{EXZj<sR{P(WS=H`#0IIEOFy3-k-P0PTSeR zYQwUBO|O)cPlz9!Ze3@hI5EVuLct;Dib~=H(Uzu=e%3zcOIl7A_J6-zX76s^&d9lW z*Sui<y3fye+uJ@I=9LqfqS~CIAQ`FZ#=(A&yU9S{kmJ00_4A&*dv}iao<q~G@9)n~ z?e3K}_p@~{YEnoz#<8TUCn8z>+CF3cfc@W0&-|DadHj;u+Gx+_-!;PN#?kz9k{j)! zH-m=iDzp18&H1-AC--&L-Sv7#n=&pcty|_euPuw?V9mFi={`YPVQWtCe}8eYIqT{w zQS~X8H>dm8`GoIddse?HcsbwmX(}ln_9^UViHhS`+VDqVK8xp;#Kc6IS0BH9dlt|? zeY$vih*9dPBRf@6Pfbbu)D$o&ZHCA78jhC@7Mao>0!2ATSQv}l6+%-|-rU&uCh5vK zHS_Pj>Ra})O6vzLtf^cvp*wYd<M!Mr@6+Pv7e<?}yRbU^;*%#q4O*dV>s|M<_oy7V zG5o9TlNqibzsPo<q29a0zsoOX?q8g;I)!D`-g0@HW$)r1P4qsS9W=Q|!BKPxbETqb z)|CZeYoiJyb?w$aSb1Qh#>caBERFZp{G8-$`T5z|$9n5mEsWWbaIoph?(+O^s~S{R zTwCfb{_DwP{|FP2T8{^*CubNcD|U+3Cp+xt=G>t1x3r`r<x#Uyyv%w#Hle1+-{0Ik zeE#d(+va`j$M4^t@75=?(%5H7r*Kh(le4pZ17Gz~t0OFo-VO?(DJ&m-u6$MIJRSAs z{ptP}r~Z1ZidfZfH1Fm1%FCIzek4Abv|izA{-vhhDlz+|9Mb>vU0nBg`q`$Qj3(>U zI|B7lyAs;YPMSDbJa4jlV@}95-+dNApO;-$QC{J&v+{G=n}QE64K)hwOqGfX4Bpdp z9zOs3=a0ork@KrPS5(Nv@lN4g{ZL{G|LV5S6GOV@h^Smus%J?(%<*}SWpSIc(TcXl zbk;<P333ueA=`3qA3O5m^LhK{a|CMIlJxGFXxCj?QXeKDY3O+1mY|2Iq~oEGeKkKz zcCqV9?g;eu3pl-Nsb=;x9<iOhSxaMID64M1|NVmNrqjRnX~w2JDc-c%c>f={Xf<Vj z<;9tw|8;)}4u9!5@s|1QEzSPAO-1_@60BH)T-`S0yl{0`e(dn!!zH^fd_Ggxs95qV zOXBM4KX$+4&Ie1>=U7Z(+GV|Td6$<*TI>0HF3)EgR*K}_-7rh(kkiYrv#&o{(^4E& zbF*>RciB_>za;$s9OvaNes0O{^8CQO<9n`bOE&*xU*E<jf8*%A>7B-6!7GiP{=5Mi z3cUF!vgEH9gKLX@SkmK{Cl{AQzPj_6ebx07-p{KhIl0ZV>s;{DSiSzzxm-IDsZSGR z?8_D{J9|6ezT;xe=0|edLz<VbnpB;q^<GajX64>CC%2&P1#|Q{X7DwJOctE7Ds*K~ ztAO)XHR;`7R7}@KHoe)ruU|O&)W2C*zb%P2UvDI-8Lam({towZpFodexkV@DwikPU zdw<Kze0}`FbGGakHW*&6INe-TUaxXr_w|bG^^PTvqZHoW+Sd3<RKrC#y54v7{}`W_ z#wkl;k2B5Ob7qdwEU`1QTtc(2seTulAF#uzi0|Fk&=Y+@^DL^gN?)3OV3rUD55-;C z7RkG-__bC0TrSbV<(rrHUF=-U{^D|#SLvayv(t()AFVO|^OE~kp~-|}C0(A2MC!d| znVj5$+UL*F<(MJV7&3X{{rdlNljrW*#XGAgdF9;O|HCZi?)ft#Yl`liTMK*Y{v9o@ z4_<E8`{HT0M%LAR#-(p=P3ICX^}l2lr)Bt|?W*mItx61KhwPUztDZRV@9ymz(ITRv zp7UDYzO+7CZ1mxH=aaoTioLSe+H3gZA6bSN)kBA)gp=!+R2bbdTkUy}|6SoZu05Kw zrb$RjTCQMU8@$Juds_a2TVH1%n`iaq_qi>JXXkGzyFJmxGklU+{*z|D`8yp$yQ~8~ zHd*%CY%cXvPdQ?>e)XGEW&$3jl8t=KyF`>e-@cV|bzZg?hl21_t*l?KCO=7%{$$Wm zH`lPf(QIwB<N7IW9$R0TEt@Eu7WA)a*GW+mn?+OR2>q6^%yc-<w<Y(nlvS~9Q?ZZ9 zNseoQcPyE=zPm8>nD1P7>uqb6*WJCfL7Z)&Vr&Y_bA>CPA2+-+Nx35O>Q;5Yq>~)0 zl(aMwdzA9zOuldb&b!i};r>VGJ!Y}VYU29I^7a2tG1pi9R-1L}O7H&8=^L-7y!^~5 zx$%p(#_R2=EJ40*3~^htuI@11W2*UMl|Gj@-}MCk6I&y`K39q|v0irmP}|+*D-srd zk8e<r?SAIBSesFN$1AnHLAeb^$1;}Q`Pfo#@bt{n1(SPfeuj$w%DnX_!syd<C$|7A z8F|T%`wQBl%vN};t-n>e$kRA^l|+d53FA)&FXXOjO)m%!YN-=o+9WdhLr>zmDH8+_ zKhRpt#dOTUK|h71w_4%q-9@h4$!l(|C~mRuUM87+?vi=jG}-I+Wf}Ulx1(M@xv@s{ zY}}?<h9T1ZqCtnW-|qj}_sQz%;uFu$XWX1*DN^xrPTa{8sjY7+u4;PM&)@#DZP}TB zwkK0mUfh#i6}!-2>iU@GU73|3R~$b*Enlj1R7btB>ZY2#)SZ1gH*ZI#ZJic)zv1Kz zpA#NN2PQeQG8#LBoaI}ScV~y==JfMso8P`nxBR#L@XG@?+pjFhy7Wo!i}C7z?wavu z8t2UUeEC^zdPTtm;R#a?r@s%Fx2OJn>wzmVJwI%eGXkdF{+P`7b4B8;BR0yjuUBtw zU;6(-;g#3zJGz#ycoAt)ZS?bvji;);rg-{enPq|3=3YKHadL|3Z-*E1iii7mJoZqy zy8eOByPw4pbw<*&4_--8QBi3rO}OyK$45YbWm0=X+zE~&b1y`GIMm90a`xS(KD%<= zDfN9ZJPX^d-Yd^Ax&D;heuuxj_0A)aaSQ%eX2ornxw_`^gu2i7j-4<4y-G5C*BgsR z>qA!T(NFg4>B-=ek(Z3zlhhsbQfTpV#(eMg^RI&r9NoMn^?#&R*q)F%TXn;2429i! z7p&YoJ?ONVtbIf25&pXwcUReqoIjBuWsuZ#R7mK4{b9y0E7Rk&Vs9LbiMJBls~0>; za7&f@@h}rVVWXsr`pS`eIt4CpOCG#+F;^gW+S*9=hga(Vy1$xL_+PNa^i^otV*gWN zkM7COG)iB{{{4Ny>(VLj^dfgoT~!}7KR)la`Tzc3<@v|s`q+H!m&fq!oSGb`xpcRk zm-NroAKNk~Il0wOs1Dld7OUp!&iCe-oN9dOE7xST^FJRf?VEI$EwnrMTF%Xt6NTKE zDm&|bHi`Y)IkBHN{8ZCz^YuqnkF&;fYgDgZA(QQ|c+k<u=h?q)*Ie@FFY(_k{nw@I z`Ld#;OXhoA3iQ4=@m7k8l2X$w^Zav4r7tFBc{D$Ix6rvg;;A^>)%ts)+F=E=%bz4n z)e7x8)-Qj4Zun6aM#l%vEMMH*0s@&YYGgP>Y`$CfqsL5c=i)C4hUaBkpP!$1=~OEB ziv^cz-rN$cUpL`o+Wcm_k84g?ii@tg(o<ad;AX_%>q$+|pZvV6erKa;`no$$qHgpq zJO96XQ_9BQ3XP_kDNFJWr<Hu&RBtcL_GHnP*Ei<w`@61Q=4r^;!V49-$CgYK`SZc< ze7w7*Jm;oWjSF-FZ{2x+YhPn0@2r)zbFQ}<@O$-~y}3>9({lZ+T|xhBo?ZWYZBC*0 zyIWgMp7{6ow`Iwm!epM6rb~FG&3d>*wT?JdtT1?UeZ4%dq*2SMsoL)6=i8@0+<j{5 z()!cu6xTQQ<lf|X(c9yYqGez(VXOF>yL$IImtU=3A9(v%s%CGS&d#mxtu=plub-u+ z`8?{j;r~6g?yGCh2mJn(7vfXo!c$kd^(4oaqy^f{j{dP_udZmm>u2GW<~a5B{eAne zqvAWg6bx4g?Wz8**Zr>c@7L>VjG38t{`h>}{^gO*dSM&(zWH{wR`dCNB{e?3dbPLY z$A`o)fqT13wY$G1&bhWWdb7m5y?brBe;+)|Gc~Sawtnm`mkT#9E|tkCa7blxJkSAh zc|`Gk!Px7M1CMym`mtPoYhFN`Oz|zrkCSG84{>r!TIcU^qd02+bEdyHHZob>h>jDF z|6DiqW!zjd$@+uSEwuiWg#MgsQ#q-PU*50w*O!OaSQMBQ<Yt@YCOu;GXYXLLQvhAY zF2`R{YybO=@vgeRR+HK0T;G`NE_V9$#l`I04;*iPe{*xQNx=gLvHPoIcbCmM`sjF6 zK!5=EtAh$gyJ~(GsTrKGmb9rb_|JRk^14{-rajC~b^=emPh6=l-rC<d>qC#EvChY$ zQ?qh;823&Td@xPjU(U%$TV-?Z(Pe8aeC1p}1r=Vm*|zB^%XiI`7L5mP2C+M3ot&q9 ziZy(!xWLox!P*a>J|(?(J=nx*AK}u@CmRvCJ$ifIADKP7Eb6bXi+!`zVIO;5Sy`D5 zOV`G*bupfLdU`CJJmux(^-?Ap%PQ~x`uaMOwc=nC>&DLw4iD<T#05E9R0utXcc}O| z`yB6a+SxC>bbE=XaMZ36&DFo`>ehH@^2p9om~hc6*_mT{mUKv0;6r6_Z>maF`N!12 z<$ki$j%`!DUGYOLcJKF)m0JpzpOI8`JUeaO-e+;~dun{G`|D%FPL;hqxw`65@2wnB zW#@O%lY1I?4k$DDw{Nqp_|R~Y!Gq<Hu|Z4dg!oOBpVPiIg{+O5dRW=u$-D!`4<A2v zE`N9DVFB+E$B&P>&619A_)R$aXV$l8XQgLZm1?!ePH>SH6+Nnw{PEGzkTnrLU!^|1 zdBB=^Wrbk><CiZ_x}<-3QGfBxTE`bF5}lXY2Rxo}%EZv|K$PGGZOMcBoCcM9KIWXw zW3gST8vSQw(8@m{K_91d+!SLHUuU;qKl8i5?P;njCQSY#WP0@Kbrt0owIX6-$Ku#> zt&5-Wv^zUEgdAp9)M9k+lQ}7BQTzK_DnA>K3(GvQme@ak{#fi;T&d?|uE1Y^Lj0oH zi?Y8z9`|p_zi-EPxZ%ZvJ0&kKef)L7;L`2evx{9G)G{_YtzcJNWR!Z!pz`PAe)}Ik zTX+;@D+;#o&OdrB=3z$H^_gcfC0M?AxqW!X^te6xVi<4dTEU6=D{?;8Ofjxbi*7xb z_D%MiN|zt|J>~W_FJ04*9SM(}+;d>{#Vz%j!T*}Vd07}zw|#$qfBCn!w~YfE#hD&X zXJ+TyaQx88lbu!H-gy4}^z`u_fhX-gGYlG~Ofn8A%6`2wzy9CM^RDOECw(_$JJ*=L zwBqBVH-(iyJ}5SdDCYF;40zUE=kqFALD51^QO<^)sYO8W8b|Xz@e_hJDx8ujGN5jh z1<S*F`KzCkA9*WZ=4R?tS`cp3bW<yI)sg)wC4ap<N=(Cw4wN4Cezf;@*Q6V>rmm{o z6?E*&%QY$Kn|MVVr?0<vVdLiQ8YYh{w+63D?XtMdZK3AopsM^qz$E+Ho93$vb{#!? zwpZSLl1AQ()y;AWd#k^zg<qd>L2A;+iKiR&EBN{O`Ht5=IWyDPY(Gn-2FK+EO>BxH zEr|{)0k^t8Kd5x{V7qE?!rM_ot@zmtc{Ps&*G1aDdKKpI`hPkz(>U^{jCo6GNbuyS z(#molo~=Im94}-U_f8g^a<Oq@zofC-`|hn<82jU^Jf!*VhJ@7HFFUoAJL=Y_)V&`S z3jW;D{j^`wNjF=xe%UEGd2YY7rUp&x4YN2|Ht{$fND{nYE$QeZx?d>3N?ok^fZu-W zE&qK4rfNQONxd_7sd*>sK7)H&w$A6`I2Rc+eqZT$^iS75pE~Y4t)=>rCpt>w<8RI_ zRbEhT#I$3ALFwCDre(QZM-@K2ySsnO*BiRGs(;DVK6>Udk4tmoX`T92YoGo5Rq^kU z=-k~k^YvnQmsvfHT@iWJsq6XqJK?#rUke*0&AuL6mMhC4(bBWv3-88|E1$Y+I}S8% z{+>Dge(b658*Qt-WZo>zoV9bWpOdoE%oZDtTDPyKo(HqMd&I>*>vmPD?UAKhPwW>E zW?^AG?R-EXh2`e`<9)KqDRa7y_SYY{KVAOf&)5Ag6Mt|Qh0j{HQ@mse&&$hPDQZp% zQJ!9VYkmvH?i6*ZEKXHCzlbj+tmUmwiRmQ!wG$>jNmfheV0zZn;CO;#7vr-N6Px4q z)nw}ZHhEpj(&~1}Q1#|j|3KEVb8~yUG><Itu$ws3{F>|Ty6=YNKCR+QiaPsO26gVK zfAVI+(TK~ZZK|9cPISy`n3BlCD6EhmVANE*;F$c=qKsS0(@I$M?(r;sJiSTtO{B@q z^s_#!bBlu%pT~H;XY>s5u<GKJ?@YN8?f9_dwWEcrP4}WZH;sd?PO4m)vM}b?ET?0J zj7&~$J`B5jG&x>yGB!^XEa7c&QvD)&WK#cu*Y)P_U)<RmV72%TQ+{jUzde>BNt2p8 zJ5~0n$)A57xL$-w$ZfkQQ<44;PEq|C-8OfNv=`2}Ges}gXR@Z@X}1HgMy65PnT(qb zr(Usw9B*L6bWyED<;vAXH&}je`Ju_2->&`SiDB%XpEKsjZr1jleCd;I-lF!;51+RB z)%ygz2<GCd^vV|z4ZAa4=Z!;Z*ONt$SeKkS{ph}cm05z9QB&Cay;WZqy}iBtb#KoC zMd_AzUvk@|!tcMH@-c@S<evi(`|E1MW`~%{<#0zGe)}ykLL_$g_m*`U+oc`-cN|_l zc~bVI@B5?6tZz?VQ!gdy%P(iU^uh7-8Xi0A|JI+6)_RlTpZ9ZfaNttwthkemhIhCx z`M6zEQx+5yTw}~!E5>nC^3sAG)h{k6&a$t!^KUl$v^ni;lC9L18SRJiUtU@|c~8r^ zxz?NWde`}F@|1Hq-WZ{L>*D(8?Rut%PwZ@JxF=|!AsM+PLvXHb^)xZPm=)h!PdB_5 ztPuG)$@Apa`rrPVpPowpyKU$$R&*lq_%92-Z-({DeUpDa?5G!+B)ICuL7Tpgpij1O z8s8^=@%XvB!<%o@=_z5Er{~4~ygjez_H8-E&0oK_m`OI?(wkCYo_8msAft^}`q<kC ziY?_|Uxi-V>Ub_@s#a(O2fqq~?vu$$Z7P8RkE;Lu*;#VJ?^J93gyf#C2FH^eET0vm zmU<sKxnlR0jVI&w1|AafYV`}q5V>0~;`x2betEmTB~5;o94BX&MH&T_?e3Z4yYqZo z^=_WDrSqGGZl?!cWXWeQvMrEb`KMpy-fpjb?)PHKo;+G{r|7okRrej|onBsEx^d%b zo!eL49A@_%FlO8u>k;x|Q*ixqKfy0qdH435Y%2Qu`+NV@D^2fsJbKoz*T1{BI=u4! z9@Q`YP3L%cR_(g5!m{uY%lXE~%l+q{d+xksec)oZE8B9T?Y>=G8~x?1`TY$X_kMnU zo_T7D<{$GEV*|g}*Vabz@SjyO{MRdO{zzwg=<2X5>*MX6UPxP+W@t!CN_zhM{Jj48 z@zc|EPal7L=UA`w=ZX!Ulhrn|@$E4>alg50!#2ki=kLXpOnq`UrHX~e%WcEnb+NmH zrt8K25O121DOmBxB<iEmo&^R^?Y^A!KJ<UZt<H*Xlcun3e6ni7RPl@Jr^czj)Cg`X zx$~LjyQJ~4Kl43*{{JuHG{G%U)OYf;{ncmpi=MCNK5_1L`Kh;W_Zxnfs^1@c#dY!G z`xj1M|9|9;_L9d_KbgN<9KV0znwgih<K}WWJq+l}k<<+T=JRC1ox`UmdatY~JhQN( za!R$Kqk}lptrV6e3tYR!YA&0d2<4HtoAc|-OXsVrLX(Xc9&OCLtfnUSp`=0M0W;`u zfj1u+G=IF=e7@d-h50=Dxz{%~D(|iM*i`l9#X+Wu>3XqAOJfCQ`pit)Q_OMo!JpXO zWrjaFxww)XZ6!kLr|ZX`TU2XshBu$@#IX)R<sTbOGA|hvHg5W`CG#@JQN|Oh2P@h6 z<y7S5<-e6B<a$rj=@eyV(LDHEU!;BE8)-SYa|`8L*3Owbx6-Gle(ns%be>1`x6HDx zXv`LK@#QhEw5#NhnA7v;-|zQp+&aw{&iJV$@b{N)tM(C=#CaO68ZMgOf6UI;PkLvZ z>fx=(HS;HXi?gEie+~IL|NppK71aOypjEW~q8}&wQ326A%R}wnIK&7jomxDhGo!U8 zCGbRV?6VTCpC0a!|90Qh{9a#n>al{N<Yo1LjG6m9PMz{;ZBUbJ+<xfv>F&MatlVOM z5<Fk<@w(p4ySwXSabsiSor9t+jB(wf+F>8go;%k!`GeuLQ&Y819zEK+|H0k6cW*vs zh~8gk`}XGMb}^lZ13x7a6BFMY49NZd?ruyd$DzjJiO$Qbd>y<TxE$(2m=88Cs$Xx` z=~RBxy<hIAkYr1<fgA_#p@+iieowa6FWK`w;O6J2)8mUWI944tXj%I}m+7HbU!uK& z=PkCK{aZ4ZdL|cbn3DY1{lJ5iCue8Zt-14@fk9xdr;B5V`4m-ev8unnw#Kk)yD{*- zdysZ)y^Epa0TGUjNrDwT6XX}Z?*4wTncb(J%|S_EUgO)lyQkX<aYP^J4&0g*%C~(* z{G;OM=TiBP%(X7Jh*|tom7kwYD8<m;F2H+=#z7TF(+U9@8JQo3Ut**7R2YhChxN?Q z1I;*v3tVeFSj{N3Dd(oqIme486GSTPuNd%f2yk%O7<tS&dTW{A+*8XN7Bn!djoocl z#_^z@aniTT{`NB;eL69x$)O1p@d@9)y}ex=R<XP6?USMiO{O0Lx=~v+{%eT{v-mVN zs7YRYx-j5+%Y?%Z4mQthSkcDsG$FGkBA}7^Y2j8b0T-6|M+%|=s_qr;pJN1CE-rR2 zKEaeY-)pLtVPd1=e&)_K9P=ACG(#J?)vWc2!YVrsJ!W#|<2u2_!DgejYUS~!1QE@k z7F$sc-iNm4DJKNhMd`%sFzDz1{d9W#k9NjuYotD`3|`JBykLoxjc!)!glCo~d>P%6 zni}>A7;s54dLLiUcO>QL8ezsG2R|y-{Qp<``p$wkr``x&P*MJnwSe2?koKxZ28EW! z>l$?m^@>xP3b+sHpE%xdoGEpOZS}V&a-Ju+9qT~%O<KHXQk4A~^CItIOwG2lv(5XD zAF%u}XLrR%rTNV)|3E7!%vm_se1CU$@%nwgx|}Wh`ucpF9&|RgGj}fApzPlF!E%Mm zkz@rC1$hg$;-q=){PLfo4DFnpJsgf&o-k$%bn4@(w^8}9jY0V8gx%jys?Yx*^+1+s zqURb%>0?)(zHQkqZEoo35YHje+LN%c;`7hnzmM;7{koM=^0<w_fp)Vx-G|c`%uq<+ zVhJ&7lHrg2YdK;2vuDqac{~4O%gbO0TNR@DEpErIU7v~>85!qHZ`5+&=jT7S)1j_q zR(z9<NK0mbBXfP}C++Zc8>TZHU!)`ce4cfA-|me{TNdq{7GM8&>RveosT15ccNVL+ zM_t*&SK%M<b<sS2e(pYILB@}cN?J~KrrFn0LQA#^v58uISka)9Xs-|&xj8L0X{i(k z?;aZkaSm<8dKOE=q^1T-g#;6$rj+%2DnDO3(8zo?@%|<@TjhrO_~su+OCKI$we8nA z%*o{Gd|--f#N=&<rpUAU^L>gjv9;Bd_dO@Va)zIA@kGHXdme^2trIf*-0T`QC5A=7 z`}lh2DL&w7=>t(GIc!YgYd*487X?hxR^y0JW^z+jW>{bJ^ps4nYhL4wQpJW>tY4HJ z-o*L{7_c&3^K$cPTv~rdlS4w9aq%R<D{qzEx|UfdU2A2i(o|Tfw^Dcg+U)O}q>CLK zPIOqtutqj3OfX{U@p9YXqEf23+9rBij%M`6IjPe)8WNf&DD3nHo9g7|)41!5CdUhQ z#>JBbQ@R$b_~e$ZnV)+?W0@O+C`*PXuPqOx9GJFoR#M%}`d|S8mY{Y9uUr59H6??u zc=F`0n5ZAVPUiZ~?nr*7y;WZyO>#OL<n;RH+&3-)0xT?yjt3+-Bzk)ij91Kd|7)|0 zzblfR>BZ89_+>BLG}Smbn3@_C6gZZ!fV>nEx8P^LiuJN5K{KCQf~M|714}AIK<#ix z2avT*4T1G1Im9{wc)v)Unx;E@($`a#X>AN8wijwe=W2n5!9dDb8mA~Elo&A`^)dMv zxjiq|>zelS8G=k1`n+E+uUMgB92NR%debWXE%(k%(F}gYK3R~35p2AW>wy<3EZR*M zL{#>LTE2A&*JPie%5>qV!|eaP(&n?C{tDW(Vt@Vrf3s7cEW9?$vN%nS>C(F!+EMqi zwN|Zq?C$P<T-#>ajh&j2i{~hV{k2swLCC0Sp2&pDZtEInJXP9|c2?@vhD7GIaeHq) znBBl3btP<KzKD>JQ!AI~qjmcPbS^J<Y`S~=czd6mt(3J+3&Z`r)yI2(Z{hvje(Lqz z-Q}fv$_wj@zwXRCyU{_QFjv8zU(lT6Xk}HERF;)wI4H0iLzF>QhVI_6W5c}8480}4 zq-NzX)VzH7i&w(nz=CXspAAu(lTz9F<<2Zr^jH_ZGN{$F(~h?(MI&^cMPbsUw$@kK z6%`ika%Yb^d{MOLpIPz3iBZ4AQ4Aah2Vw*b>Ln#3HzY7Rek}d>=jXGo-Zk2SEDi!6 zmc}l2<84lA{gh~Oru#he=IrZwQl?oV`{y|nDNbKk<?}#shEXcl-943)?S8#b22JWN zJjnO2?e5Ovb~T?F4*T})`|{$V^0fAL_9b<HfBBT;-Q9Kc_`%ujn@X+<%(|o(=+43Z zD9z)Q?~D4Fo{6(e)mh(!uaCR9G5Pq4;^*gTdKdiDf+VG#N(n|rOb#DZ+wKUiJYw+f zH1os0jmgKQ$}gpyY1`^p!(aC9j^(O=g-o$~tER@p#@b7y9`BRYS#MYT%xB+x^L)9# zACJq+oN8>GqF|o+<itc9&@CAjmAgDAtCjrwQ@JX(ez)2D_UH3$tB)<}6BHEmsk^tY z*4xq1F=T-Q<2lKTUk)t@PberbsBU9oV!9#BXf#R9S8AU5!s6h~&z2nM2)Mk!u~{Sc z)|NfjpPikp{?x?M4HRvRlNA$!jFfgNtL=Wba^8<iOTDkGi?#mdCUFTgz&nqtCACCy z(!z&t8_JpMLBo}+A~q_8|5)Nbe_qj(69+lBmA=0A^!eR8cV<*5)HE6u3(Rfh7XMWI zqVe(Dw`b*8uL{&TT6l#&Pk6Ha%iG)2Jv;Tf-`}~i#&TsuvrA&`_xJbJ?d|t3nWuJ~ z6O<PdgjjsM+%`PEwAB0Zsj1qQe)?&a90z_E{P>U<wX0-hy`wnqrG^QoHl?1no58Lq zQlb5!b@ekjMV)5-#Fm&3sxf=3zTP-B<HQLMHT4RasYVK{9G9P*pFjV&+f!~KJJZs# z3(r6mk(KmU#dwy_N3I|7zrJ&2WO32tfWle<FK5neQ#7T&q-r&DGc`GA2^v^RI=+#~ zOO2h%wersFIe88&^%E8|DqUC^tj>JS=}wm1Joc8)TXO&Z``eNwI_27`u=R1aMMXuA zWI#tJ2>-ezV_!FC{;}*erLV8eDcF^N-_A*|>)Fl|UmMb0HaoGW?gJOU?pxLdE%gF* z4Vqpp(YsulmvwDTTf-zS3y#MV6rC;lT|v_!ER4l&2cD#`)IU&&EO>EYVT-<y;b{kk zN|7H=*H(%0Y|Uzk0PRRW$GkFhwb(*MNgJ6He|OaVwQ7&Owl3ED>FMduT|z4~FKDy; zR8^4vP~tP!YU*_MqZv;+b8c_b{dVW=?d`=8?5WRIa5=?!UR>mwyghhrl&MzWA{ODa zii`=~i==jO9R6&ObEDqCZ-VRA{#&zIj=!)nH_i6rNv`M@C_6PxS6X<3UG1+8(>aa= z!X2!0f@7b;zMs#e{lY|y>sijtv2?z>t90?@<^IyC8x@2-d|ZRHJlG}~?=E|Ls`&q( z&*y8Ze|}PJPjQfPaJV4O5*zaW*K7T(TU#{cxr-W7LIvU_>R0(p`0?x6S?P0%2kX+# z&N}+U#Z*ANBK<)u!^ML?S1sJdZtFJBzW!YCq1#MK6K;Uwwx&TjQS1r#PSX_}PEMef zZKSW|PC3!VF(ES{H3ToqnWmlu+aCe$K7VfK@1M$@@{Hrb^s+V{NejUch+iBX1Uz(^ z(wyDuHwe}od>F2_O5w;EamE(i2dWL`4k&6|-5z{%TeQfsbhD4{foo-UMOp%UJBprm zZO!%l1}T>n5|miZboDSWhqx=GTwW2VEbORsS*?X(lFLG2<H}Ddj9%bO>F6MEftxAK z-R;75MqBqQe6y-<F6F6TWX|FslzwK0qN3DZMJ;A<o>AbKAuD-MwXuvt<<8Yr>^ps) zH8Pw^2)x?FC2R<;T0k{SJjV=G$%D@>RB-h!yWsD1#zvr_kJ}{K?(di2&k+;WI6>?@ zpe0}sZNyY^F@@`|u!4hHS`^EIpH1JMFDg#D^;%&wcRe_d_HxWHmAtvTTwmSm-ObI% zr8aI~VY{%`%4*>wHAp$Apuq7$m~pe3@(qosT<1GJpWMGx-kfZH?e6aKo!u)JidIeD zu4NeowK7V;pxTIOfudWR*n<o5g2r}C^Xvavf@VIhY)bWh?5}6c1+rCvBf^%cUd++0 zKvYIn_T>Hh^NXIJ%l#^TbdFhvT8Y5Q=7hO36coTUpF#o$i%wh5f{S8Pk^(<zr`fP< zN<V+jYv(Mcf@8B|(}Y0H0tbN&tRO`Xl00173*#sK{q=S6BG>LsJbMwQXt3yX^)R$- zPCtKXN8w|YoP-ti;YaEp&$FwYweR;k>tDa$@8`9d%%cyr^fgC>jO0Pq&tJbTeR_Jj zaLd;tJk1T=-Q27!EFKld3_hG%0d8z?FiCMls7N0Cb$v~w^XqGClQpXi|0*@CQr+@I zKYZPsI`(N7K@kQH9a)YD9m#`n4sLt)?mc<@xO=_cx_JT_mzVL@empAPqL!WnH4(Hb zbVG&_Q-fK~4TsHXXBRcI^Gh-7ub!9u;laTv#_4`ib~QUf-v^tiL){w35n&_AIOll3 ze7d7;35RaPh6R<1If|=){`>uYWzo}9pc;TXa-$BYy#;DSMG0)+GHU9s7nGFrT<SG- zk!A6-fX!)V*F60tkt#pex_lX^p?aiKxYuaDj}pWV1&$1*1k)6kyHlo57Z=rwnUQvG zj%K(OC&!glq22rbev5u}Wo58X-!x~qZ~rPMl%}u<D7L5E+L9Sl7`)hx_wV8~R^_(V z*1(sSmS$dERUaC%In7u5#>5;)aQHYL2ol`jWz^LD@xw!Br&Nx81@(WwhI{X|V~hO$ z<mBYc=jZ0ebUu0VBxQnSN&qY`D6Hg&(3K2ioME0XcXpob?A@JwG8P6acSJlbbnlmY zTHv!fY;DG+C7xkB-EU5TCaI^2313rKrq@5Yx;p&J<9_>1zjP*<RDXGqSQ)M*s(tKV z_4jwa)Ai%icovu|!}GulZYDEFw~!5o4<DX6#irsz!(sE-T_qb|1tm=2SNY_0o_(I; zn{asPVPMXZ)77)$f%5z(-|yEyk6!51dL#JK5>Me%lT^ER#?BX6n|{51U968&-u->P z_J2Mwm%hJe>-zYFCvqC_G+M;@W?${^hywnTb5y;jE!kE2`qNs!xmL#x#OD|P`1$kV zx3{-BzvtiImnw8=MWFKDUVT_0!ok$$bl};^4z&&H{_~dXt^WS$?fv@yOWphB0y~9N zAKkfg_bzXJsqQ4xcazoqXVg4k6Md}Y+O?saeU{PDZgKx>5k(uIfu)e(#nRHF(y(v; ze*4V(>tc6{dHbyjUF}v@R`%r08=30U({yLYt$)6|{QaXfiV6x1|NFbT9{p2LQ0SF5 zPxF<87g`5|1O<#Homt%`(BTy@frHz2PW^%f3vNiCV6G}(!NOX9`1B;Z+Nx6%6q)Z| z*>9Y7#$$c_ezgkAd3Lp@%+KzZm66H#{OoL@jj^1Z+@Jc5Nk=E`EV7J&q#FkT1Ams5 zo)<rNIViKMxT<QLpJ$t_&*s7Il~Wk}pKasM{r`X4Z`!_n`J0=YKl^^pcXxL$DlWdP l`k5=$0+x;r>=F1;KS}8NEzKWnu?!3h44$rjF6*2UngHFW!^{8x diff --git a/docs/img/OperativeUnit.drawio b/docs/img/OperativeUnit.drawio deleted file mode 100644 index 9bb0e4b..0000000 --- a/docs/img/OperativeUnit.drawio +++ /dev/null @@ -1,426 +0,0 @@ -<mxfile host="Electron" modified="2023-05-02T15:25:12.389Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/21.2.1 Chrome/112.0.5615.87 Electron/24.1.2 Safari/537.36" etag="-5AHYXFrDsyYUGHEENvj" version="21.2.1" type="device"> - <diagram name="Page-1" id="HnFJvu7xD7cCTyxCgidn"> - <mxGraphModel dx="1430" dy="830" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0"> - <root> - <mxCell id="0" /> - <mxCell id="1" parent="0" /> - <mxCell id="uwrRxOYGmB2LabIKb8In-1" value="<font face="Ubuntu Mono">Address<br style="font-size: 17px;">Generator</font>" style="rounded=0;whiteSpace=wrap;html=1;fontSize=17;fillColor=#dae8fc;strokeColor=#6c8ebf;strokeWidth=2;" vertex="1" parent="1"> - <mxGeometry x="340" y="40" width="120" height="80" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-2" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1"> - <mxGeometry x="340" y="90" width="10" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-3" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="60" as="sourcePoint" /> - <mxPoint x="550" y="160" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-4" value="I_initAddress" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-3"> - <mxGeometry x="0.45" y="1" relative="1" as="geometry"> - <mxPoint x="-29" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-5" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="79.83" as="sourcePoint" /> - <mxPoint x="340" y="79.83" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-6" value="I_incrAddress" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-5"> - <mxGeometry x="0.45" y="1" relative="1" as="geometry"> - <mxPoint x="-29" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-7" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="460" y="60" as="sourcePoint" /> - <mxPoint x="500" y="60" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-8" value="O_processingDone" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-7"> - <mxGeometry x="0.45" y="1" relative="1" as="geometry"> - <mxPoint x="11" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-9" value="Samples" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;strokeWidth=2;fontFamily=Ubuntu Mono;fontSize=17;" vertex="1" parent="1"> - <mxGeometry x="160" y="200" width="120" height="280" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-11" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="160" y="280" as="sourcePoint" /> - <mxPoint x="280" y="280" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-12" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="160" y="240" as="sourcePoint" /> - <mxPoint x="280" y="240" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-13" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="160" y="440" as="sourcePoint" /> - <mxPoint x="280" y="440" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-14" value="" style="shape=trapezoid;perimeter=trapezoidPerimeter;whiteSpace=wrap;html=1;fixedSize=1;rotation=90;strokeWidth=2;" vertex="1" parent="1"> - <mxGeometry x="190" y="330" width="280" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-15" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="280" y="220" as="sourcePoint" /> - <mxPoint x="320" y="220" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-17" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="280" y="260" as="sourcePoint" /> - <mxPoint x="320" y="260" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-18" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="280" y="460" as="sourcePoint" /> - <mxPoint x="320" y="460" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-21" value="" style="endArrow=none;dashed=1;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="300" y="440" as="sourcePoint" /> - <mxPoint x="300" y="280" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-25" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="219.89" y="430" as="sourcePoint" /> - <mxPoint x="219.89" y="460" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-28" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="219.94" y="230" as="sourcePoint" /> - <mxPoint x="219.94" y="260" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-29" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="219.94" y="270" as="sourcePoint" /> - <mxPoint x="219.94" y="300" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-30" value="Coefficients" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#ffe6cc;strokeColor=#d79b00;strokeWidth=2;fontFamily=Ubuntu Mono;fontSize=17;" vertex="1" parent="1"> - <mxGeometry x="520" y="200" width="120" height="280" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-31" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="280" as="sourcePoint" /> - <mxPoint x="640" y="280" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-32" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="240" as="sourcePoint" /> - <mxPoint x="640" y="240" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-33" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="440" as="sourcePoint" /> - <mxPoint x="640" y="440" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-34" value="" style="shape=trapezoid;perimeter=trapezoidPerimeter;whiteSpace=wrap;html=1;fixedSize=1;rotation=-90;strokeWidth=2;" vertex="1" parent="1"> - <mxGeometry x="330" y="330" width="280" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-35" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="220" as="sourcePoint" /> - <mxPoint x="480" y="220" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-38" value="" style="endArrow=none;dashed=1;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="500" y="440" as="sourcePoint" /> - <mxPoint x="500" y="280" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-42" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="260" as="sourcePoint" /> - <mxPoint x="480" y="260" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-43" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="520" y="460" as="sourcePoint" /> - <mxPoint x="480" y="460" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-45" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-1" target="uwrRxOYGmB2LabIKb8In-14"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="160" as="sourcePoint" /> - <mxPoint x="440" y="160" as="targetPoint" /> - <Array as="points"> - <mxPoint x="400" y="160" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-46" value="SR_ReadAddress" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-45"> - <mxGeometry x="0.45" y="1" relative="1" as="geometry"> - <mxPoint x="41" y="-51" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-47" value="" style="endArrow=block;html=1;rounded=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-34"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="120" as="sourcePoint" /> - <mxPoint x="340" y="220" as="targetPoint" /> - <Array as="points"> - <mxPoint x="400" y="160" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-49" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-50"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="340" y="339.71" as="sourcePoint" /> - <mxPoint x="380" y="339.71" as="targetPoint" /> - <Array as="points"> - <mxPoint x="350" y="340" /> - <mxPoint x="350" y="490" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-50" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;fillColor=#d5e8d4;strokeColor=#82b366;" vertex="1" parent="1"> - <mxGeometry x="360" y="500" width="80" height="80" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-51" value="" style="endArrow=block;html=1;rounded=0;entryX=1;entryY=0;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-34" target="uwrRxOYGmB2LabIKb8In-50"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="350" y="349.71" as="sourcePoint" /> - <mxPoint x="382" y="542" as="targetPoint" /> - <Array as="points"> - <mxPoint x="450" y="340" /> - <mxPoint x="450" y="490" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-52" value="" style="endArrow=none;html=1;rounded=0;entryX=1;entryY=0;entryDx=0;entryDy=0;exitX=0;exitY=1;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-50"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="420" y="610" as="sourcePoint" /> - <mxPoint x="470" y="560" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-53" value="" style="endArrow=none;html=1;rounded=0;entryX=0;entryY=0;entryDx=0;entryDy=0;exitX=1;exitY=1;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-50"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="382" y="598" as="sourcePoint" /> - <mxPoint x="438" y="542" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-54" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;fillColor=#d5e8d4;strokeColor=#82b366;" vertex="1" parent="1"> - <mxGeometry x="360" y="650" width="80" height="80" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-55" value="" style="endArrow=block;html=1;rounded=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-54"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="400" y="620" as="sourcePoint" /> - <mxPoint x="360" y="710" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-56" value="" style="endArrow=none;html=1;rounded=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-54"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="438" y="568" as="sourcePoint" /> - <mxPoint x="382" y="512" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-57" value="" style="endArrow=none;html=1;rounded=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;exitX=0.5;exitY=0;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-54"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="450" y="700" as="sourcePoint" /> - <mxPoint x="370" y="700" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-58" value="" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;strokeWidth=2;" vertex="1" parent="1"> - <mxGeometry x="160" y="660" width="120" height="60" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-59" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1"> - <mxGeometry x="160" y="690" width="10" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-63" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1"> - <mxGeometry x="160" y="450" width="10" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-64" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-58" target="uwrRxOYGmB2LabIKb8In-54"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="410" y="580" as="sourcePoint" /> - <mxPoint x="340" y="650" as="targetPoint" /> - <Array as="points"> - <mxPoint x="220" y="620" /> - <mxPoint x="330" y="620" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-65" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-58"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="230" y="670" as="sourcePoint" /> - <mxPoint x="382" y="672" as="targetPoint" /> - <Array as="points"> - <mxPoint x="400" y="770" /> - <mxPoint x="270" y="770" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-67" value="" style="endArrow=block;html=1;rounded=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-68"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="399.97" y="730" as="sourcePoint" /> - <mxPoint x="399.97" y="810" as="targetPoint" /> - <Array as="points"> - <mxPoint x="400" y="790" /> - <mxPoint x="420" y="810" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-68" value="" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;rotation=90;strokeWidth=2;" vertex="1" parent="1"> - <mxGeometry x="480" y="780" width="120" height="60" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-69" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1"> - <mxGeometry x="510" y="840" width="10" height="20" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-70" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=0.007;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-68"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="570" y="809.98" as="sourcePoint" /> - <mxPoint x="650" y="810" as="targetPoint" /> - <Array as="points" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-71" value="O_Y" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="1"> - <mxGeometry x="650.0017647058825" y="810.0000000000002" as="geometry" /> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-72" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.75;entryY=0;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-9"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="150" y="120" as="sourcePoint" /> - <mxPoint x="250" y="170" as="targetPoint" /> - <Array as="points"> - <mxPoint x="220" y="120" /> - <mxPoint x="230" y="120" /> - <mxPoint x="250" y="140" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-74" value="I_inputSample" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-72"> - <mxGeometry x="-0.9128" y="-1" relative="1" as="geometry"> - <mxPoint x="-7" y="-1" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-73" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-9"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="150" y="150" as="sourcePoint" /> - <mxPoint x="190" y="190" as="targetPoint" /> - <Array as="points"> - <mxPoint x="180" y="150" /> - <mxPoint x="190" y="160" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-76" value="I_loadShift" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-73"> - <mxGeometry x="-0.7861" relative="1" as="geometry"> - <mxPoint x="-9" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-77" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="130" y="630" as="sourcePoint" /> - <mxPoint x="170" y="660" as="targetPoint" /> - <Array as="points"> - <mxPoint x="160" y="630" /> - <mxPoint x="170" y="640" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-78" value="I_loadSum" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-77"> - <mxGeometry x="-0.7298" y="1" relative="1" as="geometry"> - <mxPoint x="-9" y="1" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-79" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-58"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="130" y="610" as="sourcePoint" /> - <mxPoint x="170" y="641" as="targetPoint" /> - <Array as="points"> - <mxPoint x="170" y="610" /> - <mxPoint x="190" y="630" /> - </Array> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-80" value="I_initSum" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-79"> - <mxGeometry x="-0.7298" y="1" relative="1" as="geometry"> - <mxPoint x="-13" y="1" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-81" value="" style="endArrow=classic;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="130" y="830" as="sourcePoint" /> - <mxPoint x="510" y="830" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-82" value="I_loadY" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-81"> - <mxGeometry x="-0.9221" y="-1" relative="1" as="geometry"> - <mxPoint x="-15" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-83" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="590" y="820" as="sourcePoint" /> - <mxPoint x="610" y="800" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-84" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-83"> - <mxGeometry x="0.5519" y="1" relative="1" as="geometry"> - <mxPoint x="5" y="-4" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-85" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="440" y="820" as="sourcePoint" /> - <mxPoint x="460" y="800" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-86" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-85"> - <mxGeometry x="0.5519" y="1" relative="1" as="geometry"> - <mxPoint x="5" y="-4" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-87" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="390" y="620" as="sourcePoint" /> - <mxPoint x="410" y="600" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-88" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-87"> - <mxGeometry x="0.5519" y="1" relative="1" as="geometry"> - <mxPoint x="5" y="-4" as="offset" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-89" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1"> - <mxGeometry width="50" height="50" relative="1" as="geometry"> - <mxPoint x="180" y="130" as="sourcePoint" /> - <mxPoint x="200" y="110" as="targetPoint" /> - </mxGeometry> - </mxCell> - <mxCell id="uwrRxOYGmB2LabIKb8In-90" value="8" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-89"> - <mxGeometry x="0.5519" y="1" relative="1" as="geometry"> - <mxPoint x="5" y="-4" as="offset" /> - </mxGeometry> - </mxCell> - </root> - </mxGraphModel> - </diagram> -</mxfile> diff --git a/proj/AudioProc.cache/sim/ssm.db b/proj/AudioProc.cache/sim/ssm.db new file mode 100644 index 0000000..abcf1a2 --- /dev/null +++ b/proj/AudioProc.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 26 11:58:08 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc new file mode 100644 index 0000000..c7c2fb6 --- /dev/null +++ b/proj/AudioProc.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:5 +eof: diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf new file mode 100644 index 0000000..51d5206 --- /dev/null +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr new file mode 100644 index 0000000..afc0a86 --- /dev/null +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/proj/AudioProc.ip_user_files/README.txt b/proj/AudioProc.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/proj/AudioProc.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100644 index 0000000..c6b126b --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..8067c067c51a8d898ece5004ddbf6b64afcd5d9c GIT binary patch literal 72725 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C&1Xd0#q|38r4K zf++@nZU`;@211id8Za<0z%V{Dn4yyHQ2W?FLIjR~h0yK4AoOt#MlhqD7ea$MLx6Dq z4{;<r%g}N+#MPrDwL-vMXf*z*6_!KE90w<UuybEBGce2su{b~k1H*z#EMUe7Fo_^w zsf1k|%F<w9NMT@L2zPPPb1h5FD?um)v!JRN7C_5y53nc$to-*3&dgN^i41U6aP#zW zRWL9xWJrxY`FUTuFara_d=>@<Ed~Y#W(I}@Ir-_C$qZ0K7(k|i+-JaG$PmR40X9>a zfdM4Hpg1`(C$T6#Gp_{11u1R#|NnnINQ)r@1A_nq!vc^X12e+{us)Ck41)z27#RNj z|NnpP|Ns9r85kJY7#J25r6%UUOoORGp)H^$XXcfprl%Hx)nc<i9;)j<)B;<mKCp|J z7#1)vF))DHAQyqyQ1xIJA+tfQGlgm{$;?e<-~|U1rkCuYx?nb<gaOD#P&k5YgJGz8 zu#F&Fyub!CEC>#9iTCk$_jCrk5#%;d-N?znz@Q$g&Y&Kl&Y*6f&Y*6n&Y&Kp&Y&Ky z&Y<q2&Y<q0&Y-TV4)&8bl1ZVI8KnS?Y(K}4&>%-2u#-RzhsCrbG-%+Cjt_Hn4)G5H zD`aF?zyNYL$j4mJ@CIoHVOY$=Eb#OTadmfv=wo1DfG4GPs9%ap5=&C!ODYRe!A66E z1(ZlZt(4*t&y<{0hT@XolEk7ChT@W-)Wj5q;*#*9%o32WYf({t5m-9E07N+^XEPL+ z_(CZ^$K>qb60nRfgbgtSBnHt5a=t6n8A+wZ!Ps2_iln5{;?TV8y!`S!hNRMBx1!Wk z5bac2TnUaBGpHe{AO~Uk1>~pHq9TwZ7*dOh9E*~`x?-WaLgK+;fZ}~n5QIB=hQtRu z`Ud#8G6aYC2e~o?1o=C=1_yikxySqX`v)-ihlT`%hA@B?y85}m6odR_4vRlecRyDb zu-U}KnkQ6SQEGZ-aY<^CTV@U<UO<`!p;67lzyLN76y2c04`d%Zh{M3Z0LvvBP<{}U zE``#|p!9ht%?{Gcz`)=Fr7NNIDk%L0O51}1k%56B9!f8O(g&clJ1CkM7#Mn>^dTrM z56Ybk3=CmV8rp0Q3JC#u73v76zd$?*HW10cU=E4_2GnBK#mQa4z`%?FRJ?xq|Ns9E z1_p*1LJSNZ-3$yX6d4#cL^3caBw=EY3<ic9#S9Dw8X$N^BLhQ069a=nHw4!+Ffe2@ zFfg<+GBCI?GB89zoPa_qvN14pb2BhJ1_eF?1A{aa>p=Yv@-8DI0|N*{3P4C)fD8dC z0R<x{Za{n(hI$dq1(^pj1H=KT2bG2(J)rmniGeUE%gZq^FjztoE~sD!rvnkB@B`^l z2gMUKjf6tgsvxNW)sUd{kp@)*qCwFE>bijRgX{vi0o1(!6%!zKF{Hd=0Hr6G8j#zJ z7#J8PLCxuaR5lESNOpnD1(jtxp=u5@Fff49Y73egP&ojqszG+a)bt{$0l5hjejp4| zGlzkJ0pt%*l@D_dx*AYg2Zh;6Jm%bIU|;|hq1*AOv14Fh0F{SF@u&e+WuT;S1CJUT z1_p2~_Zp9y#S9D#e4u=cN6j_{1_n^r3xm=d$kR{^3L6jxg%8L-0-&l5j~Y<^0hKA% zc+`O6O^AVk!5@#BT?`Bipyp089yPle7#Kk5v>cC`Jq!#Cq6`cS-FVdOWnf?s12wJi zsM*KBz#z`Rz_1yQn*9t63=#|s49D@PIl#ccAj!bMa2t=BgA5D|QVa|X@A0U)1}!U? znP4RYB*H*(1;U{Cy3WABAOmtY6QXPfsRGG^FsN(*wY6j!7#LLXr~&08P#Iy2M-8YM z18T=P;!&f=z`y`%LipoR18OrWGB7YC;ZXxBtCScR7)tS|v0`9g05yI)@Tjq7U|;~1 zVYBh50i`ch1_p+8OyDLrDEPqTBdDeUg)gWq3S(ekP-9?VILgGp0OErbfzlHwOujHM zfSXmhpnL<0cO*3+b)a|vVNjU@D)&HT=nFh*Y8e<9Kn?J3c+|8qK)MJl%n0|O+ttm$ zz@W{*z#xQ2jV=QNgAORp@TkdTU|;~LGr^+<R1bpU-h&z3838#3j6wbeVNgB?#e+Tr z14AetHJ~&Isy~vM!5t8=86W~=1_*=Vs*-_$0o0n$!lR~#fq?-O)<t;Kfa(Hc1_p*2 zJZk1LFff>a>UcbA7BMg|fXeX+c+@OqU|;|>H)r8dvx0$v0o49mf=A6-1_lOD{#l1d z4XBI)<)0mR)PTw;Q2qh6x1dcYP%wkrfv|8s#=yV;%0FlEm~)STfdN!MUB{#5F#`hw zs0@09N6ilg1_n@l`38@gp9~BPpkCcKW=LBA<X})4m<Y<7jF372lxIQh5iu5o8jw1W zpFkK?Pk_=FsBIyIM-3>yJAuj<JZi!j7#N%x7#KkA0ktVW_JG`z%)r24jKw`LH6V2$ zw}3FZdvK{ib`LH!F!$)NFff4Hav*y^7-SC!gW8Rt`rU<rfx!Wf8c^L0st-a~7#Kih z703(_2AKiEpmxtJ1_lN<1_p*$JZeB~5_bj$hIBk?mM}0dfQCj2@u&f{$3XE~g-6Y1 z1_lODhrJn(8c>}JDzAI-s5#ESz~IBcz%U(;nzIZH489Bu3=8n6xy``9;K#tgumX>o zm!S3$0|UbrJZeB`K7fIN;V>RGJd6wsfeZ``XYr`vV`Kog!LQ>{Bf!YO5X`{9@DPt0 zF-8W45C#T@cX-suGcquM`VT+ws8MBPU;w3QR#x0;%z%-B0hFfs@u;z7WB?C?$>LFS zjgf&Nl7WFiACDR{CP@Fy5|0`SCI*IRP@ZRHU;vdLpgaM}@2Q~t#0Y5@g5n{Dfq|hA zNe!qE0GiYBg{p}M<r}CupnL{12Q(Ly2vvh@4onTm9MCXh9#jp?oJFh*44^U)WDW>} z@+b&{+MuAiD~^GIVKp8#ps)d%wS|>|Aqivv6obqFVNg32l-Cm&7#Q|qQPac-Y0H9! zBSG#sj71I198frb?6`nO4JaHyW#}zDYCz!t8h(9(M-6D~0u(pz@Te(cU|<0CV}IaL zQw}P_K>biQ+~EUilVpJUgm~1nF)%QI+EU_p)J$YxV8~)%U;xD_C=8%c0k4<Q;}n+~ z<TwR|6S_I*af+^{1xuWwtHBnhxYQuWDK0h0af(X~a-8B)gB+*0)F8(xE;Yz;ic1Z0 zoZ?agi&Ib>fWi!fLG57>f5D`5&@cmt3CEy%8l(m!0BXlQn3}#4RL+3Lc3?E99}iOl z>X#TyOkWA=>w(&GFd7ucFg2jD6ObBE-vyMHVKk`S3R45>lNC%#UkU1`fcm&F8dUef z)PTw$kU1dtgZj!a8dS!B@)1Z6sO<rAAE>PkYOjH4P}?6Ao}j)BNDWB-!o>8Ip!N@_ z{|cgE{s!3vaxcjL36mgx2es8f;vg{)4Z<)r3Q#qmb^=HopBm7(4Iwq4b|@h=$l(T3 z3&QB`0kw-k;`rPHY9kR+0~)s>qz2TkBcuj2ZbL{7sO?8c4QSkkkQ&gq4Iwq4aT`Kv zK;t%q)PTlq2&n<}i3q6y^@#|n0kt6ssR51K5K;pgSHPtPlwLr27}RINr3RGdL25v4 z143#*ZC*lZKz(;YYC!!?LTW(e6(KdCekU$9Fu#NPow(G%{0=HtU}`oqA;!%?V_l%K z1cX6l9gM!h1gYym<qv3V093Am`ZA!p07S#`J;+R0UIvv@F!dmDko!P12*cEX+yhbr zD%(Kf_|$;LZ3w9WjoT1X0~(JZqz2U1AfyH~Zi7z^sC);N-GtPD#%<8mfa)tySb)L@ z6jz|~pO6~RxD6pS*y;jwyFlYMgv<er+YnL%8n+>&1~hI%NDXM*hL9R;WfZ!<u$58h zYCz*QgzN&1+YnL%8n+>&1~hI%NDZhD3sVCcJmp}4jF*Dk4a$R{wg#xp0HR@S7La<7 zJShEu@*t>v02;ReiG##IGzi1gfYKO94X95E633?o)TcyO1Ix=GeW3D!P<;wggRQPa zb`Pjb0gaQO+XYhtvJ0dJTN?nT2IMc08qhciE^}aNu(f~C%>j**5Hbf_9fxiXXq<$Q zIiPV8LTW(cB!tv}#z_dNK~7^JwIB?0Gc24z<0K$)5FbQ?FiZ_B3_;^0AaQ(ZK;tBY z)PTlG2&n;$lMqq^8Ydy71~g7WNDXM5gpeB0I0+#&pm7phYCvHIN~@r85}2AmRtAPx zR@D3s>cfKOB|tPNzc;cnF!V#!fa(cQzW^i-s-r<PXg&#K9tgwY3sfe6^n=DXK;j^~ zK{N=%)PUj?qz2R%28rWR1F{3uPQa!HG)D|F2c!lxzJW~*$Xu8j(D()+HK4vQAvK`B zFd;RdzAzy*puR96HK6tnAvJ{RZczAu`oe_F0riDpYCz!z!=QdGXx<qV-Y_wcI#3%I zEG8VX4pioX<Undc^Uq*$kN{}j`~Uy{$ZA395F`as3z~<<rxui!U}{0_9eip*=@X_F z#Kxx<l=fh1LE(c_t@{e_JSI#nXiW!BwZb9mU}N$izk&MBIMs?pD1h=A%v?|#4X0Y+ zkaeKBIhb0|JTE@Apm{TxT2TJPrxrA)1yc(eW5A~t)b59=1<n8BQwthffT;z|17lMQ z3L^&LkaeIr513j|dlsKs(0B(-EofdCpIXouKTIuX90s3S(AYXmEvUVNPc5jdfvE+} z1!GeSl4rPMsRSBt2C+eY1uYxJsrIg=5@-w;rWQ2si%l)a9ERhTN}%yfm|9SO1Djf~ z*a=G|P+0_13+hXP#X$l{c@u2zNlT?Bs5poZN^>~Xp0ZTxLQ)HA|KU?R8A&auUcjan zT!sjTtecLc7Bnx5Q*EQRBB(C`QVw!2D1Cy=0I^|uK;;fd43y56gA{_silOtFpfv(8 zwJ`NCwHuMtg61q?@*rVY*#}nJpS}{*)&z-z%mU3l;8a_ttq58N1yc)3_aHMs`apU> zG_rfoffPdB3#tP_YM?^kF=x2i8f`_;8YPe%$PCcD9;m$zVuSR6)Pdwcc7ej-35WwV z7c{?ztQIu(2$F`W{Q%-X)q?UK$ULYJsvG*#SN?`dfb0d0Yv5EX5TO9u*#S}un$ts$ z3y|F)H-l&fjvys|s6imLpgaxbf^9>I3+^B#IjAH^tv{CaR&aCqf|PWT)aFCi4uSN6 zgkfW~U^fVdtTO@$Lc^f|O)aQ@0ykGUWSu#Z+GaGhp!s2BwT?(?LF?y`;{r5pfvnaW zNiArs0$DAnPKB%8mZ%hlq!v{7BdZ0C6T{W+N>l>PYk>k2<oB6a!VDw_3x`Z3b3y$l zC>NvzoE|_7nA$?9B*@%_1k9~LQVZ()L%AU1z~;i;D;%;8w5Agz0WufV_X4F^5F4Zi zv|bM+2XjL|NDvxtp#BwdIDp!s$mY(+qZYJ&4_WO7JZeF07G$*t@u&s0tB}=RMpC;4 zx;_);H&D9@S?wL@`ZSO{s2&H^^&mFL2w1xc91e0J>mGpwq3cFLet~j9L4^^%&!CbZ zyFh+{a<Qs?1(gJ;1^ETa#iG_s=^a!Oq!#2CC>M*`kaeG-k|4D(zkn4Y)mdP_35Trv zgQON)8xfQ@z-r~plvqIl1PuplZA4JM2dh;yQ{qQb3o4(W)_|RXQtqgjDM=xz1<k$S zRO`M1yw(q72*_`s^oUcfj+v4HlDVKZD{@)~`4waa*u4g3N}#?S%v?~L7FjLGuW+@- zW=al7=3;B7g3JM%D;%=U14%7t{1)B4$Z7+S)M9JXBCCx=Qj4uki>x*cNiD9nC)mCH z=_^Z+)Z%J;g4KGNDK#Of#ntu%tMxTgnt-GhSKAY;HqcCI9+FyIZBMY;5HqE<NNPd- z2b|#>VWzYnNiDXvCp^sh(^sBHQj4waiLCZMl3Gx|1g9JN(^q~#Qj4vfifk?uDA7Ul z8@9G5T&-}(Izc40*xH`RY88;wVrzRMtJOzR3tF3q({I8d>+F!!g8EVT)CM7`#nz@p zc0(GHTG0F?K69&()M9J@BAeUC3|W5+(gJE*AlCt)F;|d1;B+P%vTg>FT2R{pSuL!5 z1FMw_S+@vDEvWoNRtqcNU~1PQsRgwykk!J<H<((`x<`=vL1h!JHX_K)45oTY2SA=; zfUKbft?2@bgES-60pO%46`^nvNiD86B3P|Vgu*2xwYb`dV70Ol3b&Eeg4TNBbc0-k z!V@I5$a^Y4PKMPNVEytD3a^mVg8H6dagaiEzbQm0e1xh6#Tlp$z@`=~rWm0B>t}(~ zg6aUUIGVX&v3-jaVSQ7OT3q8AV6FQXDZ=`^Ahp=)3#bzr7!E8_{0ilO)Pnk^ptuHw zC&*rq`$1-c(}Qrxy5C4@LFEHz3<9PW<bGteEFgoR>$wS)54=cf36&2bNNPdl1E@U@ za|0+`klg@UmkQ#8{03TY2J#b#55k~02GKCT$sw5w3Tu37m5|heHnD;7GRzI2@J2RQ z6G<&-Z3nViQ2h)FQm`BP(^ncGsRgYgLw5sQ?WaYGrbuc*V-Yyjep{qyjieT|t_-Kz zpNkY-k<^0Lmm#|s)JA~2K{#ZcFOpi&`YB|!pf&<>IKcLwf#L$RmJHnu$ZF$QAmt=T zEodzasEmSI1#bT$s|Brz1%*9GEy#T!bs#>-e2_j64Gv$~kaa~Yki8uswIKH)s|BTH zxLUc8b+t%pLFOQ<g|%s5=C&fK1(}1a7S^VLsRgZ>1-TF829P<(YGG{}nA)jG=7P*Y zRtsy>fYr){teb<R7Gw^xT3DL~tX4K;-C`uQAajt_!p75JYF8tv1(}1a7S{d&tCb5` zw;d_oKysjP1NjvcuCVqGOzjaQb769zcm-il-UR7^sXc+D7F*j0S?w7lH-Oqk$Zmk? z1)D1yvJSdK9lUo6R`-GI2VsyKKxrLhHiPMUczpqC$Aij6usBE|QXK#mtEyDE0SYAO zo-9zg2(k|r4j?zd&8?|axR0b3RDL0=1+~{eR)F+^#)2LrsRgBVP@fni2eKDbc7xP{ z^n=vCz@rvab|b5Oi$^V}>_%4m36EM(*^R9BJ07*5vKv|LA0)M)yb1CL%x|FiD`d6* zp>Yg~3s4?Hj$>Fm5Tu_$He?+em;u@Q28(}CIR!Eg6vy!X_2fm0JV<Im@dOH2Txx}o z)Pmv(pIRv-wV-&yr&b9`EhwHq!2)Whf%Jgdt#J36u2)b;QVWVFkQ!X>)j?7ViYHKd zhnWj%<HF7L)KfA-QVWVFWVN6+E?lkYdIbw4wIDfUwV-hhkXleYGI;7K*&(R~$swx+ zt%-uGHC?aZf}|EChs|$bb0;rS^hQ!^2_3sa4+ogqU?jDm^;7uFjYd)n8n4Et79_?n zd68l=l3LJOGElt1#<yVU46J1GBE=jq1Ck~|W4id%mLaKywZYN-239h8kzxaw0Wp`5 z+AbuupfO#LKX92lg9Nq9kkrE3;JD0PMS@z`q$Ox<gpj$Lkjw?G|HtRIZ6v7OMS|LW zNNPd*Jn*^UIFee>ni_m+FCwW0we#_*y^f?7)cysPldwDw$|s=w23F#!rvzI64=NKu z;R|Y~BC7@E6S!K_^$H)rnjq;6)Sg7n$Dn)ySL>;#^b1KXXk9n5TF|;)xLVWo3M`-j zJ?K7V(EL9>zwwfwRt!lkXioq>bLB};tAV5zv}YBRk3s!!P&lIJc|#<%pf(mrEpqt) z8aIUo6@wjAEoe*tqy{<6Kx<h+VFvO8s7!T2QVVJiAgcwf!vv`Xmwl$|72J{3g2X}g z!O{b04j3c`GZ)s61-St>u8f|K!D=TjQiSzmL26;+%DB`9BDn!Ju8d1<2$EV*_<+>G z+zXoL1GyLGw?rhhpmqVWTF^WnT&<^`QXZ08P<jXX1Ed}~-oWNgUZhx!q!#2qd}=$8 z)WXJSaG5(5NiC@T3sML38)&~I$i1NW0ku76;ZY0PHwjnksi(96NiE1sP&z|Slb~<} zo6Eq!upCJ(s6T>FEo>|Zq!(0Yfx-b4ULXug>+m-1{%!@>SP)1pXfGi)wczkgU8D#b z3j(Qy)mb1jK^SH(SZ&%OMc7ynNG+_+0;vOG6t(G#6k%gQAhmeMqcRpL!p4F?YH^J* zf&CyHvJN&D1X2sDhd}m&Fp3+5L)NW@hA&7hsGJ1l4Uk_zW`X9DL16}xW5`~lxE)C? zsNW4z12PkYVdjF><}Xq_jHDLS@5ZUNXp!PYB(<RO7pGd`kac&E)MD$S!`&O_q3|9_ zEvS!<)7&Hv1vbzi4Rn4CR<48m1;QwPOZ89?M^Xz~H-XdK3=ai$B(<P5+BntDU!-V` zq!v~Ofb0ih6gMnhr09;M7PPJ#r@6}(DTX7d&4IQ(L1uz5in*&6DW)N*1=SZg&0V`l zu@p&d9zJt7E>dhkQVW_J$7$~OK&2T-YC&`3IMx0NR9b_i7WqsW48IA7tlN&H7PNL4 zr@6u*>rNu61)W`kQ*BzJ!W|^FQ}Bg@h@R4WB(>A<sg=-EVgeP8&@?#%pIR9`B~hqa zP&o=q>rf%^coeAo1^Z$0B1LJa1V}9`twV({)G9(HKx#p09mIvz0iZqv+}wkDN~$19 z(7s6M-bWA@rWUl`1g`e9zLF+L5~>!I)<ImDTF`nEkXleV#BfkgNf#suRSQe&P$9T` z!D=TjQZ#`|fZPj9>rf#KwN_9GkXl$;hYG>f!otiBDgjapOY2Y}47JWs36NUQ`cIG< zP$9TlQ2GS*$=sn5Ahn?Llklm9je~>Kg4Sz*)PmYmAhSUE7!+pU@)y+KL^79<+8`vg zp!1nP=0b(w?uD5f4wV48fsoo*64WM<pf&?ZZ6`EeK!q^en-7%$xtEaIQY5vYwP>Jl z0EH>G@U25q3);g2QVVK>VpH2rg4%v0wV?em=<OYldth-fiv+a`k<^0D%))2x8YH!S z1j2V432OHtsqKfJ%>~Qzp!OQvZ>RN@j^j}aS~mt)dr(j50v@%XwjEsUX?>-eNNOh# z@Y_8S)ILU13tIOKDvw}(1GO39ZaAo?^ae>StZsn{VWi)0Pzg}_C8QR7FcGwzB&3!N z)R-nxEjI~j1xQdUN`hKB64YvvpjMv*wU$U~LH$X5dB_P#Ev!udjY^C-_Cit%YX72} zi`*XyK~h@<oy!KL4OqDY>Q{ox2L=X)NF=q@1k@%XsjVTPHWx{49Ram9P_>{wKWHuy zR8FFY14s;17q%d&1<f6R#Br(ZK~f7EiwCKL<u_2j3zXkL`WYhDE6hSt3mP*5iG$R` z!VIo<@*>5xNNPdzWFU1gb3uJHkXlgQ2AR7LNiArMADg*gwUZYqUO`d|YTsZ}3y!zR zixi(BsRfmb_|$$zQVS{<@u_8kCKOOyfXYRDY6X$hg32#^YGshrg2Eo3T6H9~pzy?} z7M6BEb6Lcu9gtc=X$O`bKx#p02b&u}VxTl>0Cg`&EupmIh@=*jc0gu;(hqVvgQW*w zB(;RnPBfBQ&>Sm1b2E|Dg63H9sVzoQ3z}oar?wVJEohDvpW0R=wV-iCd}?PSsfEoC zfyN+^!wi-$RwJne%@2Xh#ibUOc0hBRxWWvi9~8&1atEXqSD3-n!pa?xT2PpQ;ulsv zfYu3t$_KF8^vOy)q3#8##T8~?wUZYq9z{}%E6iYOFC(c1&0&MYLGC~fGmsd_Zx4~w zg2wPb;<(hlMp8>?9`Y-aT0--Xf05MUnumnt3qDX_LeI+t%|n9R3K9aj8#L|(3SY2V z>&Z$&APJ~i(7a;<==?+I+HBCc7f3BQ?O0D%QbJM-n%6^C3tC%+tkxJwtp&853L6Io z&6B{@8nr1nBdG<=SAg6LQwus53$9jevQjXTTF`t2DDA@3g2p4@YK__y5|Pw`<|{yB zz%aF-`4_lawaH3(NNPdz707Bq^Dl6<Mr{hENNPdj^vLc7?VW_HRhz6-g`^fVP7i9A zfX4cf(-}B?CofX$L{bY{7lf`Bxm^O11DOHCpuQ`}9MIS`ObkSW#6e@!xYUEz4T99c zFi1V9Zo?&x%Y0nw2TB|?h6(c%hz9u!6b7_*56BLXeJ~8N7Zfj`Iua%ZqCw)Ix)7H; zaf#zHhg#ye>;<_M<Zc)SxgS(NLx+c9!r*o}Xm}2U;eyb$GoXAzEpc4#9O~kv#v`uu zhD#h)_Q2u>6px_sFItP^at|*5;)+LF=U-gz!6i;>_uvXQYULwb=D^0`kkbjMuEnK( zpu|CK8kieFG$=iT+BURy56CVMhJ_Qz9r(frq!xsURZpt>VdjGD0AWx#fZPLWGl2Lo z3=#*mZE%U>vNs-d!$@LjN@jjQQGPN*dQMUfLvl`bydjt@&#a0!V93nNEXhpF$*f9^ z2diWNlkp&7hLohzl9K$qVuqB|r2Nvn<W#t1erX9qrcrTxa!C$@Q))(HS!RAwVh%%2 zYFdd~W)VYLW)VYfWnxis218M1dIng`F{eDSve?knEZ)%2oB_%+1Mw`NJR>9Hctb-= z22Y5S%#7j<jSLw4QcHqT(-Vt}Gt=`-jpGfCOi_f)4B`!q%us|3&5YxX3{jOCS{TI} z8KSAP0I4%a)oWoAZ)9kJCT1FMWN3*dW)^RR<~l<Q^LQg8Lo{_3@kT~QXkwP}Mn=Y{ zVwMK+Mn)!RVutZXXx=rnG>SJu_phaKypa*AKa31b;*E?@{b6Ki8gFET2w%f^LnA|Y zXj{e`8X3WO=BDw6M#eCniCMfMn%hjx;*E?^gV@wO-pCj=giS5tjf_zpW@;I4WQ^u6 zgLorjONPw6;u1u{j0Yvu^2{oRlJd;><ovvnqWqkk)FOtEa8Kuw9B7I$HHbGfG=Opp zjZEVW4Gm#DV^Cr;g7Yln4Gj?<0;xm`Yy(gvqeY5=dAyOK3DgW@P+B#Gg^4l9YBL5W z&yaYxV1{7Vpm=8=Z-$)Aywv!3LsK(2%N)isGlH{B;VcUVpCD%+?|64tKbV-MA)I9d zHWzfUV!S_85R{fcmVpI5VS*+WaF!(~^M&SRmc$#vSPY<ym>HjzSp*ViC@4yXs<wbR z(*iY)3_%&g06o!~#v2(JGXzKaImf%X1w)N6G&6>?OhAzn9O4+_$`Ild>K5<p7Xp*B zgsZZ&WC#ili3i;l#1QQ416CB`>Feqr8Ukj6JmwS`;u;_95#;I))o)}5_n^4}Ow8Pf z!8tT2$kD|mC>}|vg*iiTs1w*3U<1Jp23rTYktp89)!E<0mBG(7A|xK73@QSNJX14R zsF<2rFhEltDBwWFCL|q#c+f!2FGwv)EXgcO1&g8Z;td&4_+TCJs6tRHVM)Wn66!f~ z1BT*^%(N1Q%)I2H_{5ZyqSWGIsGOmp37iFUDyT?>m}O`P3o1}iT3nhNpO~D?P+XE< zlp0@@T3nh_0@G{)T3QUQVw^(!d>EWU{DMG)3y5$A5upsuKHi1~2EHCq3`NByc?`wn z48`Tf48`Rp48`T948`SU48`T<3^}PO#vsB3M3{mIGZ10UP@J5@P@Iy;keD2wo1Bvk zq7ze+<BL-gOTdCDiAbCzsEV8-5TgiE7ML0^K<l9ROorUViuk0Qe9%Q&sU`8bi4_b* zsl`whOjSw}1IYCFw4B6rhT{Cvyp(tl-v@~u1W{3xnwV0_kegWnR=@x@*u=uyqY7LG z<(HPkL%p7ylN}G@8bLxLH?zVAq%DXcGY?W_`oLL13?PNz8q^2D3}OKL$;A*#8!;4= z<`k!zSY&%tL4=Aji&G)2<UCNE7nGLxq^6YwC*~I9q%vgY6_l0)f$CAHKz?ZnstSkz zvXbQdytK^p;BtnN)Vz!;2KV3~2KSI)2KR8#MO{8F4DLa$kZZdN;!6wSOL7Ys0(^Yp zef*uh-9a~bxjV(X`#AY9I6M1z`$L#+&cRMlrVEVrgh;su2gQSK<Z}-R2GapSJ}zJe z%oZ@mE5y+~J_M#0!gKb9m;m9qK+S{je1nnYK!pa_NnlB5$AFN~AXg*>L9W5BAxQjS z4^KB_erSM;V+e|dP`?n@U}Po1u0DpyCb;?-p>mB;xh6>5P*9;9;^L2N089+o6qp#Y zQ7|#6c|rcp@!_5>As!4Na26;ap@Lv$aEN122soi;=0RF>`K2Xrh7njMzqG^{#xQ|o z6$Vi5NlgKXGC=Ni1GV$Q^NUiz?LfDra8PQ?EOE^P^Boy-i_;m3OQ3lw6OwWA8B+4| zQbCu+<rhIn@CA7#<(ZBtDMbuLsp&3>C5eU%DXBS$m9BXZ8kDX<cjTpm)EI)V%L6lw z7>Y|E${{p}54ixZxWoly4g8)x7m#rb#U%kgKE<iY`FSY}o_?Mo(3}5)89akr7(By+ zAht0igFFf?IzSnbAvv$a07Mvq2qO?-3?fVzJi{1Ll0ratEQ0D`PjKbr$>8c29}*D{ zrh`DVTX4K5gRgJACxc78510%BlRokO3@-6O@%{`>AnpFp>fE0p7*vnN!)Q+i=e&}5 zCs4r#V)%fIIIs@4#GD+6-XKsJ02c%27%&ec4(0_K1~CL01u+B~2QdU1x-kSAxiJJ9 zyFqk=vY!vQDFJ6OfWkH2zqACb8_e;{gRtX$;{BnlASlb<H6D_Q{axdIz;fX*k#LxZ zi)UDT5U6^0jt6@Wl1fuD^B7V<9Rf(16JK1;ketepl9UcAH492h;th~FhR7TvWR5X1 z$Ake?f-ty%%wR|<&rB)FV8|;;EGTA3$&WA1D=kh<VMr-Tsf4i$ib07I#skHEY6{pF z$vN3+NuW|WIVU?F(&d2*C6%VZnwjwipiBW)nFKQ>IVU^6w8Q|7Z-~Y>LgO2w@l8;T zGezZ^A#u}^ic9hf3Q|*$#6XQ=6fsDZmywyC0djDBW}YFc7eE1qMaT$Kl`*D}36hX+ zuzS2GLvV;wyeESrII)8gzdwVEzn^QoKZ9!!DAj}7yum@iA)xdQYR)m_L5h`>;FLs$ z6bKodoC9Je=P;x}?#fI9-Es-KqY`|nC8!7qsVqnhf-yicpj#}R^GZN12oMFj1J%E@ z1jH=PNi0hZPs}V~NGwY7$uBMe7fMc*C8-QK`H3lDMsjIU5vZJDC;~a72;_j`(j<s& zIf*678KBI-0A_)eWr9nZOxL0!hD?x-Ot216`^za4YJO&ke`yIrQ8`Ee)Ex5z8<CV* z;+$U!uChzwgNjQSoIy3HTaarYgIln(4+FGe%Mb!?=|(#HxCX<-;+-K}&v-~#<q2w? zrIs*w#)GSSXibm83roz&OkwbkPs_|HNi9lEfvQ0lfG7)gjxQ+6Pfjf^&df^(`!Coz z9uz{sAb&9gJA=FUAn$`(mSAo&IMCqS%#z^JT#!PrE(9}@!4utRe<YJZ1xscL%wP}? zVJ?UVF&3($G?xLwie&JQcSG?P)EhzZ1)wCK2fAmqB$XjJC_b&Uq_hYUnVv}Yf`STR zFNlY*7sP|u3)NAY%K%}4>_rPF|M*CdHOcv@X+hANMnQaVdmJI)9G_d7Q{oS5{^z9_ zf`SQ&Zv^7|mgbZML0a6w&Y(6tj1v@J3<}L4h&`ZoC@i!<3#CDKxMbw#rWWfb8krQN z7NutD=ccA4=jZ8{6zGDYz9>~UwWKs9Gcm737p|nZC|N%vB}X6J_5_Xg=#??(lWt5w zQGS-bBW%P-uQ)STzc@2D-cUaYG{~c00TKWWBavr1$dQ=^`tXt%!J@W#uyPD!Zhk?D zer9gEepqH%VoJV|fsu)xA(W#J<$<~g`ems_nK}9C`XE=9=4BRV<`(OFI)%D{%m9Z= zQA(yhSf#U1vA(H+xrwQn0Ym_wR!Xe*^>y}jHHvq12_w@Hs0L8t6sJ%(cQPFT(@J`B z1VsfrRf7vGkQ2x^2Qql1SC)~&pigvQfE)mFNO4I@ype%{g?@2KVqQvOQ3}KyaMGwq zD$UGEDb}~Nw6xSSi1+jhi8nAYFpW2`Ffq^v)!~UbImP;kIXUqKIf*4{`9-<Kup|i4 z0!>E*>>}UIC8-r9nfdf^F|ti0yEij6H5C@fDe>TI;o}Vr%}hWJ&tcG~NmvuGlWKm@ zP0CNH90-pfTTHTViYxQ-3yLd?^^@~+3o>(3L-IYb#8F~VW=RIfZ#0S{l3hU~f0pKf zrXf;kn)Qhaa8!qo>{~>d&rK}Jh%ZV_%mKw7?XwuVb<}V<a{8um2?2LLvYq7DN3eM{ z%4#*}L>wpr$!YU}>NZflla^ToF@l0P$t%rGEy_%eFD?OR5!$CGObe;wdgS<}ahgK% z0<x{-rz+?~4<s_lHwJ0ygHoeVCOyE0f#)4S^V-N5EXcsX09q#w!k~L;K=>;I0|N+8 zWMp9QWn^FwV`5-9!^pq@y6-xiiGkr76w5I)Fo5tHW(I~7W(Ee(Syd7&3=9&i3=E)i ziXO5uF!Zo8FzjJtV2EO4U|7!1z~IErz);P>z+k|^z+lD6!0?KLfngmd1b^XVU;trj zE(Qh=KF!6zP{+l<(8tZdV8hM8z`_H;-aHHpAUutSfdPa;eggRgMuXU(way?6S`!Ns z2d!BIt$Tp+(P_}yL(o3Zbqov)8=&j4KxUz<BbFb>2)Tz8bnY)`-#zGlchI^5T>3$G zyMxa6;bmfA0Ij6~O&EdP400Ffd}GkL#d8=K7(i!-&tqU<0NwEdI!73EM+@kTaL}DW zpo{<3FfcHH?gj$g@dmnc26RUo=&Wzh9c!RF)IfKnf$l&9-EjuG9|&~+59odt&>dr- zJH$Zu**yT=*~-Ac@CbSa1?c=8(7I{RdT7u&IH0qIKx<(^ZUmim16scdT9*o1ZwgvR z3UV{(90kzX1R%dVLGShjr8Cf81JL??(7JrkT4vCCThKaMkXu3S1g*yf*#Qa*bbCN+ zYSHZig%N0tDY|{2^8i45%R&1`LHj~sc7ygLfc6?-a|7s%6wo?d(AkEdHMpR)wV*Y# zptZ1|HLjq&;Gkgx(Ed=+-CUr3DfJ8t42=v7450mwpfju685kHqd-Xv3>OgzoKzrLj z`_Mppr9k_6K>Kt+dvZbN#lzxtHZ)%6gYE!kU|;~9I}M6kP<{l(FDPzTf$m%d-6_w& zz_1>gMmI4qFo4d7-path017`)T!Z2nIc`B`w;uuBGta=l0J>x61Oo%ZNd^XnQw$6Y zr$Kl8GB7ZJ&TPNHz`$^sfq~%)0|Nsn9e~bezX`f~o`HekE&~Gt=zgb%3=9m<7#J8p z_vgG~U|@L7z`*c^fq~&I=>A>?1_sc%>&R&o<R4f%1^EG#K4JcVrBhIT1GyhPy@Jvt z$i3$o7#KiqyU4)6a0%*0n0t`ZD!N<VGcYiK&UXL9z`*dAfq~&4C^Li3o@QiVU}j`s zU}a=rU}I!pU}t1t0NvvQx~B(p503;R1A`>!u2Mz@2GD&v>Y#kg$iSe<$iSe*$iSe@ z$iM(PR~>X8w<#k7gBc?O1L*8@3q}S8OGX9;2Sx@4(D~?2j0_CUj0_Aej0_B}j0_BJ zj0_C!j0_B(j0_B3j0_Auj0_C^j0_9`pnH@-_auYvI0oHe%*enH&B(wI!^pq@Dnmf$ zoF_3dFr+XtFr+dvFk~?@Fk~|_Fyt~aFo4b~FJWY0C}(6~0G&l%%gDe`&&a^g%*en1 zDt9^=85sH)85lt4iG#}Xsf-K^GeBiIBLl+%Mh1q3j0_Bm85tOsGcqu&Vq{=g%gDg6 ziIIU}Gb01T7Dfh!t&9u|+ZY)bwlgv?>||tM*vrVku#b^}0dzL_Aw~v<!;B0JM;I9x zKxNxWMo2wzmXU$s0wV*%MMegOTZ{}0p!2@(F)}dRXJlY_%*ep-gpq;a1tSB)OGX9; z&^g?%85tPfGcquIU}RwU$jHC|Iz#&lBLl-%Mh1rOj0_Av85tOUF)}dxW@KRa%gDg+ z544bsiGhKIiGhKOiGhKeiGcxh=CuG51A`zF1A`C~1A_<?1A{md1A`0`1A{CR1A`(H z1A`J11A{UX1A__^1A_(=1A`_L1A`V51A{gb1A{&j0|V$DBG6gXpnW}{@)xv61GM)7 zw5I~JR|2#L0<^aQv}Xad7Xi6U1eH^;vI$lOg32XOnFK3`kjo%Y`2#EWkjooTIRiSM z2z2fy=<FfT8h6l{mY}mOKzryj7#J8pd+b1a=a9=E&^`lD83eiq19Wyj=uCcCxdYmN z3fe1*UjD%HDd^mKP&ot2pRjTTbdLnAYyq9;4$GgQ@BpqC?OkjfI|JPRvRt|FBy zpt9sCv@Chfz`*bVN0|c3!=N(d69WUoX9fla(A{vLd$~xh8?e<!pm>3m?VvggIsQO# z2dYy*br-A-0quK()fu3A0u)ckaRfTo1aw{rD6T+fBf#PdR9}G39st!Dc?=8;pmQle z?F`U45}<wip#AuuJC#6pCRH#nFo5nw0`0j3#WSpaL62WpJp<Ys587`I+D8tmb3kb< ziUD$`I4BK)&SXV)BeGjS=N5p@BLKMpbT<^}z7?1|Kz8FwW9W8*>N!yQ0u`??jJ!4( zlwD!sAesZZfKmWTqsxQTz;HY$kf59cQ1vIEG`c<*A4DVTtKfhb&;X@D@(>XS32GC9 zSRfi%p8+RGl!3tlN~7z8`4L1T>w5uJ{{c#)>w~RV2GPj+7H~oATLGof^?~+CfK-5J zWPK6PJuwMT8eJc3{{e_b)+Yd6&@KU`(e*)tnE^y2>pKBee*sFP>w^X}1Bgb}*8o~X z&%nUY0j1IPL4ymty&PGe1s}-Q3=9rX8eJbWxEMe*vc3;c^*^9Ax;|)dF@R`feJh{~ zg*QNHbbU~7Gk|DheF>n�(4!8BiKsAJp3nAR1Yp1Zcw*0|SEslt$MFbv^@#M%H%$ zs{RI)M%M>*J_CqG*4F{r=*_^uFab)V>w`L<0YoF~bAT?0_JGpp`k>BW0MW?$7(ksK z(Ec_kjjj*s3<eO5tWN;CkxBweqw9k@1AJyJvOWpWW-d^8LTPk;P-lRhg{<!eRQ&@e zjjj*s3<eO5tZ#-mBz-P`(&+l2#xa0sWPK|@o5(=zcqomo4{96(h(^}81GGt)fq~%w zlt$MFHI4y9BkMZ>>I{S0(oh;*AJjOoXOQ)EfDYpYr57lTt`BM)hCT=A0pK1`8eJdM zI1GIYK#c<i28I<-8eJdMI1GJ1U=pBB+|V;Oz>1&*R2a-d_TL7m#11HpZXc*U2-d{F zz<{hT11eDfrP1|)+K|}vDL^GOpftKZ<b7nw_C<g?I}8jA2~ZkcA84-`Hv0rXoq5oG zPEZ<MA84Eeo4y-R_dS5p==zjln$W^!0@MRDpftKZP`etY71@6tP!9w^X>@&{wm3F@ z98eDkKxuS+p!Pd9eFvcGPe5sOeV{f!Hhn9g9^U|^(e;7)3E1>afO>oelt$MF>RVvb zR{`~S1C&PB2kMVt)3*WY@f}baT_30qgH7KKsK*aLX>@&{z17(C6+k^+0j1IPfyQ;P z={o^UmlvQkx;{`p3!A<M&|n0pJprZB^?}B#u<5e^4QeqkFgQSIbbX*PE^PWVpb=yM zrP1|)#;maE`vA4)2b4zF2kOgU(<cCpSP3YNt`F4b#HQ~DR6PSUg3<MX#_h1_djM7c z0!pLn1C8}z)0Y8_*a9ext`F3=!lv&6G-7W+X>@&{{unlWFP?&0>M$9|{Rl7~NCq@M z2;(E0DFGTh0<{aEG)OH>9f$^vUxH{5MlP3bK-E8h(&+j?{e6%g5JuKF0o3tjU|^U5 zrP1|)#z{eXKp0tH0B8V?fq@|cN~7xo^-V!~Kp0t{0H}ivD(9gzx;{{U7NiG+k@a1G zs=ooH(e;7)&LBM?jI3`0XuufcKPZi^4>a}x(gVWC`U*gUiwq156;K*oA85=Nqz8nN z^=W_xBpDbO44^c+K2TpBqz8nN^*w;9e*vY@^?}BwL3%(KS>FuM;3sH27D}V*1C1Ym z^nft3zJOaG5e9|`D2=WUG@bxaf!dxpF%6>f0+fdEU@93HK;zgj9<qH6(;+H5pfrRB zQ^~*p8WVu=ko8&2fT(nU(hwd@C1hR*#zWTk0jmB7lt$MF8sEpJj{|fuI|BoQ0F*}8 z2O3|&rtbh${Rt?It`9Vxk4<029f)WHlt$MF8iRwVK#d0j(BLuy1A_&WM%M=#1Hz{7 z1yub9D2=WUG~a<u--?+Kl^dWmga=c}zyO*ff$@;zAz>CoWd@Xn@L(z#7(nBTFdni# z3D86ys2qgS==wl&G1&B7fU3U%rP1|)#>BAc>zD&kIRQ#TcrcX=450B(7!TQ<4s#(Y zJ)ks%2UE$w0GgwL@sRcXfU0MJUS@}`4>YfXP2UEn`W;XjT_0#J2%El)`4E)_P#VI6 zsbpXPjWNP_$nI2F08yy{r6D|+N(KhdSTKx-tnUU?{R1eCt`9U%g-zdtg%Fi9pfrRB zQ^~*pI+q2;L$=Rj5kzGGl!owNDj66+<Bc#Lvc7^Y&<Gby26EpJj0Z9UG=2)>BhwWG z^nu1Tk=4W44FvRo#zJ9gk?9Ts`at8S$m(Hi^zk~-I3P?8M1#ieK{N;>hYNat1zjI# zydR_o)OJDEhu&X7*9RJZ2I&KZEwVoJ@ep)<pfPKZK9D%FKJ<P+NFJmPhC$=pAT}tL zk@cN`HiR!gX>@&{vj;)?(8n<wpbg;;D2=WUH1`V9hdz#p?oN<<K<Z!^G!_qIqqq|~ zaB%@jqw52W--Gm_#{>Gf6uLgp91TbxdOUy!oRG%B(Di}F=0W;E{zi7^g-(!33=B7* zG`c>}+yY1+2qWw3=z{2*0j1IPf#xGXdO#RipGP-DUjURw*9V$60O<i?WPJ=h5Pcj_ z8eJb~t^uS6gpu{_fT}+LrP1|)=1f3(Kp0tHK`+F<3Mh@P4>ZpL(gVWC`ZW3=`V62n zx<1g{3`h?MBkOwrRsRA?qw7POsz4bh`T<Kw(1d}m4>bP*vI~Tf?c;zZ2mvUKt`9VC z1kwY-$oeFp2|@u%qw53B^?>w%FtR=cXoApy(&+j?^Fts#AdIZ<1yub9D2=WUG^Yg8 z1H#DqX7oeiVF8pz*9V#p1L*-_WPJh93vDByG`c>}92!Uu2qWv`m<X{?07|3l1I@dE z^nft3J_b=#mB>7hiJ-YUkREi*K|mj9jtyN8h%Z1uA81|<qz)ZR5YPvjFGkk`;wuo) z2bzNfsYAya1oVN{#h~i}@eK&*1I=}U)S+Vw0{TGn-{^Wkd<O#hKy&6Gb?DfGfIiSX zJGveaKY)Nf(A+#o9XgI6pbxaZ2we||pFlt#Xs#Hf4jrSnLqPR0vKr7jCS*R0jXsZn zt`9Un3{nfKH$gNEqt6GR>jTYWgVciZ6o`i51JL|>0!pLn1I>Md)Pm|w5Dmi>lOQHF zKxuS+p!sr`T4dT_GDM#Rlt$MFn(szd4`aW8s{a6`(e;7Wg2B`x(+j3R>{|h)(e;7W zaUiRQu_LBJ^d&%PbbX*TXE3$Mv;cH`K>|vn>jSM9K~@iA-+*1T3Kc-s2XYDW8UW<D z?^pwpWnh>9r9tgCs1Xbxb)a=AFg`Nf0li>+0+dGA2U<&qtRBWrSO>8$14^Uo1FgS< zsYRwO)<g6;KxuS+Q;`)Tu?03j^hrQzbbX+;en`sU%okAgAD}e4KG6C=xMC#6fsGLR zZa`^teV{u$kd(ujD>gy&9e~p4`ao+D;fj$M6E;Kit$@<#`atVak(9%k4O<}kW<Y6l zeV{duaK%WBf~^pJ6;K*oA86eYl5#jRU>ihV1e8YC2U<4^SB%6k*bdQW0j1IPf!5k0 zDTgx!c0lw=KxuS+ptX;1#YhYXXu;zFrIGc4lq~=eSg;1P;4y&G==wlwMzLsP5P%ju z5>OglA87p-Hhn*!>KUK~5V}6lx=w8R9zfN<fYRvtK<iqu={o@}ur5GpbbX*TYS{E` zfEHLgpftKZ(E3?y`ZAyeRsobo*9TftjZL2dw7}AU(&+j?>*%oQy8%`I07|3l1Fen4 zrf&kYpql}u(e;7W(_+)-0WIhPpftL^)z~zF#GnNo2b4zF2U@oaQihISK-GVM(&+j? zYtqs6fcO`n1>_AVjjj*0J{Y799q)h^kT;+-x<1faMsz(O{tIXU$pJ0!(Di}V{)5z^ z;}=l%4A24(T_0%8B)T3D{{d9}4=9bU546q_qz)b5fEJJspftKZ(7JDQJs|!BXaP9` zN~7xot>*-(L&qM_0x|$fqw52$0Y%pX=0gie4k(ST545futPYLX0abqhN~7xot!GEm z0_NVZL+*hwFd*v#mB^qqs$hj^#0zLS&9D=siUGW?4W<Ot4gu{wfbo&(3sChhpfqSb z984ah547GM#z&@4?1I>H0ZOCm1MS5?Ru5w@*bULQ0!pLn1MS~{sYRw2?1Aco(&+j? zdp?lW!`KyjA^IAiG`c>}UILg}WO@O#f?ENlk@bPpfz}CwXmq>)TEVS=(&+j?`%%#K zfcOib72FCajjj*0UjU>I9d|%0xCu}iUEd*e4N!gow1TUE(&+j?`zoMHQK$%L1(yJ& z(e;7$VxVY%avY!)oClOf*LM`E4xO^_LCp`y`am&z3|#}1Z$m&IXzvA7DGC*^4`eC> zLk5%vt@DH_0Obc<`N(2FL|*`uM%RZc9~m5g=(B**==yNwBaVX*eF9J#T_3J|#Bm6! z4@#r!!<CO7K-Is1(&+kd<)a(W0_y>kM%D)kS6un%1~i}_KxuS+xbo2rXh1)J(&+kd z<)Z`8fIb1G(e>fVM=PKKy#Y$2>%*0gCO`vv29!qEhbtddKm)n~N~7z;m5(lDpynfF zeV`P9D<54Upbu9*LZ3f^F1G?jIT(ZXSAZF41p2rQx<1g}E|3;bUIfuFj6Q#at`D>y z45Sw1R}c-u=<`SD`apZgKx)y)U(n}e(Di}#i-7c@hB^ZS`uq{PzOx`r3=9m&;}0Mq z^!X!neV{#VAa%&?1rXl?x{%5NN~7xo?PUR}L&ra03#p(BqtNw%_N<}n0r7W07epO^ z(&+j?``ke4&~XKHVN(N?M%M>jo`kNA!2r5o$O1~E>w_*&!qE2us{R9%M%M>jo`j)q z0d%3!3Mh@P54y|<Ltg}Rp-}>qM%M>jW`v<n0J_jf0!pLngDx||(02l={sNRn*9ToL zgrTnix<IJ|N~7z8E*HYkX8~QH<N&47^+A^lVd(n+RsRD@qw9k%i^0&h0=lqi1C&PB z2VE9}p)Uctuqgvdqw9k%Z^6)a0=huy0+dGA2VKsMq0a!iU?>1eqw9k%cgE271G<1m z0=ggvT_1EgDTcliQ1us}G`c?Ma#9R^4bTNV9Z(uwA9Q&qhCU1E0v-n_jjr!8h7O3p z2dMfVP#Rs|6NoYl@&k08#t$eBU5*P@2dWc5`|iLTG~&c!NXdTzN~7xo?fXU30_HAQ z0@1euN~7xo?e7CCL?bGeLi9C2X>@&{J%MOiz}$dk5PcC)8eJb~Z!%aR8ey;;qR#?K zqw53hXGYTk<_fHU=#zlb==wnWj=>7ih!0TpKcF<aKG42tG%aB6hm{cfen4q-eW1P8 zV1;PJiB%AN7oaq{zISNqVcZp~A^J8zX>@&{J(w`H$n*l}LVy)e8d)Dm9cbSsh(^a9 z(1idKpftL^kLVhp`~v7gfC?y$t`D?F6si=3ihwQzNPyDl`at_iQ8Yj~4$y@F9#9%x z-xsJlbV>ud5WoORqw52mt%0rw#D4%){{l**>-z>$gaw~~E+Du7rP1|$$D#)$v;n$+ zU<Z^&*9Y2b3sQ%UXFwMaEP&GJ`at`0(e;4%4bTMy9Z(uw-!G6NEI0$YfS>?Mqw53h z@yDW#App97AOcFG>jRw&fK8tTbOC__lt$MFI!geXJ_YCk0u30ArU-og0Gb$x%K=?L zAONM&?fVZ>gav<qs{a9{(e;5R*Rbfj0bO|T07|3lV+2(aSo9r$E<88^rP1{<;n24N zy6|8Flt$MFI*S2|bqo`r3lC;MX>@%oIP9x{E<9*}(&+j?=RRPwF9EvnAOlLH>jRw? zflZ$Wbm2h<$MFI$r{tJ_G2&0}CjPu8#wU|0JLb4-}v@x;{=E`WT=K4>+JSx;`!( z`d&cQe}K~H`nYlEy8vCVa05!C>jRy+fh}BiKo=|=fYRvtcyZXb0J>md1(ZhD2Rh#a zn|&S71q&0PG`c>19QGAJ7c5jjX>@&{vqZ4j7Xe+ckN~C8^$Fsz?}rj<PZ?Pss0J6p zq3;g?eZn~OF(~7=PXvcP76ST2ap>b9pic~kKJ@W&Xg3KQ^dK$bAOg%oCD6yq(e;7O zfkD*);h~T3BI^UG0G%BJqR}z>I6JaFkQ1dq1iB{BJ~ilgFl<~HSszHbG!A_l(1}k2 zD2=X928TWY=)|W4lt$Mli$mWJsCowI1Sq;bIUM>PK-Is1(&+j?XYhdhiH=V|CrmFu zX>@%GIPBX1oiN=2rP1{%;?Oq(I$^p1N~7yj!lADLI$_!YrP1{%<IsmbehuwbgM$I2 z1y{O8AHPP|hbvv9kGCT016hhIU89eCBkKdX6IZ%MAE!mu2eJ=Wx=w%&h-W})bbYwe zwFh)SJOE0g>%*0<4WI+!7El^pAFgyQ0UZ!mfYRvtaHVSo=zur}lt$NwD_y^Us{a6` z(e>d<*B77z={KM>x;|X#dIxkM{Q#6k*M}=zqnFpPeG8yC2c;Jk5CP(#Vf6AEU7sor zedy&bx;`}=`q0ZkWPKo~fzAa3(dZbx97NZrfx|xZau8h~==?EsyFh&Oau8h~=*%*Z zI&_R)4x;M=opXk+2gFA&2hsKE;P4-MIf$-L7l%IddJJ8k9u9pO&;=(2P#RsIJ`Q~W z&;=(EP#RsI0S<lW=^D1L1{7u>EruWh#6iR8=^9-h=&U(3EnqHsI|NxDNCD`4IuMPH z(bFfoJ`)`7L{FdS`aoylq1y%Gqo+@FeW3I6K<dyjdiq4y2Rd^PT@Q$lo<7m_fzIgz zsYA!;=@VTa=xjf9Js>`MIf1Uv3P*UN&-bJ2v&Ny11G=!O14^Uov%#S+1G=#31(ZhD zXNyB$1ayH?0+dGAXNN<d19X9s2b4zFXOBal26Tav1(ZhD2RdI76z=F)0=huS07|3l z1D(l;t_Q>yfG$wdfYRvtK<7Au)S+Vz=mI4HD2=WUboL{<9uWTnRQ(Sqjjj)LUL;5z zI!12~!uH>R)PaH$SG|ee9z@rNtKLL!*P-jfRd1rVvyk<H+={E-L~m!I>%&!VqPMfq z_2H^F(c4+*`f$~o=<O_YeYomP^mZ1yK3w%CdOHhUAFg^6y`7A%4_Cdp0J_j&1(ZhD zhpXP~fG%{H0Hx9O;i@;$(=}{=C@8If(lxGn6Fps{>%&!VqW5!<^?~d(23ZPXpkeg% ziLMV<y@{Sa(e>e~H__84x;|X>CVKir*N3a#L{FdS`f$~o=;;$(AFg^6y_`VThpXO1 z?>D0B!&PrOKo`^;fYRvtaMha&pbKggpbKQs_2H^FCqNg*%z)D9`f$~o70`t-4Nw|g zAFg^c0lF}z07|3l!&PqvKo`bjKxuS+xav(0=)#x;D2=WUSH0-~T^Qp5rP1}_sy8*D z3u6qRG`c=q_2!LO)NxGcHe7J-292A7&SnO4(1?O6^7NtG2c7N$n@);-#OjO4%*o8F zP;hYq9dHh|1wz1zv3k$}m!NZc&=y39;~GGMXdfj<LtsRQ0Iu^%Ms%PIpyxs5nLcz5 z8RU$j(eeuv45N571h9qxbQ&D1+^8V^Ljb+}`Z-#D(LWMKZ5%Qo0NpM$WZXO49SSPH z^pM(LTX8KU814Zv>c7ztAR+{y+cJqzIjV#nApk1Bko%99aV<=uhhs+V7!83z9s<x~ zCkMGJM~?GA<ri}M%Ldm1$&nKzqdpxC0h}QK-Nu7cepG_KA%I?f<>6XTPG4t@+BO;j zgE9o5+eHSY+eV_JK;;*5|50kR|2Ptp!Kj~!41v+{FCqhqPRc;#7jpk`&uIUVP9Z;P z%}@*h<omFOqWg!No6*ZJ=rMQ<6^w5$EEHr=;9)+{(D+w9$%JPShswLvvtq8OfB!1< z+~e8FwO?LeoZdN|fsu)YLqNfyfgt0D#s<coZ49qLMz7^<aS&(-?6a;D;#sPo*%~xq zO4AY%FH28O1p#lP*Mj+1&rCP`-Sz0_u9Ug!ckcb}f2lpY^OybQ>qh(L?<;&?UwGfR zcIBhr8~c7wd>Ji#Up?Mjh!`h;)HH^3%+ai4a|1bJBX6?<PwPYF2`xslJ%=@VCJRbv zrylE!FPZjECwPhH*J!5$lS_AfpL*&1r9bb!+1@t3ay;&Sr0$7N*FFF3dGh=AjOVGR zJ|8zde?5BM{_J($tDDakp8sAR9iZ>K`+aTlJ2?6te(!8QU;18~_vfzp+s4n&jW6%p zIe+opviNEqCJu!L1|o?A^{jT`k2x73Za-uo(3&W$kiwWH(OM{>x_F7tNe;=zK#h+l z?OrC%weAx6?>VjL{JERIf_|-Cy~X?AyiZnFo<^^iartR><Y!IK=i@7%Y`3{F?fUX( z*KXg9d%bhc_PEc_CC=}RfAsmi-@Er4fBu?x?@#^j8+)VPt(jT-dhf31=Vonve)a70 z=w+3^?QMy4GuUqj{;}+f?dEF&IeahgAp-%nfY0+8Z?sRFD50Dj5EQg&W@jp&<Vn3C zC0p-_Z}pdde!29^?sI2L<IY`vzAy9B>!MDZ=cm7)-?FxHW$x?v=0E#Zf3<ubvM=KE zzWMk0{{AU^^Zb19S?~MX>dy$jKe}(*|64x~tKaLcHTj=0FL3_E+ZpF7?)wo=p`hf! z$n;<R1KZ?R5jGW!Z!a419Wvl)O=M;=EX!`^3hO&|BG8iQ_zSU|*7l$f{>T}1-hs2) zr$)%9y_TB&`0)Po^NTf~{yv=>UVL9?TGoy0HdVL(sh*5)fBWxup>_Sdth%r4$tz9z z&)uqi|9)=#=a@eS%jBLGPxAM)Q`vcZ_3x+2V*fqYL`CYg-~V^dW_SGi&B{b44F)ET zKmHGRjgI((9Dd;-XM+O|%K=u$x2Mc>-dpvy3tVLS<Et<s=hLhw(WkRzvp1dJ`)bE- z|L4~#&+f7E`)}XaNH7CKf`^4e;D_r2rc3jAtU<APkk`RMfHh#w9S!%&Q^9?F*Vg^u zT*Op4+2@%2nTMr!Hy?|h^Sb!-(kYuiXYKx0J9lkxHt*Zt-|HmzegD06{ww>n!8_;A znfd$V%$<{Sh|j|Nxn*`1aI1st-zdl+z`%S!<BsHQk#il#7NjtpUG5cB_i0&7@zo`B U?;hV1H<bjB>26>wdBL<40KI3Ui~s-t literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.bat b/proj/AudioProc.sim/sim_1/behav/xsim/compile.bat new file mode 100644 index 0000000..90c0bc0 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.bat @@ -0,0 +1,27 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2024.2 (64-bit) +REM +REM Filename : compile.bat +REM Simulator : AMD Vivado Simulator +REM Description : Script for compiling the simulation design source files +REM +REM Generated by Vivado on Sat Mar 08 19:39:29 +0100 2025 +REM SW Build 5239630 on Fri Nov 08 22:35:27 MST 2024 +REM +REM Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +REM Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +REM +REM usage: compile.bat +REM +REM **************************************************************************** +REM compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +call xvhdl --incr --relax -prj tb_firUnit_vhdl.prj -log xvhdl.log +call type xvhdl.log > compile.log +if "%errorlevel%"=="1" goto END +if "%errorlevel%"=="0" goto SUCCESS +:END +exit 1 +:SUCCESS +exit 0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh new file mode 100644 index 0000000..e918a0d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 26 12:08:10 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.bat b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.bat new file mode 100644 index 0000000..b4dbe4f --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.bat @@ -0,0 +1,26 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2024.2 (64-bit) +REM +REM Filename : elaborate.bat +REM Simulator : AMD Vivado Simulator +REM Description : Script for elaborating the compiled design +REM +REM Generated by Vivado on Sat Mar 08 19:39:30 +0100 2025 +REM SW Build 5239630 on Fri Nov 08 22:35:27 MST 2024 +REM +REM Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +REM Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +REM +REM usage: elaborate.bat +REM +REM **************************************************************************** +REM elaborate design +echo "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +call xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..db78a7c --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,8 @@ +Vivado Simulator v2024.2.0 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2024.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 2 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh new file mode 100644 index 0000000..b001623 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 26 12:08:12 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v new file mode 100644 index 0000000..ed3b249 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.bat b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.bat new file mode 100644 index 0000000..d40839b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.bat @@ -0,0 +1,26 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2024.2 (64-bit) +REM +REM Filename : simulate.bat +REM Simulator : AMD Vivado Simulator +REM Description : Script for simulating the design by launching the simulator +REM +REM Generated by Vivado on Sat Mar 08 18:23:47 +0100 2025 +REM SW Build 5239630 on Fri Nov 08 22:35:27 MST 2024 +REM +REM Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +REM Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +REM +REM usage: simulate.bat +REM +REM **************************************************************************** +REM simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +call xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh new file mode 100644 index 0000000..9cda091 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 26 12:07:21 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..92bae6e04f64d3de695bb19e86c20b7dd4aed561 GIT binary patch literal 61927 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C)N+cP3pSEHMYl zW$0jpu;N)EG`k6eCYLl|U|@h@d}c5+FfjaLWMD9d+V|Z8qI$b6ghnUJozO+7!+*)l zz`zeOiUUM2FsML7>;#01o77-nNMT@L2zPPPb1h5FD?w8U4ORvRD8mCP4~-ws;LKcw zkjMa61vgJ0R|NwDLk0$hZGC6bc^DWN+?g2|ycif5m>C!r1c$i9`}n(iIx{daFn}>A z<Tx1^7}P`68Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-08Ps*v89<hMBbgLRnNbQ1 z3=FIc3=8}mLqdZbeZbBE`Ro7x|NlW&IWjOX2p~H;KFrlQ#6JkEkda{l*gYVHAiscU zkY*76_y7O@x&QzFSB6@UnOBmUo>~-dYy{TIz`)S(|NsAbkd`v2cS7QeOA<>`!SW#E zK<Sqq6d{hDA@RYEz5zb248bA(L9Pq|LH^FJ!NHz>?(shU{s9dBp&<dGAq?Rlg|2=s zFvWhZ5h3wzo<1S2K@4u5evUrzP=z2{&0#+CboX<00b5FpfBc}@lJis3oDz#ub29T% z9gB(*E8!-vF))Bbg#{YBpwMW5ih;ZX;)8-06gvJ$rupTUBxjf!cvKNK4wOtmen2<Q z7s)uE{PKXr;$p&vaS?D^aYklZNl<EfW^qYs5n<zSc@>t@JpDpk-CZFG2o@9|zi5Cs z3=9m=+{&;Q%D)Sx<v@xU7#JL(^mZuy4ocgAk_-a_LpPMZ0j1SJxr>1TR*VLPgm^+t zgiuiJ3_GBV<*4ex+1ka)UBSS>44j?6{Qv)d2Ll5`2MYs3gdzh&1P24d3LyrD4iQYu zAjZIOLxO=JLk@yZ$T2Wz$TKi_C_=C_0|NsH+b}RNfUpR}Nho9~BLl-#CI*I1P<CKo zU;q^-Alv~}1#&hcBLf2nLlPbX11Nccq``6w2tG&*grP=(n4sJbPP!ltD9B`>$w3iH z!^A;p4V1J&YLcKy8&o=g>;S0&VUWEb3{qnZ$<^Ru50@HHO##X#!AN$Yn*++HAos@L zQ3J}9Ah)GL-32lOWG<*s1%)Yy4rE|p0Qq4uk{Uh+1_oXR1_n?e1yTd@7pT@a0#yq# z2ZTX>0%1^AQDR_V0I5BTM@<j|0|Q9yH9Tt47#JA185kHI;8C*~8sD!N7#KkA0=Wf* zL2dzIkbX0$A3ozzvzvi|0p!=ec+{vcLaI%6M%;E8GlFXa20<)ptfBs~V`N|uWME(r z$D#)2W<N#-1|bFp29TY&{1wN@z#z=Pz@Uf6oJ2+j22lK3;8By$$iN`Vz`)>yM@<1E z11PI9_~21f$jHDT&cMJBhDS{aBLjm30|Nud?YR8a#K^!P$-uynjmMlxj0_A?pooU% zT~N4z!U80OY%Yio!l3*i08N*md;n4d%7gOIv<}MC+6)W~pgajmyP&j?kblV?#0KSa z5Df}PkUby_$`c@S4_r2G0ht5R2NDN~foKqhtGNPE1Io)FaeQh(d6|$JP+o?qDP~|` zsE7Is)T9IX3zV<Kp!o~r@5KxZ3>%?pKw<x&_>wy)Ux3trXpkC^c_0jT^JU`}kU5~d z3=+rXW{?_CUM8dll$Qyq0p(?UYCvuU<z+%@KzW&v8c@C>qz06iv8e%-)d#K^w}9LO z%FEc)fWix=29%cxsR88<W&HnUES#UM8dll$Qyq0p(>vYCw6JkQz{4CZq<Gmtkr^ z;ReH?e5Hk@P6OplP?`m)1LaE)4N?orzo57Q@j)15CS0wy?0Qgn3K9pY)q$4tpr#B= z4_xg9;}%e!hpE-Y=LT)r^`;<&&~ii%&0LV#$mY5usnsW7ZX8rC$d90M6=W7v2;6=F znF|vG<u8yNNG&Lj!^A;iuy6p2Y0Iw90|`RQ15h~&Dl=f=016wpxfhIEYLL`|%3H7* zP%(6K`=R0>H-Oq~$mW8~2bl{ppW%XW%K{{|pt2X6xnQ;0vg@}YsdXg~X0R?S$PI1; z)Lun07Zfkp+yG8z+Oq4PAgKj)Yw@WCwKG7<L2dxGr17c!2T}-)3sCnLpIT0+B*<J) z2N%i(n*fUon0tkwk|4D{3=9mQwjKzB6r-z!r87`j4r>2FwStu5OlKgqp!mh9R$F#G zEbV~Qg4}^mts+zsq!xssTue7;%dR(qN`lmZ%3vrLMJ+7tfXZi(1V}BYPk>J?sBHsN z3#te4sf~h4g3JY_YbY0_9Uf*dH>5x%L25yDG?a@~Z5~t-q!!d(fpW2`ErUve)PmZR zP%c)rJy1!IT2MI%N(&$iQVdHEF!#<vQVS{{KwNOTg0{6l{sr^3W!J9)NkZEiu<`*c zhea){{|It}ERksv6u-o#NswAXX%bfUfz*Q1BuG7|Yyx=$6doYIf!Lrj>NuDI>4SjW z4CR7?3?9c|F>TrPm%$8(T9DaLE>^V<p^_kVxY8t8iMH(e_h1IZTwG}qtVCOO{ZB9h zq89ECunQplTez6E?0RN!WJA>AN|RvYv}M<GBdG<YNl-X}Fvw_F+JUK+L{dvAO==;j z1*J*kxB#UgkbA*OE*Q7yff*3@g3=BL3#6|K5(c&BL25yIK=Eb=5`^}(<QNzjG?*d% zHIOjK4rI0dAVH{FP+uSdS{8$ZL2-_(HVz~RRSW75gWLd80}=+sBeL3jkRVj8Dzr@i zawjO9K<Ypk=H7ZFwQ6W;L2-kuwjW8YI%F)10cI{JZjjZ^MN$hII|B7zU}`~egRFKH zl3Gm$NS_g=78EzgYBwUO1+~vWVG2_V>VqMx-G-zV)Q$qB8xTfLJK%88mR)}oNiAqN z9i$F~v8la`q}G)YQon)n6HG109bj|O#tvZeAUA``6%ZeWL1~#D;xPL_<A5MGC`~lt zaVJO(2!qBnK{QA`XdDNZ`$1|z7?d_aG)O%tYzV0*);+k)!6gn#!ytFSFescsX%!Sl zFfkAf5(lMGT;jOQk1xqDU?@q7Ps=O{&C4udNGwXu$c#r4fJ($0GC)~SB`_XnggQRn z)Q|znFoH2mp$u~ihUEOblA`<^kOlFE$ULZ7`30#(i6xn3sUQ^$D7<(>R6bZoJc<&i zJ;o+bh6T(Ch6Zq!xg}K66sF41!W=4OWC>GdY+%j+9*<_o%u6ncPfSTEN-ZviDl;}U zfU}HYEHjuP#%309F-wNx(%ksO<Yb28lKi67_@dO}(wq{gw26TcD87Q7<CAmpld~Cu zok8-cB_Kv-UO{O|aAIyjPAVEVEHNiD1tgZ1nNyNll$wH2g(?D3=m|C46Kb|+JhIU! zJctJWcvNE;&;=mMf}P_Fit>|Fi;FY!(p~cNz|PFcPfQ60jpBpcmzkGY;s_15VCQ&H zIHGVfOM**tK?=dT5X?xB7{m?1C5h>&44&vV`NxB`fGzTmN45vVOD=+01L8q!f$AvD zWq`0E8T{kjP{I%5E0|v)76%8#7Zjxyr{<LegXfEagW}UlOG=9nJg^ZE#hyrx0tG9= z^B^9=Q6L_~QBWPFxeO3iB!ee3>>(!lWBNOiAvh==c}57vhtKK21fa7rATBr^!{${$ zfty>JQ{oTGXn84yXnZ3O-?ucUBnXmHgPlQXAI1raF9yX^P(0WjMX8A?u%Hfhj?Yc3 zfblXx>Dt*!KeRZts94`QKd&TF-z7h}G&eP`q*&iQv&5q`N#D~qM8Bj!H#Iq3H?^cR zB{MOvL^sjMq#(5@HB-O1C|N%vB}X4o{OFZsq%b&J=|g5g^}{mD5>xW^jSP%T^o;aV z5=#>G%Rm}H8jDL(;*AUpE%l2_67y0Li&8*(a~Sjsit@AcE0Rhxb5e@+EiElA^$g-Y z{X*gm4Gc}=jSLM9^fU8{OA>Q(iuDt7a^eee5=+wZi*k!$mO-=-XBmkuElI5?$;_vV zJCUs-&Xt*|sj094O^MIRPtQz_H#9Uep`~;2+ew+bb(8W_DhI;xNEVaogS^t*)S}Gf z_~H_pre;L2V^~Omi;?_In@ot+*+{lhr7VKBb3h3PR1i^KxFI!$sAnijg9vOas4#|( zBY@h5FboxDn8?7u5W>K~V9Ch902)Vo!N|a{gpq+^DH8)j3=;!GJTn7>95Vxh7z+c# z1!e|@9u^3`%fi3_!bYqN3?K|L7i1=k2C+f?4j7+Q8l*Rrfq@~Cfq?-u#tWJo0?qw^ z=5|1HH!2Ja44{4<sQ(7)Yk~Sjp#BbMISfcGNF8WpkQD<1gB@r-pMinFg@J(qH1-J^ zrv#1tf&2}!BMh|q12lKfz`zj2z`zjAz`y{S2L_Gl#WOH4WH2x=WP#?=7#J8p>z{HN z7#KifAo&ao3<V4f450ZV&@!G<1_lPuDwA>s28Id-28K!o1_sdhT`dCxLmhOE3DiFT z^;4P{7#La^7#P|Z7#P|?^ZX1944n)N3|*kL1q=)feGCi?pt0Zy3=H5nn#91s0Gd;t z!oa{Voq>U21_J}bOa=yqSquyevl$o|<}ffY%w=F;0F4PRWME)e#K6D+nzLHMz`(GS zfq`Ke0|UcK1_p*z3=9mb85kJWFfcHzWnf@f$H2g_o`Hb@G;ai&7Xr=ufaY~f85tPN zK+CKc85ltGo}lyrT9O1>7Xg~%bY^5=0QDK&7#SEm7#SD>7#SD>85tOY7#SFX85tNt z7#SEs85zLynBk0&xyocl28L8d28MJ-28K*V$lN4ot_3ug0-7rUjm4ESGB8vyGB8v! zGB8vzGB8v#GBDIIGBC6-GBC6<GBC6;GBC6=GJuz#buuzAbTcwAfaX3x=?xikKs!1D zP#V;KLzYKopF-kTfI6=X3=9rX8eJbUA6cIRXdsM%fx!bxBdY@$5AAA$m<-7JJfI!S z04R;F4>Ya@*2KVoqAvi{83m<TD2=WUlz*`4vj7dUfcyug(e=Ul`yjo@?nDnyWdDHF zg2paDGzcT>Lk~}MebDFuX+!ZJdU&GigGLXAKJ@TJ*9VOr41MU~iLMVCJsA4X!xLQ} zG<q=fDS!qdLE~yr8eJbWdNA~HfCh#@V^&ZaT_4mq41FJ<>VH6KbbU}^41G7C0}l_N zG`c>hFowPZpuq_S28I(*8eJb$7(?F*(4ZOv1H%R=jjj(WjG=D=Xn+k=E<$N^eNbTx zeHGAwk_IS^t`91Vp$|PjAg5!HV$c{2hz4PN`2k%YXblNS4+tacLr>S}`ousQpcq*n zdOAbb2bvRwYD39?=;;hyA839Qn?Ce(hOQ4Z7lKV6dOAbb2bvebrVl-xq3Z+9p<>gA zp3czqf#y@O=|fLv==wn8>e%$5mlNpvKx6FK^r5FubbX-lc5M0*pb0PoN~7xot&_y2 z&jXqO1E4gzKG>W-NH20aGk_*Q3n-1Q53~jbqz8nN^`Yk@<Z>OP7BoiyqCps0A9}rl zt`F2c1nB``WPRxM3c5bfJOfA%2qWu5&kyMOKy6Ww9uP*>hn^qM^?};2AUz<AtPedu zpz8z8MS%2xFtR@M{D7_x)K&)R0byi)==lL%A83vOqz8nN^`YknbbX-pBp^K?jI0km zU8Cy*tt|oR0byi)=;ax@K2SdZqz8nN^`Y1A==wlwPC$A<7+IeLw18BA79{BUKz$RC z9uP*>#{exrK<jWpa_IU%eHD;62qWv`fM(1DD2=WU)V~4g0byi)4$zG00ZqW@`oM$D zAWdlPNC#-ddO&G(eW1P+R2xeDpaG3o11OEI57bw~rcVGGu?kQcT_0>65J)ew{}`YV zD*>g^^?~{>AUz<AtnUX@y#O?V(e;7)i6A{7jI8eiRQ(Sqjjj*Ww*=_{VPt(bpb6pu zlt$NQ3DN+?$odXI6T}H9jjj*WkA-SOiH8c%f)|(s17uAsj0<YZfY!^x_{cP5AXUM| z3Dg-zl7RIG`k@_x3aI~)l#DV*Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtxm2Km}v!t=cWtOtQy6{;c_N^Zfb#%6ZR!F7@&9mTS8-!N*hk<de)v zI-ZkLJZBxBw!|~bb7o8BsU=&c#7vxK)3ao1ii+-}r-hTg2-`2;{{G*N-Oo$!J-<_X z?)$vz_cwQT9_j9X_O1Khch5-ugO8R!+0=O?sC)j^n5V8WOn$j80oBq?#=8Zt*fu=4 zGm+)%Y!>lzY8eHV2baF)l)CF$@Kmu$?Yv-2^vl-X|J%#9DIV;#aXcBznfKN|;1@@e zwYu;W+wKSVQdyp^SFU*H*VHB4)P7zlB<|zU>U}jkKPok?iWaiD+q&S_J{EO8^%a#$ z2UnUozV+rf7vml9ov*2wU+7AG+k-<+pNpD#4lX^*DfQN;AT_^9tz9t2dftMYGg-{_ zlr$=N53W^oe96x7&c-*O?BFGd=l7~uo|-FH<f%7xRSVkKOj%IW{NSS`OQ^m|MU|=J z*Jw_^JN^NmJ)43*Pd{j}^}3?PWaSmRl@IQ;<$NXYRPZpOiEX)XjMe-Ff%6}nI?Lkw zPIbpO3&+ispAF9SZsqXG^D4-$Zn{)0aA&2^6x+53_oj26n(zMMPAH3LzEVX6zvI(- zA)C0WkNT}g`&I1&LVSg7?zSxW70v1Q#xLOWVV326YAgP#HW@z`y7HIt;7&%zzq>j0 zY`iWwc=i-$mNvOb3&&J)J5CllxRqbf#JcOjF>y|>dCmo|f3qyjSK0Box@l9tz#Z>1 z$1R?Qt5)PGH+9_>vboc{;1fHioV;hiS8f*n^J**ZDO(gWJD$BSIHzjHf^YR4=hp0z zxn36UTacIC^lP_J%nAV$i>3#co^wjgbuD;W&EmUGdB?ZtrZnLu>HlgPGou;`^Y(Tv z_!7oae$O$W%)Y6*U3iLp=YySQjz_&Y<>I^pewwrN%d4#TrQfuid-`#UtL6$88(AIC zDji%|%aK(-=fN3HmeT!h1@DrYeq9%c*)ey)L1vceX)L{BI!7$Ruc>Ejl03L|yRgaa z?gz(?b9l+Q7rb80vUE2~d7V?hH?yYd@4{2+yB_TPshu#V>$Q;08NrY`>4T4LIak$9 zdGK;0%hml(1&^4TX4$J*lyW=1H9R;|o#U0>Zlz<E+sz#R`f}>Mak!Ac-{dW?m{G!W zaH^Q&<j)-I{&p<5>C0mN&n4iKVN>+!B?f*kO*!A~>06M~_~70<mZ$t`74I^dx|R#t z*i2vWiJw!BpJUa(i4R^bX1Tgo=$Pe3HpjEqh34GqTkx%#<D863z;?facOgx`o(sh6 zn6%(vFU$0Q4i{bsHfejW?J1nK#;ZWr`$A51lk|HvjY@@sYyAbM*tI@5x1HluoMXXz zwx&<YP0!`jR{XFzcz9}jjryaf`|VDzSFG4$>UgzW&}OYb$bXfCozw5VulTvzWdF}D zrBX}BxATSP?Cf6f?movkTlWC_ty+FB54ExIZd2Ov#jnZjw_waq7RSkxIo8!SFSxms z#rzqE*FDdI*Xvo9&R5;B`B0X`@;6FN)zJb|?zcYJS<9hyjYDppL%`41EdBawD}EU_ zE#EF2@}K))XF5lg-hQiNmQxj1{8ei*widqfm*L>f!yK>V-3uQ2G_l1tdE2XIlt>?( zYA-C3@JWQ5eTD9JwrAQ0a$?2!E>tLUvwFNca)>c1Rg7zb-IP}561(G0jGNLo6g1pp z)e%vsH|1uZvAeaEN#uR<Lx#iZ5gHDbGmkx$N!Vk?&AMV=V=J>ydBQ@5uirNpG#pXX z5n1pvQjBkc)!bI5E&ER&Vl=81<GS$Il$-s;-P2Btzxp>8G#uJvT4>2CvF79<Mz8hh z3mFzOakH=3)8ESE!<Y7uVe9z~0Sz}Zbz~NNlojKeu&1k)c}v|<C&o+PHxx9So6^dZ zGChuadU3-&DIF1o{hZwFGj8{_GKt(jeTcD*ePckwr{$3r2Y!TzaRt2HP|)yls*cEl zf8Jtz6L!pQWit7H@DO8Al=SrAhHqIqG76gwxmjQAo7u|z#7jqHLA?SuyN1kBCq}mA z8wwgOZI9GA@PbQ>FW@IDH|va^2n&a|?{!2HzS(fIXRO)8moKfbQ;?fIBloZq<E7mj z3mVQb>WC;*t8lZwFpbo3_?IKbXHag*&8iW1{t#nZIml(sIx-1=WVl&ZtlOfe_nhG} zZ={BU?fFBDLc2EwG(7vKBco8t!p)j7|I8sqqx6jd4ewHQWE9F}xmjP_KY56eOIVD{ zpki7p^OUd{{^`LD7nQ{L0&Y%fW%4OYe8{l1Hd5ojnq!9;rPMbCG^EUKW!|EfxRBvx zcBF;Fb3QSy3AGbinZL|ST*&aV_4VT-K7;9r3mJ}{jkGvWkS@j*aBp@ia}R&=LWZl4 zBP|Ym@e$(+*x%90tg<d~A;VckZgvmb15S*${5KagoN40GTh4IzYlMYEp0OC$h5MbY z%p%{C7BVzDM_M?nJm|#e21+}pT6IJcicGoLSKK}2#F+MdV?o2Q$vPqmmi5g?xcgP# zds#VTgW~rbDCGKdL=<+bbF;sYJ><mr>G-CChMkuqH5@+Hi18V`*&NXD>8y@SLXAE* z>k7MbhZvWA7JFF46%gOu%B*6Rx{$&9U-SE?@B114R|~HH@gY87$#N4=6<q!2HM8vT zkCpq6szgpwTe)+d?D15KIkLx7^JaQSc`aM=)Jj$D<RlZ%XLg;_j`#<8C+TH+&YUuB z(#c$pW#C%)x>J=+T<y2x-zseOuebkp@A>_u-(rkEURu5Lt@iv>RiTytql#|2B(3~! z6TJJ^sl(w1XC`yLn&Sy7M60d~#q4MW7ozhNGPZn)(yzbyzqa3N)`F8vP1&~vOl~(n zIOfQr+ON2y)XMQ?H^;o)Z42HsJb3q)<*B^-j`dp&{f>rm$emMPu}AdaV<E?@%pCLN zd;|W5Gzp6fUD?z1;L2H+t?yMWK1(>xJz^#C{LU$sug?TyBAhNHls9?*7BsQydT{C_ zi|;x09oJMd%7hR0R&#jSc@?~7ZMvkr_Vm``*8)>weG1CWn?8v){dN}$vFUv9u##n} zzIsKCvg6g=9P|7*R@u83D4Fs<z7o^@;EGbyt=$51ZcbltPLSofpUR5sYC8%f9h;>& z^zJ%>OVRTJCXtHWh3nQjUdT~A*sJ7tvX&!H)-T`}OVjgnsv6ar2k*)YPT4>A!N0>S zqUkLB)93dWYVDu<;G-H#=zYfzCHYOS<drQ7Z5+?)3(k2ZaOI!k!I`%?Uj3Q(;Lc^1 zuhXLWAIC(@UEtXF;1VB8?>D6#p8}iIjtj>8R&w0z&5`$~VZoENEZdK<ES;}Zk$00- zV)>gamU43yjs3C*|JrhD$vJ)a5Yco>x9PaJaL8Y~gO{T@R{igLaAY!zY5ZopW0qUB z9N&KBJSW2OYES!vI|o_5_9^ZtU~_El=FqF{UvRPE!Kr-CSvGzJXQdP7q^%aX6Vbom z$u*Yk_k06>IW#?g#ggi;yrWvt@#kvJclUh)KH4?4_X~!^WjXhJu1IZK71^}hn`71f z?gvNyv6$L>7Q7N^x)rZ%QLO4XcfQaZX~8SiQyv`ZX5kIH)sgM}TF4~Ir{L*a7GEuv z>voP8-l#N5$E#{ovL0MJUtr3<*$;Nw3s0$?_aINJz3|j}--3EU$D^^Fa__tYem-XD z_g7i*OSEbEbit7K!gF>^Uhr%_ho7x?!0)fp$#aaeg|6J}d2r_(%hzv;I|7t53YZQq z)fYC|HRZvne$H8U{0iRovz$$1F^}8Mc}#Pr;*PDFjxXnO%&WF{ym^@8ot%HbKbfZA zvQ1srg>ClqF8CzRA!qL!@OA6#q&dr13y0jF@!&`wi|J{W<$S6u{zf(#rwd>CsdjMZ zYmQfUy$T*GHnI5&#(3!+vFHs`-myv0aq?S^b$k04+*D*Sw{s5oq|+3AUqR!pa>cvp zEUEG;JASJ;{!BGHZ1Fu@b;S<GgNK<MpMDpzv752r)pE{x^Be>IzGhKhr@G=NcT+IG zz?FGIb0VvXdxD>zWqB?paOL)_2Z!WXc==Rze9>%jTP_$gQ#qr^^x)R{!X~@>9~`^S z;guzLZ0A?Crc0kW=iQ#PAgA-eyMHWC`_*>Tb2%R6=8%i?4EUMO((ld^`rqrr7uTj& z`DcoAljI!F>T>wK^$YlYm?gbWX~ks~i*h^1z1kdlcU&$!$ZPVhSIqcgb8xD;&M^z$ zYic{DscRg_2^ZtL@I!!`)kE&&A;zfqgoO+*KSx*`xKkdf;qc8(jPJtU$*oLJ)OBPQ z{MX@T)mXQK_1z<e!=O5(vRI7I;NHwurV#rRhZvWcig5+}x8Y{@*b=GX@YxzvT`+UA zX8dn!WloVxT*xrDeq%wy9WL(zOV$^u5f%=KirlOcZ;l*d^pa0l$gucugoVS?Vo<5f z$IZT?c6KY%nRV$88G04DSv~B|9Ab?6pR$nQ<<sp)p2{S=%LSFloZRd$-koq_{8YWU zpyA&_9gzh$5*{)f-W;jn@G}}zBFl2KuJ|{rmDxu=X(7Yc`V9pQM~b2!7I9su(dK6N zcp9PMP+cv?H{oV?D^rQxp+k&C$2SHv+>6waSx~^q%_{LF!ouNYxQ<A|7X@zi6@TWo zGWkrqDK)*g;Z}5n#(^AWF}@2ornNGud{24EuvUwk)kFUDA;xFtQWi4Y?2fc>_#P$3 zHDP~iEAtnS|Bk9hSR5#LnOk7VssU;OR4{V0uE;xlh;do<hJc1&jyf_5g*@D>8S~B^ zVhj@B7|`&|R7Xa^IAI~f+~1KF4(|%ZxGn@UPA_gaq@^R0U@*UxdCIn=g$#>@xY<|8 z9B^V(bKhLhaBHQGNJ1GSH+x3RQ76Vp=9>!|uI<$kQTQvt%|63BXLiNQudnV)izw_i z;%0wwE_ortPgNb61^cbJSvB4rKg8JP4r&IR1O=TPH|vTrP*tl2s%qO?nN{Rc7c!g` zkFapaTKcx)HpAP}2n&ZaPy^-7u|teSqGDVZtS7ZHi}-=6+RvbnD-z=}*fp`0Ipkf^ zLWaql-0UlCk2^7@Y3KEywsc6=72}!^18Udp-Vo66WuJ~r!aEKzt_ijiTbWC4gW7eH z-0UyzA8=yqD&J7h@K9MtWWgUEZgz?F8<_Q$Gh8)|usBen$j!P!Jkr7;NnVWWg3X*( z<|Td!3mM)PM_L>>ZGY_l-oMh1|NjuEV9dQ$J>lvTNwyD9l~@v=_C*RrOpD&3yugLg zGKN<}Bv9ebwABKvEG#M-3RxQk-E)p+xk>6b`z$^hCbLm+b&u6io?DBqUb`F^^-@DP z_u|JOpQB#$)3tw}HNN~ex%Pa1`T5^<mM6d4o(l;4xL^PE>!YPdzw_2H{8@fy%c4ae z`Ss_X^?kK!^Rf8i?<-7OD&4P09sHwz<;T3nUi0JEZ+^FCFSUs3tryh|e!TjJoGJG- zo8{MdrtMo_rl*sA;=Wn!?9J~MUt^l)AH2FnRQl?<=E(k4TU&0nUyV9AXL8os#5`-i z%(TqrTha@!i9OQ~%Vy_(7nIG<JwNa@L-)O4Yk9Hy^=XGE&D&#@Hcjiw%c)tii8rmb z`u&;Renqe0>G~C=ZK6A)#AjUXn&0s9w&+&dn6*;RuB<9;-g*0KR>D2It?vx}%Wjq1 z@F05YybT+q?JJGF^{-4^eob0zeOPhAN#?BDgqPk~wTU;~v;HPLeYR(}&;5TZJ}YK1 zCmhY$+Gz0FY^$Szb)Ym)ao?&~j$;3nyp5G}E5m0;wk`U0d^K+~tM(PWrq=H(V|lvc z0;Ku6?L&$aJ%jBmm%UeAak(*T`k_sdS;{}mXJ2(Y^vO1Bal%o-t)~;NP0w1KSSC6@ z!q}U0YjBV>bMf@my{(njSC1Y1!<_Xv@gRGaa6+Q;JFaE#U9JSiZ#|v(F*Hj~hx4l1 z!AtH})edhuoE3iHl={_mEl=O>ke(U2Y=NHJ3g6a9?<;jIo6A@DHe3F_x~^$w>eiPA z?|W`tHP|m+?r414bVajfmT|(vs;x6Oyhz*{x#35ssr<B>MP|~|wmtI8{&miAE^qkk z)AO&dI@|p8_SI*HyK1wx8oZ9(%4+a?%PprF!G~q<o!P{()!MUHeaCjUT+TD~0lAtw z6_IbFB7SVVWfXCxX?e%X-7=*%A-WB!#aGrfFWtT}mjATSR?!Wg0=G`x@Mp>`t%!>= zmrtMhl<mqjmaSI}s+Zo9nz7kCSNDwViZbOh*6X(MJ&U$c^u7OeMYCg;bizZQt+Nv@ zif!%P@Pl)!z_dLJw{cFZTUlnTv-;7=oV1;-rJlLQI`Qkav4*_~xy`kCUifa&H2L7$ zY{kDnelf3&Wba>TDBQMwwV|-v{FR2n$KKAAIrH04SWWrr49?4{S!Y^q@|iB%^Qq2s z+1fp8j$H|!6PT>DvC4SkjK~>0Z&qg6Y@N%SWn+8yZkEl>J=d>P9QrYTRpEF3C}Yu? z`|h+lhxhJI+~Uw{9a^(os=Vh(rm5Z8m%FoSR&BbRwde6^Q=jBCv3r$2RZQ=R9+fh! z+Z49un0AhQ(BoHgg|p@yTAT97xb~;?Jp<EypPsJ@J=}Er>I}}q>Q`rQF5Z4+2Iu4a zD>FDJ>s|ekmzJpJqaHH()qnfenFi63zN^gB4t-je6|~n-_!;|Z&#%XPB4pMdD^EVt z5@zg|*E92i+?r!PFU|x>GZb%M#oJO@eU<ItAM>khhnv=4(Q9dytvq^0$2q_~`AXZN zM-I6XIy=MPDn<O-bL;4aH#2S(MC>%Q7rlJlb?*1z-7H}~;k!lB?yfOoO0!>QCiE;N zYjMK6=ULMa?%~@beMV<-;P1s(yf!4Pyd@D)vHey=#FsU<EF%7hZ~eGoNmKcpm)4A> zx1xJz8q8jG%qQaBf@3~2-iIZt>BI#mt8MwW@R(1=_J?yp-Yd}yoXu1$zRFOz%04hz z?MwaAV?GjpJbPyv{PD}R(s^}G{mhvn(^nOnZkcXKS$m5oLTdHdmelquX$McKU#W?R zJGuPEm$|*WB$k=6r=1O+&As`3z-;#7c|o)Ji|>c6Zmn#+#Wdso)ZOhfQx#t&EV`u> zvBN9ZP-j<sE~idiV6LW4?y<Wkz9h`e56x!K{<g}rC31gwHp}MyVc9&zR)yupw&xt* z_Jw9MeO?!w&Gor{RocO(`zuVFTkAuu#l^lq=ga!Fscc!{bHig>cS>K~VfJ3obluti zbEU3}{R!^P=DuB|Zfv__+lwI6b-Hm&j%`()D0O|>zo_2q)Bo07lL`CRFZJ2`UbFRz znXNyU?r`e;c{FPIu|G-nOOE|{v}e(=KaZYeozai;es^h^{^#!cjZ&Yz4dQ!$s{R47 zzIbo_ocK}xYEHzb{H<CMQD-k7Jab8~v}|XT`oBMHrq8DTd7gDf|7iZz8S!iSuk1SX z=9x{S&;6_`=LELq8I*5};yrVF*)`c`|DD#d>-=bzD*yT+KI=~GV(yAhGb49=KKl5| z4rbNss|$~N)Gs@xZsUFR$Cs)9mmOQKpMU7`)i0@anrtf!_eU6CnGyfyY}Oh5b8@E7 z#J_h)eeV9=D)qTL|8t1>W`q4Ki?7azKa`qvM*pI1R?Vx&&#(OWqWXUI$CDn?(>~n& z@>F)#SIvp9ik05a`?N)9<-H%8&!oMVR6Q2elhd5|s#kj}-*k_!$28weRt?(waq8tf zo|X50oRVE=CiYA>Oq%`k`ygq4?s<W;8MyBU&*tdf7rt9Y?0bs3ad*4b&Y)Z&o!I4O zlGALLl(F7_E^7L0x=na;on};#DJU#WV|srcT^E{MSGaAp;r<=Jugr+wQ+{Pe{2x$o zHRbK;N)vlF{X%eZU1!+pvrR|&SNz=YM0M-U4PTVET5eclX|H*?T<^7F*5`zuIa_~j zNSYm`d&cXE*nu~Tv$zw_X>N5j*lzq@!1#31m3tLiRSoJ}Zv{mZ%)hmD!w1%_sT*E6 zZ+*I9hvs{y%jOPqc|vycO*<E`TW(rzSoFc~Hy3XcnkF9@&8odGU^io0=*M^Fo7cGI zPQP;Pz?`R9*@^G`wn``7W87M5@Sl0>*9{8<=NnuuXMVL|{Vm@OFSNGyZm<a9PCU6d zYj(oR#I3UvZ+dQxPIy{Yv+1nP?7-*BSGFDKI+_)oc#UssbmF(Vt+@u@d!$w<MV!BT z_)BhsRZYZNMV+e9wSqeTT-F-uWFDP+e9wK$6_+1o`6fiJjSBvEw#<}!+WX*aHtl<X z*5YY%!?O7{XYY7CGcsyHncB+GLw8KG&a~WX&eBc%cRK4#%faJWXIc`u->q7fFMZ`= zfN9#Hiasgh#G=_z)e*B+7z(GkU!B2udij-{h<h`4@0{5>bA!bCV?G&cLte9NE?*hT zP|UZgv~B0{tGf=^bgQ?4s&{*jwNhedLSD0RZ(nisz#{c4yAD4xzfyHzlK$1ILzlGX zg``c3Td{dkRQ|u3owJxvm#_NTD9V22*P%7ZS$`AClIOK8%dfxUXTO!vpnTUYhZ);F za|O=S`{WwvRK&h@iuf_}mO#XndCND<Ox1W*u|&!^ag)nirHEb5y)zANuRrD!p|^VH zhCe-0#)%iZm+zn1I`hJ;RmXfX@&lwfi_2CU3h!FK(onc+{whP^Uu$O?_}mwIbs#i3 zh+BMxp{r~8D#No!{8t-hs=QzI@rb|w>W?PpvS#F*(XkOdJ^4yS($nlK6-6Tas|v%n z^Q|mQ-{!xfu)RcU<~*PHcX96wOy4Qpi!rr(`0s62&7~&wt3O0q@>hP$>)99hI99Mc zG0S-K2Vrlu6(3J6)xNr8RnBy&`+I8wde?t?oOPz9_2~O$`o;@QBYJ0En78zp&x@SU zWVMp-paM4^<ka|;x-G1-H}9S~<Rj4+n5@>rWSVy9N1SQep)WI}Rw&IscXfuG&Esz0 zEStw`k6*1gc6+AO`HJVort?1LvB$|?Zcg80?$w*VXMad<dQHK0sq;TRM4Ha~Sjf75 z+slJiKl;LNOI#N#dw$jI*jCrcS9ffySSxjX+L5f%pHC-5#nxFa44WRWCH_d(=l-rg zMm}rx_MZt|eOkYK#nq?NmtT4H>GbWasiHf+wce^USg-wF#n{{X%0HK_VH*;rMrB7- z?2nR;__8P}I^s|H*0K#tB;SW!-fsJ<WJ45tgqio-ntx}*Or@v24_)0Pn!l>FW$xea zHR=CrW7Ti`|NTopsc>3p1!L;XYLU;NQLLXeG2p?fX-jr)TjH~5;^{ljKUF-l<L963 zt(~Z{R>k|$olQ@SJasiEr{vA_@J<PeDw6c<PVtKJ?7C$6e&2rkZN+iVYqx#A`TcyV z-hHi)moDE)>YaZ7w3mv}|E*7qI*$nb4=c_8<^P4tqMYCH?{N;jGn`U-+rOTU|FHkO z##+A%70ylCw*^e@c0af^k>#v3i}^W4jhm`FN|_yB?&f@F;}!7DvZ?xXUUT88^==;& z+ye@=o7}lMwdDOiJW**{l`d$ryJx|#QWo`hN-HcCExzhFzD?bB)FM4hb;WMRgFAIO zU+F9CD3EYGEX=95l|w4ttKex=)3S1bnCgxNKaE+;r)ee4nY3EqPE`MblEw$;-m#?e ztL`Xga{Ot`As6Em@KLJC-MQ%$zkp5UtOcvKAGSCjrnX`q-@(qaoLTR@3bG6x-&!4< zd71N7oKL~KtfpVvg=2QiU+^%PW%{%ma*s{oIv;pVTkvuj%k?tFjC~ysj%{R7^;h0e zs_6J~HOIR<ZUJRJ1@AqZKIseDL=>{G(_QEKAw#(7l(nGEN`a8S8V5HAbFQkN`QXS@ z7Sno%f>(A;xAv=Bd}eaId!<j}`JGuTU(MBaY+!ag{FhU&wq?ObO_uO|E*HLVHDxn5 zJ^L<n=ZCE0$>*GTd(+f=f~$C&tlz6??3O*ax0dtNbq={XJ^>$Xn%t)ghy1fUIJuf* z)xT*EZq8ZoYjycC3w0wF)BCOkS<y|ms)grRb}e|P&UtRGcffw{f`@KRZRNr-zjz%V zKj&DtCriBNa*1hE_Is6#eWC|@jT}!ZbL7=FE%<VirF@@Tz_+TV>T<y;^(_w$Ze|gk ztlINABedz%dxeTks*YD}Ip@i`1^j)?qJB<g#m~^D;M%5J_KFst4IJ;<3(kqI3hxQ7 z7j5ExEo`DA5Ob?}!N-Lx;dX8pzVI|<$17&k@gD4z7oJix;lZ_@1z#rZPMl+%ub{D8 z@8I6EoTuKqeMm5LJo=bZZmwUzPUjC#vYJ-eD^--)JASnnnsecu#PVmaIsMl92K=sN zN%vwY<yW#OmvQ`inL{te<-!N8CU1L{3>&o_pV*t0=?leF-<6B8j_-bOteVs7oln7Q zho(!%1@2@DP1)P<;NDc0r}?TC1@ewZ)j8z$It2XO%589NvMJ}PXPooiIt2V>XcBf8 zzVe6X;L6XOS@qoy&gim~*83IYc?JBhY~t2_(^)9B&avR7Elclq<sF;k9WP5A-0ClE zV&DJZm^r7{J)eTt=`2g-)pvY0Z`yQP|A@tRBbKM;N);P)9go&>$jQ0}{0wICKc~9l z7jIL#xlo9_;GBw{1<&F+{dB{RS!})UQ;_D|v@2S8&h736{{&gYrC4~+tL^ww+H~x? zaLi9l$II><>;ASbs4UX&DSqtBqFT(d-OeGPO7Y-aexWJ0oe%EKWO=HuTya5tMTPpo z#qFG0|7Sipd8s;i&Z>Nsifwj|Uv)YBbQD%Zs#=sPIlk2wn6q=rf_L9J&fRqk`0v=n zeO|yMrbxc0Skw1HMQoGyd-aT6(g(LXbIg+WFL?Z!h1DbO&>_aC`>6{VUMh04zxXq& zl__O@+Cv80x83st7(Z!mE@(J-OGjkE4oPlyiK!794nGsb_zW!jKx0?O4>6{J23&rX zM_4#KDih<n@JAFhqj1!T@mA;G0!#K2YmPWE?#fSp$gtBlQp2G>U5qav;)oMt+i}o5 zOnRiofgem_d;u>v1~j}}t0S}Eo3|L3L48vzv&p}cPK=YZ-<`kBma(_Dm1&82;zNeH zvXL4N+YTLKta9HR(C}xaj!Z&<9XG2+9cYRpFL5Em)A$Vq4JW6xGKGMqgVMBR8cu6E z{9UUfqp;DCn>8cv{2|7m-<ty(zO_eMI6M;(<GPT$xuD^WC}@hvn44YW&S@vcw*Jip z4VUgmY8<He$))$4Ve0k`0SzzRbYvEUr7UFlTpMBG@Yq>LM4{4%n?0j;Vk^@U{q%<n zb7x0rIDC^6<GWDZ)5`SZxsFW2hC7X&)8~c%Qwwc)^h!r2VT&R+t499eLyT(G8v`0% zP1KP|xCWYDD~_;mSajNnahCapf`%)1bwn2Y)!=47VRPJxao1_RLr-NCwo7rdzIYBA z_tO^RGKe{Gh|#Nkb3nsKPaT;BMPl5nGvbaNV$8a}F`(h+TpgJOhKUOqPNqj%IAqUk zF0f?vSbypeqm}fAfQC2IbYvE6Z)jzD!k_$*p;<Um!(rpmLyT_IHw83&+NvXyP{a<J zh&ghIF%2|T_bZ6~aS`8yh}Kpnlk3S38CEiJvu3<McZkubeN#ZgJ5JCP78^I~i}*u_ z7`d)*2xxdXp_O@xT*5+z$IBxv9FBGuTC&bqcjgdd*6R%c4L{R#WEO1H=4SQKOMA$$ z){2|eWB$2Aj8@{C0~+33)sb0HZq3bl;{N$VjBNfJ0~#I)wLd80Gl=SJWty^CM<!vD zCO7MfcZUu!rgcYXIQ)$e<C|d7+sb63pYo7l<?09xhu>ymd=n%$2Q<8!tRwT_>E^%p zPw!_C4fOm4s%x_)J~PW6`?xcIqs;Uro|{yBCt3OjDW?kQc3Li3y5aak!~V;2jz3QH zit>CmL2bEe=A<Yg=Pb*VpeQf3#3?;XT!Uh+me&6M8=rIi>(Bc5H~Z@Jr&nkGKXTf? zc=p!#pL*I8Py9VT>9m@V_uuHN@lPAV<Nk@qL`+}sa2m_>GNp_d#T`W~j+ZZUu8VcJ z@F%NDdb_}sJ^c@^J!V-Nud-wEs-!uaUJKm0-L&9O9}E9C#T7d`9$XY^I`v%8W@p!e zR}Bwt?q#_uuWa#=$?@z|v4lCn*}_-u^*lJk$5Q%T%_3ZR#r_!&4((*&U9YmEMA`9i zHOD#|rwb*11-Y%vhJK&7a^&SW1r${`MOO<=iSsCUp3=1Gxxk&*LR0oPJ~()nMO0p` z;){&q)2DogEc~yjtk@-ZaPwP^Rns~BWPAcXt270t3t!nc=fRn;ET!+2EWQgk{!Qo9 zQ{g{m!Fyd{#}-A$$GM#A-nd;TVLZ51m}Ay<j=UJJfKC1dulbrT@eAFlY+LZgs6Am$ z^=jcM_ZuEKHZFLyj-{VZVMUG1!O5FBR@L=BxLL|_wce}X5o6OV<ECJ5{Ua7z<NXWL zYMXYwSG3s9?fCaEhn|e{g%3VW-m*={t_#Kd<aE6Jn{(aYnG1dfwI|JyUazdNQ|{o} za^Wd@f_Hw3INn^$`L4EY!5>!^{&k8gDx?oCHgtSyFKAQQx!_fHc8qoO^aa0mbNIdS z3HUsjWqF^<in}Tn#fpx1^9ASZp1R;)EsOX(g^V9c2bW%#X)Bak?_8kjf1$*^DSN&G zxCN2PqIzC^$5-E`OXk9NezH2=)I7LXoa5B`Jsgj1B4#gm^o*syOku^J!X|aord7*@ zY^<j*_+`%FcgH2*^K+Kva;hu-mNyw|%k>q$O5-?}>lIL6-NaojXcFU5@KU7d*mHrH zpH_~Sr8(C1a?G;#DR`XEqB`B4>G7Q^VaJ!fobUF`U+_kb<vX96#(jl~0yD>>+8lDR z{-7xhe>tTUzrvf+PbwSweKi-Fb3*V+jr_rtcR91<Jqn(=H0_F4uqc;w{A+ix)tXbv z&ZFR^K1=U(ORmQ;mCTNpc{$eQI$x*>Z;}pensi+F&MzCsm);!jYNjuE^OohioPx%G zlY`Am`;S?OUS{dProQ4&T$B24fsniNAKWx#xoYoT@F=Ni)_p|_Q<W8erJIcX1+Rq4 zKAscZyWn3Hi}*IRj0mM2UoxAHxeLeq)N#Dr&9Sa__JW_eEar8-0iQgZqC1lf{9cA~ zzT4Bg;7u6I_cAq&{rU%+l{vNMIevKI)O5<WNqxIe$Y0Teo7Fj1{h$2ch}Q9<+|O%S zmTyy8@mI0QShQ(ZyYL+AxeNaNWD#GdmQi7RaA~}d$vmN$pX`p8r}iJVxPH&+LQQd# zw7iPOPX2>y4|90wEAF_grco_=aPMr6Q}?|;JP2&+IxlP!^|7KS_~%&`e>2q;Q7RSN z#2vpnbNcD1t@xSR6dW!Dp1-W-c=fOG!JRn^{(VwUn8TedXmV%9gG=ljQturLo(eQA z<88{0SIVekJ=iNRFlEo|2iM+na!sh~Yh^Bpt5tfY?NH_`#&_ZL#(;)DWuTe@G>ag2 z<Pf79Xomljc%;RFCq`mi0e|hd*=O86>BRU-Sd4E%#k5u?lj*jc(~BFfNP%hzKhOls zv{t4Pd(bojcZ7z+{|Yg_fQ*d+4G%$+6JKn_xD58qZDkIrJMP4|3^c`mYqivlIZS6R zgVtbxmJaA_C}_Cm9;xB*i$RR<g5|VUrj+wZ4;l7$M`}3yvl8Pokk}N^@F+jh;=l_{ zF|L4bCp)Ll|NnQUP{JlD&=Qfkt;}b(r7dLm+7Fr_s?!l!5SjjvVWn}ThQsgGIx-5y zy4<WU{>^A*e)2ATA;VwwNDGI=mB9s;tP<-^9b)u~6yq|u*VW1#Vt2-gaoO)p1r4_X zbwm>C*tpp<YFb;FRMsaxWLPV|F`(hgj8^87e<z$6H|cLIXt<}<U3r_~XKAFxfdp?c zu7Eq8t;{`IIwA{dSh(3|%sJ=8xJrCOLBq|fIwA||xVhOq?i_bwoCT`auXO8(ESPI0 zI=#5zjFpbag59j#>?huyaAN%RdqY9PAtg}tdgu_N*Yk}54Ie{wWEL1DEM&M`9ckh4 z))3S(nb*o}5*F2TTGOE@SB&q%t)5n<CFKbZ8RqT<wM>p3Vyps(T#Oi>!H!9-Od|Oy z4;dC$gBneOVtfWOHwQGl;#&T&h|eIpyOrq*s7=%PHd4c(*k6oqLevQ-##`#3br_a9 zA`5P(K4jP_4T{q|F}{F`8Ldn_^OGMkEPd*C{HaL79yM<E6*)(o7?<7NSkMqPvz6%# zf6_yS-pLUf4xe(w_%7_4)5^4DJ*Z)_8`LmS1T{>iwK6@K>>G2Aku7^eK*OUpP@6=T zn^oieu|te%pyczFMU2lNdt*SuucbON3K!ECGMu%JuyA<gD8_Z64m1}z&60U~aKpP- zIx-5|8M#?sh(}l)(6)c{-|ipl;l!EOD;QI6K-Xr>{T{tWW%6yWO@5bDA}2k&<>3?L zeM;qw?&M2BMiZySv^}12I?<wU=lQ?tpoJQ4r(&Xm)RwAF_ssO@I`#PDtIvOvt8M1> zUzflC-R}R|4Uac=PM`lw>@&Ek)%bIL(rFc;&;Qm+z4<-=Mg4<I3t4)_gkmiF7o6P6 zay?Hu<D0_4UQNf7OZ%#ys>av$Ut7hp^t$4X&6bWgWjW^EZeQ?6k>$I%K*$>bn>}p{ zKCNZxmseQvOXc9@%Hzp%UTF)@iI~0M*)o>pUMyR`D_Im9INp8CaZXopMY-w0)@Tl? z9u7TQzY8x^o3yp{9^5(^#d6(DEn}PQ!CqSqFFBWj)t&)Wh6m@a<~ViF=ffS)st@HI z|CJn%iuxU};J>c2Vvc&n9$Ck$cRA<Dc?A5;XcA5ry0WL~!4+TdvJYd$6~CDd?tChq zG^gq_r(TZ7g@ouP@7+Qss{~^#CoMSnlI6Odaz>f*!QS1RUUpsuuPvJ{ou1oK_%4Ox zRG!0!J7z3T%N2LjGdLbq=8)4<T2aG!@Ub+<Dn8D6c1{6*-?OMs%T1g!D__-OtDWOp ze&IPcXD)ar&T-C^<JDZJf`>^>Y}bWjez7_(Zs%P0r+0zpCzYP!x4JB6uPN{NsMs{g zS`b_>9OisC$0gvKQ`7S*mZ$F(cKjD`JetlSr&nuqY~`P{rsJ=LLhd#_c-hBtbv27R zpE7tkDX8n!|KQA9meP9Xf_IrsRo-)(3iXz7O097&c-hR-Tc)t%lVy`yyFiR}<ATWc z2gk}ez4$ot{?1zPC77kWMC+L5ZmxsH*}_xq_dKZUT=3`~3qQZwiW-}Pk5ySh_d9?1 zBG&Y3zmmmA7RR$klzNJ@RGV(SSFza4>3FwaaL(<?3+_3xi1(>xY?$%jl8ocx*_`YC zOj>X<ndSOF&kH%Nmv?OY$k#MUTJX*<4ab*U2j{LAm}1-ZV5c$Xsd&$V|7uNL-vw>v z2!#A&Klu2m|8a}Z`+grvoSR<BD_U%nc08*uJjbeQLD}pFXP&Z@*1HtElWVH#7mBHv zx8Pt=Zcp*cT$Wxlg&jdE8JoBdZq*kwv2J>BER#i5Uv<Y<=cY^Lf_Hw}I^L8#SbTb3 zW8tawjs^9#O<mE#Hg{$&_$1CLXU?%|p4W#jNlmZp6)XzXA!|veE%=sw{)k0-nEHy@ z$`;#29rw=V(0k*5;Xy%@x4N*&t_crLednBY$FU&S=fWGwCh602S_{3_xfQ&&Wm&pi zWyfdDrcJ*EZOSDae~KOa`<YWK&i%uOvZhn}RV)6OI9|Q3bIij3GRxKbz6FaMz^$Zj zsw@7MHW_nszMAJ<@Gh#Uin)oKU&y4Q>%pY+$1Hlo6nA{mYf_sn81tLOar0izyephu zdI~#A`5a$nbG-XAWx*R}mh-lb0d@C;dW!2+o4O*K+Gh)d{Ih^8CG7<-B|WcZQE2LT zcD}$IDZwlMj1SJ7&hcvBN11hJ*SZGeD>rf97B*QS5c5mRad9%ox;d^Fo_IB7+pA@i z$sg>s7o1Wv@j=+C=0dOao(0dDSy)fx9Y4hQtPC_!&m3vt@LxlWE5N3?m6=CBeIdhB zJ8pJ~`-hzvSJkIHWVrl1Qp4dd?{l@dy12i}#tun#+^iY<=C?90*_OJH;qCcN1r0vE ztxP5R&mLkdTE01;;oedmnFR&P+^iCJjviw4st2vXkOZxC{AUy6$EcRQp`hW`Z_ql2 zY|sJ`9?$}j1E4;3(n5xpt~xRcE~hSJxG4=<DKb|_MBzUNH~S0w(@u<C>#gOd7dJe7 z1)8vr11%8gYGn#Jts|4L%buHcMg8PfW);80g$!rAL4|Ua7}te=mY|N}87IbF^`PqF z3G0qIOkcv1A2PIljnDwqjeG&WOt@Jk-X1^1sC7SKA;V-bZuS+i2b>twu7j5Cod;DH zpt|7~H#e(?eyyV3bB4L*8v`2N?9!1*Sa-mQ@sstYf`)(lbVL?ZXmGPj=%p-VcnVtM z@*_%&D`0OgXn{z|LWZxJ-0U7YrGk3P8P0}AS~z6Mig8`IH>Z_3r7U3~!(C9H`JI3m z*M;Z<hZwnJ#kdT1w6!u%$xB_xu$UdRcEwYS%V1`?q26<bty3d44(Oad#3(g;Q$RyX zXDjm-&<ezt&7j4O7GhizVh<f+e6}uaA;Zt;2n&b$BTkH6po;yWw~okyJxSBI^BTl; zw=#v8r#)o2460gd`9VF!j#eg<**Y=`TW7Q~ZCRi6kYVQRNDYVYbz*!IK>hVEaVZZO zT1E8^J{3vWpvKLv@ibE7K!!DF6((ru3SY`YhOLVuH4ePt5#zhCqp6iiB|qsQ!`kXd z4Tq{jhZwE&L7nSQ(;pS_8JN#+WqM+s@{r+gZls1oVYnEd!5Yxg5Kwo&#)_MD1*osS z%zRTo!>_wKG729xxLGsojvrzSIvv|~TGPS$@F7McP+$F>8K{!w=4O2%3ko#%4FL@Y zmBjcyoa+BrFaMt@*XZ@83dY>)oF$@PMcF>EF!3m~%x&DDB9IVqNN8JP`v%??Rfh?Q z{J$Gc?BnU4uxf2`cbAfdLIT4?L6N{Ee!ANhG%Vg4mVGsROHlXP;G;^Rudj%`Ezhp} z|9Nikxrfi5eUIDm{r{(XXWHi(>pTCOvtz@9{qg(Ho;h*hfBMQSNy*3yX+N?>YX9$% zz9y5VmzK@AdE4f#EjQ0^e0pdO|BX)xc~gI`kd!n}+v4=TG%1_+v--xThnsqHauXgh zZrip&BPpBRJ2urgp>Xm0_<rf#TiR8bZ&)4J!kQzS_{(gYpTT35XvQ;pPF#~rOVx7; zUGFIVW!u4PqR)ELU$Yfo-za)$Pi@ZK#DA9C<TgAA-ZpQ;hI>jOTfOza1j=u__o+g1 zHfQ(yq}R;eeVf0wX1?F>wfW`so4*eC)Ub!XHDcHsdB#j2ZEcb?%jWXUyls~0H`)%| z>A%^P5cl@zimZ15U+Vm~C2mMyyIl}b!G4<~qGU?0iq2QH*{t2d+X6Q%c`6jL^>oIU zTOQkzX6)<9<vDZXq?uUSyfkU{&3c=A+i#lYIffQ{U$DM=L+wD!>|CWYw@;aIKC?d- z#>HKC)=W~Y?qr#<&Z)?*Rb?}kY6WNWc8hQJZB@N}bJ@Wy6LPJ5_8&20P7B#98hUna z=RKW-*{qwrZl)c6<C~Le@Lb`x%#7b$w_|2}x11eg`uEuBfU9~%U%A*eot&x~b3kqW zhYac2HJ5_aZ$1eYh)<bZo;+!%Oz7FE<z3h2`PL;@an9Z|>sXbU<<y<?ebu6#-+W^I zo9i~$jNtfHw^CKBw(H;4nql6aYkKDUjcW&*mfyH`=ur8MYX=v-&Uu}<vS#MGvcikU zkLE}xoYdOZYmm!-TWv<&v|Qse9XIouXWlGwGA-w>S}lG%Y=-URwKC7-l9^Lkc7A%b zqMkocL0RTx7^j$S@@gIX&69Px#pb82X7bkC9NL;``qX^EvoPoN;+rxJlC`2sXGES0 zV@{JzT+O*TK7BXmvznt}+|SG=-`{g<saaLNMzq<P_(NgrVt3AmiHo)6d`-Bhy6xA7 zkkHEVl{<Ma9B0kpP4v`^R-UnK=2}A^`y<N^_k`b^b>K{Pj&I^Lz4uvLz4@xD1!ku> zr7O-(ar!Q2cCzK(=A22Kf4|=-N_15H`DDe;Nt}nj<xJvSEM#`FW#!kLpBpOdqopH? z=B?HB`Q5Wt)+b+Ke#F-9#(OW0Uz1Bam-?Dv^SiXy9Gm?&lr~wu-z0kAPX0}+!+Xq% zmImxDaeUvO_?nA5Y;$RIYxNDT#EX&J-fajubB$Rn{q$9jvu``?ULL*1z3gn#Yu?NC zC(;h}sNeW?;LP?LzYeX*zwztf8=IY6t73mGF4r+T+48Ok<XAnklP&+abD9$my5~&d zJUpvtX=t&kuv=@+B+lUAn<~P`p5{#AoUEKPiF51y4W4RO{vTAEc_BkDykcv2ic_(~ z?Ti_v+|h<->Kbx+&is_T9Wmp#`?f-Z^|S7{Ub*w}#ou!|sv8oFZnH$}n3=1jV|B!g zb6VBZwIX8i$+LO9zZ8XRJ$?4Y)`L%-Uj8@tb6l^lCGIu5_uh`}F&eqS7iTX&)q2T{ zckkD_odLI&E#9vEzafWhU$NDOvn@M?Z=@ZxnVxHQroLq@k628?Y-Voz<jFn~raNRq z-<tdXs>}JDc=B$}C*xz~IWrA5zm@$I@N3W13(?6pwGO{A&51O4o@lo7_-_48CvETj z)}DRm@n5U*MXPoe_48M4K51(==cwBEA6JBP7Vtdp{r$xImrRk__iQuE+1HbH+vxt? zbLz$4hdGfO5;SMe(Y<p}ExmhQ`sB?^?NTOhK6>l#imY{WbYC7)OTWBqgJ<2v?HfGn z4EZ*D))~epPyUlsdvF@>=Gk@)tIAe3-;+EPCjQJS=WD{f+MG%8f97xUtmFK5K<%gM znOlvko|!qxm!@Rv^!uk=PW;HWO>M)HrnQ<r-8YI7euZvJGgy4Fc}3RrXD`k&=IAC| ztJyZq;J13tPJ`!?+iYh1uHF`Apr5S1YSwM3z4!Zc<;8lEC;t&zmpb{6(A(6>e<oFR z`hN2M!#VrO^d;}~LbiIde~FSeJE>nKIs1wDV?DEz`p*i@PU>H~ofDhzZU4<(hvr=4 zU$`oE*TQo5&7z0)OwGBQxbOTmX0bn$)~f6L;f~gi2s!N@T3qdYzxiy-(aSeg_N#2) z>{)lQZ<A-;#rr9*`Hh9PF&b=snisP5w8NKc9NQcXeur&4Xz<)|o1($@=Q*wh@BMR} z6YIX(uE=_4@WnCQ?4<rf5wnx}7sbp@>aRSUGb#Sj^&2YtRpK}Fwq;uKFJ2X^vj2r^ z&ZPLBqdAk}&%Di<6u;*Ajbn%3@Za!C@SD1KMb<l(FL~<QIt}uLZmZ1LKXI*ym`uWK z9&Y7rvlB0dZqwWlvbc6dmbi4SO+&7h&dl>>yvuTvXY*b5-*mP?Qv7Dxp)+SdG5JLN zbi(96N&V^C&6clkJUeiwHm5l8p5?Ymga5(Xer{NBPkBYwbk-M#?Q*yi10`=O{hYb` zhD=1woLobl%GtS`KD*DAar>NK?-06M$2DIhoMUP6k+m<*hKf36Mz`I&6{|R9+tU|s zjX~VTdp~zLY2<2O+`W~5!rDXSasJz`8q~AhmWn7~za14(F(p@4r)qMpt<Ka9J2&ij z>KL;1KHHa*9H4?z^0wNHeUo#I&sdx-lRmTO%r@4vs}?P*p5?jbmnUnt?~K0bAbD_l z&dd!4&jTwp{#u1Q$%}3bopxM&bLzoIZ*xKuP8Ngmw|CAY&abQ51Fy!MY;j|po#M24 zim%bat)0F`3u`C%8ZG?H1uEi-x7{*W|1o(*miWn*e-36RTMjaroosn%wykQz3zg{F zh*wI{%n@@cSwhd|cGwx-kUF?UXxlM^THD!t#@{xZHqLy0bJn3X+Bud6yXzWOm1VZw zdwKer%(HWe*^HlUwoNnmuemKI;sal_oX(ze*SN%<+V`z0%hatkjJDH>J9>?6+MBd& z?#t&kZEb#OIGfRUQ~#n>JKtWMz4wOIfi*L8CUL&CzNsQ?b^L~k@UH!-uX#TIJE=DF z!g<@aRb>->BzjUN`$*`4iix)=lYM4bomHD@u<wl8OoNplSys)`o*AH>@|ySZ@=Y@h z7SCDBf5zs}HSx5)=dSUm)gQek|IDOX2wc}&Psvp{6Me{x<JtZ5W)fm8InD_WRktZc zgnYGFHOo5W7t^-H4LhdfTIk$7V#c&gHc6WEa(wFMgkz@L0u6%exkBF>N$oZ5SSyjH zpCZj%{4P<NyLf)8H2dd!$<q9vwdXp3s(SXfH&uk&R6*6oS5Qg(8&ncMzo{au7JfrT zIE#0lTj*{d$MxTnC;McaNuBJIaqq0!%nMeavbk=0F58)((|nB<-hL(-vh}pimwOW1 zZW`2^Moa44IBdo)HZOHHcX!gZ$_*jkJVQ*&?S7@G&9=$gb5!kn#b;@=oyVgq&!4({ z`Frio<G014#m+>Y3uAruE@?H_=eUH`Y@h9uE+;si4PKFzSNbJUF`Bn>&m7;nW0hZe zYCPZTq!-thx@qJVnZ19X-f~GT{qyWOs*^XfHs5@b^H9mmGP*>6_8i^PF5h!v`_HST zdu#3QSXDN0bEfRgtV3TqbIcF+@aAkyNMnu8o$=Ku+U(3f>1f#*mp`b6Z1s-)l2^a2 z${=4Zx^~9@<ZWyl9%OE7+hA~1?WgLmKHpE?tKM3MZ0&wBy+UX9lj$kEv!96P>d$^6 z{#VuPr2a8=P!X}~IVfT4Pdlmh^XR;@)3}T4j!a`Oo}ZG<`nm4-HK}L+yLIKow(J6> z$!0s5qu0d5)+T@o$_`LL$!&I0|K$9eRtL63=`UWjQ}*I(+Z$E~dtT<S8hkF>cFy2+ z;Wj;k-@Mz_89dM4mS?ctwPjVArptTY^w)f!r*Hb&z-oP?>d>L*H&yn#$Zztj`&nQA zk6HYE$ZzoA7HrAJksu>g-RV6?R3ay-os607;+-@pN~qWqv^v8}ZM)*kNnw+Ap0AbL zzSHK+<At6^+rgt>I;yTO=ak>SFMr!|{b#$|Ki~Ym@AZ7n^op06dHar?exIr;wDf;e z(My-4&id8IuK!W5VvUg$G^w5P;8roq+3A-5pX&d(pKfx`DWHhCDLPts%Dt%%&i!La zm1g<wr>0SFcCeY1MfAN&#TO38r|BH?RP+y6goZ0ZW{5fc-Z%vm$2SGH3tzFD_~1+= zOX+Wx=VHQF>SsJSbeV;B`YwUTF;SfhK31`Wo2h5)5<a+9%klGJj=VVz0iS%DqQeEI z#JLnaS8UqkFL)>VlTuHxo$rT)*ru-8f;P7%F8CD3;?JkLqDtoAW<JNSr#bw1IJ4{= z3SN0O-FlTc$5>nFN^JXsJIh$Udb5asQ^}~{IJh*KLu$Tb!Be58W!#+W?z&(2!`UR= z*=^`|Qj{Zat#3e;aFeyQz?8eq5AGcV?cS&;uyQ;qcX07+4z2s%AD$F8t@^K0vF(p) zOmw~U!OmXJthyNwLRuGmo6d1g#x>ykZ<gnJDl6)l54KiwNcnN<{cT?Gv1oeI9PND7 zj9r4@4ZZj0f;aTac^0hp4fs{lWPM$D%HG)z?!D(YW$*tX;pS-rzoS<<<#Ig&c6xkB zF>hKGuTW9O;`sHw;2ewT3!ZIdS^iFG#oy>A<Km`W>vzgP<~rC|#J4GC?9e{A^t`Z1 zo<Ph`J;%$NIoHMdUijnJB)wlz<EPHSwc+uNg<k8u3e?>L%CwuRcMD9p-~Zs?Ll#kg zrHT?U$ET|~=IN-duu`n}X5#pD>G~rU>S1ate)=^9M+;o}XK`?5Eyt_6sSjeh7yO&a zBEC)~V@Jn>OY1qM>|G0<hSv66Ht{WZE6Z}$TzSVw!=_2q!gqdgIlg?%`R>n*1#h0R zd~aiUDz8%ULB-KEzO_(ptw+Gmx8SLcUx7{O)j}cbh38mITkx!(!!On=;I~+l@ps`X ze}xb3R255@^GjPG=7f;Rot6ie+#ypPr&)aa)pmSyZhB_hByBD{MMn6}FJ;G<;+*gH z{L5N*cAaZMesa^N+rl<01VU^&9$XA$nOg7g;fYhzDsv&5-R%p0$#eMaaR@Lj<vnJ( zRn773ccD2q`xd-g&T&rGBjCSB6ZdjK6Px)DE;TIpc$X!-&i}%f%BJk~yTu-x#Ls<j zteV3s&%5CDWR|7bEam$=1HOecRX-P+vcK=a!B`eidF2Wd^%Z|^y*4;E`6|b%eX}3j zG-J7H?^y7Np=s8A1&dNH$G3V1XJ&J}`Zwpnoy9C)=d146aOSJT@`tNfrr&eDP@&zV zo!YeQw_wa~E62~1Ir9GWEO^4y6kRSbrLN_{xp>Y~YU~ov@10_KdR@I@1FPfFxtwxu zodbSmv-n4`gw}g~c;nUdYP;~9iWv)@#dG-SmO4LL`h4pC30LZj56=9`@k)&2+*_A` z`uryDc0m)Hc@Hl6b4bPe6+AU-TE;IFGh5K)d#}XvW3xHD)_E7aW@cGhuCn8^Wz(j1 zp*z;o7BKwe<7StLIpxIIwS7}T!^OX#F{5-bK7+_dYJXa|0&11H*=MAJ#<l+H$S4FR zEo3+g8ppZ<+Q$1=R*Y|gWpgW2iGJEchMlcCA`9%(9x}A5M`#@Q;3~!!P<8n1p4^6y zQ93dUKG}(J8Qh)M%6!G{uoL4m_KgJ%Zj)P?RQ%H)GOPv7#Q#zg<Gb)vl$-SgXai@J z{)T{tKcBb@E!id3oOELBsubfhxYgInG{yexAx1U!O#uzBwnthVcw-{Q6|lddm02Y( zVIjlW_)P^3SEjTwZTSycU2ys?Xo97jSB!7M?T%KaFWXZeGPK@})Htx=*da!*`$-EK z9tTHSI6Sox<1*OW*UEgQ?w}Lnv;K_*4ae?BXgCzzj4rff&q$5XaHw(yIZlC_^~5{S z+zdO&ae|;#BV6398uJbxVsry7Tlmz`%IqVTx{%@O^9YLrIWraYmNR_a4w}(o(-B#) z)0CUt<LxOY##_HP7c}^Qg3TWkY@oeT^^Dx?8h1`QF}8swz%Ci<h$PI}!#lmW;S^}6 z(Jnh~_7%A&ofw~4Z!Ty!why#u#DbeWW9|tj#!KlN3mW|9w=$)OZ!T!KcNjDdXu{1d zp|h1^dT_%dFVNhK9B94BxkHR<)*AyFvZl5&pW#bh$naGdwARK)jO)UlrdH-9Vxav_ z&N?y*pGCM?U+7g@>pf@KX~NC=V*Tkuj9jxpbAf$2G7GkdbF)gwpE|^-1=^^U+1|>0 zMK5_F!{_Ko3x~&yVq6nyCbcqe*&;DLxZz8ej?9A1eB7)j@=hIMtWp)@ns9$|D>Dxt zXj^e|gvEguJYrk{`&wF=eZ)ZVS*s(H@QsC=b%px715afXHiA}(tUG&%F=+ONfQD~; zpv~Cq+^jF;&KzPaa^D=#@b9IL%z_Ka3mFd22JLl<6XP<do72j?r)Jy#>Yw6Y6>aB$ zs#@5JjrS=YYD<@<^sSxacFD_V(z7Y5?VxitREjl(Gdq2}y!n(fC;E75pPZw9QmEfn zj=y-`=Q-*ZCuywP^W)p^{WsRV{``O6joQEW)_%Iw+ZjIZnb_+6zhc9b0)Ng|o$jSF z?Z^78U5A>&<M*+xTkCqk#=juBx@nSVQ#8Bq6uW5;&b?$w)mPY2ZtD2+C=35G7SVW> ziY<S9C6<4ZV(~XqT(L{{;AUISRq`GMk0hFA<u(OB7rwHu>%keNrd|BPbE+E`{3}xK zDNZzPYLga@(GoJb*ZklVBa3gp(vC7N$IqWR@?snViWCp7W#{xd&-qSgx4`2mu~Q%1 zb7OhBU9Dn+wd2vhoN}fdTJv2#Jc(&qHC@mq+9BX?U6b&6;VUt}(t3)sM4N6|HW^0? zUb)-*;7%RO*M5~91xk*Gi#haSoi6-fYtj~OT6SJ2COS*-Smr*XgS}rlz4AN@vc;P& z{T8}o*|Z?1`@y}NEKl{-Dhg~Jk5+TY**XVQo{Tm)H`&DTYANTuT)%+7lUdZiDX#cg z+7zrVaOI!m!I|A0ulP96-E|H4pV`D6*Hb99&IP=B&RluNC(fp2)xt5Wg-z_|Jvipi z=@sWu@cKN<()S8GKC3w1RLwhT@%^4pfSvb;gy5zwYayFk-3vZl=a?ts9`IG2<$M*( z)&DL9Nj?Fe3!8%Vg|F0I&W)KJIeWo7c8+sz9Rl_{6+9GZYCA3z^UKijF*nD$KNA<c zOlr#R7c!}z_`ofzr_gJiXTj^gEK9d5@Axd)w258tj&<9DKbb82=hRnNC{%3eSnx@i z#XnAA#jj(x4bN@9%CTyH|AQlDET;Cp1*_Zwe&1$E_fuW*+q<baT<A)?2WW3=TXB<j z{to8HCUH|AoT}!WmFHXVHkjq?cl8|~b(<!s3*UJqG-cnM2j_fQQsotPe7AA@nfmUC z1%H_0iXDmv7q8{en$9`zjeEe?*(~S%R95`sZW5j@bY;)P2UluYw*L1kP^%O_w(@sb zQ?azbmHU$(99qZ1`(1U%7v830x=q^l>KRpr2e<AQGTGk_-rKtGUtUk~>s*$l*Ohk! zD`@OCKDf7*<CMJLhX*W8UFm{0yE+zpiszVj$2FkT?ZcVx33FcMt6FU2b3Ds0Jm*&D zf^Xs+=VaUhzE`t6w_^FKud<^+*72}4hn}tLg^JE<!*f%&3z(c0iuo<z_*s@SuV&tY zCkt7&@AD4$<<n%nUsa=8_uyVb$DisPa(jFN3XgJL@4Ke9;*VpKy0t*aUzvlOojF#S zbNbD34ESu$vV5K5idyZ1Gmmq;`ZxQ*9j@=Hag}kum7V^Ya_T+dl*)52c)6LSmtSee zC)uWD%LQY8n>v1GJlGq};pNAf_qTh&mrvi5=UA^6o^rS4!96pUr{7g70+d%&m>gUj z%&GOi>%qyXETQ>o72gCLzt#)QiFn7@6Kw2Ukd@qYOJ3PxGrQy6^#XIOyB7RA$s&GE zIitez;8H=y$Lt*I{xmOmX~ds22fSWZ@nCPi(3CrKA6%Qx>1EFG?oY>pH#=Fr>#1t& zZ+LL9mW5RVG&JvavfUt_@znc-hYXW>BQzYoD(lE3Y|{o!$#k|dtMsKTWH>7is=+|> z_IJ}CGRy?c+kcM(O)gApW%?5T-$3s<Ln~-zqrg^-FW^>FD^pK-+Czq^THLHN<jx&p z%(72d$nbeHXlWrosFtwhX3w~L)`{`b`3(gP=U&Sld@7<aJMAIE-qHvShdR*S;TxT; zOd|VF9Ab292hA>gkF+?j1T>$uKm8%Y=GPG#4u3(bLn>yqGMShjeJZ1{RfwB4WB=?{ z<`lE!g$#FvK+7J^Ix+rg-&D|W=%bEELIr5r<8NOpQ_uR;hYVA1M`|4S!YRfV;BC`& zTJu1SE9gKGac))*P@NDZpT3ac<=zMjhv%zxL=>uJxY=LKJ?O;v$riNkp{<okBtQ8f z!{Xx+8V)~IL2Z(UhWE@IN_EBf3{GzjX!!LF)Fc5-WPxUNUPXv;U9g+m%ABGHnk!lz zY2lCu+8gU1Y2onD6|^AZUt!ESMlaBsg^y}FG7C28a<k5Ock&Qp7N|M#^P-N-f{SSj z8BTtVv~YN>CB`)&?#LlVEB?&^4R5$X>mBb1@0i2%BpkHju@|)B5fo^kjl-W9K`S0b zxLH@s11&2AE&2E*3R)^Up_R!*9<;2`lAAR{|F??XbB39rks1!=%Agj>tX8Hk<>?O@ zTK|GtBnJ;MdZ~jJi|hog#gyb`ouQZTkYVfZNR0!3EXDXPMEuf>@nf8{dP6}&SYIpC zlI=+k8Rp)N&~PZT7vlqM`(=9KpYo8Qxi~_@;iHfkpTVxiR;DTHIx-2Lbhuep=zTTO zd(N<VYNUokZLJvJgd3AunM}$PA2O`01+B5+5aXMWxiO&O-A&M1Oi-xBpFhON^&PYp z(->6iKjE1k+)y~Hl{w^E>OzLgphH1cgV$>?o4)R;0<Da!{_>ky_V~xYar)CAw(nfB zG(|5{bLJhjlT)@#I-`2F)^pa9X-6i2)@=yQYzZ`)BzAJjmKg97%39T#zwbPMeti9( zuHt!nzaK9<_t@tDk3HvpuRkA|J3aH!RM6T;@&D7NdR+OJesZaBXXXFEvwT1Dzi90! zRB=2R%$YaWKj4>FleKfxrtboGs+$(<nIH3K!g|}|38qb5uZ3-HbuIYh$8!Fyf52A1 z4_`!@UOg9_v!iLjGhdeF@6=ZOl{h%F(m!#|uGK<wP78sH&OR32@5(z$Bpe@4=3F<& z`N9(Sg12l<Y2|`<Dq0piQEH0Twm-Y|<yVe(IgSBk>`m38O`qC@Y%Dq#Ji5u!ucy4C zM)qKFxll-L$AgznO|Mp_8=UJb<;=?SE6A#Dy7gONj%CY&cbzQH&nc~_*F4zD2q`%| zE>ticoGLo+sD<w}r5)Qe9XBf-?A^=Zb&VrW)*oDOP8XQ6ciMw{Z&{woD^wULudw)U z+4DKWx9OC$u+4T^$E&s+^W=O2{zfzjrwd$pBQ$4M+k$WM9Ov%%2Yk0|Dn4!3U-)Xh zV?m;FQ`>E!7%gEFyN(B^3|V~rltKNjv~b}&KWrUO{^rQDbq=tyJZw>Z&m*ABxvBcS zvc`VtgU!sGT5`T0R=5WIWM?@a>l*O2oW=jW>WY6N2RoO>9k4LH?@*A%+;oez$#}QW zmA@tjcP{35HQ%e?AyZRZxKPY5UGRERAI@17jK_9<t7=MnEqtd!-|^&J&b->L1z!YN z%J=yNY;!Jn&(-wFT-avE>;;b=v-CgP+fk^szwg0L9mlKR1#Iq4T<}Ys(@(}P;Bz+1 z@^h*y{suQ0n+si$6`oT)Wx+qCChpZbPi{S|Vwrx=_d*4GllFXtj3SMLTh$ylZ|2PV z)3V^nSC;Mnd;)$YHd*TnPKkY~+Ebh#+w`fjiT$>4$ermAE-JE2-S73`P9#fcz0Zdd zhk(ESEb3}3rtvBkTOXa4SpMx6OS+lzir<P&#nr-B)(gg1G%k2JlVy6H%Y`3NP1^M; z8J`#qZWZU4bvC}GFfWC}E6=Imbu-J-?~pFnalt#*%?th<1+OQyP^u`gcYOMsb6#}W zNAIXdSEnn5-uL-XqTKXKUcq9cwd2|ILUXbNuKY6tx0L=hJ-Cz0^7Xy)jt@GHho9^T zzvmDy{ru8w4yk;Pf~S93e9M$~e3NWSa~HnzL(K6cH)q~gPOtl31<&8Jq|R5{v3-hx z-_NU@aybqGh0;y#yM;p53E7m3I9|;cvf10P;MaLhzdKF=pYvIk&r?~kx5e<>OcTeu z+lA-cp0(iLITmp~g^UW8gG=)TOx_8^{FHQ@Jezaf->D03K4vlB!g5S=r_{l<+XbiG z>w4hVx!}z|mhXJ(8ueTUn~OQM;`~0maBDhMuUPReuxVANs^PiIp&YCBPknG?8jGpD zS3#D2)2(<Vi((_kyYB_(ycWE&f8K*bu`ImuYCFCdIX<2`?a{4M(Hyg$aIULuS#Wb7 zi}^l}fKMt-(f3s~Y9$ZOy)Q6j@8k#fS{D3qYGT*c>n+q;=lmhVxQS_s{h33I&%UKC zWVosiI^~H|M`Xdhw1*6x-k_r~u7i%oVCQDd_}|^ioFcX%pyAyo&pSsMi$JT^{()+T zfRu#{hixM*9A19b5lN_#;bvb^)85MD16p*xHGX42!_AIX=<WhzF}@2?d6F@HjF+-E z6*Qa!t&}UX7UR2cdu}V!6aKV^49#qye&V@9jBcP^4xjWREe_nt)e%|ni(ib-pmtg- z)0OFwV$+Koj)2x<&IB!y2Tl6_ehgarD8SA7qOP@-`H5fhLWaNGpxP`;jLTrp)K=yx z&muGq{KypJ3y7?;1g#iZ4LX3rI#T06jx=b+hy-ZG$O+JqB54a5UW#$Ezo-HA6hZ42 z_C`l&IQ#=G4ygdGFPuK7|Fq=+jk8XW=1FlhXoaDkj!Z%o12^jm`ICnjg_eU(p!x|4 zH&t%d41UnY2RSjW3$;zH%un{%=q+dXdlj^^pjM2_;7(U7^OQ1B|N3vFg~QTwPK;`x z^RjMLf{w<p<Yv#fbHs^pQu*eBhHJ?>A_}(otTE>ptyXUeXvmq?%KT+p%0h;pvY<to z_MpW!J*~_=erXFCo)$+~9Ej;}WeUkpc*t-W6v}@E#rP(G_JPdOUVq{?o5$MYPK>vr zHxx9S;nNXW5S{ptVW)GXhQogqF}?tc*{w`G`k<wcuR)>aC&m|0+0n}6BetoaAxf+D zwB~^vS<rDJb6S~Hwu43`Y9llpehGk<vrdF9X9cC5x&wz8*~&KrG(2hsb*>v)nWltC zfELs4+!~?bP#P@8XP^sOl@SMOoFp$~IQux#!r>LDpAG8jrmRa{$Z+>|q=myfMlr4n z^FfE5{h3;5$ttl9bl90RXgfw3sByy1%{oH{wCCaYrhtZ<FLh)RKGrAv|Nb?<Jva11 z1!L+h=(a!G`Tm=h^c+upS3JMH-PXffPdQUn(o_579d*!x$Z1n{Zd>Bx<*he4$z$0P zSEHS4TU0YA>3A0BdQQoEzUTM9e>W}H?>%2;_xs;&xAIoj&U(AEve#>Wss@HBUHs9n zI^9ntt}giG>;JNU#qaFuUhw5GOZhxyjooJs)z@D9Z?|~6n&VGZ7XC7|6%lF`B^HiP zg*oQUaSiyI)RcZ*Fl2x8gCou?rt=jorp5?9z7jY0!I@_)rR7Q%+sz&S9_G-K@xQRa zwcw=_cs2gc-UTn4S+37h%Gh_sOXB&lRV=FF!gsU;rrhg(aBd+>YQOT1ay7@F+#GT- z&H*38n%wyXLgoqDd^{zw{FN5Vc`?C|`|}<gna5(vuVzuI<M{S6=eZJ&S8=`t@5GvZ zeHV_YXj<^FDb(QH(y1I$>746wyf2iLH)XR6nb@~IIJOeJ7Qa;0@#SpJcRie^?EDJe zt2TY&z8qtHW5R+*W-R?>$}9HRADq0HbCntAyt(cHf4Q23%>}RQnf~BPGPnbl_A}Jb z@9tO5b5A*6z4t0ev~Oy27mWF(<M_Ckb6w5s1uq*O+|uWmW$y)9iXYAU_)gUH1z)bQ zl;86TD2s2ZHf{Q(E@)%XzTi<ROMjliia*6o>iq&Cf3**8P7Ob9an+bbeVfvXpJGkH zzXh)R6F4|inDbTL#0PhlvV2{yzQaH%<A=e)rTYa;;?j6~ic@u)mU(lm+dFeX<;(}i z*g3t-IPz*67kp7=Dc|QF@XfEOnqP2A{j>*;MZP`3g}hDfvxP(Igbz;M%ektq;la(1 zELZLQ3mz#o&8lq*-Y$IQAMe4L%Q;`|E7I*L&NFTL)y=8*#^XYPev@}-)3M`%F+XJ- zFLQIOtDU~!=UVt${PhvikEi$v-?`bc;0+(k_irj1^&AJAlfi58S2zd!v}W<oQ(W;& zyD8mYAS9ga@tla63!WWgS-wqqMXZuVu>g3<rFAc4DZUbHDSq9Y2VP0CJ(o)q4{q({ zn3eBb@K}~bm0w}U*TAMr%LVTI<Z`?z2U&{m{^5aPQ<uJwO;la%I^BGS4=VlvU*EEv z|E9d+pJ0=)y6}}hMh91(=FIxv@!*UtOX+f!=Wz-v_TQ<Jcz&pgg?GE!jxT0S$9@aN z{4{X9JegzNR?b=RZUv9qSybPv?f6>Vbm_eCoyxPnB$mIiV)?#JNh4mRVgtY9QDsiK zxgG&Oy;=PAR9F0BZc0~fdbMBK!ccw1&sV$#=T>gz%*uBxND~KdOetq^{L6N*bu*__ zoKwNe-z>fFRd#%e29LH>Uu~0E{!@y@yog1$Ty4kKyrxU-!gqeEINsdM@h;XS;Gb0! z`*z`wIRZ9Svle{1B%L@%eYIf7-JS<G`&h2tcP)5i&@^klg2h#(6@Ph~jIRq_`Kx(w zCp+gWd(VQzl{&{VXQ=JiqUrcpmSf$X$qQa8vRt?GyYPpnNjhE~=?K<8GZ(BeKW6bg zOig2d$Ag1qETZ2PDoO+#pIRN9e3^qQ;I9-n`;6G5PK>YY6CW~k{sz^q{-70d34(P_ ztQqT19AY$z1l1GDpswOMC&pjwpcV3lpspepH@imd)K;dRYS0LAGH4jKzm@q4Xnp=O z{Y?c8$GB#H*v2?XdQ(BeHBeW$%3O@^!b;E@IZ$V<+=!d?#Qfuj7}=(84rqAPt0R+8 z!q3gB@xQT^*(WYxA;Z-x%XZ9RK64E;*m-?(LBo-CptC1IYxS(UTA8-kgO<oW-xSdB zZae6t!UIl>zd%*ep~X5P2|sMO*){f-8R{)(cnmsa>1nBsNJ127`QCTX?zoAdeGi#p zToY{CTbZ})JL$xD3AE|%9B3WnH$gGJ3$sD{3OCJuSj1-#F}syXWIJg6ek`aTyBE}1 z1dVdmb+s~|IS1PGpvTSb5p&*&aaQ@pf`%)Hbwn2YmEmSTp|jZ%bc~`Ys3XhC&HCc~ znL~_RsbX9PpktP%fR0&u4C?7WO#+1*X!!aasPoUs&7NU%*okq{Ng2K83~R4~mKGL^ z@m;vt*~*mi9n|+f466An#P|$A8$2Ghf`SdSdjCFX^*%pnAT}5@EOA9B#*gvU>P-a= zN0P+2E`T<8T#3^WSx^hwQz!%4Q<%P~py3WHXv-rnX!QD&6Js0yhJuDmJ*`YVds#s{ z9z!EE4wMLj2CvUMF+Qu_P|$GfAZS=Z2Q+wn&WZ67sKVU|+9;Zz_>f^QDDC{?6yr09 z*r2MnoZ;xy2#W(6r=1v2-QHBtaPpmw$bu>bZuS|nhn*N-EeAR5r;f;iN>y%lkGp4_ z7;hzu@lB|l)5=s5pY)JnXKVDwZH&J_N#~H6j!41|X>N9nx96Q0kAV*9@|xVr<a0jx zA;VVf2#o`OKu4_YnBK~yBA@(_LI3~$_y6bDGyGe6GrNK@_Zn}(^)Ir=KZa?ru}oPm zbjrC=DXq&{#KcCVa*be%mV%2Mw}|gmErlco#^tw9w3***OSec3&foFEY}-NKO)oXg zOWQcLw4`PvO<g(Ds<25)v~<4z^0T$?j>*RF+jr|<{r)?ZXRS@YP5E#>sNDJU{`jfW zrYyN1QB~yWnZ2TS|IG*gYwvG2lbLotM4Hj~+REORnYXXF9bB_F>#D);8MiWK1b-~| zx$|=N?wF3dva8M5o>gWgC;pSodYo|ZaMt6*huK-e34yQE4Z4ffllspGt!`Akd`0ik z`87es2{-p<i68#7{Hof)p2b;{6Vraioq41;Jz@K*tIH0&soJ{IAboL^?wPv_%QVke zuPBo|qkpqKa*j1`bwS&$k_d~?TsECufp3c<YWi>aM0{$wr4#XM-7N0YR<_-dcb;;+ z*}2wCI_=8JvyC&QuRJ@n=55yJgl~*ne;Pb*xy3Xi`m0P@;dHAv_f)pF8r09cr4%8s zO1G`GfA!VFi?&~#cHj};`DC%@ah<l3tI9<8+qs`@PTjuB^uQ<ftLxe%e_vhKICJN% ztp=-$?9&RTyS*vvy;W+kJn(JRjNOsBnrEtAawX5y2j^PqWc+4ID}2X$<8bknumg)C zv&;`YD$UYPJZZLdcEZazQ~7DK!O^Uzwd0QHyxSzT+t4qU_e@T}ZppOVplI&R<tx`V zTee@lcKFVw=?2}!`3K+mhd;i)*oAlhE$>H*v(yhx`o#R_+qC-|*X{^k{n2*9)zwoE ze(KH2O*rOf`sbCNA^%EAgVkSB&pf)b?MANmTG=xzL#<h#tqaNK|GaHgX~R$dRi!Pg z?^n*{;<n!36nW>V$(xG>Tm3fd@LOxFb92G9*7=)b*J|rnFSy1#ZGM1uyCieanMZne zH_o1X)n~@mn6>O@?kx&qEG}F9wPok~6<?bwW%-lDo_{;`jz9P{GxxJqp@$CLzVhqP zqV_Al4nF#u^*7OTT^OskHh-d6xnzUw>OgDRY38eVTO`X@&1E!}58a$_O>pb`18>Uy zAJ92xE4<s-<*m+)*Q<D&Dqmkc*Y=ZtMXk8ln_y`k?*7$tnY*j@bw%Du<@i=H=hn^* zOB~<Y{5zwzb>W7b>9-6b>LT9O%((c>^vt6>+#6=6ufA!J-FB;B#$LBvmNPr!b5+jV zU2Mkntae2h@8{j}$8_EqNmUy}_4-Qa1xhn_=Y=N=AG5xCqvfQcsaaywFRQddz1aqv zuiR*<Tq`yE!Vb?~-;94wy}lW?OOL5-xgVY^Y!y4ppnLZQ&bxxXOQzkwIX&UKnAyar z9Ii6^45h6%Lf#yFKifk$tlPF`XXllq=}G<ja+J2-NWJlxF~7t2aJ}l}tIIy8Pg{Mq zAvOAnUi;JCSJMvl_~eS6vH9wI=8>LW+3T9E+6mumw%#;&{yVEU;a&cfXNUHPUwL-$ z&-p9Q4ma&{H|XB2b3iU`Nf>+gy5MMz?)M?lEZ%aV(LCP$D>ia|R{VcN=iJl0zfo&N z((G5437z>Fu~ziV+pl72A1l{xtbTm;RKmZjSvAMm?L!}1+x1-i(bpdr{@B_s@3UE2 zq2B$EFL_Ms?tcD%xIXIN|Hqqu?hkpqnYBLn@#fb5VUIVv#@Zj!v0KkmZ4=acewt3u z<IPL$gC1{Atq*v-`RV!<A8+=ozj{Oe*@5I4M`Lg3UlTF?*1gxM_wS=SYme0x{$F*h z?&H6u*EpZeFO%79vEBIF1E#Hd8w&PCg-3j0HvQ)PC))Iz_oqctzg7RnoNY<{Yj;fN z-6iJgcPl~<^eoM?O?(!cwKw5fa@O9&Z_!z`34Xu*4Z4f9H|~DE@@s45=BvLB{&~Oh zYdh=2C{djnzgz~LUoLM;BJTVSKJ!SAd84}Ul^gmmO|!&we$SNpt@?kn)bFDe&b@z= zq%6}4^?o0fT63&!WBRI%@pHCjy%GN&p7lojz2sI!ga67~ixVCD&l_~_p3`nCv)D{T zEOx0GkJx{gw>1%;)VFdcyj-1Srjz~EGOh4k!JD5mvy>B#nV5d--s#o*H|g%`V|5$n zhe`7m+Xd_vcvkkCY4eWL5^wHlY~5&3zwwqu#E0aqA2)pH2NjyD%ml^i*M>2Bv&}o8 z^X^cc=kgVn8**Bueyi?}?){r&v+!7*;r-C${SkBb9nm?Ly8p&DsozH<7aXfAtY2}g z?ql8ZGRZUbp1D#wKb&%{bl&_IO)H!(d1G<+RlWA3-dEEOP1=1W?PIZAaB<?z=&bMq zpT5N>i#<PgERQF2HnZ{b6=z!`x34<ee3O6G*>=nGt92W8&R=!);2t~Gny1_L)Qcy5 zS6`*u#Cjc6Y}$vfZg;H@jArV-AFx|!n#9tgccB|fHq2r_odzm2w`PSO?#a!%e&EdB ztm}u?Bxm&+?0%%O^K_{}`kGr`4Xy`AbA5gn5Y6^kJ}jD#J1%%Pqu91Q)#vLPT+>(H z<>+3%a&3$1>MMCoOTVxBx#3Ob*3b=qn73XvSiEQJomAd4Yr=L*rd?gVw)Lj;)oTam z$Y#AxeCN0|I^myWYLVUwm0j+=_XX@19#iwV7n&@*Z0@ZS&o^=!NB8>9&<{u!mXf}5 zqvctdsoBC(hhE=|`2oqoR-x*rV{f#)Q!zDLxZS7M_eFbF&Y=f|re=vhwn@!4c$IKs zXU?ID6;iVeW-dOa=93@#n(MS&$ZNLK^TV~<C3i-Z&xpJk_Acqr8mX;v2D<~-GCj)) zd(BxaANZQ}^SzMQyq|Axn_T?+KvVG*t;B~qTla0qm>XpuvB$U9cg7o#3y)v9(Gq!7 zvq;=5(JrjlH^XGbM$WUoSvgMG)1_u#uv>FXt>o0L(>rsV$|p$8zA$^;F|{w@t2c5s z|GsjgC2`R)wVu9J8#y0;;sY5FwDy>q&+Dr<T5h_TnkDXY?)A-(2}%~8wN&<LjM>7} zl^Z$VMr{=|NMCx(W5({#T#+-?L2IR+?O$#tC01e=`mRV(M`oQFo7mNry{$(<A$79M z)GV>eq1SiD+<;`^XQ9ha#@=W-mSSqQaA!=fZ$@rlvhc0lS8ueOv(CzK+McX#Io<aK zZ*a2kui~pWS`OxB<sA9|Dpt3|_xega&&oNpWYgw5t-dp^t=P!98SKKyUf&FxRmap! zKxNn3ve+jvW(#dydVOE)$jWgl-zzoyLbXG$?~C`r$-->MuZkTwG%xn4Ug?I6Ik%i5 z?kqT_=3};UBj@GVteiu8*36#6sI~FhijUH7eqa63SN?6qN7?svD~^@#xxP2%+ym=- z@@tQk*KBa<egES_nd!dAi|1z596#QE<wu|Y+IK~ID~?#%#I5;x^ORbLsr_w*t<TbD zue<gqXZ6)t^WWNSeU|QhW!C(+E22Jcp6Wkkw~2l9R;l^AE5nobFOj}_L;oh9={N7X zfZo4JQ?8xZ`9}P5Q1bo=P&rb)RO<K9o2!o170wSy-e2*4<;M6w=fqFO-q1f-VEWBF zV)?PUPO}vo;~%}vdNch=gVb+T+l9yKPOg&K$^Bb({*q&LhSOGWj6b8BRhxJ%Z)=^w zZ`rN?43<AWT9hYxMs}GQ!?UxY$@_n7U%4^9sr||g{fBj?-@K=+-WdPrlxLCnH}9au z$Lc)64ooxs=KZfj>i1ELMaSw4Z~IOwzNvpr!1P=9TTqer6;$Lgnttov?%(_Ok$iaa zeimE(iMuz%A1cgxGkt|)?_Z((pyd53yFq1WqUks9Ff-S4sr#QaU%8=wj0aTMx#jYl z*%hCwbLQS+GrngvE6n7cmCe^GpULpqZS}_ZKdM=8rave%{pP(Rxc9G+Y*6z4BTKgy z>HSvSc;$wE=31%Ws?jTt)t%hFYGZuQ@+&v=pZ(5yBfk3d(jxJ1-L{|_L?<M9|DXN# z|5?qN{cJ&lQLt4O`R%HPp1PfuOP)^P?6<V5mU(VtBd2|G(iZq}8L5+^g48Ys&2R=E zus%W4#B<sbPov{qtK({Z|BZiBA3MMH{+;XZ-|qi<Da~8;-)9r?wSP}(gNCDityi7y ztrGV$_~lgddedL>J2vY%-n2Qm_b%rtd7lptBAP%KJ?xsW;L}tVe>RrT_lgzYBpknr zbNH><!tnS?%<KnOn3`_w7Mf$(u^_Ma!JU;XUqJ^-u{s`}&8c_S^}>(9ChhZrCb5a! zJ;l1t7ixl=q<0HUv6=AT+C`S7{)#(_?Hq5O=6rY8C7{mb!vn#luJb}RQFi@>TI(G@ zqzE^yvKF+_77nqW^uVQg!Lyw#%k`93)G{BOY0de{&Zpp=WYe#cx`yXkuX0M|`4nWb zHy!ilSSRCm;fqRBcDk_1{wWXK<}Y|6%d)-BKj2qpllAGm*1}Wk+zRrQn?7}O$myu9 zh)}I4QF45`nPXmzQ^41#ru6N?A@vg;90_JIoqkX1@f<JVEB6{6oN;Q}^;>9;b<cu- zoGjw!R5ETT?)bvobZom|%uiXz%j%r#GNpSi*Mv4nzgN)Mse5p(kmE~d&UZC)7QB&U z`M%F9;9ph~`*xv_J@X%26lR$!d^>55`f7oYTI++G*K(|S?^^IkplO!8vPG$t<J<KD zb6yHw`OA55=WmWz_I?EqQ=8hf^N(*`x?RxZoN&y|<^?YgvRt?Gy70%ONjhFlqf+$X zT6y6q`=&oQH)X+_ZkF$1Q5}V+);oVlV01ismqSk0CE%wri@%@hid$+GWvq^0<pt;L zm<>6g+&|!VDQi!0nr_ps*@APlg|667cyP#&h1Xwk#~0P6W7CCWekwX%7CgAsUdW_= z+Jj@+;)!!6WeeS@5_fz#m-F48*$dtTvV50fd3s*CqCnE|s5GbCUC)4@{4D<S6j%J3 z^%k^<gLBn$4!<`Z0iPL~g53qK{1Z7ivzX&m-Q)*%zOsCMudu^FG2@5H!KF{j4p{Vt zEARN^*R-sgW8GW-3wyi@9#3Xbjb_=t&oSVaRg<;7y2fvTgL{{AoYLQ{e5`VU+6vGb zj#ieb-<2zhBpk2$3)omsUhwNWr(cY7K(R-`E5@c<^~x5H=NkCkH5Zx_J%7Q!Z7ky7 z6f-I`4lcEFd~D3IuBLav%d0Hc>)bB<scVw1SJl{g<($OxYtkHE>74I!d;`kzo2uId zrr6JWaPTFIsJ>do73CFwf}7O83x@pVKDc>0=c@g6;_H0ZxCaz_Hw9M<U0EkE$Fgt1 zyXPF|VqF6MYc+9i7cki~{lTUAoKpKe3RFXnSomI7+_8<-@$+4dygmI3o@`{<Zs#5F z%c;rwzM_V;!j5ut$DhYJ<ZS!{3XjGbo?E<?Lo46!!xE2xuX|a}_bIOUC)p${E^y_K z*};{wIkW!HdT?ejOX+i#=V3Q^A76=|{NT_s7T$8T9be>{j=2lQ{4{aA{F!52Dd(*F z9tDrXSybf}cYO74x^((|U*WqwQy08ZWBFdDq7koFQNZGObTX&hT<3tF(JcP!)K~oS zZb}z!V!8r4t4ZjjtwB8FEYNtuO3*BQb+s7ZgvdiqjJxF19y06%oolfF=pjZf&{0JX zOLb%xeBlPI8|!Fg4*7S^iE-KKH=U;~9p0YR5lPsm$jzQ15~1NxWC%K;siT!?322Ia zuKuQghBu&dG0J(lSx?+QcZiXVA2b~Rny1(!uD6`wsrkl&hLgW^L>BCl<z}Dp7BpS~ zS_jZ69HHUxnOjFj;cDVShPUrQM>OQ>h%ERWEyg#YdQvOXm+7~pLC1@)(h*6Rk@}Ef zX>NqZfr@<41tScg6&~jgF=owAU&!#e8?@T48Z?xm&CQ+x^5-v3&<aIyZq^g)f*$2E ze*q18{ahPiaUj88j4PnVo||1l_P7({DPJ)@gPk3%Od+6~SJFU7QvJ#Ytph9+<GN7O z*~+{m>>m5{;D&FzbYv7Jr!Qo<dlxiSks!u(!G0R(XmQYTJJ1}|OD{1l1Gx<a4X12% zL=t{UaI>%2d%%hDnfAMG(9z<#prge>dzE)@3TXJ^s3Wr=IC&w%P0(`7_e`KO*_&FK zdGgX0G929vS_l{@#uWfM)x;<42I$_BthQF>GoX7rzQ%&w<{-v(!DbfdXmL=mftF`H zD-z?nu(z|7`H7p3$O6#DXAK+Bkz1$rk3E%Hu!W7ARU-e;Ax16hjR6grGh3OjfY#Q0 zo(u}L7%{F1dwN=#x8#A2*|!F52Tl~@no!%;%KT;0@5e=46XNH#GV_>$+$I}gaUg?} zn^i*|w0aV>Jmb|(9hrnO&=FGKBP|>r@riL=_+tw?sqCy1<E_(r2cOC)Yz7^&m3QP2 zV-aXsYrP>iyGBT)#(@tmVtfHs-K|VL`iT!2re=fI#gvKh1yoOHWjd3tBeP)R7sefP zm{dSVs%&Kh?V?V3$gsZd!S{Om|7=E^)S{59+4m`yenH+z9&V>(E(y(?GEK%UiLXDM zzyG+*d`tgHpkt>dJ-ac<sB_vBPl-vMnVK^_w3koZGD*kNwf*(4Z@0VO?y~#;zWaae z-+Sve|BgGY^6zVA-u8Fh_MMxAI?vVX?2J+R_{ceR`XB3G`a8;%9Di=+kSpQPigW(( zqO9rEcVU~#t_7=3>F<5>|6cMoE61<AoPIgZ0h?XGrzi?@oSWkr@I9%iI9&M3{@w?N zYFT*atL&I^L;A5vT<?QZY%IRpm3D07cKqzhV&12$QOS02EjOpvcaC>=yaK+(HC6iy zOsQ{taB$V{g51K|CihD4DT<LE0beDW(w7T|*w24(B$UN8U(KSF-|?;H!I{rFU#;7u zf6Q{boa0|z$h-vO!KI5iq~^O7EcFE+qG&E?vVZo2V~bf-=PU2ndZ|ug`4=me@@)zl z(JDK(>pT8j%EIrbx}rkw;NsJqTK643q__lpO>9a(FBB4=$au_hqnYE`vmAbJ9RjNJ zn~dEBuH*{Lv2I`RFO@}noq9%v_`#+3g-q(&9-PwtcHF}Ey2_4e${G7?!OJ(~+zMVR zvn)NYw&SyC)28c!cYX^x{^UH^yqr@@Z@a)_o2cdmpQ1VD<+ulYZDu*Yish=kPr)MB zfX}B{makJ?@z=S@m|y71U*&^4Q?(_Q*Ufrx=oky{cGVqU7{LvQnvMl8BU!HRbGh(G zs!7_pX%fG{onOq3nfiw;%Hw<k%9NX`ZwpMh-}~TTQd3uHlY6>Q$UminlUH-D`q%K_ zW-ZIrdjEo?nal=$XTt^OoD#ZH$9`~TEaxjZhk|z%O~29wVs>;aNbG-b={u*?eeZ&& z<t)DO3Ol}?V%}M{&+cIFcHt>^W<CgOUGQZiOSzq6z&EC*>Ttm+`&%Czv}F;MSFiYD z<M{MSQqSiU+oo0d$`#v`9l!qN^po)o`23h<`8j3qNf69UyX=)MzDqd%ea@k`rbggc z<_^AtOScP|=n2K#>|gLQs3}`sIipVRVDEatDSIY9xaQC4W$#pw?iWyYR8`{ny<03# z&DAS5FhkBzbPV{pn8p8`;)+|U6=k-LU*`+Vspx<#o3A%Gw(@UPlkse!E4AhacN&3b zAm=z;_>j}&9j}^EBYSYFys*h!ftcUMj-Q{dl6ZdXHDvupEO`A!F^A*L$(--Da-53y z`tTsCsjFPbrfR~1PxCqE=~QzZTlp)hDP3APL|<UejphZ<4zeuwQ(Eyiv&ne6z?Hvd z2X|U?ys~#FNOZe!p*?Ai_j<*QJ%R_PmJ6BO?R{{|k>%`b7IQn-fKAQ?ucKL(=Bw}c z><!r=^UR<pxURH`JraD7$E~RgJ~guV_o=V=<=K=jE*SD(?O^9^j;uaTKU>d$-|{T! zVb*i9cjv2s4)XXrms3wiDWgK?;8Hd4BG;Pc1uu13uGcwU_*2*<U9YaO^OYc^1@Rnm z9GFv6wY%^X`?(JeR<ek$SE(?8&Or)>{FOeqd20U=i>udFEjFq;GR}IR{E%TKtB%Nm z*yM){GcQMIID7}yYvrumtS|1LIK;?RzBvGNV}#6tFX3Wb262U&?;bHs<>6+Xkq26) z_&ybO0-^_K5@l*D^A@}FPK=kPZ!Ty!_dP<xq3p;Z#wz}e0S$jZXZCHV;RP*bj{?oN zYjU${>~C*n_BjQb53t~7pE3846XPq;nMR$bLG|ly&=Li4(9({!R^}AYbyjzu1n!u_ z{N!57LWaM-pfw8Bpfw7UTbZZur7mQ645}8MZq^Y=sM6$SU-1>R;?7Tu@4^pL(5)wD z4>3mR+e?9#vrFm7Ecl!z#x>z?Un}#MZwU(-erj>EOUwZs9Wg)gA;V&C(EN@yXnqHD z{NX>){7#yV$bx^Hk_#-^J(hrG#(Y6DW4f^84?!BfyNK~ku<mYU`eF?_41|}PRpQ>c zLyTVEK`Q}*bz~MSN(LW)2nsgoO#ux*uj$Av0PVv#c~nPcK`9gH_`{QjkdHr<2DM5+ z(_;Rc0veJYS;U-UbXyHt+{p$y{!kR<_(KcOp%%@s;}7MySu^gRI>c!79W*N@tRtiF zoe8u9pvop+dcg)eZdQqBkrob_>Yx}s0onopI??i_j?98GJ8sq)@h1;4N||pCXn10) zBeTFXbs@vc=aCi;=~IOZELl&iJAR0<Dtc2u!=FCTNo5VKOd|bB4;dDN(#}rMo{sPh z0S&KwLDj1{H|q-fQ->IZ&TkB8c;;0abB;0SHE0ijn2wA>a0=)k5YU{MCO7Mg`KJys za)IXX9zNBPSx~~v%_`9kItV0Dj0?1`hWSdEh2ZqyhM%A<C>td~_t=3NCDoe)8omgE z4p2#0$Z!+1ti-$i!|(m;>zQVDmzjeqTIk}6pEZ|^Cq3Jz!n;z{(DSTrbx@Sj<PB4L zmbe<Jo~?aXT>Gq0+TZBA=N8ZsizLv3M-T6lPs}`*ExGg-v_a3_`uW!HH_xTtufM+g z(S)T!|7&J(U$28KuK3-rDy|}=zklVQ-v537xaIEn27F9xaz8H^68G!^cyUGYCN{^b zG6y%W<y`gNt02j~X_mXtoG71w-$qTw<^ostc0ah&vf$rl7I87q@q+983No3Sj&XCY zd*gFqi&w$hf~IHP9C<NL0iUWMdyP6CoHJ%goo*-d_>Q;ml=%4%4u-LamMc|k;dgxM z%sFq4TfkQ(@Ujhgp*a;37CgJmvb=`n*vh?H;9W*}o&|aCO~0yzV^#>8*t9;l)XLJk zUUf&2HuwxhTh9wNg(}B(ZZvW{sdI4cSx&F_4h8A@O`F(-?^t&(_`}M=U&SJtuUJtc z@A&jM=e#{G0i{P5?<m`*=lIo^(=W$AV6#)fD}|<8>OylOodUi~H5Ho+U)ewX!J%Xp z-guQAQ?e4~9D6MgQz`CvIhS)?ZPS9EjV$JU>Kc`D2iL9_oFXT1=clCOO?S?Be_I#) z`Q)BFhdo;`<W9?jz=;b!9p{`U;}GyQqAC5kK*)csgPpTEvi?nZa7CGA>vNX$Y1V9y zuhcRh+}X?dD&M&v(Y&ecw{VQrtOXw<S;BX*_~xtZ_$J)+j9>W94`auZsr^SSw#WGg zR4F%EzgN|WR@<>%-tp&Ra1Xu0^59}=4z2$)9-M4h@M=ECJY5UE$07By2RnH=v-Y(= zxT43h)!w<_8AsDD-KOH{LRUab-R83J$}8>o;@))3TfV<=-JWR+UjAaa&c$-JTxrM0 z%%(~0f_JRuF8FekrF@@jz_+rdYJQ<9^95}_a7rwHl*Q72O?kziye4&Pp^(2K2RB<e zehudIE8)nhoBZHPF3Z+>&w^)~O}o73b`+jl>l9$`U69D#)MhOZ^Gn6?aWm(-IX)M@ zFg0c0SIDU2KG<vMcv77s@9*3NU%Y(Rz1`Ef;7uCK_igGL`_&INH*;v2amwi`uJ}{h zq;4)8@|X4C=Hncz{`WpO!le#62~5Res``q*j!nkZ0$2Xp9Na0)@oK(%!NaVkHs&U8 zd-aSz8V9HD7cz<cm(o+5o7?nkw!obq42~!7a^_v-@RD~gcplTVDO~W*?#=~&Y+3l_ z6j%IUI=J|$-$9G1#w`A3YAb%#HKp$s4EZl}urrw>Yo2exE3c+o_f;*7l~(+YZYn-6 zd?o%{NKbL1Z&RDJU`&;~<71<PQ_l;T*mga*HIwD6zWR=jvQ3l9h41|0b$t1p<DH8C zVT;u33OlwdI{sYCDfh-HpfJA4-CZEWuKB^qr7WSpS<b&xS@AEsN%*|bm71q7C7xe- z#j^FjTS1zl<K2FNIje=P*!Mg*q}0?VE*$gA%<=JU&UJsf7QF0va7$Y(an7^Vf_Eyk z9Z#<1$g7>P;ENqgIiI3Nwba4Ae2za~bI9Fs3;4*`<nAvR62|@5CbD(Gt7wjSxsCyQ zT?&%&n`Xr;S(I`)GTw?$e8?~}J5s~pyE|xMwmmoN3;TnI7`Zx)KL~3bC{PvS3#c*% zb#{&&V$=fNuyq-<V)1Raj!442l!pwx`kMn9Qu;yHV}iDdecxEna84d{jGw9)--YNz z!+dFl{d(N&5>G%U3|WJA*fqB@P1%3o5Tlwps5JfwDveFKSy%iA?XY{7x{%?lCO5ms zUeE!9>n(+0hadj-1FdHV?N9)(XJ-cWb}YpB0<u6=80hfBPoPDCdqI1}>OcztK<hD& ztv-L~sffZ(F>dyZz0IvmOS(a|tDzXz1lxvI<}ZDqTPQ&V^8+t2u7E#s-0Tv)pxU(@ zw4mJvbY$eKoS1WrY1x|s8h(LR(<MoRx?&ojEq3Rf7;o`{b|!-E6kDGFI{px}1`zf5 z!_;%2Gn)3WakH;@5}|RRBwLIx;P%v3rZeADA2ReRM?!o3vBzLbJ7RT26s$q36w)I# z9O@44+%bolCoFv-!%<#PClPccGiZH=TBsOTfbE=C<};xEbiY(}WE2W*xmh#rfo>HA zwP(H=gZ8nNfbJK~o7Kwv<XZYdhQDh;jS^6;dZ!)MD7g%(#gt$xJKA(a68<rOR(70q zVw_~hKfSo&+A19pg}rjz>@RXoIx%hn9j|roo{oq@eELI%=3vk+04LBcfN8BvQ}R<E zGE4?7uK4OH#%JI?r~kC3Lv5-U--HvOC7sVeO%l*8aqo{FVl-k09enHwN^FeWtS`Pt zSU5Zs1Fbgg2c5{AzL4SZ^au-w)T63<avNUm(vexPO_Q5-M*i_bj8dYYmRv_G^A<nQ zC2^qfkz&yJ$h|X%7^^_-i9g9YG7p~q|Ni&?Xa3{|r$BdtT`Qj;_Eq-y$IS}bT4Fld zi-I1nirDZVDs!5sv`4f<%VNFQUzY*}uPY=u#`LI$i3zHDl=Rw8TcdhJ;Ixpi>9yXA zH=JU6jegcm`f<Ek&Ahny^PXonpa1@Q_sre*vTA#`Wp7yjRlny_e_QT1tv~ap`btZc znY_-vf3f+0?fw31=XL6uuie-A*=Tk^C-?qud*iwqGn3Qj7V?^$UVe#frs(R7=Ch}k zXNu1ZmA~9J<LX)cBX_#b&N;VbVcI)^TL#AYhHEdLiT9Sy6U$lFYrwsKX|G9l)c1pW zzjBYKUv!(TIyocx%q8i}iILwda@R!uv&)?md2x;Yvp#ce>x*hLLKSltMedzocJfT5 z@9dmsd5dC`p5-p}HT)d?^{`&>*(SLynQ0F#Zk23)VRegVv&HhWCf@fJo;C6=f7QQp zV`Ldi?zYH#)6I^rnc+P<JM5n4?DVj`9<%eq>K&sKp6y`XaN5auJ9qBHnfrUvt_bAH zKZ@Mj!M`*&f7+KtZxg1STlDh1)$ZPFM|A4vZJQkVQS<CSU6U!gY)RtB*I0FK+Z=h< zBRlO`jO*>AXJY+tUp-SjdE4xn`+Kk5(RpFOvHMM)*gWsshjnJT-M*}|Z|1h?k)L?h zwnj!>{APB#OulF5?-@_$X8xWf>YVX==G5-Yb&*@AZQCEYcjmQAXMXmTHO##IOzF*~ zQ)jp{*k}EmoXI|uRXdY?cB}t|sM)Ua7jDfsx|`?Voo#6+4Y!n~y>y7G-kc-2wmEX& ztZ%}blZ4+2Y%bD1YrHxtwkMX)banLwKI7tJm-)<#*Do(M`7F0oHu2ehZ|h4s8CD|2 z{YKpVOJsA!zAi2`>VAH)&(QnbBH8R|`&_Kg>HMBlwkPr(-(kJEXS?*a2%kB1(d7P` zC^zeiYwmeipFHzZ_-%@DdAr*g+oNZ!d&*YLyg$2aR;0k?T{Bv@U*0v#RsX`SnMdbe z+%;RZn*X?-?U_rHGnda!J)D_7<7xQCb0*r?E}t{fzQ2Su?W)<Wn`x!(f;W~fpII#T zR>rv8>TQhi`}8fJ&-{tMe9oNvpV#gKI(L#)o_#aw_FM4PtXqFsY{s-0$Jfcz?)e7` zr`0c5$@%$xM%JN4XEoj|Qqy6cHEY8xpJ3r@+L>8S&pl_&y6|_xGPN&dvb{UAoc>45 znq?s25-i*{{ZiXZSIM;(b^giv`p)<>d6}9Izf5!Nm6o3svjUyJ>iP0t^;Nc6ZGF$O zYnk=ExBi!9-=AInvhVx*WiR{q<3PmzMKAmMzsYyUmK;AGo4M=p<?IYM-g|8?E5h{u z=$g#c&6v^n{bkNWMU%O@R`Zu_K5Cp{6<x&bo9;b->C2p(>Lzn_?-#3;UA)OPe_5So z`=u-TSA}N%S{mmbyx(H|<tzH{zMFjQzMdym7P$Y1ZRV@#30%H^g_fCo_5LE_`&X#m zC3wHe?xQnS*Ln6YUKzjXpvhP7I!WKZL2DMSj6W5g`D*$r=~=&)+GUuP1@5;<zjQ_a zol8`<aX#zX-kJX;)~=2GAh&jI<c`lWXL9q!WPOA8A7RdTH9dvV_wS^8&cXXr)?c`y z|MR-ZSMQ5OdS!w8r`*kWHC=1b%J^4LO}=)2=J5UdXrFKJ{tDk`iR*qHeeV&xpQSeA z)${^B-@ih49fS8DVfFnxsm3pO|B~P5j_bXe{w8GBFI9QZ;Qb=rnXjf7G5h`v%3ZiJ z{#6_6jMa68HnV;m-P>^O>Y3S|uhX90_j;WtX5;=kQS4uD*{;Yf*PY%hs_XP$vNC>B zqRCh9DplXVlWZM=_dfxJ($ViGU%hYU36=%!4*`Wz6_@YdM{5?XjK8xy^OgAf<XOLt z=GSRHTNU5*IP=x?4@$FssqUJytgiF@;+64}?q9y5pXnRCKZQNME%u83&zUA)y$vTW ztJ4g>a7F)WvdLHPzjD5RADQfyDZZ+or+&-8SbvG>te@POhtD=uXDXjLbpMjuEZ6@F zdX2jIBYI-pW~<)KOg?jI<CYUTyQi65)2VMUJGZ7{w%NTkIYq3`{0vrGT~eEMwKik& znK?VRoJ@N+cZ>I#J>r?cXa4AC1fOmCJ1t>)X4=EdEicn9YTV-4{3Gj@&*mqJw{$jt z>ECig=k`0VGjnsNRd2COJIcCcXWCPrTQ-|th25&z{LAtdlkxN5TTaH?U7KRXX8!Co zS={!5ca~fiD058y7XI+_m6n&5CZ>sIQ<tfo3BP!y<>+M-)5M>KzP=Hr|23bj;(Yx$ zGs`KR!`JtP-m;aPd$*dHF5EwJnHtZ&r7JlPU-RDBJ<GtVdzo5~`o$|PCwomy6MtFw z`p($vA1wUr?7Cwyrim9jm#OJE&ziL%$|G3#+V@LWTF$A>nswo>SFmu^+G&a6rVH;k zFH_?=cJWHf!_qC+(gOXmlg0Ks2MeoN$2RZGI`oBO)+~e9-oe7piZingJ@T71YeUV% zWom2oEm+C<`tP)4anpsDTbHSoxL>}~a?fbitPA%&f`!@gLB@T%p0sY3fz^~{YCY8; z=jqIvWw5t*ncA6o%U5!K_Rq{ZwCHH*4OicYc`m`iVIarPy>O-FT-B^u7iuRjQ~R>* z;+2-V_SYakT1;Q2#<Tq5u34_;mv_xPdj7(d7Ejk;;bpt;H|)$hw8q%icLx8$m7Lv2 zO-vJaPFtq7#_aNymap4QOc!2GV=W65-o@za`@(nGO3uGiO-vI%u=x5))HwtTyY0Ty zu`}z?4~bc`3?w~*g_m__WF5*e_4S>Rzi1_A_gWLv#G6^FWr4zBwi#K6s$_h9U&Qza z3-9W`bfx9qZWGgm_rIu}2^D5@zjUP~(f*df<_x*D7j^b^FH`f0TeOn%vVLaPp*`MR zu{~0&dQJ8&tm|H8eeY(X=)9}4@8#Ss%fA1*>}B8g<XP{2JSdy>?#Bn#S?_+lFrM}9 zN5%Wu8@u2AD6u%Z;$U<U|Gnkn|Ey-66Wil<`R1YeizVAuyxsf5X0EQ?>}8u(r(Y=9 z_C;;hxoNhZmv4UJ&$No(_&V!M=>93kFI~~Usxa%<(tT5w)fHa5a7F*!YLl<s-+6ui zK9Zl3DE`&EfX(->kl%up@r$H0UQPcIZt~UpllZJ(s-@Qw*8Nho?pjuN^7rK{`bTSK z{aU(b_OiN_am!Z5zgnO1N__d#+8eHaAC)cMYW7(vO4_*I5>#{<teqSABR#5mbH?$l zGgjAi@-JT*KZ!f@)$|fG-@lXo&RJG>vfep(zexS^mGP%)-+>CCS0b~1EtPW&-f!WZ z`AWQ;+4t`wPyzI(t#rofI!?ZYE8`D+&U`gJ1LV^CPQm+C&R@Es|5DxLtM|6&%r{*B zPWlZh8>TF))0_?}8?0viT3Xk;tgdk1@|E#-%J`F}uS<*bk1{u|=UTfva>Avm8Lj>o z{mi@V7OjkbboW~Fx?if6eaq@R*)LzwznN<C)q7vtvO3MUr7Po4<!8K_{%S#dg7{bO zS~lOmk9?M_jK3oa3P1_pzmN922k&RG&OZ?I)w{yP_pcD|f|c=)SWUiq7xDZ4owUy> zcz;U#<tzG?r`tDl|62OOEqMPFLEpbYy31F_ziQ8XCH_2j)~}<vn*@v7XI9E)_NLXz z-zwOgz?a(+S;3yWBr@cJ+svc$FT2fF{ryj9caNdB|5Deqn;y3!HrHt8&WYUEXm)SS z9jDm|VWu`cJB81_at4)M+!>S4{=0vDKch{7jTLAl2)44}{g<94Q&Y}4gYNuLH9S6T zif87@#2}uNQ?_`hwTB&=WMawNe|*mKJ?#C{rg+Y9p6TIza>|w`29q!rHq?K(Bne*F zus!Pc)bK@XT#`P-d+T|C7B(EcGIPD#f9C#ciYxYL9-J)8vFe@Yhc^OEuZ|1Ov6#Ey z*;1C}Y%E*fD_eZFaJ(zdc}}-p?AXfvVh3A&Ii$om_1^kj_>s`0eO$oAw)erUqbz5q z3*6b!zTinR%l2n1OQ%~)KfV(=dBK}wkWRfcxKl6Z`{6}M)2Y}db#tMRy|W+OT+DKH zzOu!}H<l91pS@yPZl<(iukOK_MvixxInT+u2K*Ok;_l{<(o@?}qVD*Z^WfBUj#+vy z66R#D7Baa%;lZ(MEUM+IJGR<8zEtLXw}s=Bp307LTgRW)9C9{J0UtA)+`Z>_7iz8d z`H;fgw2HMUeYZf!{r(3>1X)b|l`Kl-9N%Vhp1b20@IA4q*tqGJ9q3N?&IJ#Du}t5l zkg>=3;MDViCToRbe)BngF6PLK@eTN7))c*8P2;b~!MRJ%9k)mgSJ^RLRb#*9!REOf zTJM}cyfA1wbziNbNX+r7Hs`#%UIDc}1&=(NX8l*P*m}rmUGDzg2WQe)O6{Er^75O0 zwF|{$2$|S4Ke!~y(wnci<CAvNGJk=X-|CK^udqryKNijD^^6nTp^sxJ-{%qVt)i)V zx$u<zJr52xEO_*nrC&~c#UK7A_0#%?EJClVRczyQ{K_u~nh{9reQ;$X%hq~_f@eZa zyUc~>?4G{hUowk$oI=KqJFODWgW48+JjN1k=W(ILyeT_gA*0UZVDEe3DSJ8|T=QjF z>dsPL=O6H`vZ?xXnW5iLQx3Ttmw-a+Cim2)Q}fj;ibNc*z8AEKb_w{))+Agmc;%1m z!IkNpS?c<SEYic2SNzs%D!wgn<^I$MhZtFS`&D*)i3JZ8e&L*T&#~a`c9ygA)pmTm z1v)k>lp}BN<ON^CSjz4E0=9V-yys~8q%Le@(ZAqPDNBEz`iei&P3p!?tJd#id2AEi zx!{*IhhL6oz~^L^<)E{@Lz|4%g|566n6tZW!M|J<aXF=oA0h`;W4j8a)_W8@eaqr& zuD&BoDPtf1!QS-(Q*0U^T)WA#biLA!&%sTb_=WCNPg+p($f)OY0&7#(YeAb^Jqtd$ zbIyC?8}Ris%lTO>SI?_hTvT51Qy6@u`#<4>GpBRD+V`<&-PyI?0rvg{iSA8p)q*j< zgd86~=3Mt@!h)BnEZ6INE`Zid1UF667raw-SQIk*ch5Tjw0XN)V2XXqgM%AcL~pb7 z>nX1IquiwaT`1(Q^1;pG9IN*4YcKR$;~MbUmSuSt%T{~ef@cX$yY4GkluJ4O?dH(a zQOvMV+VO?G>DYPUn993TC6>RGV!3|L_d<<zlk|KgjY@%oYuOxM26MiP@d)_l2Dw6Q z3it}O={va|+eGy)DC&D~(u^h4-t)s7hNf5X>K27uj%T?!{B+e;{N-*k7H(oH0i9-4 z#J%oV4eN{bpgWR4^8gRSK#LbR#kdUCZ7OIubv;7kz?T#;z5wg_txRXuCqHE9oevs{ zSJshP@YNi2n87b8&?ZaJrj@&(5f<<!%UI9?fq2j+%Q>J;mY_qqi$Rx$NQrR;$ZjfV zxaka<zX%uOoA6=ljyX&w_YWOn40;W^_=+8L^?14%*M+}2-0UYnyG;JGfHqmSw=(g7 z_LnZ54?4`C8MLoQT#U=WH>MrFtU`{PJ!8-ORwk8l(An3Z3!=Uxf(CzdL4!Ztt;}Dl zKzEO8bF)j>9B^Xns!w~!uy}f;hQm+a>z}tVs=WqnQTYuzV98yKD_}k7cqP!i^FMvX z_$F92w=!)3U86NKIzq$Y`*hF@1`9Xqi~UCqF><Z6(_7AP7_^%wGZ=JXNMkE=$hVY* z440iFEF9iih;bR@Z!Ty!wp2$%!7||?!`k1V{hx(md>1MwwlbwmkMB8c>5va{<o%Xb zW}Yt4Rc6+pWff<f7*8Ds-4~V#nvpW(W}l&(xRBv%HE8LAp%~YNIhzX_t`wO+DB`*h zHyLzrM%qG#yKg}=80Mg56&<b2B7JEK84iOMN31;U#CXgc6rp!P%PKUWH?}`JeWwGo ztfEwmYr-AS7z^k?`IkoA>@Q?McbT|vENHl=sUxDWzoV6zM{ILI!=t%6G6^Q2o6OQ9 zEDod`^xZLsDI`4gA;V@~&=C;Xpd%orwlbM~2VJuQ8tvK*8vNk~jWkw?@lDv>+{*Mt zKJg(#>+(pA0|hTtcg$g)5|*}*;W2N7g~QSVPK?WTZzyQERi`78PzO4S3N#5e>G{Tj zhHG1OL=<YRx!GUXoN;2@1lk(?`7HR{jHHJQ&0j$Sjoe~<A6~K7{r~<sf7>hZe<+n~ ziVEnW%A%M_YM|Y#&!&KmXmau9D+br5GDeoZpv4Vm6n*_ZR~S66w3+d_=){sOQ+)g| z)-~j9`77!TUe~be)oJj$hKuz&J42K{esZ2#{=fW7{D&oe0bet~D+=~ccyOeY#q_<R z#a9)_x2o%Eo~Hi)E;mz@<5e2xxm=%sdg~_c-GU}I9S<%|Wa;%+-ce-dc)6A3`Z1QX z^A&b{6mmTIls)El)Z_(U+*r!DscP)jKDd{e^OQNK+#E0P&5Ya}TK7FafDRkf7qIb; zZ7p22-mgH(KcG0fDR{TQm3#9aoDpOx^;fhg*K_>Kc(C;-3$MKLjxREfkDrPq%+X#g zWOBFR!L4a5XUo-g1S)G(S{z(EnbT{&bHQ`2rcK=(?`*vS{zW#ipN{J-)Jo%!Tk9WC z$=#%GEf{jQ{lU#dmaG0M7KLVxXHRqZ^>Sp{`xHErY}$2N=ZMAgFvS)7<qo!<<&>Jn zsrT0P!jFI^?c>5Gw%reI<#W!u?^E!&oJDoM+K#Dv*d9-boB80}Zq8GAZUyfrgU`_^ z;BY+pm_u%^XTVPX4^P~hR`CnjRCg`-wR-(g3-vIi6+iWwf@cd|`Nwi_rk3N~^}=(k zr!Dv=$s(?&mQi7QaOrPOsq-A`_Pny{xm@B3xp$KDU~j+hlsnB2u3hKwl6Njx?i}z< zsj2$9;FSH-9~_*_B5HcXqCZSw#UH*Vb!p)cT|t}My$gPYbNb1+27ErwvRqGLMJ?~a znY%e({hRn8=22BoaD8PH_*SKk2bYd>NWJ$ec&g3fJDcTtoy&zkHcisu!c+EiKe#5( z;kDnR;Q3ecg4{CRrs~S3PqzhZZnQ3V#K_X$r?BFWbCddWp^&{@4{jzky$S}On^kkS zSK|4VES9bJ-3!vRn|94twb(B2`1dfU-WE=&dCmndy_$}7bFBL_Z^6sWEZ5_zg^y*< zQ{3?}u4$5MQ}ldAjlKE@=jIE7?_~rXoTXl2ptRx#$HB$v99sLIrS*JH5p7!aUa?}E zrQ=tB;W;;EFGy>CaD|g)YrS8=v!JG3+Xd!ScP#jKnMHisKGw%3F*6?o^)2|A#u9Gl zdZEO>Df_-kMxD&T-uZ%4_OyUo5b7-D|GWaqRz@41+dGxx)Oz^IS*wIX{;?gLoXolE z-;@V8kFs3NSFrfV;CObwz?`U}mcp#%oafd!2Yh#Cd0wWlVn6%A)@DvAIp>0x>MXtb zN;`~HGOF|sZnYOSxt<F>WzZLV<i&RN9iLg7HkCG2y9<KX6tJ?0u2-!nF?R%Aq2{S` z$RhN<$A=tU$Y~d{j%Uvc&#~%Q@a;RtxjAkD+uaND6dnKW=Fqcoyzs%b$vc0?-0b7o z0x^~Lj+b*e)`fD+l6NS0yqiVUUv<Y<?WRlI9PjQp27D`Qsy;6~#b5WB1%H?_c+urr z4z2pW2Pf;mm%FU-4fs2qMV*bsG+*7~tAyj*dVx8S@A!IxzrSU9Zl<8&06GbY%X)J_ z!^3@`>NQu4%fPM^bn(?eC&p!<)d{z9K|}Ez-0T@=Kyw5)tqaB3As0(t2VIiX4_X`O z2RbdtoSXH8{INrfY@nHxr0K29J$k7N8J<Ris#itOom|$SilP>@UhpgE<i#UZIwA{x zg3dG00@bh6LFX$3fa+I9ZuS#%k2*2_nhx4G3tE_Hk@%2d>3&fC8k8J!j!`Q=aUsLy z(nt%3w}(N?7L`G_UUjxIsqiO0WLPT(TOhDM5p-Edq=mzKMlr4l^<AyZJk$1o<{=)< zj<h(CAuGlepa-hOKqn{sauwq<u%6M%bY=hfLySV{8v+`htp^=r0J<z>Uw<oe3TR=& z>uS)=oNGb1US)4CXgH(>+GlAC+GlyriSbye7+-+ptX3u;&=HSYxk1Y+qCmG^w6`*; z%ujj9uvYcm(WfE`dzrY|U!+88IFu!WPR!_QWqMK$I%26AG=<?I#%EyF+sZWMeELI% z$)E!!t<oMcY*q)IOQi}r`r)75Ju`=5P}P5{qm^k3=!D0av5^`M-yOvGCV(b~{;dS9 zs*vMmmC#Fg$gmW2HpY)a(9sW#txP__-yaw81w_wnWjX`8X`^>7C`!da_i@c{Wm?h? zx{nJqlkzP_jPHW<hJc1YNn(5k6+Nv?BB13ai=WCJcq+1Bj}|xkjGO~bjH{wSEv9oi zA`9Y@A2M_v2Cer<0X0h6L3f2DJY<;Z3R>(5+COW1+KKVkX**Dzu6WpqvF$bJs!%Z< zk%T?M-0Umf9Cl({*1oZz;Z`STA`dhn1zOosbmS0Y6zDjrFQ6ltHlJac9^CLo3zXL6 zxLHr+gVv#@f-W)ZZe{KPEo^!EIMU*PHgsXb9mT906^x)$BaE43kA19^o35D&J`v*k z)D+KKv#(4txjt<QXd%O)2|ixlpu?HmFL`ZJ;XQdr9kll0ncep5d-95_V|Q6Rzn%a8 z&7AZ5(yh<eJ%6*__uMR<i4`v|#%wrt+8?xj@MOL2P9LR@pSoAYeF5F7b@MCB)%ObE z3zeS8{{Oci{GRewDaW_H9OrU81Ip9EC+UD@QVUsl&#UaXq7Gh(b(?dRp3;tOU*<|I z|GA3A+)P1ZXTyVQeJo2?vy}6xYE<hS+-uBnD&7IS5^KAl%`Cx?xKDbJQuDQ-&F!WI zzrL}ke^XjfX>f35GDlW9$GJKF0pF#XinoK$<8v%ep3|l+923>P;Nvrva4#0$?+QE0 z1ROsv=FFSp74XTXDLPzm%D&bI=bTwmg}*1vsn!;p5<mOF!88`p?Wz@9tR0^+bIdd0 zTs6<<!<(3<SK$J4cFbJxjG1M*nDCXjhjHu9u5k@8_b<qkZu+%bFy_Ym1rGyRru(U6 z)bJggdYWU_J&%H1uM2-7o21w8<$pXSX7Yn;yE(k_eG8rkv!s4k-tnEc=~HeKd%AGQ zo+%G5^0G{QuUhfR#PRBNAH#E-c^to%3(e6Gy7EWm;L2LgtopeR&Tz7Tt|ERX)bvZa ziMw3bq^9e^rPc3`Tl9vj@A$;mv@9BYqtfSEjyw|%FFAkkRmA#gJ3gm3Z7LT69h&uL z6Mw=S_SJ$RX9R6-O#&~P0QI`ES<d$<ulN_-BrGm;<&W^em7I=mk8_;U`K)kk<!`p8 zVrii(@eT!v>P>B-P2gp8ItQoD7ckk|@Zgp_=dAl~1&;%pCVAVo6y`1E^jhy<@Vu5K zwOnDxc5%m_&Kz<w&H*1wn%tiYhsX)p?3}vbl`_luF9yd}{;O&del2vRM*rZ-w;WmZ z(;oQDUGT1)<J?@gfd4j4+~L9|ds-h{I?U25%x!pX>UBYr*x3(mRddYB_bzyB%%aM# zvg2zm_%Mi<f>ZWRdT{S7_^jd$GZsAR>MwYkq1$xoy;{X4UdO9k2RHxaTvgxw;D{%S zX}xQ~tD>e`_39SI_KtU-bDUe6Cb9hAEEaJy)r=jc2bcN_o80SvaLSx>);7*{e<v;Y zxs=8HpIg8uyQb*#0#oAt1@;s_*JVjHSKJY<qOo85U~?^}mYnB@7c5Pu_A68raXMbz zFJ!ZO_JUt?9vms^Ht;)ZE<ESfj0NA=InTXu3i#g5^1M%BMZL|zRz1hV-JE)VTNiws z%Mw0MC1cl{WQpguW^>GX&XHHsupnjTgKOd(Uh;kg&wsO|o>$sYuITvlHK&{phnAh+ zhm4uW4gH>)3)$S9u;A5i&UtTL0{(tx0bQSVQ^}%K&GGGh!8tqo7QEZfaZXoh#r`Y8 z63-8<V&T26z9U2-V-GWUh{d+$!L9Ecv*!C1Jl@Wtx?UB$65qJVx=!fvl-Sk>_ja*7 z-L6ovLDuo8EvMX7PObXs4^C#Xgw{KMc$3xi>c4`;#t93a3Hc|^3Dy?Aa!zQ@%{dF+ z9p^ar)-m9}Lld{Vut-7$=<J8Tvs#&Y)~7sVm}<z)I>YY7A;v8I4FL^5yNc^hGD_tq zFJw4*Hp0T;^<vOeH>ghmy38?UHt3FDA<)?mr(tJ5fR>Gw*mJXL*q=YdsJ3!>p(X2z zeN$nJ$U$f79?1h$ub@e9@YxUbXAUtMJ>L+}@UEei`AHsVW#DhnTsP<@$(VnVDCY!z z0xc%HJGGVhN*1WQqr%Oe@u#DeN##7~`eFMG0S#Yxbz~M4^Mj5qK6{9<>a=b5X-x;a z!-p8zq(NP=_6Umu8RBAG0sn-!*=NWcbYfg(pYo7lvmR(+#k^K#70?>?N^#ICa?pL( z>#O)c3oAgEcl|B{Ev)EjW%_bjM`pnWer{F?&?%2z_6Z9aAZI^-R|tSkRR*8^a6Hn& zLHQ@>ET)s7vzW4##keNio7Bo&QkJ%m;pSdY-ESbqHNn2Gm6_*U%0h;tcHHa|v7l`M z+cy_9oKzCy3W%*T1RWFzI;OCe8C1g_aAKSVT3iq^wUucLf9gYqnaPnF4&Qk|y~KuA zrZ1pV9$RlmXdEa29jffTui>=jfeKO3kyQPyOg`H|hZw$%)HqP%FUEJlVn!>IN<XMo z!Ut-VoIk{91v)qR4d}-1?Gsv=o=mNH`CqH^h<3der$NNbRwj|_pmmjJBQ+d$9s%8V zy&<6Cl_O|TiU~LC3eeg=A<$`y&q6^<KDoJBGwhEXVl?VhEwE&Lu@1BXKO1yRa2hD= zbh%k2-htLtg3fL(oZQMB0y<LaaxtjY#3jaMu)njF*#vauG<aF%)~1Tv3@^7vSU9Mk zcVgUhdqY9Py*yC=8nll>=BN{6m-?oHhKrV<t3oBY*=O82;l#KqSd8z(C;g}Y?c-Q9 z#0nTdwJmJ$INzq)hObyouD^1EW~QZ{r4P6pyAf31dU+@Dffnd{pL}BCxopYQ6wg_o zCz*Kac6u5u_whOzs=x2=w@3f?|C|5%_p^O<`R3JuUVHvzzuWZ1e!a`jr|WOl>+V#T z81XDMxK?z(&|m&JH#!zP+s3l|o5G4(gM%}ZIbO|kD_G|n@ZYP6+g!+GPy2&Q$}GL{ z3OhDk+9a_&v+cpHYb<BW)prysIG$Y0nK#!d;FnF4b-2Kky^Rm<bu9REn1z3y`idPH zprZyk9iQrQ&U@n)P+H%V?k*UTF91Hl{wd4yb?Pf>#ShMG=Xho3UXb_jlf?3WNlo0A zO>Mh{Vs7;>_&AXz+)pK=%Ix4)Z_ZiYIrHu~1{66Jyq0Xb#4VOE$NII<l)Ft2?&+~S z{jOROpt_=h>EPnc99r={AD&n>t=cYVQ$1n9uV5DSY2Hb5W@R=7&lbLNZ{~wDb}Xg+ z3Kr!yj(?3g^<rEue28lDc5XVxFA!5XX~9adq&eByf+qKS9vtgqQT?vA<EvfMrP3zr z`N|sAiU;?;7XU9*|H~peU!h`31mok7xaJ2Zm$86*CHhUT-m6*^%7D+{+tsk3tpCB8 zvn-|cz6I|Jn||pF#zf=_UgtAc-0_92=~%T;%t`?h+i4GOrE|=h?_covHj8S$61Z2= zFL>uCyW`C(T@ug1hcPQxY+!dh>dYZ0>m2a&GK>E$meBpKAKth%z1pv0@lnI^?0(@n zQI)Da!PU-9#<vBo=nBoT?pW~eB8#}6YDR_J!KL{^Ci@y6oYLo*W#?0n>vy5%;wg#e z$Jkg@w=3=VD%Ny~UEt170q{cgx!wW$ygxjsYU(N%wyEk^@aaCsJe?TU$04!vA4K*o z_%)l;ZwhBt-Gm2MJXyBx_b7N~)U?Z9!J=H<@h|7W)^tuOJ&(jW-me8s?lnC)HJfAB zJMV(G%q(a96?R-y(x~J-xc0s9lznp_oO{o4%FeeSe`lzn-_KGGxg5WMjSe3&<eN^t zSFb3talEQ8V6(ep!LRQees{bAK5u7Pp2o6uuhcQi&1Q~w!-ePE?p*Ni9*a1idPao$ zjxUu>$HaxemmNfNtoz%x;HNN)`Lsyc$DjsM*t7*-_*lyCIR<<)XsSLgIK{sC!9i9Q z(e;WICB}|V4G&H}&bjJe_k){R*#)_OLs`_fsjv81))d?>bmgDM!I^4~ch?Ke**$B) zKVKH{cgh(*Bn~dE7c%i%+gZ3S$LB(cbyIe}az-7;!QOs>DK>K-Tnp#$igztYcL486 z=@*((Kk>oAQ`w1gy1Y5%a-9Qyu4VD(Q(6(FTv4Xw_*Gwc&W=e7p7nG3-E|K5?az`P zr?6u8qgxWsW7-z{3u6&4Q_rYiIJlHw*u-w;gHzKvW|eWS``fYL=Uo=_e;xs!Dx0FU zml*oJRAniT^A9L9Z>mmh`s6NX13FXG5`3m8Xb7TQDC95m!OiKMtJJR_v``llzEUH5 zaAhoK*8bTK&P-$}wRbIem(%oXx<JeeVUs;`A6$CR$z@OnI<$3Ky!dC)gk5}~U0Tyx zna+UDv;C+i#x-FL=x8N%(7nw%p#5WP+^i?!Knu0EZwhGm13KT%AbBCf(bVgQpUNa` zG2>>{*x%O5>{FJskl|`MsJHVFG(OA;T0}m%mC3{!R1SkyxBs8h%A5i!P4DjCRM0R- z>~Rs-h4{&>%pzu>{bRBb77i<qI58dr^>I!e2knI75#tN^Z3S9He)tfhls%~C{|!2Z zS6@d&VQz%{^x}qduXIEdc58C8zsNoB#Q3RtV?o0~(75jpLoq&stW5z8pRPw(9C(ri z>RM}puDd?&#P|v{cHB8VLc^i>Drm*p6*V1^1#{CMGR(9E)nT@vWo|m4RpjSDtH?J8 zG&}^YR{SCiy6$><D|5&)&={-|H~WgUn;7+$GkjjEBeP(mE;p;kyMu=qqd-gLz8He4 zekpF&6Wc(ws~2c%+r(C8o_C-#?%g9S4!mF$;|hq=Y(8yyK;<x~kGQ#@;U?%PqdEm{ zb`P1ePK>iaM<ZUT1Rbpex<vZU0Vl>?paX)dXS6bXnGZT3*j4&b5tl&>=ss!C0{V}6 zpz{IkK^p>(9%9Vm72`6nZ*OHb(Mw;*aPl=M)Ic#?*U`#cG7q%ruGPEHlKq7)=&DN@ zZgz<`pt~M^Z!BoII1yBRDS>XfKI_D|YWk*vhRFG?Ojq6~JY?wP<YvtPHDQ9d%?>>k zS+G}+oBc%2K_|vtpmE<j`*cJW#Di{A5C&cKpd-c?uxkdWDVGd7@fkD|;RxE<*44^% zX8K);>BS9hvs#%{%t41L&IO%EB`?Nz!Lq59DWyOCA;VtKE#Lo?Kqo#=Yh@B?*O5v1 z!T@T@ojk;-b_>+WeFfV1u}zYjb;bJ=hZy62HsAkm`;YhW$<1d$b!@f7W@g!AA9v=b zf>!4ne5rbFbG&^0<2m5Nh0m^>_E=@>5}!jdr(`aH?%J^g&El%8RVkjQ;(bYFtID&8 zl3nZa_dlN>d;a;ae;@B$e}7-~Tf~ARr~Qj}o5ub0oDyI8yI&P_!hglj(6i0|WdDlK zStWeMZtjCKtt_SM)hx=n9smC31PxOeY`nJL?pyu+z^Hi(J~}mNM+=$Uo%`SxBg@%- z#T|uOjwiV}^1gC--S;VYZr8M_U-(XS=Yl^)+&jxQG%R?O)a1TfFl3#8jpeKbuQXZC zpHp8^#|mDle$Tn!m0{B@eStZisfK=cO*z5McI|_$e>tS&Tnk>RG#$%r(w;78vbXWU ztyq?`@~S&N$~c}(y?)GMdziY$Ude-Vl^k!@a=w%G4EX2L#Qt0m+^77?GWESe#U~EO ztCj~hr(Qc~aW!1oVk4*H*}0s4Z=C~v7c?2G3tX|C{vc-hf`6qf;(6*Bpz{Tnb4uy& z)jPIx6PM#<nS)zpIcL51EqENzH0ikT9jn=p^9B6_zL_;u3pai87qp4Uvh4{jOl@+P z76`dF@4?A9mQZ`24{t1*Ug<Uoiwj@*BX@9RG-uZTwg+b>vy{efwL50HUC8n8R}MW9 z4ykvZ1uvahdizy(e5!0(CN3EBThH;c;=$f{;VE+j?|fpDSpKDprTm(r#%|t&du=&D z#{eww3;4*><Ss50@{jM}<Y<mn|GFOB6lS?P{f5BfIT4Ku(xyGQ62`LizGuNRho)Wf z%HWASZVtT|*9#xgn!J;nj`a)2{A72${In=0I=Xwo&m_oBdHaKF`-P_D3ElZ=<akq? z6Lbu~zqls$e!-BMi4Ov^lzW0f6@r)`c&Gedj#c$7500E<G2QP1?ou*08J7!R`OAE8 z=X1_i`nwH}?cBiTc=#-b-rn8?ANR3@XR-MDtL*sZ+VpI?(48NGjwh`-^Zw3T@MSYg zdE9Q!W16!ScWmc${29w3_r^KkBS(|Fx<JT3rh}999Iwg?+3cRX;MaQ&KO5(O&!)4J z<^-=6x^l1M!5Kf6($g%@`INz3%3==i`GV{$z4^-E^9B6{W4!a)3TLhNDM)s1nq)0- zr;6Y4r8CF7nyv+JB3ZucscGC-uPD%VJlf77XX_d8^Xly6IqKSiA)xj{<APtiIsHO7 zv+5>2xH6Mv>wdR_XHk%id<u?#B@ec$iYLx#(-w+}n!ezp8B6#**9%_~nzH9BWYn=8 z?B#Pjnaz>+cglh<%q->qd;-EMdy4Z}n?7X=+uZ0{kkt9$Vk65`d%q7)Oqy23t5%eW zg7@+5m=8V)KvgVx&aHeEi_H@jyc1)2UZ%cczx~11WDY4aPQBXJ1s|ufgxC38_!8HY zU9XbyPw8N<dCtMDCs|pxe_~l$uDs(jW78&g;XBqd7yS9j!mp>kqC)xL;@zBD{+#n{ z`~$vzjZT`Ao-Gh^f6jv=>sU<h`xm^@Xu36D)#9?+ir=|S#pVK6_D_CrXfX@#eAOLa zcC9t=d(3lisxK#(!QIwY<}3G(J25_U2c2`54!RN9MvU*mFVFxi=rnaJP;acZrIq>1 zJka9y)NRLNWfC@MakFaVojJtlX1y_>;Zrqebl5<QD<F46LBmZ@_u?ODY;#9nE0an6 z$wQ1mpy9u7_aiMF(q86*dO7<*2Ll)Bh%DI8%+0Rx=ByKATRZ3sKG3w?4^X-MlZ~5o z#y3zeM;tV8Edc7g_O>#qOuq#>Z}=MM_TnmL(D8tMtxPH3lO8hc)dCG%gSL;QZwhF5 zbUo7Iz>6qQ=hc#%eTEIFpHmN-MpWhAF^B2OWgQuXji6m)d8ZFC232ngX!yns8n})S z<GSz{bcSK<5huo9*Fgi<6Iz*g<UvEQ`!@tMY}|JEsmy{;YGPalv9nv5uWU<O$naT+ zn>|AYbTDwK7~ch}xvfk~^iv)(%v~L+;qXmNjPJtk`K?S(<|jO4Xg>07#~db+>FEy{ z7K6rw_o#BS&v<jriE$O^Xvv#~IwA||v_NMng8HtuVtf;J&1_}b@*cEP@i?g72aOy@ z=ds^2a{%AFqXU|Jy$foS9D*JXcuEtr8xVBsPAup~Akcj5F<TuGg`X0j-3kYs7%#1q z1=Xpbn~64oF44{p2VHK{-^$F>m$Z=K=;R2C11mshDNhF-1i%ZL78d7bpJ98-iSgC> zjRg%yHd#L`;<^wsx0QJbs73TviJSezo6}B=yWBx%Dt3a-RFvap*N6d~sR%l`@{+8M zNWvcuZuS*tA~g<_%$!?j$-ZLkF(<}n(x55pb2=glJNdcUGi1*>F<w&NP|$F0r;doi z?bL@1dqH<N{3`>ETh9QETZ1OkmzEy{jazeZv(I=Eq2W;KF2-kYdv+_+6;SuS^D$`L UItO$^^#9-g{{K|B*v-5X00AIYdH?_b literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..0107b4e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,9 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..0e76091ce692a7c8ed1f8df69a920743b1957817 GIT binary patch literal 847 zcmd<`<ziDUR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadUB&85tOv z=o#r5M6sI~>l#~{n`bZ-uvD;2U}O+r;+n<C#SAtM*`!*oYUlid%A(Blj1mPyOA9ky zBLgENg^0|Y%)AO61<$->Jq5>{9EBi|f?|cB)Z)~lveXnkE`%<S29Pcj1;>=K#JuFx z6b0YR<f429m(;S%<kVt3rXV}7g^7zNs5CDxGcVl==51qRV`RskXXHA==xn7Aah*OS z2=pPI)=$dJ(=W{{FG?&ZNKMf%&&)G3(aYDbNX<!1(o3yKRnXPV%u6m((A7;zO)5=S zD5)&SOis*E(A6zU%}K0K(ACW?Q7}@_^--wE%!yA)O-n4zDapx90*Mr-CYKhaW)^_h z6$QBpy1K=Ai3P<O`6UV^N%3i!MWK0_CGknA8Hr_Ry7dt93c5M@=?Wm{<QFBDr0Riq zka#jNu|y6CCob{O;>^5s1=V7O;+({?RE3g^qSV9`NJ=m^H%AT<W-dk}s414lsHVsS zmn0UIfD9@wNi4}shTEN)p9fA<#wJEcMnO$6wLmt-nM>9=Ker$!wInq~0f#Y07RYH6 zVvMn+8L~0?T%LaU3eFjcdFiPNnRyBxE<Sn+KACyhAlDTXrIuypmlo$#Dx|08rGf${ zMIk>aOCc>YC$(6iBwr!9C^fMpRUtdIC@(cffK`gw)Y1ao<tD~PApf&gutHKh9~YNv PUW!6cYI1&2iU1=3aK-xB literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt new file mode 100644 index 0000000..f3cebc9 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "audioProc_behav" "xil_defaultlib.audioProc" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..b63ae78d97547c649741560edff4203f66e9aa80 GIT binary patch literal 811808 zcmb<-^>JfjWMqH=Mg}_u1P><4z@U)81Lrt^Sq=<542%pOjc+6v85le|_oxVf=`AW8 zV0wxQ$Q+OE7BGFxA%X?Q?)C`i>{0o_z`)QAp_*SZ@=s||`Od(=&@}~2wOrz#dZ^P! z#iS!d#hAZUnUR6vH3Lk)NArOMkIojA7YqzwF$moQw#TD8(8Hrsz@ytUz@yWH1Ed8c z-EGjh1#BZo0PL>j12G^o9)MhdkOFZ#4Z0x$om*7yAX(8}z|y%zWdcM4i0a&;G6zMT zr*n%+4@4eBb#76a0^+rRjp^<I^Z3^v?4APF$G`rf<^>1-dB-|kRCF9VN>sG@`x=-) z9!2qL1k9Nrr-R(r#nI8D@}B{uu&V{k@Mt^&ii5)-6;RJPfOLU;4ibaV3?Lzp0U#l$ zG7pg01*j*WVm=_T6R2V#Ah82bF_8LhaL|HObqi=-bl{(VtkXwD1>_55{{9$vbb+*V zb2PvF&p!neoiZIED$@KdEpT~=QJp>D5JGp?4yb)lcY)oo0aYvj<gOK{Vqo_#fQo_4 z=(Yfv3=-)!0I@)3bPIGtECq!PC>_FL8l*v+qq7GbMcohzrip(&NMZ&kPJ2{9)WL@g zosejS#%}XLkN_xgfb@VI*3AJ?1WFLyJ>cX6QPcr-At*+=dsIN&&XbOvCwv$WxO8#2 zbo8jSGcYi?bU|rooWErM_y0f0Y*@%LLPA!gBSb~GL;{j>z|jJ7D#%kWmqK-*g!RjQ zs3@x1N~kC}E3;lW%K}a`Ae|sPp%DR2ksy5_F%ZqajidP`$m1YSK>Y&oH7Jm>Az{VY z*`v|`^)u8Ua3TeH1Y{6|2HOXU1CS6@891YYA`M9loNz(mizEh4AfRYQ5)1I?bWy25 z67T^D6o3Rkx<N_9MWq0eE<jql1wc|D%epzhNdv(Ju|RsXIXZn*a=Lv~azG*=O`vq) zqmt3>qmlvgwvS3mw~tB+D4Km#5<I$HR4O2;z(*yf+eak<A`t=74f1KXk4gku%!5io z{%t+rq7W3aJ}M#IJ}My)!vne@0m<y6642?R;?wP;;scTK==M?ZfSB$AG946e-99QV zXr_bG6-XKyyb+)fv4ABskaI0uI>6Z*ssLQrf|4mn6Nv8iU_mXwq1go?&(jG>>d+Jq z%_JassF~n61-TC-2BN!R=@ptUyCH=nsBD=6DqDC!WeYcd%Y$G4|G#GFhLowyE#NY> z6T*WEdUW@wfK2RUeA)Qx|NjZ7z@xK9<%dUSiOL6$&JdLsAn(37=HSEtV*K!6RXhhu z@-8YjK>QaT%?BJnp>qMmy8un*J}PIreN@gsg8W3bkID(oP9K#cASECTjvx&?K)fAr z4O_Z>RJK4gZ0Pn;Il}CtvZ2#QWlgt_${L99if$j36_BV~0@8b<+ec+d=gH1f9*h?p zx(q;`Uknll+291SVTMCji3(U~hC_z|iWJ!GH=w$nzh>xiQF(qC777IXUo^t~e|Tj2 z-w~GnMb49${=0otPC%0I5m3s8B;f;~^ax7A2T+snevmjQ34=4q22c_P3vED3!eA-f zN!X+D%?xn+a*xUcFug^k158g*X<%evINqY718u&7N*0iiNArOUP&2^+q^!FItgPE3 zp|eND2BM*WkpWaHfm#6|k<K2K5{N_%szmEa{+Wls4bn~*7Etr+c#BE}l4TGJdQ?E> zd2~+!%XxGLaCmfrOH+_rx(gCIw}9>KhET2F9QfxScj%~5G2-v%f|+UoHMP4%1;p%Z zQ88d-VCdchroh!wXN!skNC@KH?mb{0<Bv`V-y^vPtkk2kM@0eb?LA-`tQ8a%pynbd ze86;P3#94Z4Qax6PXX)Q2XX^M1E|6QSyl*gJP(MqMFm8)eskoXe*jb(X}EN_sHpSz zH!wrP1=I%s^%A;Uz}<mPNG||XG=qb|F`=_X<qrcmz5-yO3=!#UQ3-(rKd2cAazr;o zqV)hI_|^D317X%gfaIZ#3XnM<za@Y@+@b=K1MxFJ{A8#DI$KmefYt8-(-8k*^d<Iy z6NyKsdxb~$9%w&fiwY>Px*^?+&J!M;haj4}Aqmf;dy5L#=FUx!R?Zd`u*IF5K#d|$ z7)gKv9OQcsR#3M9<TQ|pAW;b21F^MxBG>>>C#Dmk7t)UbDFXLnK#3Hj3t|?yu?dYr zXrcm1f?9Cko(xEJCnUZ=;$Tz2JsEIKzC{H@b#4Ji20|XxlL0jzyCGem&MhiWK&{>u zaI|;#fO(Lf3@8$jdom)Rs!Eu@?-aa^4|ZnrfeMg^L9PPX0-?c;Jy0lugrLg6Z9-7> z4HARU1i}TZkrfhBEh?b)C`c=a?lw61jG=Q2I1hD0D2RXwG)aKz&K7Xq0L3&YuYlYG zb{M2Vx<>^<ZviI*m+lr7kS3ST1Be9B15wi1qv8QFx6?(1qk9jy7y_Hsy$9Sg1+zge z11oAg0xHE0cej8GgXR~E2OlsrA7Jc+lqfIP{{H_TMXnQKFVuR7N{~aK0-cb8;^k?G zK2YX_nAzE)!UD>$5DKCXNuU#wJzs|Y0ow<POo%>+N>CPhx!@0^#{n*GS!KZ$1fuf| z4*li>;Eot1x>~@kFOP0;AcCR+<Y}-nR#;kv1SzB_h854CrZ8M(^MMjjasjo&k;Do> zVxT5Cl2{H%4Ack*iGj=jHR)SaKq8P<J;)45s~%)4QmY=qg=xdz=L40(-96xh1gh*H zJ(_L^1*-CT!0k|wSs>?iL;HLn@1k@JL7hO5B2cJw_khO=(AwtR3=9mQmOqFFwfuWj zT0j{OLP4wsM|%%A8A1fWbpxcphqwh)Gk~lCCAsb%aMgfjO*6O*ghxOHsC=pbRn8UQ zcymz!_mGey%|#^xWF$lgQb4(YIyX==SixTFbWs6!Yaps1S<*!%0HG1&8IWBbAiEMk zQRSlI0g5LVl?YHIxu|5oV+hO!s{{AATtGb;5H|wU-Rc2XE8P$Z6bwEp4&6Q~4xj+= zQLzE33xGs}kBUXNkBSXM!UWXKfp{L&?ekGFfd>qv%L8#atbB$PQ!U`?5z^@am8RX0 zmP2O`ywC)x>-GS3dO)RVcMqgcg%pzr&x4W!D7Av31tbch!QCEEcJ1zgl&w?1hQhl& zETH0)nZIQ-tlI-p%iIDkfIFeZF@yrutsoOS8DEAVb$dJ--|PUFN_$i`faxtNE5P&= zl?9M$7F2J7s%nsvKthnVQ3|Nk=urVt|4(-Ifa|ty2nA~gCG&TFg0)ycbv4M4?iLjg z6IzLP?*Z54AZIajwy5}k%xqBsS=hY?%mcSrKzvY(1yt>WS}c%u)E*TO4b}<@G*Au% zxe!c48$_TY8=Uy3fUPn722tJJ1NK@sxVZvq4R&t<m&X6kb#4J?hHeN2YQD?_H(w$^ z&6jZg&M267RG{sO?iLjgv$I7-1{7#8lflgwa823*ZWa7L(b=LR0`VP)>V)Kq<1H$n zp)OFk9B)zSVPs(V{~uh=g4Fy6HD@|qR029eRQ&ln#b8#0TC*S>AkTtRBWOScWO-+g z3aHKi1qQe%PKG)PT84uH1Jvq*gaN$4)dH@3K#2nsw;r921t5i>_AaQ!0t(IUDPYe* z^g(?HYQBOk>D~ghbR#6s2Uft0I@Sov-wZIWN8>?A5dbMAVZtumjtMTEE#PznsyspI z$?^XQkPxV~1QG(%oh{&I^YIpN+Z*Kn<1H$n_BSZRV5%JdA8_QKc@R{9IJk7WsMxr4 z_^4R(cYXzrnxeJFL0$v70^Ax0wZMCz4RT0p3LF}&plSjXprBL)3UCMwNk1OlffeAP z<W7j!A^Jcnz^yQlPe58A27w2Hp@H7L1?*jrBq*H0tuT=4PG}3U8`_))x5B`w2-3Ff zgd|gtKCmqXpjH?tsdR4vCoWj(ZGmM*P&p52g@IBzax2UPRBRaY_kDx6!XVBBMH$Gy zAa{Z60nuF?EhqV>9_;W@G2(CKX9qRlA;XAZEzJkOwJt~@Oar)zfVHDrz<CWaP=aU` zfD#iZ@WDo44M(sHD<ouFz~v>#cyRFvZMA{Qk?t+v;snxa1GPpxk`WDiQ278#%pe+M zA-KVD16;H1QGw7~z^!~x`T=Q1Yqf!uboM~o=`Jc7-Fv`g6WFZoJ;=GD1)`|&2&gPQ zY<NH$5(n7_{&zy$(+!~z%_gWoC!{=l`Q+FC|6pyPID=>dH8#5;ZBK|cB!Nyyx%0B- zH&h!a8Dt;$4^apzB3|BsNrCbYTnf}5efbyKVgrXUv~32OZUKcbga!u{q$324Kvq}^ z2KgSO5Ud$mts^1`A_^MT1tlYJ2zJ9vfYq9?W*|f@tkwgyc|hiZqZm9Q4-x^@{Vm|g z0#*Nz1O#sZz_@U20-6^)dsL1<T0|fUBm;6pw*de8Q=L8F#L^9+I(xv)@@@##*`u-t zoH8J-Q*gBlZY@H@J9|{NK&3&U(hcD{cAjwS;$Z-d#czg=#e-<ahL=o^{8J8rN*{HX zjt~_!{uWT9{xw5aiwa1A4jccJgB>m^svSNmD*P>=%!!a=hR7**_^2rHx446gE|@-c zh@4`FkBS0+i#AveDKEl1Imo&37y~1+5?G0btOU|@0Tn^rkQ%+S2V5b7LJy=4(sTir zL_Og8tP|2`1j$1p5tcY0siy@zl?Dz&P~w9&3%aL(4TU#dWI$z*G=EFoZ|I0ENG&sD z+@cebf4U(QsH6ay+{yS-{x_tv0k#%e_JEqyAg4fRaMA_)5}xp2t^+4am?*Tz2~K`6 zF;+<Xvjsfc0x}Y84ZIWrmqswP%?D~g6%Baq0AvWHv04F1u%L1jl3+o0A|+S|7i2U@ z54ho4((R*C0uljfg0x*hg;948c!UDdb}i`kQ7M2lQNgncAeX?Jub_E_9Ee;B$QY2{ zLGuf+mg_MFrkB6|Vg?&1W<ly9G&tBmfeaFYcpDaMpcD@mg$5gHDF6#LP~L^Bga;ca z1HwhY!3N1>ATf|Rppb)zKmreB3MBAAHX{WdgbULK?vWuST97zMD<lZPwF4xlgMtu} zZo45AD6o3KRVGLe$a#>kgclv4(iEZy)CmMB0)-5$sDRGG9b;gAxd^!=Wd#=zosiTI z(*#Pp;NZks%Ylsr%{_zs0n!3i$_na}g33>@hY_s>5Eol(0c;AM)&fMH{MG_w%%+b0 zHN#7mA1Db4Ja7t%L%7?ac@h*6ATbCHPF$dH1__~h5v4MKCN5CHk5CCqT#)Pyt4u&S z02D-^)DBk*O<bT%1`-3A14&#U5lG?!nF2{%Ae)gA7laGb22Na{8l)SNC_v&MtvC`F zD49T$2PECVDie_NV2KN<K7l9#r<ZO>E#}e%UaJ5KBxvmd%D*Rl7*Du#fMp=T2G#;? zM}pi0%Dj{p-^l3=WGX135h}i66K*g65>tGGOzdQQ+4mQH!VUX;lOrRjhyKHZRSi6x zM8bUA0L(Xl;taID1(bq3I=4WE7(1tcn-`F(7nD3eO<==syFeXBkIv(uJj0;F2&vC` zJA71l_*+2f>ovnM7ZqL5l$<V1mb=48g{wpsETadK(d%$g(Faf4>3cLD0oi`o@S8`k zi;4lLLJ|N)AlSlg9~A+QPDVH%JXr~`*`qf^#lWSD0o1Prclf|=?}oS??6wXU75;`A z6@DiEDF;*-J6u%wx?EKFI$TurURM79{~u%>cvMIMRKP*33sF(<=;T81Jp(}9M37u} zQAFpr&QBhU7ah7R9Xj@?fU*LpX^w0yY{0a`MMb`$Mn#?(X0u$Ei;7%_i;C{cr~lBC z&jhse0}5{!70?tCcw!bjPXyw*fPw}*O?v~hG{r^b0%%<d|9lsf^PpL#^A0WF9Qdb# zCX)AnnkBnSl@KO@^@2^h(G8m0fAX54+ePKcVNenUnGLoHJf(F2Dt`|)n>v9)_syZb z`x@VXx(lH63m#4A+yd<ePl0u~K|@-g4mT(rfjY~O@gEn^Xhx3;h=SCwpk7ut(x41< z$Qe3H&D;VW9PMlYk7s)%PXUkKcyvPMl0ZoT)TITdJW#0)>IH%91Jh^&@ZCLNy`3iv zzrm6WD4l_w<^`Iz0}aJ=_kg=+5T}7!t}rDY-Bl4F&w>Y)x`PrxP5?ExK=NR*&MDyT zGl&ls@0<eZ+a*r{H+@0sLBn|<Cxhuu7ZnG%yFmjiAa_G(NDz8-I%R-VL&P9MOVEZ0 z>NF9^2cSV0P&?S8v#0>XhRhKafwLO8Qv_B7Z>NI8ryJ5{24y_3Y<FM;cwE9W0k*^q zqzpVL0g5h=`@rhp4K#4aatk;vL6RUYxS0V`-MIxEkRWleDd1)XXda*&GAGoz1w4U( zkOwt0zzq)gA~|p!1sRNjPLDwbB|!5G*ajt};DZuiXF{iEL9PPX0-?bz3P^}TMutGe zD=6iFq5`B0Lid0Zu}61c0VG5!U?BoE89eC(X`?{;u&^m1aBAKHPH2$91@LfDG9(p) z>;WZLP^^MzkX>L0fy!c#AcTgjbOa49fHb?HP6>gPAWaE@1{Xj%4Qy8T9&lO%vq7E# z^&UMMkAN~Nco82sr?TpU>&6!FNH<6o)KB247EpZ)5`)lS$AL@(2|<*-XaM;F=5>hJ z0r1K#u*<sPp_&3x2PvoFV&D}&pw<<_JjilMP+<=e1DOG7d4WVAEiaH6kd_z7R-~2} zgbUXO>BNH?P~APKopNX=9vnB&LZAm+m2^WWq+UA6ao`pVsA&UhQ1z&+fp+3SVbKlY zB6Z?dLnl{2bZ3vs6393Li0XulT7qU?ppxK*T@QF3$?*R^4h9AWaMcbn7_?9T6ywlU zPtZkzpy64l?VxlEog6~4eL8dq0YtmDoOI-$dJsH<z~A~BJe>`ykRap69<064sE1?* zc)Wn49%MQwFd;N%)WfqqDBM8`2Q2ap!7CL(&H&ZPkYOHh!y8oabVDi^kOM&}2h#9H zih4-V4laox6D^Q7HK^zT>478wh$3iO0!cv>L7E?shBqwjKznu2wUwY)hQ&5yo(<IU zh9)G4sh}u^De3G{fhIH%g*`bybb&h6&=di23#e-Xaxf?=p@{<2@<&?d2}%$k70`GB z_qjl01t2j9%>ZiMfJY+0YM?O;ouvZ{fxBhUIXb8Sc=#d#WC&CMT*-jv=b!>5AY%hS z&72HSW_D2t0Oe#Cl>|^W2F;JbmOz5pV0GZ=1LZPk?g9xWK;jP6kL&IM&sRc%0+g}3 zA=wNP6rel>(hvdZF@e@DLUI^L+DF9$G&u(e4A7EE9~BRHKtU$wz|Mx(ji3Mp`3^)w zx=2XLs<Q`PZ9(KglXFOksj~+<F9OS3kn$3eryxZxxOhQoYjjTm8wnrZQUEn1<@sBT ze?mJ*AhpabU~{1pM%^vY%C!evh<7r+yz=A!{|$^Q82MWbz@vL04}iS|?HYp|3lam- zkWhjYgwRG2Bw#@)vwIJ?!38dlI$I#Cl_8BUW(W_dDF#vxYS)13GEnseqQM$LML)8a zL3~J!0$Cmdo$~HH0bUG*-Wv00K9m767GxBtIR&AGKtiBA4-$fS?N9|s3{+=;#6Wa6 z7sMx^%mK|55CKpk0yz<40HlV6CaTU;pyVn5vV{R;4%BS06F|WQ5`)lSCqVoKZK5?F zasW92;<qU(5PA!=@laRb(P`z;T~^`IS;PS{1!^+b)gbFYVjvpkYK&3}9Kgv_z%c@{ z2Nb{{Uw~=QdJvFqa7hjE*B<DE2i$)k15g`_V1=Dipi4?vR9^1+4jGw&v<)nv6$GSw z*aIHW+!u;cOTAnG>Wq7gw*SH7te`j?ZU2Kunn0OkwEfS(&^^f8|I?s(98!|ODk{iQ zD@X|jn}h`yEub<6RCPc~FGzg`E=3S+{n7S6cySe|@i(~J|6ia{56Y^DIE6$#EIB}E z%&3R94k7(rB+c}X{L%J5Ql}6UmC&XRyjcs`=LKo<!t?iN`ybRtr~vI{f(O)S`ybK` zfHaapt;NyyKct?6bU{Fw3)I4c&?BJzKOb5_5Yzq#504YvpIrcM8-m7iJ)nJ2)HVjJ z!;G=N8l((7`1}Ss`~@`wyn-9l0O{VM0+Rx9!A(z)0Mh<yuo>W?XV6$s_ZILH^3E;b zg+ZVy3?dI2dOiahwA-QrqB^&zTmkW*yZ?H?Jjl>9sE>&}^bDEItP6*Xu|XXOUf2e5 z5y%D*eT)G-nTAW6_>I?~K~a#Q5E@)X!-k}whJynKG?oNX1{EV=PiqT!`!SMU@TO<b zk`s^^Xrd7`pwj~0ZVVdJfi8##&mDqnMH-!jaN*h@qqCr;oX`d8AQ{lW4Qz=OD8+%6 zq%tsocKm|+>#+WL4}5<wxLXgJN~-~F9RjKJfo#GBg+jNFN(Fpa)JLTpWC1h;z*|u> zK*fIsc#$D=g*j9fJX{4Hzk&)RfCdD>tIMGRIUoTKBm*-*0uD$5DIft0kPQK#5OPtm z00j?d5xM|KFajPhpatn1AfW)zL?Y-Yf^Hub6Oe!hBqV%P47z<(3_5*Obh>?1bUJ-h zG(fTr;3-0tZXXqmP9GHokWfOmkBS14kL6vud{oL^x<XXsT{SN9Pjyj|199YBTP`{B zPX#Y4;{q)!<K%BW58meg8ujo4%t;OKeq2zN>h1w&way-R&H<%s$oejD&gy~WEJ$8K z3KwvCge2D%@WeKF^b5QNs0X|`zk3SUNciX%Y+?GEKhV)HkXq&za2~|mj|(!nlksIB zbU6()x(Yy%0S<S8W00d95E6*yE64}%toO3v59$;#(dSQ$mTwHi1~PcDBItw(Tn9^l zq7#&OAoOVY#?U!hzI8&^%KE5`mT!n+%tZxOz7f~7z*fG2)^vlGG=Lktov?kSkYx<V zTfmzaVe2=*OAEkrK`r1-yr4`98ielVfNk0d=kJ^b+iC(@m<-a{-2z^@d+-4>blrLP z9`Lpo(1;Rf-wtSE1G-Wjx-1^NZwK7iPVNCK1?}4bFPDIBA_i*(O(#G`DIhZ{AU-%~ zpj#rlr-1bye8v2UUw{?llFn-ojj)BmP_KYy_CQ_%(cJ>AmmK+L9sn(E3gho&fqCW& zNI#@G2x3A!1F922>n0%Ka<Bm8Oi+Dtyahb<57GjX1_^b8HeZ5fkdC)NwkCmFvpwLE z`ff(F-8v`1Gq%TDz{B$pQy?aSya*m<?uN*L_~2zG$t~cT8N>%QPC>H+AR6M`PRR0D zkQYI<G)NNcV(12Bh!}jb0OTpu$pWzA?k(Wm3m%9K$Y6`18<4vp=>;}t14<O24ikv} z#4o@EVuMWi#4p$cX+}cSA8P`&<rqN~HMkiCQsaTKZ3Zj~jVoA$gG@dS3L0>~0wfBV z1O|zBdVmju0x^#}fOfPYsri49e<tXxAe)X5(B2*fHqeF?P{=@3gJ$BvszF?EBL&nI z0Lg<L0pG>|N@v|Y;C=!~62$G~fC_Z>K-TcVHsXMrPtZwZ5Cz?10MZAx1-!El+;oMn zLjcu$-H_eJ&~>4Zjt6K-DRRdHwh?DLe9a5kna~~<$W<U)AT+oh1toEi5L6j>J2WVH zg2W&+WD}kTu5IFAjqsfUkfZ}iUa++<pllD_5_j+!GjvxGXk!g%0T3vGV$H~)egY_A zLTJe1AJEztkS4UXFJL9mB^98Q16un6DlEW>y?YNhBY@c;Pk{zAJQ|OH3OVrF7jTG! z&J%)+b%2bA?qO{{$Ozq-02P34V|Zx;-829SJJ4xU3=pm0PGb*vvJavaNuaYw1yoAB zJO|YZ3R;*}h+@#1oR_N51}h{`!5IT-{Wn+?8kHaeK;D7S;HZR!Jy;n$VS)n(R=&Z< zYC&B#kamb#Q1=TI5ukn-LKM{V0*QihBtjI_0|SYI9oOBW0x}8IwIQSP2cFyq*^Soq zgUs)PlMQ6!$iauqoju?=M`%wFG=bj(p85wl4CFx2<TXeUXdO4Sg9uXOqf!G>0g9<l z`~u*N3<{=hAC(%|VBaTxL9jR^C_u|HK;8otARwI}+6NkZzTF-XKAjxC-3|#poetnb zHbBx?N(FGBLzh)U3jvT}pnQj1Du6OSNE~bmxKsdzIn<Axkj#&e2Q4W8g+A1Koju?U z-Q6wVMNQBkgp>-PvIDtPfF6|A=Z0D;uz~^(<XMorK=y!W#P&QT{?;~dy#pD=fP@b= zEzofchyrjLf_fchCS<t;sNjSK22zO!vJ=u_1ce54i57G-6-YnCRM0XPkV`=k2@(a- z;BW`8%Yl@y-BZ9@wcuSw*oL5Qu)WM6wak$2W+${Pg;1b68DwH7<ICy4Ak*I9D1jCW z%?H7aDNsq#>A~^x-A{-T>@EX~LN~!dGAFESi6xW5(g~P`rZSIa$htXD#sYa2Y9M&s z95`?h>cH#fz%hal1D|w7h0F;ahJXyQKnGPojsZCUG9CfRncWcT;6tWPAC($#wt{v| zK+XbXN{~beXbh&)2eQi_n*RzMJ5M-u^MJZC;4Mg?@bBj64pGU`yvRSrMI{?FYLN|H zxYp^TA_7{xCd}V@8D)q9960ceGN7~sawUkymgYeD0wE8|PpJ6~R2G5cA*O;O1*!Oj zxDdLt51LTBr+^m>!qXgN;E%s$25guDl&G0oz&W)Ono}VZQkt_wDfK}C2dc%uC%GjY zV_<mc{Rgxwv*RGF(d5y12pqwkQ@|VJz8z#N^@g=*LCyy`^b@}T$0z={6QB46eISP< zKs*J}2uYHk_ywQ`D1Z_ws2qGL406i;BnAcsAqEBpaHaWr31ap6gb9ve!5)ooG*}=< za4LZ5Eh-XVdWwnw3j^p#B+yzTP*V)#7?2QTiFXVW_ykT61>WKx0pWuvn8MBuPyq+y zdBB?$0Zfqb8jxWg@D*7eP*D&KE({nT)`QyUAnT8}sPM2rHo=38geZfx_k21+RJ{2+ z+gU;Fz2hw^94sI$5NjaT^{9Z%0|h!r4(Vhih$|rR)S?117Gyj`6zu-a78M7m+dwpU zwE6=xIB32wL##R8qVk6s;Wmh}|HmBoXCCh?QE>sC(B#bD$qsYf52!YfMGy;nR6r(z zTnCcFa2<AcfR2Bf2|oVGiNEs%tSe)~1aT8+Z9NkMs0U^OikdwtAPSrYK<7Mxgj!TU zQ42b4hKYdz+$jU`L5C@Tl!AIY3SfWlQ326lt)Nm{0xZ~~0;WM{2Z8x}R0Kd~P61nk zbeIA-AR7-te9)u90ags5yA2VCC|H1cWaj*x)-Z3dAiM!$f=+w_onz4515TD62opa* zg+Vm98+HK_H&>v6e7r^F4m@spR3OS=Ju-ui5EXs?&i~+=@py~M4X7qi%z(@R`S$@c zBprd|K>QaVesYV-ACSeM)1N>z=%gn`XdVM8dH@z|QGw8VAdc>Ahg1W5pu$_gVc6XR zHVU;<1`f9FEh=EkJ2!z#ukI}>V7ogvL5e;|4)tg}1ZpOMR*r$v9ViWfXqPT<w+v*C zOGgu^#{nva6hIyWiFvSs>Q9hML5dNgka&VdZZ{<5BRXQBD2H^!K)RqEF%T1}BL-0e z%b1WuTRXoAfHEe?8i;CmM-0RT=XOv*0+NR~4%8C@IS!nrdQ{+&pac!-h=Bw;dsJYh z4cHWLlj{m(=Lv`c9SjSN1&BPTinsug2T`DLZV;~pY)p5L$_+*a21t|Z4w!{FVHdK; zk-zUNyvYS|COA4f5upY0J9zG)1)Lk;{sC{UYJnzx7!6Iy-4nr)3qG+69Iw!mhaomV zL|VYf7GxYa`9jY)0OfShp<PT24A5-|pv(o@h5({L8)HCpH(K_ErAP?91?*5zSImNm zfdQ>6237((z6E3sXeA=(5IH7jD(~I{PPUL@0n}mvDQY|ds!XCC;|?1h0M&`0(oLHY ze!u{z=zW<1KFt6m3yKybSy0jU@&%?WXtNNgY-v#exe;=T0f+@U;0}}^T2w$G@sb;S zrUA%YP$2_07u0fv=wx7GfF6+rVu8+;hUf&9EiY%lb%KHytP^4jD9gY60P5xSwx~FO z@_lcQN(YGUo}vP-E*lRCoM&PHWj>IlpfVF=1jrHK`0Jhmi8;v5H;9gd5FI^`LIBiu zg{D%7jvkd0AU<Sk9$1JKl6zZJ4uB-OAvE}e&MhjSGxI>{0;~|)Pz4<`0TP4I;D##r z^q%e(NHN3;%U$5JeBh#>))Oetf%lTaMPcVMfKQKsi^3WnkYiq8=7L*Xoh^_v6`@<< zA-ze^<`mFeSqu0;7|;sw7Vt?zuo<)7DUjd=bwNRS65JgFIRxCR1f7Ee>L)?H4{{2m zR|$%D(1A*z8~{E|8MMB&y9ay}9;n0E13m=?6c!+df*P=(X-Cj#K{rGSq6l<iBS;Y_ zl)8JshsePClh76a#f*^Cl)-dIk4hsr4eWuW0Z=gwEBiZIREiiGKxa9CsE!tu6JV9l zq8hs98N6?+8@hF@8@%8E+@9-(Dr-ChiJ>X*#i!utZ9D{NrA&bg)ge@a*2;sDAZXM9 zRQ^L%gPQjsVbF?WBw<MJYYJq&aW}-UZYz)i&}d4x5r_pcsaputRR#?MIwD0ADD@+W zgP5QpOGj`-A)PJ;k^q_F2#G0he(eEgV959isQ=ZCeC`-%gaD)o<XT5qR6z%DKyr|% z0v)IXQUwaHZpi2{D5_5RGG1`(V(4g50iE{V1)(}xR6s+mpm8T~&g<R+E`h-%R!579 z5;!@*gB((pPXX_(0tEqh;R7UcAVXSkm9WSG^(sLsp}`F)#;1Un@FNL>`V6300JY<h zgdqhxY&ALDY{-bk6!3Y0Al2Z`4>)o_&W1z|$RtSQfLs8J9G~76l^;wD3_j4j<kLF` zT2##e?;q&q_UN7icAPeMXAk%cFz8u~AaRhH{OdsyptfIk54afzi78Nb0(vSLBo086 zwIDs<5ixFvBG7O&^zdbfBGBTBE?6MXfgFMcnK%Z;*Et`?&mGJlL%=c9co<S9&H*=w zd^+cVYbBrVIgkPiM1y?RDGd?=>F|W;0I39(yFQ(ea@Gf$CqT+T<*;w3Fh~fZ16)#r zRD!BCA85G?N=u;l11STQyS|-FFd@&z1E7Kzq!Lu{f`madB$-(-GcbTeKzco!4+MZz zfy!Hu7>M@mW&jC+N)(U~SXtu%P|5)bg9=_GVNl5n5(X7`NW!3^7bFZS?~sH+WiLn= zRHPvZg9=}eFsP^n34;uC?6v?gK|+q*1|TNLEXQsE5EJAGSmN>ModQWb&;r=8n**c- zY=$=IaBWb73v@OPC>pvUr8qQaW0b+5wpVwL3W$Ov1yExPWE#l9@SF`T(?N0&RiL69 zqzV*d&>|d^QV=;CRQ7hYsDP-B78Ofyxr(I(26<%z69cF$02RSqkOH}*1zJ^Zft1;e z#~>+g3uON;(!O3$RDm|vf=U>VPBBm>1Pe7D0;L8}P6ic;AYl;Q#njQF@&VNJfhIlJ z90{mU1Sx{h;4&Um9D;<P#(@$8$T(1eha?Ou<3WWnk}#}{hZIZj@&Z=IqZLuGG9FYw zfD8c{1}Wn~B9LMPWD=wp0l5G<C4hnt6ctc$5EEnoq>Klhs|Kw-K@uQSpeX^PjE7dV zAPN%4po$ix3FKOMN`O`xAUTLCP}KlZ1qv@{6@i)(P|Ns_;Lt~;1W@e?Dl8d5DFM`I zgO>Hs(x{^aqxJ?BA#fcTkhB9Dnd)j$0a5T;93$<3N@q|Mf=W+NFoR<jdI1P>+G#!% z08$1jFhR;7G&oIwtOW^ybt3A0aOVt`q8bl@3J8z^;1q}u1{Dw>VQ^i75C#<xAYpKY zgb)T55FlZ2Mb`~EfdY~yKq8Pd0Wt}aCO|HLr3p}EK=~jh$Q6*Z0IHXvgN7gpkZx#N zz^Fx_H93fa#4@ZV2e}fS7QhDuL#lO%Dp2JEG8z<4&`Jn3Ei4D!`_iHUqB>esRzS-q zP;h!SAIJa|h@cDwG6F<Hi)By<frP-y5XCYmn304*8bAhsy4Og;pq%Ifx)=gU43rH) zVg*QIpgaf?%K(Xi%mA0hE-D$|qS!^H0$L7(Y=wn1D9M5nAV>>T9K;0c2A9L2x))l{ z`hf0+fCje?sF%~D0-{jrV^FmW2}n?V4AM~m4QtRn6Oj5CB=4h=0I~-ZE}%;$kb~Go zCBDl=CBCCYWhGih1EqdYynr(rs9o6Mq7v8Tq7sLt;RWg$gVlDpsKg>Acu-mb-K7xF z1r`rc3Fu$~HN`<OgBYU#=>~~{Xvk17QhkKf&xgo^`uU*R2U-b1`}rXK5K|!qZwt7M z0Tu-X9;lK74JUw3)&lXTsDK6m;6uT%6>AJ1pexouYMEQWV+Np8{6JbD0}0^1AE<N5 z_;TTU$lL<hS*X(wpsp`y`r*er<P~Wijc-7OC7$&fpfCrG?}6M6s-Yl*v7o#JZ4HA5 zV?pz!-LTW!Tfj$Fbb5dX=0SQqpp)&Oq8nz8NAm&jVaTA886*ax!Q(QZ`s;WL_=r@H zy~kU?$K-(y)<h_S4fcT69Xj)Of=1q6gGP=)6(mR#NF~Iw9(dOUB!@K433V5y8w^lJ zIj6%0>cI2<kOdncChVl@J>Xro;DiPmF@z`pg&AnHf&n_p3F3oBIYCEEfP3uV#Tt-J zt6;65UNDFOayOXnYyq$20QDq51rl^K?>^8FB}g88jw!?k2XjCsg0dYb9e`+TqnunY zZ-8<oD1^G<Yac=LY9Ou1#(^^lbfo}zh!a#p9&b?r)tn&R$02)nA(7GpS%C>(bOIgX zya?Vge7ptR?E`57c@AU_$g`k|9>fRL@*qB_<^|2^gB{b^0-hBCc^*{2Lp<Es0^ZXO z(gZ5NL6Tq>ceaDdDi9YU3_1B6Hc^Oj@I6>PbQ_%qY@x{(@S+%x&P|}A2tHy7Rti1p z1C%mB)<VU=DF);wkQhh~GCm0s0Zn@G&udXx&%nUo(6t3Z!;kWTPAIls1CQw;&x(S5 z02<f^cMu^5I73JEK*oYBf?AGmfd#1e2A?a^3GoW#1X!>}_)+Gd^Z_ysM8g(XfRc0f z7Vvq_kWoEQiwzVRU>esI1dt?xdEPuo6WXXASSfTZ1So_BpbM%%egj<-0g7}m8{{%j zeGOY+0U6Q*g)!0)87LpWlm^dffs!p;7J9HS^a=;aa2m)lPyy)i(=Tn{IzeFoHW#{{ zsT;EH1fml>%?J_bgk+VMC*e9l9s=uxs0AhBmvYcE4Z-0J9Tx*-U67w3G<aMLWGP4p zq^#ScpcB&cfQi5gMUVwZV&FYikmL=Se}*JEkO(NrL9fk#mdBuhLPiD#@Y$oFsD+u+ z?NQR%172@|5UGLfD}=0|>)ryE@16oXYy?!SyBZ#F+zHC*E}cFqJm7eOp8nklIVS*m ztU1yd1|Uy%Lyk0X>^$Yecmb5fL5&-j%^uB=4WHnI+6`Iz0Zs7WRNM`zLb^LZyiUYY z4N#~-!U%Na0!$plhHL}?9hrcz19XuJ^wbHE#_kSKgA{Zd7EDQZ2dF{Y3Azkx4|q99 zcZo_)cL?b0c#xUk1@#3k-3*|^+~Gb4+0hAU$bjm5&~||y@G^CnsW1nE53>MS47oD^ zJXZ=jtpaRp1UTY+AU9>To^<4&dDx{RMuof5>ovo17nJ}|f!Xb%5^(SVL#K<12Pmb3 z!UCE`I}dao@?g9O>NSFbwcAC-qw|nQ=Xr4KcyzL;yqpgnVb*q02>~77>!Jc`iTQw% zE0hU3%KP=a2_DUFGC*gRC4lajis%dh-_hj(I<49PycfWOl|ckFSL>nz-i46R-60^t zz|eY<e+uYo8T}GHP%#GD_rRJU44SkmQHkgdQ32iT<)96|-HQ=+x*RCuM|gDCs91np z>(L#e5&=2^U-RJqgPq5~?(TF^G3j<uF=>9m=*U0iK&Oie<erw27!*rDJMAFaOH>>* z&;37U_}{VfXJ-xQxVPh-B`PwV=Ri9cT)JIUWMJkRbi1e+v>t%$R|rv&F69JoQfNMs zaoF%A#8D-nKn6L=Ma4neMa84}0Hb5)0k8pv2OK*OfUHdLXgmb+XE#`JjY>o}LNO>5 z1w1;hgVYIh9t3Lyv0-{Vx<O$B+PdMR;sCNT!K3lG#A?t`evJx;N9Xm<L!dZ<oMG}Z z{y(IZMmT;1L{Z~MK@@xZ6oBFp>;@Xf56F@MjvufSNQ@tl9<1?Wv5MmOxgmxcKQF|v z$BzX_9j)UBWXS->57-GL#t%pj*7#}IPI3Iq5J!!l72??A=LbFF2V}_r#}C*EB*qU& z57ziuu#MvQSs{TMKRYC_#}5Zc9j)^Z$dUn$AFvZhj31C5tnu?;3&ruXLlQN9PDo;p z9|@2;TE`E_k^zn%uoFm(ACMlb@pE7+#qo1O3N?OiNFm}!9jX1M0a8ch_)!3@v64q> zzkw{l89x#pouKxc2)O+w0cyXAfLl?WE-Gm4H(?6eZ(t|jh#ych4Af@hfVJOxMM3Rw z&~Tv!NF3Cb1L;SJD^ROV1KfUd+zDC)2zK+!I7kBybpIo0u%~kmcylx8JZ4ZczH<tA z^D$^29;mGizP<|F7h>&@hC~<m`YMo6cgGBAM2tn3n1dR_&>j=(3vi@ufvjy0Q30Jo zQK0RkQUO|W3_aBu)b#>c32Jg?fCg|uW1%1c&>8-yt?v|wniA0F=P6(_4Np3P<`y9< zIXgj}7Z;TjNc;R?r;ADmXi;r&Nh-RNK<jnk)|99eAh*(eAhGNLj%6QEEPH@MtkXp$ zrrSj&2GL4)FXaKZ(i@L}`Z(a-7N7v>>Ubf_!q5P^g#A#5kBVDIh>9zJ3*wYkh?)X1 zBsGv>!WK>Nzze9G22t|^S&dUih>9bB3#c!T@J?4pgE*2o4jmyX_WUjGU~?cHhm3Bd z;Ocf!DbRLNsle<zOo2py;~`LtL9{?lK||64>OmMldk_Y&6xfZT6V%}Y#~rA93SJNm zO;?S_6IMWq3P@4}CrWU*zTEZi|Nm|mm4xOOp!2;uT~t&$d{mT6K*#5wh2EbH3Jwp} z3{lW!s*n@8Uq43CBGcicA`RBE4@Jud+*(9Bd{l(NTGpdzsSv|y3r~lS3O88G0u(I_ z;>cQ%mlIDQIe&2|VdO6fB}D%6N6lXuil9#IfaNa_Q2ug9&0ioV;mcnRko;u>&R-6o z{AB}92blTG8dv^GFhff7RvjTKmi#TC(RAc|QSgijkq0e0LR8H8Thzh%0x5qXt1;^c zQ8DFjF+)?+@Epk;6YzDlEv{fS<mE4L@PM-<*8By^9iWn21D3xKB|P|ecyLZ=JOnZY zJ+FbXQ~<P`2QAtKhw95cxO3Neltlc%6gd&&$Xy3ew0w99%F<XfBqDchMA1_545t=E z?plPRh2c4}7L?pIfr#;;70Qqd1sfmQp^V5)$m2sCAazu3Uto+6fh@t<zEFVV3>uFQ zft`S(eSvFy2&4z4O#!NiLE}RP8$oeH(fH5=71a1ypn^SqKF}k6K$Z+}{D7T6V*G&g zV2vM%O@!ko0#vUDfW}ur?MR1C9~BEwo6i6=z8c`cTA>PwAMkN30o@%Ps)+cJhqccG zJXmjl;t@3P*c}4CBGf?JN5ulAod+H!u2IqG1`pOkM)?d-TX-tnE-EUJ_8Vw?RRYvL z7l*aap_X*}fW~@3+DlXnkXvp7ko>~~u2}>?H49`+ywgQRq1#180nu{fhPTfnz}Hd) zbakMV^IRPvDxCZ+p!o|>odUXZl~v*uC@3L?9W-bnJ|To4wSh)=3Dj{Q>kVAAT~sU_ zn-4I8%VI;&nb}aSpwNP=1s!~Y9$KKWbu57j%6I`DjmH@_gRHhu@#sA6)6D{29Lexf z3v|;6L?d#c`O*r~)&~`x9?fqE#P<d@)c8K2hCRLoK<a26-yll{D85f%#y7`jP@qGS z0EzJp_6RI(;Er#QGEhbaH32~P>>9x0`vcA5+u{*we0w}X#J4z7{+{s=)YL3dfjbR7 z#X_cmNXp*=p#05W0-NlJfKGNGauH}+1eAx6IxHTD7Lf$VEdd^lhY)T9oj~QIVgPCj zNkH2|@HGjqXA#q05YU2z2durIpoItz<n}^=CL}y)I-dlxWPs}<uoFnEk3f1r$rv>M z1fFXHC1X%~Az}w82T{~s_<<Hb9NO69Cjz97*6lfvB?BBkU?-3mKOj9=<LAI$!tq0( z{&=7b$v?36!Uv@IL2fTNfYi~t{s37*i~7TZm4dn->?p!@0Z17rl0bzVN?qWv6BJ2k zbw6x8k6`_tp^F+n6}pK0gB(96bRgv$+<GdM_ZaOtkR`N<9}4Pfu%iga4@eo-_*t<J zZ~PEg&u~Ex5<jr|=Ybv~evrq*4M6IsT)tt9hl4D^S-#O{JRIx<9OWA^<KZCvplE{E zMWD6;Xqct*kmF8x+W<ZuPH?@+1bx)_S)h-IALRDI2O7i=#&`nA5}ffvpYa5+6L7>2 zuJHtr9+WtNw+}XevJOS<g8&26_(?Fp9zPpE@kr(TgAqR<O9nW8z)m1Ben5J##!tg~ zisMJX5H)@j3=#2zT)q{6;*rYngHgVLEWsH+^eNxKPQVdA#FTF!{U~uosC>iQegjp; zou@o{TLe1RfTG$3bPR6|h=BC`48S89ph+6gNDXL2WeWJ{Kym&S&?0j9XvqUR#Aq^f z$fLs^LuiIGhR_CA3?T`3451&Ob!o_rN90v}9$*W>J~`*ncx(a4Ba9zF>-!`?<%|R< zv@}3{aO49fLR3H<NrbteQ7};N6V!=3<<fZ&q#d&M4P=G}$P7@=#sJBTP8Jog%^s`> zW1wSUsLpFX5^)$b7&8IfA0;wA7VM@ZJ{-a^!cZU{L&zWjL&zcpL+AshkO0VWM8?Ms zkXj<+17R*j@qsXgdhx+=kdpYgQH&9+E2=SsPSjusU1-1%>S)9esyM*J07;@)Jq#Kn zgy#<hkVlA&4}`fC#RtL|tj<HtA0D9bX$R2wv_)qK_=Io`(D*d?EN}-8RtsZDeIfzM zdk)<l9>$3JL>M-H<>0|O!wAx!vFHv_0p)%LZ66g4wDD;RP~Mj41{Zn|mnoo*Pm6TB zsE8oOr+Gl*SKRRND-Y=Sl|*+5sKf-B;G&|S?V_TAG=BB^3N-73DUaqi1pN2I1l4~W zrr7-#0a6DVQXXRd+hK<4zY}KI{U-rZHyHhw0ZN~^*QZxlK>P>m&vjTJ{D<6MxM2=S zpOlR!f)cO=s2~P)+au7I$AFe8L6@(Cmd9v-rtj6^$u|Q$7n0z?nsI}f0bXN)W`esq zCUi3+rqk6rLR3^s>LHbVLRW`E50a!xM~I3te@h?u96L~+Oz7%((TAi!sUt*1k-udw zLV*XX0|UZF$jCav6QG$C2hdE42Ye>wrO$uxnh^u|ni0eTkPPS~NP-8eKsUnF*Uvz; zIb1zremWANegSUvh{<U$g!+s=Wb=v4&jvP-^Z+Zb9BdHj0lB=IU=2wRG%c?{@#EmZ z+QEgWK_J18D8fL&uK)^u4S4XsJ_z<Jh#*{kEwDxP?*?1!{$&8E8;tpzK=~YDhw8r! zJM8}3F+}|*V2|oQ1$*rND*%PV5RAVQX#Tr_!+#nebwkj94GyUBH^BjW{5=?={<CmI z^`D0$cK^))g~JexzYl2sV{pRmzW|WBA?UvqPN?y>1Bd@OK<b8|{}P;0{a4_OJ^l_1 zQU9HAMfKkeSM2`N0I8#O{DIpuT^$wuNbODVVxAK9*9_<_8_=2{^v>CKun8WlKaiVt z$3QI|2qwIK#=rv-9+3G@57r6pkaiqsv<TFeGtfNs{}5=UQizI3=c#TV704z6(D;%E zsPzu&n_7Tc?;fC*mw}_^3CI5jI?uU47lguw-XNV&P%B;l)QU%5L;><bfCnqbbwukF zDr5n58)O^|6d53kz&3&{12yxI*DFEX1DguNqU3cCBv{}i;qcM$Mh%}1uK^05iX#}| zqj3~N=*9&Mp^l3fLKc@WgkE3@{kVdmD&iW3kO4G+s1`{tSx8y`^#f`>Ed54+_@Evo zXuzmDM1^kY*8?-O7<?dUhiXBCE&UQ`@1H=p!9~TPyW@rrj`9wq4wS`cIUWPb*A5=6 zH@Ione{1lChdJ246MV7z_W>RKtHDb<|62H=`q#q`yMJeZ!i7%pTfs*=|9(L8FM~gJ z{|126(aFCj_$lx&(s&#wdqjZF74cE=0kdHzU4RddZ~&j^0a-EV(|PLPFZqM7q!}+B z{K*Qski|#E1a{8FaTk>k@IE`x%4N{Km7V9hc?`NkRD3$GAN((W@V&HS=LJW`AC8R= zKqslVXdZIxxCpwz(xu}hDED7}1v<C_<N#0uz@+&QOXsz25rgg;6(7gWAC3oq$U7c< zA??`t%a!qvW8));8P^>;eu6cIV6mwA!2`$dH(WXobYANXVS5M~7Y7y1t(W-cAMEf^ zQRnYp2p(QDJmAyoq7u;UqY}{kkg?MT%nX4rLqMXS`ahzx3v|X-w~tB)lnXl15X|fb z%QQdy2jOi3sRJ{)H-XeNKV#(l2<AhK05d(B4{3lJ4iO%W$HAwIbk?YV)?$L@BP2Qx zLEAANptCKyT~vH#?o?o4VEFzMboR%A&SM^+JCr_jx~S-YCMiIAEV^q{bUOb#cK+~T zJl1UoI_m^<h@6N^=ZTr$e>!%abkqa|wt!3L$;;2XLsUdKe}RI#I|SsN5*4ua=Ho1A zCb)E-=nPTenF%sZ!14P}N6m{c19-p&guL7j+J-`Ke7-=1kpa|r1J_BQQA<$505z## z6BHMe85umGCv|kXs31)tfLd=npw=5Ve@i1czCdZjp}XUN3M2ds6E37V43JTfAfaFm zL!jG5MF7%#1g)`W0nK4BmvDo&GQMW;Xg=Zr9tL*k>UhwI)QV>62vK1qwiUe<><<st zghoW`<~6t#O?bR8LzO^yo=_n<Ja?#K4^NO$^b5}jio^3p1L@((5KbUG6T(Oi&wz03 z;R!N|4&g~mNA41&OF}rk{U8)R5#c0<k4FUd@BtY$)WYXO1cCGu5J7VII7DI(ACOUW z2p@3i)Yak9L23DRqMh{o_#%=(czQ&V9G(_Y*uxWK)Nl{agggS_c_5eM@Z6AxJv>21 z(Jwq1S}0AQ4b526rvzxeS^%`3g2V7YH!rAR+x(Cb)O6$M>{_vgfdO4?%^J`MO^6DI zN8_Ol93XZHh<$7VNE~!77@|oh0bADuDSg4~oABBfuolz4khLH?AoiUQ0I?zVS%Abz zv=6%H4q{jYCj)~=^C1q8P7xK4ZV(pg=7M@WVLiwqh?o}(R4@fB2+<5W;{g=+93G9w zJV4@DUE<OF2F1T9_D{fLzrY48_KSc8A@+j~e1zHmgIN17L63&>0Y%us8$2NYALQ`p z<WceH24T)_M$ot<M`vTe4v_s2F-I1tU<g<cqPYNkygW1}K;oU}ck;t7QS)d%0*QLO z{x<;Ik3IYuc7p6j3x5`{AjJPGknDc}w*NYEX98k9Xg@ga@Xx?w{|2yCX!dUb3qtG% z-#gU}@&62vIO*}f0FV6%yRi5_1uO`$AAAQL)P4_;IClFR-+&Ic1f7=*PB5KY;CJ(a z&MWWkaA9Qt-S`4JX%ci00!Yw<)q)i?=FtK^^93XdKJT>~+^wmA-n@yWe_V1Lat<!2 z1UU}5^$)}Z)vlm}UP>Un<SCHL0r7T}Js=%rkISz*Ar}rp%m`5_f%ld7fQ=#8U7iBD zEflMzsGa8Logpd(-61Lkt<X-hJAeN@@WBq<5N|a<sD~c(3%!FBA^^I*1g-*f$u;OK zRH%y91N>7Dg3f&5Z}ozmE(|(b8x$<ZA?E`_nV?%uKuplxMGOoK%?}virLN`){;7vL zT~r{4xwMLd4eD-D0U3l%9prF}*7snyABUX33NrdQL<@-74Y9ksM+Ic>_lt@rnjbKB z9BMt#5u#$o-+BbBwYvo@3-g01f9oEYIM|ClU>9&gT?aWtxpfP&l1|96KTx-Jwy1zk zFNL0-+WY_%R-of6x*_5a0gwvNAO%!s5BL}waCI~VoNzn41a^b3RRk$S5f|AF$}kXB z9*u`y@PoL})ZTdP2YL=^JOauWhr1znRpJ_S$brTzsGzOru2IQB9yNemNDDsp6Lsi8 z1vYY^!TAfCfV&|H5pE9J00hJ+$QXnIcnm@XbqqoQY!WD~z0Cdl|35T+LBb4_5MHkR z`~N>QNii}oFuY{`2j+uf3e0yy<wFw#SV|i-t^vDk8&V+nfR2=H0f#uOGyol%3R?RG z9V7!ChMIw;5C}Qmq5?YWAC%0Fw}8*(1u;Px7^EcxQV4{oWZ)kvlYx`~GT;&bWFEwT z5S0*k2~eYwK}7Zk-9-R8As5X$%)B4a9ikG@3eEe{{QZ2elnk;RlGQ=1Zit^C0${@- z{)I#Z_?`%e3Ru38;%_Yk8+E)zWeq4O7#Kh&zk`|&Al~s770@*ZASUQ$8PIv`Jz#aH zxl)q9)e&q;H^dZ}=@R^{mSFMYkQ@Ut=Qt!oftb+Zq`OB2WS`;*)EwE}1GbwJBn-+= z(3}V|8+2N(IDaeX+78e_(94WJ;01OWxH1apZUj*9fD(8FXdn|gqxh&~bh@YrpbgOR zz;cNIIBkP;Ll1I>8-kWgd{i<ZS%d?eMR-uN2nX00P?Wp`C3bj3fyx<Bz`tyRWC2h} zfYbd+R6aB&z*6f#r{q9Flp7M7h>!z^6yyx~=8ylOw=g$<`mcDg`Qv|Z5Q9}fv_V52 zq7W3(*c5_GLA)k{RY2T>-$anYmwx{shw*~;6O7jXpe#jZ{r?c0wV-tXB+G*i_=lIi zpaub`Oopns_yST2fC^ox8c<$32x$R$gDY-m!3)Zq(Bc}(1l7bKCN#@ptJ$F~0BNv6 z&{`FnI%o^vGuZWHwE#dDeZ6MDYyp6-_X3N9y@<61umfx>)E9_c1FEEmZvmVH-PHz4 z%ZSzj=zKm<>$J1!0H_53$zIJ57!l$}@U{R}fMh$b?*ip-@TMh?q1yz=LNoymzF>qT zI#2+=T#42S_z&TOVhiN&mrjr(55|WkMzECnXe$6ZO@!PE0NvIAPVk`W2~q-pSn$dP zR04pi9MD~!3=9mg5`dQN02f#W1669U@<oEb)dnn1n|8o&@NCp;hL=f0svY10sm4JO z1kWd+P<z=7$p`SJz%f)la#LV6#0*ejGz6Oh-iW3EcnHp8v^_vUd*Bf`i$QC9NNIqt zJ#g>^6QpbgmA9h}0#GQ>q(M-yhXK@90X4f(8U!VKKw|*7h6Ex&vYpp=!Owgc!VQ8< z<OTsW(Tz3;a4w>O-WP;93PE^SKoC|0fSLi2A^^m~)gXWu0mHUMkT|4T1kRA!9MU3y zW|WcKA{cECfcx)cjt`LB9$+4A546&LfIwj{_V$3vUVQBV4v=i;^<D6@vWH810GjAV z+XI85Jphf3(e?oNET<Y3p8=gIKxq#^qh_={z%aUA0JI>2;G7})dI6H#11zKM0Xnn? zF5qYnTmjYi-MGdFc7S9%ukV82ku+S|1JFb_+8!7b?Ez?PjJ5{`UwZ%=HKXl;(e?m% zwIS`>1FWO%0Xnn?8unoyA86T!Z+svFB-?p?7b|>`^Uz&DfHGeIO?0E}fkDw8fX2pX zdtmUj2cS_i+8!8f574PSz&6?*phJ7WU_bWufXRM*?EwjpZ0Ge|Y{RWR08MnG?SVnj z9)QNiXnSDrwFjV4Gs4>gphelB`2x`LF3^4PpcQeTO@ko1yQ2nme<4WFgSCL{{e_?_ zI6<rAk3%-6ftaA3L6qz-1Q`i2gRK39pmqD*M64eG9m<0~U$6wWm<Z$sNL3GFLD$_w z1VH8=Z&3l|e~@pHb`e2$@nPEm0Np9@8)iPp5Nzt8I|VL-)#2Qa0J6Iq+pZ)t{?-*> zt!TTFO!-?Ez{J5Gf^0NI+bcK&SqWlcAjqx6?-V!y-zfmuD+t;S07_(?T@OIDdJlNd zAwuv8sM79+ZYpg&^nn*t!*5Xm>F{Vgb^;`eypOi=2q<+Evr7=<P0+q2vUdrB4CtN$ z-f{^y2W|fok-G#z0r+w`WaU37>cGqU<smDaLAHSTNvM2iegaFmLw5pz0tK3Zq5A<r zBCy;$oc9BQ@+$g%z+2!Y@X&SskgSQa@DH@j479B5cnf&19W<|kwtRt@P<`D!;2n{u zd;6sLTeV?X0b~MfO}`|6t1?&|=bC;{Y(n>gfj6Fk7O6uE4+4ApB=}n&fj#t^;pGm> zSMcFId}|7LLnyI(`*0q?1zEKDvI>%L;Y;`Lqw<lL?jM0H_5+0quBH2+5QJ>b1LYcQ zoAW@cilJc)Q3#4sYzjfvboZ#>F%hhS=v{m%pr!jBjc-6D5bpYQ3%2@o#c2KNqvFor zKU%+n_b!2wB=zgp2?yXC@e%dwlmnm&6<)t;@PWAS`n3WiJ6gY@)UV(Sj8eZsa_?yU z3aK_)z%?eM!UffiqxEa24`?qv;&98+`W3qGp1k@MbPfope#L%1&KuPF6<is!J|Me( z1+}0-?ZKgUKF$X$=i}T0H&6MuLk`G+o{j_Jf)>?xLXH4}@0J5KkU?Vvm>c0n&&DCY zzl(APj>AECm5d_pau8Gv!xy@3Ks_5r10;)DD}(X`F}+?;a)$R-kr(npx~g<P8>bMl z4-QgefCsUub~X;UFo4$8$R)vWuB$=$9=$Kj1<&`OE^sI01RPMl2UXCWkQy7><Ap>6 zXcz*zMGn@x9UkZ6<WSysrsKIdUXTtkD3&1c0v@^^iRa>gH^UQiJ`Om`LrOEypf+-@ zA6e()fyx2&@oUKWc%Y0AstEAb{|8@iL6RKyCcx<7IK(#r@E(ry0n`M*ayZTxP$iF8 z>yFk0xB-&wyuOQT7|dlOosS1kbl_$H)egr2mkFcIfPP-$n*s2cpk+&7Ku^X=9a1d; z&>Ro6K?aW+aARPEos2_p|2sIZkJkSoY!ui3+@tkB9oDifIK+VUESx2W@C^ZUfMh$b z@8TYA^*=Pxjn@B^u4MydfAnDhlInkGOpMn5gJK;UO8pOwn$h~70knP%+{K3<hdo;V zcS0_e0~G)i_5XQB>wh}b{{e@w*Z(1h@zwtpAlc6AyLg6M{SQraqxJuQ*Z<I%7_I*Y zU;Ph_n$h}ywEjoFy%zN_Op5D&-qHG>4)s675$yFp%MpC_{|jjS54!zrs2`4xGX4)u zbffkEfY<-fm>8}92VeaUjhfN=f3*ImVg1iHTL06b{@;M3{@;SP{+|Jo?YzE=Z@BgU zp^0v^{vYuA9~u**_5a|j|DjPcTK|vM|1_-s`A6%2I@JFON3r++Q;y>6|9gOBJFo9T zT;M%)&$dJ9|3eeqX#GFn^*=NwM(h8<SN}t!X0-kvt^Y}@|L?*V025sQ54#z2booDM zc^)Vf5IgcgwG)+={|g+$Uj2(4!&m+PfL8yY<6nmQ@P8g+3n2W?Pf!3;?`~Z1lAzJ$ z|NT7h+)r>9AT0L}+uA>ENUi?&K<opA=aG?E`%joKy8a)uRiBvi>nNW8hu*dbs`@aG z02tl>N2Lb90UQm0BX}DCD?qZt<Sty4{y#L)jWz%Vy#Ei4iDBCU7{dGipiwgtTL7c= zKi%qo=<SN5^*>Dq01A#{9{?yhj&A@U0wg<3?!HB-|DlO)wEiFP`X3q-qxJvb8~=w! z&1n5UI{r`E_&-VK|3Gh39IgLpTK_AYz+V5WoWNKAbAV)r$z8W7^*=Pxjn@AIUjIX5 zVzmAreDyyxYDVk-(fXf;^*{9X#L@bnruF{?9QFSdPz{gs{J$L_*<o_GElT|lO?0F6 z|A5#3(3lvl{|8_F4~?49`hT?kr(yjMy)ALH{-<gE-*6Ip|G(uVzW#p(NOqXqWs6e( zLlfO-{XgLKKQtyr>;J)5|3jl@wEiEh|7lqNvybloqr?6`gHzb+f0I-A>VFB4Z0Ge| z?89yUKQz&e*8c-u|3hP9wEiD_^*=OfM(h94`k#jNKgVeOPlx*d0gn3r38;q0IsShF zB-?p?7sqg`|DlO)wEiFP`X3q-qxJvbtN)=<Gg|+T*8eoD|2aqNe>&9v6Ha6A|4%uM zum4{GlI^^{i*vZu|IkD?TK^At{SS?a(fWVz)&J0_8KLz*_`aXcJu0AyY0&*YprdR+ zSN($M?hX|;28K?={eK`q4^{~_1_qB#a_;}#zyJZqTU53{SPUNBE-Dq>J}M=h=el_e zuy4h0eDHvQfx$)dkYmTiP9GHymyVO&Au1l1Uv*AV0T~G~BSfX7`4CIzwQdoE?ma3! z3=9m8oj)88{*ZUXllwu=z-}q(`Tq|)LsZc3|5*Y)0i?SH>;_2n4suO5#B&e<kom`3 zR6zM2<l*BjDxh=*Vj}c)gU+32Xnx43d4hlHp-vYShmH^xd;ZqnF!Mo%G(TX(P-oE* zqGHb9dKs+lIK&+wqmhEQ8)A2N57^%C7Zp!5KVa-Q)Ow&JM8%B1bp=>!H^f!2yU9)Y zTNl8@!5-=X#}+5lbp{<FD*F7bGmw=aPV)h|mH5s42Yh;4R3<PmFm(5TDUa?cDxgHx z+2wEsEI5S$QgK5BUCtnQ9*u_*_(0q(U>zQf$235)o!2`LbsmHr;{!_Fhr3(AsbS_$ z1qKF&??0OlFm@j3Jmzum8FS}{P8XFNXiRu?m#9>9*Qn%l{&(#B;lp^W+s>sMlJ+}Y zR5V;VPt5%O)3NiUqb4X3s<?EXy!^a7L`8%17c?1mPXUJ$+??j)ESN^Qbe`x8QBj!b z((R+7;`sfiqvl1JNeW<-Kmqu2`QQKlp~(hxD$z^%e_%c+Ho*KOR6aDq!BU{R&CoJ1 z^t2vO7{YR|i%J40*Ccp!GkA2ms6=%8sAP1WI`~We;45jyiwA$Q9(>5$>7x>I9CEuo zG*yAFP6shTT0vSuAelBqC8P8DLFC3WT8@>0<X9PSjs+c;12G^(B?O*hYg96b$gUs< zbYohFnOD&->$}Ck!0;Nh^aNx(Bx{0L&`V$;0wBYWx2Vhj`H+Eu;dqP694NCJ5(?ct zDj+qexk!q?RU4KSK(er0B+1{Z3>H5QN#P))jzg}d1~H+DuDb_ppW+GB9Ms(dwwn_q z49WuC5RD+S4|Rm7Nbt8l0(<B+!^<6%pS>f3mbL|8DN+QSBEbPQ1?+WD+K%Y1QSm`b z+de88kc7<xPS^sd37ZFO3@C73RzVUjD2&0W^*$;e8s=cBBamZyK&1mW#QTUa0*6Tt zq~QJdADZ!+KmAv{*!=N7I55E~Aljf|+zeI-ic)L}LDqERH4&@=5|{W*1Sx!(^6&qD z(D^!`637E*{ThR<ehnC{Uwu^E`TIxfS8$01D)bR4A5=Y1qkjE>t$zIiszISuut(#e z8L0K^4Up_;{rd0!e?<KX&cG=3D<t=h)~_nyYNG{QZH(5hC~e2l`W0J^4XQS~sa(HK z!B)R^jMlHC^((FWuM5s%>Ax;H3+k{!y0{*Vhc2MjuN@%S(fSpoeg$V>l=>Btdq-OR zY6k8~Li?eR>XeN76+F;n2<lXVI$+SwFJxE=+|>kij=CY;URYOCioZ1jZ1-zWhZtlh zsP}ptQm=!U-H;wLw8x6tH<sjYbp>liGW1}F52*iY3l=BTHwM`U?#@9g2T<!5+6xCe z7^#;BbFesn>mOL(IEM1Ru>e}%m<QH3765l@K@RLDvTw`*?i=%<_Ki8f#(?|Aa*z%$ zC~CMNk<bYV+m}s{UNXosaM$=KDjymfV5wCQLqOq0ajzL<AEeg|avwE%&3H@%x%j0Q zsMkCJ4m=v);O{@5!B)Q?7_Hw&>vvk$?*Zqq)bAnZQ0jLMeh?QvK5YS#9j)I{>UVGk zMycN+xp%aFC#U~BTEC-C?f5_&Oz>fA<oOuTjE9TLX#EZvJ|C?0dkPDd`R53h(fQ}m z@o^f@KQo-iQopmD2UY0s{<{D#hzqaZUyRo83=A((=bynD7^QxP<ld21zmLv8<Eev3 z=bz!j-k`}oNS7Nl)Pd6F26d3BJw84MTm3p=w0<4!KhwJZya8MNx&^g<^+2s(XMki! z`_Cx-XK)5asb3+vceH*br~f><z6-WIdvtvl0|Nu3A_h&0U{u6JuJ5{nt$sZ*TEC9g zue7dT6E0xszouLOby(s3*9O%3)dM6uTEC*yuiy-fQollS?`ZuxTEE(X*6?R^x~Poy zUk9rH%EO9fe3*fCV8@3YAiEtLz`Gql9eU7ihl+0c?oYDk@81tzVgcP~09i8vat-DC zlfe6qN)5rQlflCjSoS7?cL|m9f>(`zc0Yj@Cy>5HNsqsE1I!nojZ>gS9-svzx=34> zKz{0UQOW3bQORgMz(3_6|F(mjE-ESAAPm`(!+C*!Do9MD!$(D(zqJEqBv>mn?Lu~K zQDbSfz(p)ougFD|s&@x!)%$~%Rj&ft5*8WQ5*EmYBTyhf*JFal=)hZ`(3<~{T~Lr6 z9unYHEHbF8SR}x!SU_pvWe;TQ63A3goWG2MtW|;Wk+&+@qg1uvyzB$om(&8;(ZveM z$Sx`opbQiN-KrGO?W2-_Wvh}8?yX87Ek3ZVN(uP4Dv3ZcuLwBvf|jd*>;~;7@<H0F zltBDeC3NdBw<>vn_A<6!;-7!8!$(D!zkk4%dI|Bjrh#(?IMags4qD#@U0eiW;#=w^ z$lvM=){M5)OMt)C8Z1uCQZMkDF6cNXC@~RO>c!9B`U|$yD+jXFD*@LoKNqB_;DGKL z6&KX0;Dk;W6%Mp~!UD@DkTqJM06|*n1vUgNpZKUGfHH}Q3OJ9jAm<Sg6>t^-O|-sL zfUMENve2sql374jfiur>R6aB=z*1`<hJZo~-$E~F&PM6LBCVS1?t!%9AWPUVRJ~k+ zvLK7#dMp-pEcNk^(e+rP{asr3cMo8zbdR7`x(=x8u~vX&NBg@dl`uF1qx5$nxp$=X zcL%Hz9$jyZy3c-ey)}6KJG9CM)%K+9QU*07Afwozh6hUZ4l;`5_0~4n>URV7f$eXP zo(BRwnFHJ}AExJl6kNj6sV=#M(y6XMt=}U+vZM7oO8pMbz$o=QB=?Ti?~r~FWM30x zunbgW;y({$biMWHdTYFAhCr)rFa_yp<EV|nDxf2gcufRV+lW<Qq}K1vZ#+CYYg8OO zI!jb6Ji2RCEcSs06ClSWfw&&s`#lOl)p?DI21s7RqxC=klmi_uD(WSiufeBvY5afi ziC=&fG$6DCq`C7TXavi`qw$aeNM|?5<i=wlHbnaPCw|aESq285URD#(aZa5zDiR)@ z*FW(Kx~K^7Yk;*&fLz4k(Rt0M+ed}NqmxI)r}HGlS<Od04jW$b=q*t(0FA|2c(5jb z8XV0BI6x;CX&iS^F#x&cxQhzNbcho@x*57XSehR)cKWE8fOKhqL^zs1{%?NB*j=My z()^Rbk$>ue=Kmn-pex4-$A$-tj{MtBbo!uL(|H`?if$Jb3lCP1uRNeaFO&ZN{}11) z24;9*3124op^{)Dx*JY_LaEaQVvT_Zc*wfLM@7Fx0200i{~x?&@BsN5!guiKcF+JR zn+h5r)Z=em2p;nS`O4y0gF+Dl10$I2(aQ@uz|y0$17ySN-Pj`OSQAJ+11!Q8fSdx2 zFc2Fv!g8SzrT~jD321~VfFeu)8esw+ojxiYuo!!3`yX^fhKmZs<=qYraM!c*x48WO z{~y_7-3|`N9V9@Z^iuQx|No$v2B$5LZXXp3kH&*DK#|>f-lOvvI3h6QFA$LD-~c6O zxc-;Rkw&yU8sBhmfu#4SFo5YTDnB?uO^Q8WdLJ_)?pnYi9^DNAMIa3=Dic8Ff^s5= zhD2hIN;C%pLx~v3dk_WSsBAm}vg9yC6l@P7LVHxAI2aiCTMt3w6k^S>h82)#g>Vru z3$pz68jP5o0(RW7CXg-$&>3oAUo;-l0J*gr>Z!(KATDMUcYvdKk4gZ@Bj9Y=qT&H^ z6ewp-feLR?aR5tS^Xcw^GJ8}kI2ah<kuD65^cF}!f;@<b_Z}5<ka;b#(5OdM1dILu z(1r@w?W`adfDRc11@rM1l@Fk#4LOwS3zP{ugasrE&e`1oEZ;9FUTl8I=+JSl^`t|` z78Ns)ovm7ypo71V)q1eBp5&i;sAGzXDOiOBSVcF)j?Na99u5Z3L17>Y9Ic?~q!yJ9 zh$xtvq5^UQWKyX`#ejo>p`%AdA8gn&3y^gP!xT8dhJn;~wy3B;cpyPY69dHRhKNH1 zkW_T{fYpD$*a~XicT7>y1KTj$4rBu)F;Oe1bipQn2b+vNsC2+8o`O{%d<P06(CK;{ z3=BjCl?EiJ)WL>rMl-Af5;)MH>Vfb;f{>5_u|RflGB7{{kW_$<>EmQzKm?T<*alfA z>Iao7*yIghld%Vt3RuNFunO{nN&ym7@?gVq&<uly1yzDd4s63OSLz3qEZF2;H;~EL zgGvUhq8hA%{GgJ61eG}0Fi$kYDj?|y8dNn99!L<9h(N6F78Q^<L;%F<Zczb?_khI_ zsY(oNLz*YZL)6WHqF|E`flbC9R3cy%8^9{a4=MpjQ1OEet3xx4nyHEpY{Ls5>IW4s z*yL(okjdDCiU+JB6Rd*#paQiUI(k&t!G@Wm8Ai>ZVguW-FaYEs>ZU4Iu*oKYAd|5N z6$@B}5?BTKLB#+`RsY#REtwBsk01=AW>EbD+Yl83@(^`{>Mz*jw_ua82h|_2irZin z2;Y%f1O8wKHx|Bw4O@w37&U|H8`uWn2<ivbSFp*;z$Rl4sxM#_Q@|?752_E4pn4BB zED6mpY6jIiunlLTsUK8t!6wJYfK0|7RBym4e8DQn52_cCpn48AOd8EFY6jIaunn#8 zAP-SD13m?tERg^*8GBGY0jppGs~|t99zcTXKG?7;V2>aSqh?Uu1KW_44Dt|lgX%8W z<gZ|pu?N*1u!_fE6$syvT2|eF1l4u0VH?p512>Rbz#XAZNc#!eYJxPQpwgh$6hr{T zf~x37Z&zId+i)(8`ayLSY_e85$Ykt6bp@<K0<41kpaKm-bo8j42OIVb>=A@v)J#?9 zz&5<j0C|YIsp>4)WcN&v$=HMH3|NIJSOvm&q^7D9kW_UXY#1||Vblz&V_+L{b3h)V zZcrTso6L|4G8ubN9RaI&2ezC1pgI5vs{LTY_MjO?&7j%`w!y0a<RR(?)n2g4cflrO z52`(26{o=}$PcO=kf7QQHf$!EVbH>eDt*9hU>mj-Q$MJ-f=w1G0hx?FsJ4JrFo0E% zA5<G4LA4%i*g3FA5Qb4RRjmWtaJdxZA?l{8wP2I=%RnY$52`g_6|!Iz2;Y%fR;_>p z)pD?5ufZNc7)H&YS_ZZuv=ZbY>IT(Pu*omLCSwn(C14fTz$y^FBQ>ZNK!R#M*svvN zhEX%9=7DW6s-=EV%>|o$6l^l~pqc|#u^Fs_{GbBOXms?bOa~j*h-Mfy%c^N$8|F1o zKd7dHP5uQo8GBGo0jqccRzZGHO@O4Tez0NN&<vwys_FyVu)B%+LDdU3S-cr!GWMYA z0jppIs~|t9Iv_#S4mRvE*dqwTs2NmkU>j`PKpvuQS=9<Q`2yHv>_OE6R<RGP0^vJS z%c=%QP}PGC>p?S&nn6_uwn3_k`ax9-HhC@BWb8pz16DB`tb+WYs(=JlIoPmFG{dM_ zR+WKmXzQhZP?dsBegrlddr*~tRa^k8AU~)IAVHN6Hf$c6Vblz&Jg^PBCs04Aa=|8x zPXw8aJ*aZPDp<iP$PcOvNKmDN4Z95X2*NOGX23MC4L2r%JVf2HDiv(9$z+hp*n=ts ztU?K_0^vJS%PP=XmyRBlc(7p~z#c&uM$Mp#1KSWa4dfx}230KB<hNjxu?JNQSjBCy z3WV=S4XOx8stN}iwi3-SY6evp*aq`i)DNmqu*s*uCSwn(5U`3JU=`#CRRAQY{K1B` zp&3TavdRx^!{WKr4=P`<$^XG7V-G4Hu!^@}732q%2PCN6!G`TdGmM(4$_;G8f%()A zDp#<{G7CT^V-G49unI1)3i5->0TNX9V8d>JJ%TWdnn7g;w!vvJ$V1dEt8Bq0Uj>_t zJ*Yq{sQFtDgH<4WM`~GR0SPK|uyqsB45MaHnSpJPUrzm?G6kEw32ZX<pfUlgSO8W* zeo%oHsDsv5fep(;GmM&Ll^)oJ?p4$eDqXP2&%q{R4=Nq7imPB1<Oh`oBvq+{4O@(6 z7&TLs8rX&vYp5Sos$i2@)`CpN9#kq|6`#O%lOI$Hkf4$W8+HKAFlq*s9M}e}4ImFu zx2%!{o4glnGWMX70jpRIRzZGHNkD>19Bf!6nqkxoDlxDP>|3ZGRH9&$XMs(|9#kS= z6&+v|<Oh`iB&hhohJ~RSM$NK{4{Ss6cIpQeFWBVsV3V;26%Sa&Ua$)CgNg$ZRP12G zy3q`yW~yQX+wgT4^@EBPY;wnLkjdDC3bdV?zqJgkg8ZOjfCSZlHqiPi7c|4D8C3tk zHmutR@(^{)s=r{99ruGw#vW9Ez$)~?D##Bi&~EjP9+mH4!~TLjf-vj?WDPfT9`Fi; z2NHxVQ2?>JTU0>e5CIUYyF~>o-UAj#tOflBwxQrC$V1c(s;^*^&wx$F9#mhzDt3WY zAbdw^6Z8Wccq!U@uwfl&hEX$By#w3Ob)5P^^%iXMGqB0ngX#@f#TBp$@`LIHB&eQ) z4O@g}7&U|H8Q2D%)6@^Dr(l!kgH6UBR8PPvdci8l52^={pt=t>EE>%)Y6jIkunkAg zQ9r2ef=!M%4>B2hP~8Ema09C#Kd5d%g6cZhFcCDvsM%P!2DZWQ639c;Evv4AP3{Go zj6JBXfK^n3RgfQ47a&1(9&DH=nqe~_1v#`>p9A561R<q3hy^;7iUYQB1W5(x)<O>C zjU(s4HvG6r{h&GvHaX-L$Ykt61-c81ztst>g8ZO50ST((VCw|X45MZSJO;Kw;tt3| z)J;`K!6ui0O~xKnN5CqQz$(ZOD$rG99X%@h!G;;28Ai<vxDRZD?|teA)n2g4E5RmX z52`(271O~g$PcO=kW{rDY*;FqVbn}j+rT!Qe?<MD+6p!~<}t`*>_N2!tilVdg8ZP` z012w~V8bNP45MaHtpnST@(ko5<P6vV-l+-MfQsx<4)7+)){74OQ;&f*NUjCz{}0vw zGUn+2|InS5pl#hRUmZi?ABQaV1xuYj_W!>}FJzl;XNwBR!s9I}H=r9ckGH7YK`<X6 zm`@PQ7YODX1ha+%w3C~G0dgfR$n7Aby9Ep{cJ`=T0PWp^P^~9nepmzc!+Y=vgRdF7 zAx`S<0XwNXki+moXN$@SkVXjAdH|+zHCW>|92y0{8V^7;f+&Q>RbY*^P>rt{An<sL z$_|7-_CT3kkU&vkY&pQ+8U@zU4N(X=$h1dgCD=57WT{T*?z0vZkTJLxS08UtDS+B? zyhWu1%It#Zg;-d`1WFm*5QQ)cSAZ={N0#brQ89q{6l6?ii;4+^2NHx-p&-`r78MPs zEyr6_bfC;Gh+c?=UCba0kGH6RwzYy{@;GE`D~JirPu)FWQ@{s3f>d=wQdM^k*hH`} zbPFtq0y!GAQFl4m(biz&Uo*VCeFU5`LFo;g9P1&cB!VIU%%1_;NsN&^7eJj1Iz$n{ zT!CP&K`=KUm|GCc3Vg|P1}M;aR6rCWc`gGx{5*JbH?HJ40i>}-1w<iI*;25^X*e`O zk|(q*0Z|BzOV}6~N)sW85<(ttQE5Q<p#{q9YEc0N2q@x8?ZF3rf+{>vOdW?T=La#N ziB%b50yJU1Y=oTf3epY=?$`66Hh?+Xpa~PidD-w6TR9N{b;9u$l^6sw0l`c`Ff$O$ z90aojUql3e!m~#OL?I$#F*rQ&M}!ARV~YxiLWJicutxk5;Q-MHq7WJvVv7h1gdc36 z%&ry{P=Fvs1Sq$GLjO1<CxV#JOsw3Z0x|)bVP9tc0p|mdc2IDGBLXB3=71sslwm=f zml=Ql|L=z6OKwP>?1b>3o6oy@z&q@_Av@bq&Iwb2cn(ZKGH>@3aAw6&^)mU-|Nn*u zJRqev=%4`b{`79h=>#5)hXQgzXDh&uBPhsYU;v#_&EV0wMP&x)Cg)=wol{gMfLMnd zcYqFGIL@L{#=yYf(+v^!=?qcfIPRh%5CA#~z(qv@CJE9Fl2kbEqN3r!z`(G90e)Hp z_>dl$Ydjkdf^xAB=s<~cU?nd%9{`6U=!5}qSjiql;Um|3Ob{thO$3US<1H#zps{!y zQole&&p<^%gGZp?>jA6#eo^s6^8?0?L#+oorl|CT6IME`<Odl9D(((;^r-ZKrD9-G zp!y3`4L~|JpgIz1vpvW+pnWwU$tfxzi(%&ybU@l6?O?;S&<q0=Q{63K^_?v$b3l%4 z0he8n0v{?35{C$YSfCSpp&mQlqA~@_1RV+t(%u6$1=WvjV7pmil?})cm>*lgQoq2? zI^LoJD#W0H2in&UVj^k`P|*mk8bGQLH3rB9U}2CB=+OWm<3Tk>3)pyA_5Sk8esG+E zLJ}Ou%OEudjDH*w5@4xrh!m*O2f5;Si^>tGPqEkW-7P90wcR~nb*TPt29*KT;B(SJ zhJYLgir*%Xa3Q$R1qC$J8c^tfnB9=1)ZGJaQGh)NQU#5z?jCTn04xmB0qWUuf{$MS zA8FADGTIPS@W1qhcm!4}zMcqmKggHIA&m<V^JUq8aM=K|19a^?Cj$fM+IdivLK8+0 zqy+^@T`0L8$pKL3c25C24@1?<-2dPh07WI(Rt|{kV0>tT0!zL93w97FvbkGSK#|)C zNg&WT##2~<6eCoD4DX%-PN^sk0;ziW;xD+c>g@s7j<7>hKJg1Qb>uNHeBu{uQOV!{ zF$7=?K}a>|(Jcy!CRmB$fjD0URKCFrv<gr$1}@MFK&(TcyNE$7kIo*I3^vfgDF-pi zIFKGtF@{pc6+sI?h^7z~a2cmSS{e6i4><JUWn9W$6h3kp=ehU)e~%p?A2KwQ9RMA{ zf)Wh;;V0Ah^$zk+KghrBV&@catDJB-2vOI)1yT-PQ~cEYh_T~h>!pq!m2_}U#aa%g zfu$%d2NNLWU_98cfhh;$z;<IT2V=ofG%E*Vz{bPN!SB1l@eV8ZUec)?j0TlTnB`y; zNSHR|U?j-s(Q*(}iuZuK7G#uz6JX_F0<0W_Fi0y013;x8xE%BVvB2dZhy^MK9dML` zAU!zCL5L>u%0bzk;PekK2isBk$mL+pPHcS_0YqCs1i_R*Fl7)-P)iL|jzZeQ#EfRx zgLA7ZxKV_wy9mm=&?W++vtb9;$OF{~s{TRvIHYL;asyH`rmIB-<O@)TgTM79xQ7XC z!@$}nwqVolAxj}@U{FH_bV?Q|X+f%GNDC2ET|+y9Pz#Z|njlGtg`2=`JPsMBfGPy- z4F@q1Ek00(72L!DbxIK}K9GrEVUP~!u{|J1gIatxU`Ho|jepJX(qacVra?XhH!40r z+8dyz8ko-sI<5?3jDP_Vdn^bh2ZG6iV1ioSU{`}X7--Q8YIQ-IM2JqPHQ0%``jU{r zDo`^F+N4HAuN9~?L+{jsS~np3p-m?cv#Uh~<O5JhLp$}LfCtGOhcu)>OlV{)Lxvon z5&O~+5<Vd9pzs0rB|$cTIiS8IC`v$_mkyANCqOa74H+tc4h%pe1W)q}DcV3|bf6?O zMFkY8D9tmFs+ZRPz|AvA-a)jraP=i;AonE=Km`rB$k70?F#D1qVT|$x)|Uk721$b3 zSsJ9ZvtDckr#g7~60{A4k6gZ(L!_wEmsAHQEUaxPHLw(=Z72mu8%iE**ub=*<iK`g zZ9~a|rD)cMk^vhJZ$oiH;uus8gYyHO+ECJ<G61s;B?S_uO&dxQWb|ko3RFsw-G*8L zYeS)QqQJdNP=QKhUs3>6jDZU@4iF36h61rbZ72pDZ77f)P%(zJFA32^UK>h(6H1x( zawaMtxs0oWNKvJX`_Bqm{f4!S`v;byw2b?~3SJNO9c<XZlyTp{c4IB$zJjG_R>pk+ z8xJqz0ycu<nBHaFXI2J=Qp_^$6G)ggW!y)Q(W7M?MP=Lus+4grKv#K!%eV(17PyQ9 zu|Q?q4OZ;qWgtB`%Q%Q8^2)ffb>Q?5Z^u1A<s+AIM<G&FDdVn#b0O9;?iyH%(lYJ> zq>MWcHf&(ZxN~5;v6gXX!BR9U<IaGMhnI2F*Mj4i-eufrP>F+C#+?EQ)256&2{L-L zjH9TG+d-8w?f|G{1DA0-KrC<>2V#NBxD7bUIFKHkWgJ8kd1c(*Rp9gwFXPl#qwtZ- zI39=;Rm!;a;9Q8cj9UkmqO^=#0V(5_gAE&)GHw~zZmeb8Qm_=w%D5$9<Kbo8y_MiN zrFR*(7*yh5mT`+f!n7&l7J`f(E#oLE;|@@zjGF-}*}!Go1P}{c#(`L%GOhzh83)pX zvy6jiBCm{NSq@JB@G>qBm5*G;1w*8$QpUA|b0O9;t_>_jX&Ki5DdXzFh7C*^R|mEm zYZ+GymZDi1R|7U4UdE{|1IIDF%eZP#iGx|jRe^+QQ^r+-j2<oHC@SMlP^FA30F`Xu zGA;we0+(?h7O0F%z){A5^x!PxAezW4<GdGx(?7h7+ltCZF5_lFq^MHH#e;Jp)-o;* zEJbM<7Xc~b!oh|OOc@sjwi|017Ydf5Ss51sHXdHa<tzfnF}=&UU{HyJS;hr{glSX8 z1%iwoE#oLE<1SF8jPn4MY~V7^0mK5AaUd3`jI+Q|#)0(UEaM=W$SdO}%?GD{cp3Kx zm5*G;J)BS9^=szfoQky^Gy_XfS`HdO%0YdwVFObR>VfUXS`O-hrD#?T>VS=hmxKND z!0CYA<)AjGRKhF=wLrqODF-z{Mvs<*6qSQFVC5iW*_uF$3WP!0`ZWbm=?5+cB|t22 zIS68b%0bXAs6L&T>v%zWaF&A*P2`n>TW5pQKfD~2pM%0jE(clW(0~0JKRCCNv3`vY ztdUyl*LcCE4YBoWJYYw|*RNfe1&-N4wSJ8o>_jrwuW^A&v(fcy$XBz1_6i_v9e9Ae zevJWC(144aA1n+EotW#_K*AX13vB%wNH<85ob_uBGr*}1UcS6S<s+9b=OI#9`jXAI zpk;=P{F4r-FoJGWhV0qJzC)_31-yd*v|^FJ^)GD2DX4xYX2%m~?I&nM&36{i+K_W- z+M!D+L3^Tb?Qz1srKt<D6$;`gPVkCS8f;Pe26pr=u%llyyySv}C&=sIWo2I>3o=0q zRzbmuw1Bq}9KH<<3=I6!;Xw>i2i@QURSw!c3}SXcRsn;2Q))zD(-AjhcQSMX(963J zH-Hwig531_Ff_bCoR=>l>p(#(Oli1H=Lu=+KtCYoqZgpu1I|YeKrGCB1QG^i6_hnn zuzUp44Uz=sBNF%9Bu_)lM`uy_$oXg!L<&nj!k%OB?J2wuPEuI=BKN>jl=ek#uz=S{ zT?ZRBFny70V7syQMXrLSXx10G0yZAr7ipOaj$?R+rPDgl%Pd&eNL>O6)21(S5oGje zUxcE*$PZXwgraqzCqTs*xIjAqVuAZ2AQq@EvV#Tt8Yz$-P%(y5#=-U!LNt-r7g;q4 zoc`f$1(C@peB?6j=Op@$$!rJbRIKITHn0?><=_TLIk+Bd*ua#7>%ewnEeF?vrD#?T zt^pelF9(-T1jjqQ%fZ#4QVDZRW)(=7Hs#<-kkO;%AVuXMLq2FrwIFOLhRiXU1)$Oo zTn^3vvB2dZhy^MKC*UXtL3(hOgAh&Rm4j#d!08`e4x0C)@R7?wnST0@$@GJBD;Z-l zePE5$8k6Y-n>NJ8WO~4khL6d-=mp2@pc<3u20M|AF_|t<X*N10gJ(>JBOmE}ng&oo z11@qZKrGC@BuE&ee1Y{PLApVb;Jze@dkSZCgHs*6d|~QA;UkwX&ml^&^d;#(CQ}Yh zm_uw#rVQ+8_?V0mBs}3wo6#{D@X;wGj>$+M=c5cz?g8hc1P}`|AAy8HSp}uP0?S7r z-5^PDJ|b~Urn(a)-@JT^%16#eryx>T@)7A{GV$Ofg|#md2bQ9=FA@Rii-dy>8<@UG z7}##CeUVVG6wUe~Az<U-eUUjG;5de7SUQc#1cT~Q%)UqvNSHQ#kwB2qqkR#I`XUOj zz6eEQG9I8}3|yc&fLP$Z2#5vhi&)_3i-7ciiZPTj4mKtO(L`Qf<WMU({lnV|I&CO? z<T6gMjlN?t=HQ%)wH!19OHo=58bHcHeXwBzQx58Z?Z#RT>Vl<cRu1Zbjfa<m`&+>A zPVaJ18&oP`mV;U#VcL{~njoV`%R!3DK@C_r2w5IO=9r8EsPqGugAyPXxEutrK;@tS zj&cyB2WL45(L`Q3__Pt6{^8}Ie-jEHxg0cUqW_o-KRCCNF($(Y)<~@}8D6kyLu^cj z2kdD0m<$6XrU%uS3^&+`WQ@shfl9N{F&R8#G6u+fNd{0s11@rYFk@dQ!wi}e!*OOX zNH?hP0rw?I9Fy5lhf<!rl&VMJBbP7#Axg3ICFwsV^PPDpu9E>f8a^gt1qn}h(`Ix` zhMX}O2jqP80+f5e`RD<Ng*hez5(Z@zl>Q2AOa`PIBni$(B#y~Uu0hE+FaM(Qk@L|b zh!mE5MEaP_eQ=V(+84P8mZG#Ta)TMNP6lk)!1P6~f$hfH7r6?SqFG<$3fOpfUt~)) zIF8{NmQG_bmzlAzlK~0SrY~|4Wb|lXgrdHP2dpnb(U{B$P%#EB&<=oD;Jyfm1?r1{ z?iIkcW*?*nRE(jNaj-ENh$iy-BDX5Q=^x$~aj8V%BbRZSmGm8x*$&RBSj)j}U@1z= z!3~gda6Q<tfhh;qf$hdx4z2}D(X1R?12!IB4qh(@$2+~t!PTHr33E(l6-byi<={$? z(WB)cMde@stQ@3hOlAS7^aGcJGe9hGIS68b%E1ZXz7gi$C6FGR<sd{8dF9~W5^(y5 zmxJl0D178{(5ICCV>12V+)BonOdnVywZ>$6!KMwdF_|8)qv2yR5|Ef4RAVyTU?-9> zCIh<E4C6vA(8<`Ky%nQpZ+kQzi$Ly6Hh>BmaFJ61Vqx|rLBbg23#=~*(hZUX_a#Xj zlQ~g{Ql7jtDnj8SmoMT)^e<n^!3mIz@}&%{ky_<TDcH0jR=$*g9StvE9v6UPc2Jcs z#b76rQN9#`T1%s2zIeubGmy)d3{a^8E?*KrEX?u+Bn&D-Q2KGO@&%+DBuP&BGC2>N z>fr5{zo>lV^5qdk3d>j({l|Rc!3lGSt;350I~qRbD+>uvc-Luk%oo(VcuC?qyb9!e z6adOS;C$o(VqxYZkT58#V9iG$-5^PDJ|c0<w=4%WA3Z?jBj=-|5GgGAi1aaEcW{!z zI@aL^mZEg5!vQkZVGlNJV8%M^z;<ID>#zk&(QK^425dZhtYdmMIF8{NmQG{7pc{g* zoF8ums$yv~)?o=UdUULVqOpz!*jNWeW4;EUVhmiMX@FSZu?`RmG}fVjW2^(D2ULuq zlyR^zUx+61#ya+9fYU#`t)QNX!bdLScrxib<|_}*saVTFIj|I^<)8$l925r|HZbL& z7}##C<)A27ie}}Y2-tXdIk+nw9Pjil2ZceUQZ>fCvqB(Y+LVKWAfrdiL5j-34p=!z z(U>m>sPqGugA5=RxEutrK;_^MCeV5q%rRe(9-QSML=$=C;DZ!!`iGZ;Ua2U2<Z@6y zmHuNg-<d$`VaOPh`3BZVtudLeVAF=!n9LWjqv2yRzmvf+JE+EFK7*Y|#+b|}CI*Jl zF<%BeV=@zv`;sr17#KRiMa}~d3$rf?62>TBU}G{M-5^PDUy{Tz-_?oWR0l6#M3YeX z$mPo~h*B(lN&1h;+y^JjAvPv+5A108n2aeTJmF27(J>iv#$*;C=c5at+yl->CqOLB zd;}5(WfheE3M?Oibb}<p`G~|ZnZ9_GeDm@LDjzu?-GxYD$w#D*$s7kKDXe{wV_+#t z`yvOJ!0Yh#gAE&)zQ{hX-B|k~d%;pP>x=9G8xQY`td9f7F+9W4X-sA}s4m6qi|hgk z)21)76J+#gUxcE*$O>3rgrYH-4WMEST%fH0vA}&15DU~7S%9N20@4F2#!$*Q*q97N z6M21+tI^=}4{s~j$Dr_$%Q%%7`i{xW2j^6*<={N96s6_h3`jXR9c<XZl!Md2c4I9E zr-G$uRt`=98xJoBFGYdlo!;f(WKgMuSq@GD3Dc$=oCq>{v>c?U9NYja2Pqnp=>U~} z;Bv45!~&OtAQq?`tiVwYg7n}l2O*lsD+hmsgVR5}988Kp;UkxW?h*7KlPL%1Rx-w9 z%D@__H6~LEHf@NF$&`Q{4Ih&chQ#!s8j~poJCTernIceWHo8s*&zQ^(<i2DEsGtEC zISC*ZW?vE{j8VS8`jQ~sAW3jvlEg8Y!yzc;$xGc(6h3nKA_S4b(wC(Fm`pr4VGgk| znK-bc;bStskf4V*ZAQmr$QhG4ft-&5K)DB;k32vu%zOkA24xkL{t7G~fpmi;!TE^9 zF_~pSDEa24Krjj)IUjw6D8-VGNFS4N2PY}4eGxaX6s3I;2S{JU9&Fga^hNBzc4O^} z*n*{K))%n>8xQY`91jG?DLli{X-vi%RF`7*MXW%=wCRgjf{Y&Ri%`@Txd7{nP&6iE z04m171)2tk1@4Q0SfIX$0*<~2NDrtOLn-56V=@p;<n=|~`hn9wye|^&kHSYT<81ut zJ0>F!&Z$_-K{>D#rRAUmq#P6n8#XZIpcvS0tmU96Sc+!lpa|G_cscmW7aZ^OE(e7{ zr4nX2C<GFwO*tqCGJ3Qeq^KOc0V@Y78k6Awm44uIkO9O3mxCY{s2u#kh+~}$xO_xA zPX<;FLNt+A4hndK(?7f%tU~1@mxJ-%^dFP?&PeV$8L&objmdlkn>NJ8WWInM4Ih)y z_5#QBpc<3;40a+JV=|u@N7u>x;Ka91<^ghF@&zM&oeYSD*_V6(E_cw%7g%2sq#M)- z1NS9K9Fw{24o-FO^2OByg^ygmXhEc?(wDpsPFPsmQ1`%6l(wO6FoNgzuY(O6m^Rck zu-#bOP*=fHG;2d$0UHl*L;Z0B$0@zrP?tev0A?HN5=fXfZK#VNqet6N6t$r~z}iq0 z^(9Y$iZO5->HvrZZbN}spf=PFa9M`A))=G*RE%NmOF}e}*M?GZ0jGa>8P|i#M=s+E zAyQN+<F<oyA=WYulr{NVDJ|nRK+3rFV0#9pj9Uk`8*3T27A!@xGHwmncu+vTW_Zo; z(%Bgt$Mi1aR)b0$%rb5jNSHQd+)9wqqh%aLW!w*{lyM6{B^$Vmn*m~h%Qz4VRK`uf zQO1Gv;4I@Hn#e2TG9AF_A6~{?M&%=yaXTF7-%soZ=T>zl1_n@W?PdiXk>b(aqtd{^ zz|bAYVR)glMFo_1yCGET0SEr6#~eDgsPus~z5`#i3(^X~$00Y@f=ofW{<f<{1=M>2 z-Dk_+dK#>y8=??)9dIw$G*CqZ-U0=>8n?4W1$0qucZ<pqP6md~78TIMP&Y)d`2izT z`gn^<11HEj28QD;DlJfE7epDvLeOF&u&E%0FbjLY7IuRD-3>9Lvqi-NlzJh?bhfDY zKzJZQh=m~5@fH;as4d4^R9v9UE{I-;g|W<_(CmgNgjv`PwlD};s<TB!0b&Knn9ddz z6$lR`2(b{vI^Lpkg9GlvJ5Xj9L@&fbH5QPC$6Hh`KxL1&s9b?EyIWL1X|KBnYzpUz zPKc`R7L_j`+j>+$CW3`QI=UemL5@DuF-4^d?C9%YN55uxxyTNjmO*g|zE7ABa@jB_ zw!nP#f7lW*12l||x2Uinm>dWu4}w_%b2Tr>#~z@V0VPBM!;76gDxgb^yCGETNmx4U z1Ur$8o^S`Kyr%8yg!sSU@B#$@D12VeL%NLl^)_hI1<AaO`-?5)K0reQR7fD0KM>46 z2xbYC*^MpaDj-n}q7Wh1PDIERfHbzKfG9-PZ^IUH0f<Npfik;VR6srerO{G*@HN(; z3<QeU<B-e+VuGTWlYv3GMFnI6G!MR<_6HntAnl;=0f!t&9?SuS94HThI4`IE!4`54 zprLWRMdb;C`2xXwgJ8x$nOH;40u%r}Dj*6Ga;-#!oB>E<iwcNBgj@@@kkfz$*YOq= z9VoM_MFr#oq>uxZ1fbwL4k;x-OlSe4+@b<90a}>6Jo_6Qav<%X@BxP$NFK}qg&e3b z0dZcQ`ThSt=xS;X1_o|Ownvl=;Ig0xQgTcImlVyP{wrQ={`g-JRDyt|A$p(%OZOCT zL4u*`<?-MD{~I3gfCR2jcaIAG(HDjSq#N8SK-D+6A};{3Fh^fN!WgwSZ1e@B8zc!H zeQ{u5VA#O$0u*kBmpppGnFQt<&&GqGa>u9hyhrCbu#%VeEWx1&DmlSn<za=w2W3c3 z1_rQ{E<_4T&xHOfV)DTWlQt`2*ueGnaY)Sy3diG+DjCE?R5GAr*1)w1wC;vhGE+Di z7{J2NN*qMNDw#a6qqj4F>af=gFEb!P4{CIP!x#687)bbL@lQXX!pOhvL}!l*s3tnz z0<I`P&N|+rvImi)K$74bWdtsZW<X_*x2Vj4GNFweZioq;Eh->2FCRc^IZ*8ba?tC; zNTvA8H~+wy2;^ICNO(XSCfyKTH||UX3Il{HP{4IhQ2_-MN+trSdinexNts9hITIy- zvJN;CMSxhCnFu5d$|oq@dsrp{=>|!HGZBf)VUC!iWSW<H7ASn=Oe74E!jg$dUk(!v zPET07_hDcuO1t*~knX)d*sy`=-ur>=#@fC21xwMad+!4_9^SowY6gx|cy6WBau{z= zMT*(I_W}virhD%RGJ3Ro4{Fu)sNgNEUi<|YR=qvoo|#AY6mXUQiC>_}p#XZ7G(|(0 z4xnNTT%cKiSm5qGhz0828{p{PgY<xkF_bb6HVq8XL|*rv+XS5c;SGgSR6cSU7X^`` zN*SjQ&V^XZI6bfwrDdE3q>NJs8#XXyoEq3}tYw@kSc+z4oC?@@cp0Z-431-ZmvPFV z5(l%4QvwOori@br89iFYQB=lxP^FBM0F`XuGEM-*0+(?h7O0Hlz){A5^x!PxAezW4 z<AMyp=^tLk?Lp-umvIXXu=U1414hvHxCpeVeY{0Q0>P9)Fl+Gj#zAFgcaI8)LiEPj z!MT-;?MI*<4Sy@Omb$QloiW6gy0Cy94PWY#s}GK8P<(;9Ixjy!x;~(u6PVBWA6xW- z#<!r+OM?MEX0Q{<7~o?9m1eXZ;B$n84=4aY;R7CQ0kw_59ME73Xvi7FdFcS@CxS-c zxLZ_eAS2o!UN?k?r=JK?j8FwKv3m-5q#LE52vYUZnzVjm0CGR^2Lo)W%Lfn(v!4hO z#wcH4{X~#%kR-UDNa9i#SzU0dgO@MusC?w|B}W%q0=$7JKJFlx4-m{J2<8g}^9_PY zda3iC0kpslv?U8P^FhMI$vdz{Tr(~L;29TChJ(+Lz(%^?f;D29aRH4?K=U8ca0e(* zK*JqKGcF*7pi!5D9X%><z^1`xTtHHtEh^9y2{NV=nlK@P&EOdqko0lLa4^)u5@;B9 zL6ku(d<>gbfs7mTPX$@{8f@VWWT{Tb_-J>F3doqw78Mgv)e8}XSO^+EJq{V*gj$F+ zL<*9GSoj+}L(vUU2=n19u!SFxr8-+wR)FRcAS11vEh=juJdhy7LJ;eCi^>9MEp)s^ zWeJqo1<?z!P?!}oT6MfdWd>9hG>QaeA_l`irhrGiK&lWkE+7-Z!gC<DgD8-rK{GBd z!Hzxxp3r&C@RD5{oHamk2`)yUGcKUm0`sxYxGaE13dr>c<_ZLJ4T8A=!Q6sig2qEZ z0S+0qMVp5Kjf!>mfCtAAwJ>Phl)v>nbQBMJegGAX-7VnWBVtVaIoQXz%6CY901dA} zr~VKcpD|!r$_OeRK@LZq3<L!TDB_^g5TIlXRRk(FLCkK*n4dCyDiEaRr2u3C2c#Vo z+~D#ZWCNH3D&Ii~9K?Cak97hk0uiDy2xbC;nSx+uAecD_Cf;cs(2PiT4|w7P5fM+p z;fX&YK=Ux&kSR+<cs>DZ#2*o$X&30c1483tY!LyPF#!ea@fH;usCT+rR6qfO6cL~t z3{?cm`XFXEq<B$o0nfQWONf_0AhQ}E?V#WWM+C?QFb5P7uqlq0-+yDPA$CCXCTK($ z!90Lq9zifqAed(mOuVy1pxK%39`I}~A|f7v!xMi*fF@(QArria@O%i?h(97gGriEs z8-&IO*dk&B!Vg=Z%&ry{P=Fvs1gO{ph5m6!kqBZ!OH<_*6_5$glJn(*U*G}>q#Ydg zpeap|JeUKD2vC^^;=H{73p_FoD*CuvR6u1MXh0oN;^ArWfE0H_^mO-t%i``S;BpwH z#RF3H^42f#U=yUAf=%ea#+*DF4+Z3c*7|M%4?%e}9!o%O@!SBlbHJUf3m_I|iw7hO zYSf^N8o^pTAl)EIaEm8`wCSZVRd6W6TRaC)`N%Dvr4T7lmj@IppqYJWc51W*2`u1e zVBntwp8)}>!#-r*)dKD}fg+v1^)I-bhR%0@YI;b#fSN(A2l%HP<llA>)Ea<@f+_=0 z{RFDTLCKlFl{Pa>oV1!@0y&qz6~5>Ct_nEx;o~{DXP6*C>@bIcf!32ucOg9qSjCPy z$@CJ^c>vXdG@N82^=<;>taJdBfxua52Z)84l|aIv+=4YLfpmi;!C8sKNhUL8aAd-> z(gIXIa#m_p##S{5K(o{F78M!{PJpI0`CG{toY)4g1gJGQu@!9E5F4D>0(LZfa3W9% z9Mhoq0#`7DVQ^wI*onBRYRK|AaB~?lmyD>YH({%)LG5EunLc_)nMdQX2ITT(1*lX3 zmoE!IEX?u+Bn&D-u$C_%-5^PD`9k901fv2t)xpb`Y*apS`4Rw;0#(7#SiFKLTR^o9 zRP+o~6x4nLb$EMJK<d6<R6NoAfU)CH>w%6bD)Ye!3+n{WJg^j{6Ff5*!258fgAE&) z2_8_k;cvw{!7~-?ESgR5OaU7YpWsoF2gfleiohicohGp-gUSHR37$zHVcJaaOavJ{ zI>7_UbfD4}=ZYKLlh_leGQraUD#pMCS_6m$p5OtoJUV?;DzII44blKA!%zx1$P9J} zNC$aSJAN|Yln*c6cA)Z+OSicYDJqn1Au8qkt)bw?K4`r$Cj$edcj2Q_#@`BBz5-sY z4a$wg^h~;^sDKJo&I|lg4|ck!6m<Bg<ny<JrZSO@fmXsGm7Oi%1z+8eDj72D2bBgb z1cL~GSkQG|&{7+;G7H3n>g(<Sn}S?whp6Q7w|;~93uFS!U%C9Pka1h8FP{rh$>DDW z?dSoGLceU021h3-=)eWpR!FY`#y{Qy4l1zJEQl0nq#5KZq}BS^7tle6qq}>+%kfdY zon2ZA4r|ateVCWCO7jRTvx6?>29Jb7!ygnq-96w%dthNu7=i`@ph@IVM~F&hDWv?0 zhqxc!VVVMUHpp|JQ6AXx%*y|eVF*s}Dp!z!pkWA52>?w7J&^qkkW_>^z<{C(WK;JP zu-h<Hz0Ca&4tP+J0&>7h5s2$xd}xCXEcNp**g-Vz%zebU2xkTr%C8cY1kmjPAu17_ zhkUwSR3bpdRsd$P6{3=Wv&;$r$$(pAB=-1@O8o!-iC+M`RRy&BkfHM+(twXf5z2rM zhzn74{1d+bWZ7>ot0@BmgGXnLiibz%^-uhQE-DWE8V5bPLqGy0Di$7{*L=EtK(vpF zflud2(AYM^%O`jL{WrX{9~6lm`&O_pFt9T)KvopLERy*De*zeIG`;~@=h3-G1+)v$ zqjQVO3PzBN_JHYqEHFJD-4GFv?)^7FPVU^Jk^xl+rd$8>PdU)hqGHd;z)-^TngKKh z50d!*0Kx{F-tC~_0m*PZDt6#`(k$@A1V}N++G7m{#S9FLFs?^0FF)Al9bhXPkAR$d z*zkbiNypBUpfCjMX#(j1A6f|FdNdx=;A3F$Xg<W@(K$s0v>p_u(xdUvgH({;wm^l= zJUXv;9_l>kxC3O(aTXQOcq2IQ(CqMNJd}WBD9B$(h6bcT421}jVkp=-P?th<PXPzJ zN8=$0vJC}02fLviL>dZ@0M-|v0PAc4$2Dl436z=`z<ZaLK$#%55VtdQb94u=e7~f4 zvH2mRL&v$+lc0@Pw%~X^44&3PR_npidXj%CXb#B+tYRZrMR$t|Xlq+{j|#|q&J+Ao z4|TSvfR@X3Oi?ihD_o4Mu(L%4wA-z_1+2caMP&*j0|RKzhLM2*QZquOLE;br5DTiJ zy9ccP`^8p}MIBRA%)mDMhfZgKoC{7<tP!AqqbL|m!6ttKn+!4+7G#KEFafK009JuL z7(fgEI;N;V7SmlqR!HSwfUL>WV*^bZLb5L;7!H7fq4@xZ2Q(;<J;Kr11CBamF%Onb zNJJiQQ2})TK}iPcHPEI#kfGfZ1qvA$z_ZApJ&(|cM97N3WamI47OZHB3W&#f0W{Uz z*`fkk{0B;Ekkx-H_#n;(wJ^FN_BOv@<evf>Ay$Aa6O;!9b<1pU*@Mq%5-`ibwnEYv z!f7%vS&(Bu%`A`$(VPZa<OFh>ILOA<LwKA9S}xVmqrwkT*y0Ly8psDIdr?3EwhI)9 zo!2{$bxu(Mt&-|I<kH;&X2JK1K+F6mtjJ}4>97C)L2&~wh`Sve;Ds@GO;QU;2_(9a z6&-f~og>EZG8{6M0?M8sC%g=V?0JImL6f(P&^;z*piNHQEs(N|RRNT0I$OYnE@%oG zq#II(fC3KGngh8DQc`0tLm(@kn!u9)$Z8RphZVGV2GR)yWq6RGphgVTDrlMmO#(AA zFo3rNfmA^g8>nf_2;J`iEgV1;sG<TDPoOnN&_>)*$h00PHGoWhJrU|15C=4)4)cE* zq!9<QgBwyLAu=e)XwWPXsDS$TA2d+}Vj=HV0;_;%gSxmGtPm7hC`~!2LXb81O$4ie zmXtjzAm`&S5v1^?=zp|1D)eLr+DHURb|4mdvfGA|>~^4~8YM^&VoNpbps7%Js+mA> zs`(EdHNlr^{(;69Xp?F{Ggi=4GYt|1pkN0jl#!ZhKufM5sRqPCPc^$yQcdSiNMQv^ zc^<3=ptcvZ071_Fkmg3~MNl;WDrCQdBOEl>3o1EZa-yUsm?5Bw7t~V*MFpf30%apm zfdMiaB<s=5(9O~Okg;17B-;FlQ4?%KXO9YKNg2o@&|<1m2S`x~)8WB_RST#&3DN>u zHd4w9?qY&68OU}}=Nd#qTmjnQ4YCitX@We;3R*(K-`c|gs^GdIB?PFH0!?&6mQggq zq(HlcyL(hXhQb<ApxO40DJu8DfmDpFu(L%4v@;R3xeK&<w?(A{RFgpjA#En8G)NpG z0AhibK7bVVfYl=!QJ`rX{#G9zkSif!1c}BBXf%RC3|er4JPa*3K}^Ci0Gh=H1?P28 z&Lu7eK(pc?Eug7jXbixzBKC9utIB)8tqkO$gDEPIsoCw^Aisfn`iu<F!Jr<Mv*3VT z1Cs*9GPHdOi-8lMG3+TS$H5BcAu9w;UW0-X5~rYHBnS_*ClnIkAQos<C8)9huWhSA zsOaud0r3zqa13li3@^x)h#2VZ*aNz+vqvQa+;M|d&l{LQol8g)A1MMrZ9Gt&+znCR zdFtRV`Gc>d8806E$$Ic1b7zl=3nREA018Y{<e|kQR4+(T=ecekgYGF{dpoZm{4am- zy|iQJ1xLmoj*SmM$<jshkYfjE%RFdi)urQP_Y@Tn>+-A4Dd5@)<SejxQ^1xsA7bge z)-7Vty$5WhW9JXYgFoaQ55ACg?EK}*c*wEw5yaH%4jn(ihJdP0><(yt@WAo=4VTUX zo!5Fp*dBIH0XI~;Ayn%n{`sKHwI39o{lVZA4NV=8SONJRnhYQUAdB#(s^$lbki{R( z4;eK<y^hWn70_gJ#}pON+-s>hcw`D>3^tV;ASq})DD`6$CLqV4MFhUWWF5#;tu8Q6 zfl5JGVX_u1WeJl484PbEL3(7MDXNYsD$BtNwUHGfk}}8>6es0nU>jZzy`&79;e#Y) z5DT0NLE$*iNf|s**KYw1PZ}g;@HE{N70@&tfuuYEl9c<Yk(B$ui9{3TDdeQw3zm|D zNr9pOEh&R$Dmtd9w1X9bhTOn85TuaGNx2Pd!#QXl@MYE~@E9zpUku8%F9SXzwRyUC zoB*|dd%;Z*!*8Gq=OANuoh>S$4ba^Xs`Y>)|C9qR9W5%Y3=9k<&aW8^FBu+i>^ulP zGQgu7I-b{f4BU6`{O-|tZYQYGfjQ>&n&IWiZ^%w)0BPy=NNGLEKLykgY5`es^YvV4 zj~VP}vyWg;fSMa1Q(rcIN8y9^+`~FWY2Ois6R3(nWj!pTcyu#>sv-|ibw{WwY5=(b z(wqVvT>)|-$QY<z(yAiR>=q>df>_}E3o;&V9=TN!$YI1*Mc|m80*-J<Rpg^m&)@G1 zo3a792U6{TSluluAhRI?AlC5~6;P`I6bi>%z%2w26Lf$Hq!EEu54osRbcCpsgE}n; zqcGGIbcCqnLz__`(?KB)IvEB;qlSDp#Cmw+1ksQMPdveW0cyHHDjpw|T>e&Tu<t;t z_7O&cTANU}gHjskyeC*c9@;VmHFUdszyScBi2>;Vt<#6L&p<OVIsC1^z#|B+8D2Vm z1y5>#8bUL7g2wZ||7<?M*m<Dyn8(3q%$*-PTU0<>aG_xZ%0=Kbv<EEO`QNeghY#bi zZaWuP<H$uN!=>}Y%<n%PJ5M@lUhMQyNpa~sdHH#Fh)M?Mug)nd;0T%mb|0E)&Bs|V z4Rq-|(HWwWFw>>mM<vDa`%g#Bi!k#Nz~+JC_a$g*1{(IDuzGnMGK&MU3&aPlDTigg zHIOBFpwQxmwC@p(esEazsDMHiGAsZJOq78JunLGaXxKv(f?R=3A;_9;ye5KGKzbPX zO#~@?>4Uyc2-KlK41|TK#DF@ME-EqTy~+zHy~@JR;KB*iG6#jv%djsfeCU7?Sjzef zqOd~kfMV~pfr<r4sfE4o=%W(h&{3iiUTOttkHger?KS$S1b`I#L$etuVnB|9Ml~oS zK%*AK1no0`l#QThL+-7FsQ5uAIzck9EanTH=mce6kbcyn0TedS2mue1fmA_zn#kD; zlxLy65s<l{?BxS0I6&v2Kmr+-2wzWuIveT(P?7;LUqUB3K^A~^m_a(8ARg4*?jG>S zJ|v-mYIKx@hU5UKb0G>r#$!_mHW;soU={Ep3*>wpCV~_a8Y2r)@qnaA5A+my4JAb; zej>IU0<}j#B?l<sbmJ+9T+qrP2Uxk`0xmZ|#&ko*sL@QrQx4gH%OMBUa>xd39;l7~ z@+G7t12P*NwI?A-0LF)Q48c<CK4Z&Qpu!b*z5>bO8k6x+u>fTib6CEDsl}163_yzY zDb81VSn`!F-h2fL3ur<`&R3w|Bap9jp!w=HB#=P~6BLq^<SUS4Ac-4fKep@w&R#v> zS_`6(K)%9bA}G5cngSr_<1i7V5S*_-WhtnD_aLzm?4qJgaSM1hN+TS#1stNHRdVO` zg6<aZr~`p^11Ln$au{Yc-|3>Ff|g$uVEI)AoWDTEkepv-!1+}HHNVP$%>zaFOBP5{ z0+|g;N-v+F@{#lF$xqbIuM(gvC{AR46#yybr#QdzVacz&G|I0$(ER!h(lY`jcu*jZ z=2!5%Jg7$l>$JM4a8sOLw|ziLpWW#Bl?#+#!RuULgh%5W&=3V^y$fgn0<_)*)c*&q zZUNEzK&x~>L-q_X5s&Wu3B{lR(i)Wtk8ThFS?S`Ul3&6JYRkE(RQ!K{Wql9GIFH6d z79h>tTT~$2V<0YQ6$?Wr^7<YN@G6%Yl?2%Oo(Smro&=B15|sex`knyLswEHD`W}zQ zBOsp~hFJ|+7xal=z(>Ua>;tes!6$wJ7nK73@ROhT51n90^Wd*L(Rr}>A!BEc3aDQT zYDj`wfeZ``py~-kO;G`L^uJ$%&8w8C*q6$KCR9M>GT0leAYXWN9tT_VvKW235Ui$q z3e?NsEp6Z#X~+^RgU&;aJD5OSMHUsv-n0<Vq9vp&Dd0^eq*E9{X6^&!qt5T0B`P|d z*Ic??RCJ&!VL<@t5nKdqs{^U`Y&-~Zs1MXBo{-1@D{}0-jI_K;g#mOK&v|fwDe!9? z^y$3k(|HRN+7dpU7a_jv1$9AStEoPqG~z%Tkzna7)G^#K%rVq4Bp8&^<Ut8%kIDov zy+x%1M0f82)BD(vS5`q}_CEk6md-sY26CVkMNk@&-da@RWe_W?KnngtS5|>dM_ySK zC&R$N-+Bq$*9FI!JOjhA28$Bt$||s3y}Xj(G}i&Pvhm0ac?O1P#~8<0$2iCMSa_m> zBvtTwHITMrO(0XiYpp<BkH$kgKrRL)Z;;m-kAb)lJ;=!$6d9l#7a#))56}v>78MVW zBSDMUrl>%Kx2QOPrLTda55nwGv5*1940J(TDCjf~(1<q3E|7<jS1_1^%xmHO|NlQI zQ?@|D9I6Pmg5f=+f1DxDz+eDMfGsLH@{q*e-J${#1s&%q4=$%b5}+fM<-t=N0Z?Vf zTU0`zOsEc31_p+P&K4CNIR=KV9+hl)28IJK*;`KXxAK6OaCAfLfGN{}D9cAE;{+@7 z=-s2@A<w|D4>T45PNCpb2Riz5i;9Ch=om5q@M6GE{DLhi3UXip7$fc=SQMlTTxx-W zr}21%00YBLkYm9n9fx?3_TG+#ElUE0AZ~9*ftAs~+Y?Z{4NLwWtTO~aX|DyEwO};E zCw>72aOk0hDI~Ph`1MZkPY2BanSqj5`w8&UGs+D;2r<+YZ0KfiP{0dVQ0%cLQ07__ zu)(t+NeeVUK#`$P*BXNjZG|oh1C2C$^lkxX8$^JBLf-?rKnzqyfn*>w&X5*_q)d=X zaGAn-A`BE$#}R3FN5BathR)-L2OtY)!KxY$o%jS&c5N4Eq7a<KA(;h~jX~qZ5L;Wo zW$h<^frgGeP@cieJQ&tHd<2<`WIe=ONbW+IHvwjz1S~T`7=kU}{EF~S1$Of+Kv4pZ zniWo<C99BybpqhRzeNQ?Bf^aXW)XxT*rI}J#s+kYAmMh<1G0_*)ER*U9k`SRl@y>b z@`1X+v-6?jaYxVsSO&-Apo*5k2Qu~xHpQ{?kWa7dNmfwM>`~DG7u4T<K+BG&KzUPC z6u@QmCD4&y5@6;@-_D!hn0Yz-CwQ0xRKg*OSWuzbeBys+iwcPK@)BhKy^lQj@O%&j z>S)O`FhJCR%H5Y&A!_iN(+D{x8e{;p0LL_^1#-x9Zx1A{dZ$2>F{FzA#4peWPcD#H z6=+d`FyP4rqST}D7$nIb;{Y`!AyKvk8g*u$_yyR&g$pFc1X@%e40sv=t?On0)py{C zfwl}h8jpic0dNG@qo8V2K!$<ArSmw*0uCA2p+^iL)*(>a1|$Xw^dHioy7Hpq4$zwI z<FLln92JmupUxN+EIV=LsDO+CNx~PlA9qnP0BzIp=$@kjGSQ<mM#bW|i;4rNW`ef2 zUIq`T@cSSQ55E`Eu<&~TVu8aCBnAq<8#uxbq#YDQSi=uw3`i1d_<>Bs8h(P1Qy}o9 zzZ1BN7jOxOXmWw-d5>mVroRh_@H+ttHSjjD10WVS{6J!$@Y{hS{6N}4L4-B@K*oS1 zv4$VWM6BW0_!GQBafpQ921NL+0EHSj{1$*%;P3;9fx>SFj_?C%2L%z<@B<kGlEfN* zAQQ2MpZyO=uNhSAba&LeV_@j)0XJb0)mFhfP+8xi!T_y}AT)R?_J=IEvj}SPVp$ag zYT_PmQ855DJt2*36DSj8I8-l45o}cu*c`m8f~J515WFe~!~##nf{cfoH$??x9@45H zkdZ{J3IaK-dkWa&Xv>mNR|P%poTBmt<Y@>6nTqXEnJmq~z~65y23lnWX(WJL)eZ4` z^8<F!VL*`H1jr(Y0Eh)zK@JLekH&)>exOi3kC@&E`3WS~-LVA{D{o{$T|r2@!-I7N zG*%u!MIkgeR&IbC*rNhck0n;F$bvgE8qlZ!-Q56Xf^3B91u25X3fLUHvC;#N6%Y#? zD<I?H=1oxnnTHfBAR~#06&+BRO@Vj>)d8rn0$Qte0p#f^Dj*6LE8XB&DHTP;3dmKU z(}6&33GmW6r~t?!$ZR=?g%m3pF5p-Jw_QNqfkem~NQ9h#20cj7gY^M4LJmMhAv8Eb zc7WX0qXJToB|^49B18ci3!r;4piGdBP`w~Uum}O0gBl)ai|1P45dva?BLrkT+`K6& zAoGwS1Y{%;5uyT#5Rhtu*<=IA(^FJH6f8oT!4a}Y1Q8)1S9Q0jfG9|=0I|ATR6rI% z1VAjL2$66GM+mlTqVgVGc&&hjKO|Np-h<S)s4RerLTGTT%m6vCM+KxFORP+R#EJwo zDvr0P$UvE(P=x9QDT2ic*c`mEQUi|_5DOeDAmicYO;G`vhZHLyBZ-I=ki)vCKs<u# z0L*MM0p#f^Dj*6LE7jmw`6rBs6_BgCTU0<4Bv*h~-H;G~2!O0aij@P9SV7AsF^~x9 zfCfDzLIR)>(f}2O(BKHE0J*J41*9HJgp@!cL;xBKphIt<Oi%zq^@0?^A_Qy>YIvY! zlM;A@fLP!N0T~ZBZ;A@YJfsK#8A(Khh(NLlNHyMU0xGu(K%SnW0-|6MQVfm|SEL95 zxvING1w=u@AH?czQ2|*55dg7}B1FIyl<d!=MaUFLgk(U29ugrP&<II@ib7~`ghYVc z)}sPak0nAvAQ8d=jfLYaDm+joC;*{)L5g4z0yYP4gyg^@1jGVI2*`N2c~ewC<{?E0 z$Veh01mv*pDG-mKIsi3?JnEdH5&-fvgn~s#HaJ3hgb+D|0Tjb6Dj>%-KVa^Ju(}~3 z01*IN2@&sXQGu!l33j%qut0Q!1R;t+tZs-nL;$1$DLQvRq7z%GcLoxt9?;l>#OVQO zoH{^7Av8EnEkNGuQ30vP5~n7RIQ=0984Nw%qVfmI1jPYVFGvwAPQm7&1}R!5OM%BJ zhy{*QknwQyrl^3-LyA+7kwnBP$YI@6ARa+=0BW3qGMNF$(^FJH6f91Y!Eri45D}*! zS9Q0jfG9|w0<pRwApj8oS&0-YFWj+ZGL{eEYDfbb^pFVo0gVs^s3?R6M~DQ-Z9OU= z^;jZA1QH=1ps@ft)&j}|1t3%}ND(YTz~-Qa2U>*0z#|020!IkQc({2}R6yn-MF_}9 zA|m9A90No56o^Mq9e^1j0w7OMQ2|k~2#E$q$aMikgn(QHI+9inwt*Ka0I~=YAs`k~ zgh+T{ix3+~gm6HE9ugr2A3#c5R2ZP55E>jIKV-n28jyM{5%NU_+<blkjfLYaDsP}n zPyj;pf)v3b1Z)m!c%Vf{2s}bSEO3N?jE9>yMFnIYQiOnvBqBmU4(pzx0#c2)8hX|_ zMdbs?(+~<4A;I7Xkwc0QkgK{|R6tbo1N%-0s~Zvm5CM>tND(670}AEyJCWv6A^n%` zjuJ?$yZ{X#LI)l_STmrp@&GCdp~10o1LVLS6_9!?v2q0xD-WPi0lGX7$^?ZXR4+&o zELOng;Efd@c&va};8+0}4>xa$3dlU9SOFPHM65i4WD}5Tys-l6YF+?&dWs5&g2jqA zI93w)5!nRfs_qsQ5Cv&6gIL`yDj<s>0w5MrtW@CcYOaCA$_Z%rL-NW3XsjH7ib7~` ztn2_eutx=?9!sojfyBxUXjB|;QMm(Uf<h6h7o-RlD`0c*#)=C(RzNIptbmM%n>R%T zWFAtifQ%#}RzMExo&xa*ssk_!uMHqiPf-C;uvl>h$I2q`f_}&V0LWFK^Ks#Y7gPXb z5v1?}v5;bAMF2Rjpf%Z^Kq6!XH0U7_aswJ63!tJ98XO@rKyK?%0jb9lAyXg`ase6( zpd(qKOi%zq^@0?^A_Qy>YIvX(UN-Ou0kOal0x}+M-V_y(c}NigGLnc0xdO>1Ak}!Y z38?Uz0P^${6%YlB5NmLRKsF0OA_U|r(7AQs2muvdPyvudkirYZLW+<DLEs3%R(Q#L z1lRE$(C~-EiU4@lrA4IyDhi>&u~GqYV2=t&J(gH0fyBxQXjFjCI)XAmp$OFrQUr?? zusL{R#RMKJAQm`QK*qz(o1y|T4=GkaMiLP#XCScxQcW;c3P7Hoq5`5|v0@C46>abq z97wDj02$b#0&*NA5<sl(78Q_15CISiblk5Tcs2;C9wgY=qH+YH8zcx(3}S)qU4}<8 zNCi@ya)d(S6luu?q(buO-oa4<Jrrl>gyT#Mo#zctf`SVYw-(^Ront6djGeHFIj9M& z;IRqllqY166egqb8Z>m*106y&^yoZ}Xv%|*b?5<4PI@#R1b3vH4|0G;fk6YLNKQ`x zn=wTNB5dT*c^-6N4Y;^KF#_Bwg&F}F6oi{T18M|B7}bbN2+x8OKGYwe@j!&k1+W1< zDiBeyKj2lnA(9E;V1}9i83%)f1jidlNI*ovCcxtYk|H3J8Zh<XDPX8cprJ63TOc%I zIuvcf9zHP#Gs6L7XD8A;?hbGS<CzWx&E6574h5M4nGQAN1|_RKDjL!t8a^Eg=1oyi z01xLwrbEF@#B^xS9q@E0Xp|b)D$)<2rZQwC88mSVivI3BDj-qRRiq#@I$KmkKsg_I z14@sI09XjL2W1La8oc}yJU~4~1u_b~1)Qp&#$qi02AK@nhB8HkN1B0QCTOb*$Vf+| z%_tBlu)!b`Uw(f9_7`ZJ7ni@zK>P(7fd%;sl%%@%sDMOK{RJ`u)WHUO0%>DSj|vM| z2;wgeX$A(c^&s;=Bl+M-^)29(3N;qPUm%kq{$han3uGj!zd%x8gFz$1FSot~`wKJx zipyVXApQakWP<#)1H|2<0un{_7s!mx7L`9zpeacdfBgUpLHq@h23rp@uX_r3U=+<* z41a-4hWP7?6g0j-MmnOz7f1?hFld1FW!@{Wzd!?yxct=v@(5&55aa;x2<RRakSMCZ zKxTBdsC)o>0>xi%z(NpzfuzCKgNGKUfCpF6jK%O5$YhAWUcmeXG7{BaAStlHpdrea zI<LY00*%Ds@>dSTU!V~+kORO2iF;H)qNx4?nbFyz@&xP&6n{Mc3qkw^k_KB3GOv3I zc!UnkSPXxGOosUD4$NO5BT@YYk^&nH8tHra6yh(?@E0zB`9S;y8lnO@06ZSIM+GE` z>MxKPoh>Riz@9+y*A=i3#9tt3u=ODGx~G7L`p}HU@E6Erh`%nt`~@-+)n6bfu)(0Q zv6u7Sfa41^1cb|9IuL(>24_GH0FO!SQ2~jf`U_-6XN$@iuqRObbpk8|@fS!MY(2=l z?kV8mE;M5?`~@-@;;$nxe}Rld^%qDAY%plh=;f@pV1I!|QgHc;2jVZ#7zfA!;Gvy8 zDj-o*e}T;CY*9G?_5_N*_JD;T{sKvZtp}OcJw*jFQnEz_$yf}3flP+@YX{6<AR|%z z1(E_A3^MU$@H?=-Ktl|;{PhOZ41^37fcym>A=#q>5=HeF$c)Ywl`UXTp!jP8SP0@T zkTlqO&>%$j6!72+nz0!E0+|f)*BY3=Kt`ha3nT?L7&L_OlIK0xU!YDpE`ObY_zTq8 z1~~vc#;`{PB#P=UkQtymNWh*z@z)Zt5X4_Aq@eW|$h__;;Lbamu^9dWnGEsQ0+_!* zMxy!)Bn9>tXb|A#DTu#7onl=6S_APHsJjYs0JxXFM+GE`>MxKPpaW^ao<Q-}46qQy zUvpso0-4u61v~(NW-NxkKqf={H3jA`kddhV0!e}W1?on>?DznVFHmn0m%n--{sQ&- zKn?(RcK4`&L{a?(G6Qr*7uXXh{^|h>LHsoV<}Z+W-BVN`ea9^-NXBCL3uH3HUmY-i zfs91;7f1^1FOZ2Z9Y2Eo1?q3%@>dSTU!Z;y$Y0>@;vN-{D5}3eW^}fww17Q<;;#m< z5X4^~X|VMm^FRj_gBN{lQ9&{m!(SkiA^xg?`3qzus=q)|V1q$jx0nB+o2x**tL~1T zH{k9xsGSLF--84_SR25tc6>9pAoW<f)1Va~$02JkL9-agTU1<-=B2>xmLBjj5m<K` zY!2S;bOk7cz{^fREO2)kwh9z%-W0HTNZo0WkwkQ-K@I~oRzZDRR0p7rIz7R@?4(=@ zwCscre1H?A^8|7g=$0$+0tbH3-gU@S6Ud_G2Ot3u3#nJhU=8ZAoZrce*n<G+Hg$I# zfy4@^m5hj$9ne^TOxw1oKxoJy2dDz;fvncRJR%6R0^~Skp(-?1Y>;9F-0JE9FB5^q z3dmlxF$T1urviAafLP#IfvtlDn>Ph)9#X7;j3gpfKn?>{EwT&@Xb!-P70`N<?kOnC zPV&LA62Oiap#{0B8{&6JBtQi~7C{6+ETmXjfjd_IKw<^d#z(};2WYH7=5AY5AT&5u z!0kRlYfnI(L1?Uix^EyR$Z)7$&{`2#tbpuA3ly|i$$-ZShy{)nknwQy$X$B^au{fY zmQbub?}RKm>4s3Sp{I0ktV{y0S%>5mkgMQJwCd59Xn_PkRwBhpfDI(Czy~ZqegYL% z-5n-x!6g`|hXNDyVAXgFQs1HinSF)P;8+2-S_!Q^0d-|TQGvEZ3#u2iRs<F+Abau0 zN&-AqKrC>qfQ$z@7Hl55YfnJEVq(^wfMNx-=%gEU?MXa1R<3}zCqQBa<SO_QEl?yt z1wa-xKL81USV*z*16QnguttC*;5c|I4P>C~5@<sJWbzeK%z3aTM1w}8wy1zbkw=L@ zVFQX?57r6T%>lKg5sD&WA?AQZv72)OyE%}#N0@sG!Xf5>MX{QLG*k%*Gt?n7$TDEa z4g%OPB!nh@$czEx2xQB_YyU~zc>%E%;xW+93;0rIf+Kd|p>(7XJBU9)wHqwJLFV1S zy)+tGsRt_&J1;=yU4WSfS)7l&N*`2W!>smTC4GYhC@2VzD1x@PKt>d2f{)_cqY@wm zDnno+iV)ru6%Q%U0)Oy`q63(T7*Vu30d6sZ8X&k@jG(bYXd?mCVg$Ef@Qf(hfQk;( z5k(8I5TwNjk_I>IKtbL;1>D#|GZv%82yz{y#b^R+F@lUlZ83tRzy^bwEH7oggZ%}n z!g2WvG<FEBW<d@Bw*l~sC>nr0fzlGz0SiI=1(F6^4~qKkDJqaEdW#B@u^9dWxenql z4Vb?`Mxy!)Bn37YWa7(P5PyN{Rb2i8jU7TODv-axwKAR&MHR3oQ2eC;7J~Q-Bn`G6 zT>l|PfRT*F@E6E+5P!+Q`~@-+)n6bfu)&~e^W}^m;P?X7Ot}078asp*^&o$N>mfWN ziV|Q?p!iD!EClfvNE&QCxWbsC0;wjps2~}O;V+QuApR17`3qzus=q)|V1q#>z6^t& zM+>SM2rQTf4b_9n3<wRb_dzo+-93<X=9q0{XwL-{G0=J+6qFz)$QY<zkRn*U56VaQ z>wO+j2!VSpAQrgZ2N@4HkKCRM$YI3vTtKTBuq>G82G{!(Etq$}y@~<vf_czH1IYUj z8XPO2$*68ZJr_{20!0N{YYeIv)V+bl3aAvoA1f^ISOKxXu>vw4<Sejx<n~-ZDU_I= z3usLomYxeUI94cHFu#EG1@k+gORyj`I6^?Hw7Us)T0k=*&<Fv|VSt#R0EFr#t<&;H zl7Rto#2<(Sju4RXaP!FRw16B&Os55uO+YK<(K;=^B|#m0iWbZVK$d1B=9@u&f)rkO z7tDiN1t6sm8XPO2zJ52MP77#02NV@(3+ADEN$a$HfyWAn1&$Sv@gQe`%_Fzd0-72k zrqcq-CRjQxpTV(0(SrFOkXQkCN<iL$L<rsm^PqMINGXH{M+m5!4?0{A)FsBOO+ahc zk3$xXLn8z<bp&F9jDhL}DT0+-pm`|#<<=W`gn(G!2m#G=!Oa_>1@o`L5kk>|c@M}6 zYqSW#yI>yFU;_CZLW3g&)YI=KbHO}RFKB@~EJ8pNX!s-K2|PkTEO3N?Cg?!U0-HBL z3+5k#BV+&<%zuDHC$>@#?}B+yV-Xa15E>k(pmBk2G8fE4^@0|-!{QV)d5Aww@4({} z!~(}DXmS$dEU<Y4v|#==I8G^AFt6YN>dd0=O2NBe9z2@T-2$Gsgr5Hk8W`y&bHO}R zFKB@~EJ8rDp7<l=3OqtUEO3N?W>rBE0yb}e7R+A;M+ij=<^xDyFb|r)1^FC8gL4RI zm3DUzWSu!?H3V9_ejGCY3#xn27R*ESf)v3b1T<ZXKSIvHBLu_(M+j&-800Llc>}ay z{xmp3C|WS@LFR&a(CjnF`w$u&E1*@{-DED9hw23_aEHYTXtEi9tQ>*I3Wx=c70_fh z$XQ_X257<jVQ{Qav|xS%?ye@@1@oY}bCCBTG&oj3OSM5g8_<~@n0W=XcKvvZ3TUnz znpZ%x+aM;$7^q&5B3P_|rpoch${u*EfLP#I0T~ZBZ-5re?*_*TMGNL1kiK9ZG&>LS zIfMpB2xyfys8fSILO^TRkGH6RCiy|JfVN;Bsu!dP79pTHe*6)#1s)+F7C1sc#)F&% zHgA9y%x?xq2t^C#1%koVJIM>?L2C;@-iOfOSV;gaY9MpLJX9}efjcZ#Kr0mR$I2Rb ztbkbHSOKko067b6-T*C_Uk#3x0bDTeK-~rNkfBh>jF1N_cx(c?xEnOO2?}jcPuPPM zwqPD23SK4-Iw%s{JwsXT4(>=pSGz+-^m<@x>0t}zA;PGu-47s5Ac9+^P$NL2Z%9(G z1@jPLR3ng<yMq%x)E|&hESL{r3+5rBV1Hm;Fb@u9s0p9}EJR4a7R*CL!6v}xpCPki zsI!0InLDUSkg=N<6$nlI>>ue1=0WjFczO$S!TbVAP>}(f-h%L^sLYUrPj5{CGZE8U z(QCoe3ZQ{+T+<5B=`F}G_ZAgU5`wO5M_mvFGNZFar3aMrQKz>$z(SB|1&}m&^dDqi zH)6U3$ykhO1(3;*X@wR^=mKnzk&Y+}ut8E_gF!>-WGt8mrAg?@c2s|Xho4(i8o-`F znJ%dT3qkw^k_KB38h8ec<U<$CBN>a~FObO)e^tQz1u_!VUmz*4!JxrtG8W8(5_&hD z>8%p5Cs6!V02YGy3nUG;9yET7v|t{|SPXw5rnhon{sI|^>MxKK*kI6@E*T5v!6TM< zrnfS{o<Q+e3Rno@FOW3Yde8`HH)6p&lCc>6LQHQZ!2AU=64hTIDX_tyQBg7$%!3CK z@hq5+0eb?)UlCv-h`&J6VCz8xiAW3Pk&MOg7igdok`6;){sI|^>MxKK*kI78Cm9Ro z!Q*Fm7R(2LJ%QpcAFvR_Um$6)^`P-Mqy_Ux#$xyjG~NgCmj}#WAR|%z1(E_AOy+`l z@E8)F1@kUoPoVhA0W1XZ7f2dxJ!nh{X~8^_u^9dWjd?-*WdrjU$VgOwfuz6&leu6X zJQRax!Mp|76Da;N0SiI=1(F6^4;tD*S}>1fEQY^8Lq`yQ8NmDnG7{BaAStlHWG<Ko zk7(doFs}pl1d6{jz(NpzfuzCKgGNX|bA!+Yy-3Dl_zN@w1M!y%%wHfQQT+vy0vk-` zf_d<m0G<W&3Sdv5_)7*X1o0P08f-mii~(uEJd&{({sN6*K>Q^E^B2fSRDXe_zy_1K zU>@8{$FpEw1ndbEe+htvApQbLgRKYk(vcR-BN>a~FVH9e#9urxe}Rld^%qDAY%pj@ zfs6(7;La(Y1@jzWPoVgV1uO*d7f2dxJ*cybv|t{|SPXxGI@1t;F~Ix<G7{BaAStlH zWG<Kockl2lnExYzxj_#s1o0P08f-nNyNI-49?4h?e}THA5PyA<fVK~RNH8#<`U@ll zHki}}^Pm<1sQ&<=!QE-loFTzwC!qCP&_NE+iYpKkWDHa<Y0FMNfI<ko>;%LDcc($d z!_6ai*$K#DpvEeh3+CTTfacRLAT7-XxeB@#4zdy(Dgd$wA^>6`bqOtSFU`igU>?+- z0eK%ngJT6WaY%64325~hC@PM(fLEY_m>^@IdP!S$@&X<!AQm`QK*qz(BX`*eXdxS@ zY5~nd6WYdrW!cGdaI6R+#R|w((3O0UNPr4}EP@DtSV*z*0C%k5T`&)7je)!mp~0~N zn)(Bc(1JXJWrP-T9uufD2#Sj1E#Mv0ASTEds9w_6o;-lZ3Wx=c6_D|8^T=I$0_s;1 zv-SkEG#ks>ll$OU387@cd<U+j*#s8MgW8fH??Y&CtbpbLLBq7zV+FLV<amn;s4EMK z3bZ9!P`w~UumM3(&j|m3;0<`JfLP#I0T~Z+7T7#;*PeiS#l);V0mTZIwI|oXu`-R4 z1@i{BpfQ*8JK@7fAU{Ed-Eb_J2ep9_ie^9;%!5Ud*N7vO;8-vZnKOiqjZJ_qm<Nku zHwVXpc~ILGVc81MTJ`QNDqvBp=AbQ@2aUi%ZuJ3;c{CnB5CIC1V>{Vld!UG1><-#X z02&5DIORhg$Rx;ZIEcYH@WJm?TJp}2552qqatJ;0Y4o6)3*i(Zm%RU=-I8}u&=4LI z#9Z=zLIM<surWahZ;HwR3HX@c4loljCg?ts*fBv!5W&}QgGLHb$Id__cc4wkpv;dt zCb$7C1Zneak$`U21(}Dm<Q?2pf~=&+X!C(ghP3(Cz}kEuBT?IYASrO057g**83kDy z4jOfviQ{Tov?cH0Rso(R?<>HbKxv~d0SiI=1(F6^53X(zOWu);#qbx%br63ofcXn# zB&xqaQecBY)%HsX$kK4os2eVSp)Gj_*Q<EO1m}P~f#R<jU?GUVK+<6ALG>!q7%q~r z82$pe4&tvVFn@uJMD-U)3T!Z_u6=n8;xAC$g3DiMOWwgX37#>*31Cm4_^Ssj1o0P0 z8f-nNW&$;fLFHLDe3L)OG7Nu#TnF)22h3j}BT@YYk^&nHs;yp5A%4j_WUi$JeC!Hz zxej<hnam~cP`#w}Jz78^1nzr)Sm2r)6z`y-5o{j0eGgC^g94dQ-vhLk7t50OW^m2T zPRSYZ7koh_Bk5<v@4&gj8kBpnY@r5qD{!}`z(eVzZq}`V#|nrAjulX<1;q;3JaYRU zpcG0>-vhLk7faux8XPO0l$;T7K>Ct*$b=kxVh+@h0QJ@hb~`|G8qfwVXchv*1O*^e zFKOM55_p7wSl|c&84ov)+-?WRVZ?MhK-mOKx1$&wArmM$BmM#C3Z+i0*#z&BcTn{W z@;-zHcRN76b%Nav(2NWyD$tg^L-mr@?Z|=03Wx=c6_D{DXMxQlx7z`l+##mh0m>#= zx*gfzSh<9BBss`cpmmwxlLi<&AqPX@J0re>^d;}0b_dAk5E>jIppH7ZOWvV+Nn7%s z0*??73mhS!nJSR8z~&9mlJ{hAgh(Pq2*_0=oe_TnYq^DY$vddQ1oAnA21f{}k52BA zcc@;{mb}NnBLu_(M+j(&4dg7ac>}cMJsKP#2?KXVyoMXj#sS_X@1Qm)DDWUOI8H%5 zdvce&L-mrj<UIr)ryv$MPC?U)AZLNi8=xic!QePeq2!GC2-26lgU3Ta2hoGikp)Kx zXlR4nCGSwZq%C>(fkz041&$EVyeKF_z~&9ml6P-#gset7k{skJlFo?lAbrU@WKngC z3WNqn2zZu}%q8zoy`(L9cY#L;hy{)i(1b21Lcrz?(2{p&aD;q9I*lCUDw58KZy<BY zJ7iIHiwcAW#|n6kl*}dXP`#urdAEVb3Wx=c70`4vC|1Dc4bYNzYjCWXAjJyERV1Ae zui%ffHo?2(9kQ~z1$+(~bN~Q6!%OBF@ld^_EqOPA#|nrAjup^kIw)4a<_*x2cVlp@ zlu>d<yhI>4R=~?(LEZt4+IM&0JtH2nsJaDwfEzSIz*Ey?o)Hh#OWKlm9e9L*Sl|c& ztpWh~0Bqg>EqT`lN622J)5t-tBI%5H4>Fg$?*OmDX;FdD;KFMI=qz(Gm%KyulD6bs z1s*FP7C2TwYZySW0yb}emb@#2W92^&;`lV^q3$5ZK~8xGv1oTjd<7Mky!V2yY6p$) zKw=s;_XS?x4!X$q9Ab;A;Q<e*^6n|nHSCALYuG!ldvu<|e%L#>c?n&+4vI9SDRJ1^ zb%-#|wd>#%3|%h{8@&Y;D;}({wd)X3@XB-0%o(`wK|bsq99~cpKm)9x1OzbwwssvN z3N``Dq$7Cx4XPh?q|#y^=oac-h?W1BVE2p@H>CzX6%XkYDT3#|gCdFWlojSOb_tXz zD+q6jiU4??3Vccv2bhVNvYJvy?35K`=z5C^C=Br}V`l;7X4EMw2CxuhssJPn9?=Jx zhcpF(WGu#10b<JPk2rLh639qLlx6H7DX_ty;qjNskn_<&!^*g(K%i4rkip+A;ENgY zEo1*7j<Nje3s?x^FOW3YdXRab(Rt_;2zX2xG82a3FOcgXQy?E;{sI|^>MxKK*kI5A z^UH@2e}N{2aQO>5Wd#{S-2z^Pg>M=A8?Yx(rgmO{g&_U{NrSBinTNED9X!+vnF+)2 z7sz!Ge?5Wu3uGj!zd%x8gF)l8FK3Z_?mKuC63>*?1F$Dh{B;K`1o0P08f-my{1CB> z9m!Y>e<7x<ZovEnG7{BaAStlHppnj(5s>rIK?}uk#TV#=cj$N-==3S@U>=@j>{q~^ zK=IcFun@#wAZf7m;9)q#x$j8EV)zT>I!Jt-f%yw$B&xqaQecC@%h&}W=c9ubisSMZ z=!Exf$i;&oe}Tuu@GN6L0rmunzm9-~ApQbLgRKXRu^}yEM=}<}Um({({B;24FOZR_ z{sKvX4F)e`KLzm@XrVYRe}PVT2aSq=TTWZR7q@leS;oEx><JWq?Eniw`~{K*TMrs% zLR!WS9&Umdi{USj>mdHx0`nKhNK}7;q`(G)m$4rs`P_H#@Cu$~>>I$IK=Icaun@#w zAZf7m;DHgux$j8EV)zTOjC}>nUmzn<{RNT&8w_5?UQhD5@8D4iJj>XZfIWfYuLWQs zh`&J6VC%u-3sd0dz9Sin;V;B8_Bk+rfs91;7f1?hFnAfe1>}5m&_Z!s=@4|nJG3he z%3t7qKAvUlGr*oe@z)fv5X4^~X|VO+9y;ROcO+vm`~`9yBpptG`3qzus=q)|V1vQS z*uO#i1zISM%U_@q-k}$kf&2yTDdSnj-UIdoioZI*LJ)s}q`}sMdfrIO*pZCI@E6E+ z5P!A6`~@-+)n6bfu)*ME>|01a_Z{5f#IuaO0qhABf7O76ApQbLgRKX56%psYBN>a~ zFT^tT3Yfn@Mxy!)Bn37Yyo^1U<a6Ia&HQdW%h*f6o<Q+e0ays)FOW3YdT`%r3i$pl zP%=O=7Q<hNW$ZaHe}Rld^%qDAY%q8kyY{F5|6%=uA#m<{1}KEUOG!X1a2FZ0<O}2j z&=fxCwo}keV~1EeuXT$UfT|-o1_mNd7zZuy0yS0%EhWKm?t8j8#mm@FSb;~9hUB^L z3Gi3}vB0qcTCfI+70}c@Xmg1y0|VX9eUAsn3PsD<3&>o?4r)Dvybqzl!%3hybAszh zK%GJ8$^}sO4a5W)1Jz5~dXflutbkbHSOFOiH;>%)Bp`<ovz`RBU>eJMl5lXWP_&F) zg3M*?pte8A`w$u&E1-#Ug6l~@U0G06pe<vE>LqPGNdP=nKrC>qfQ$z@3v3>_>q$Vp zVq(^lfMNy9dJ=zdtWdO!{Q$05@gVNp_Y2Tv?4X_u=t4%&Z4}_KEl}7%#;<TJV~5O? z!-n!sK$o$DMX@eFXT`CM9n{xASY`oT#ts(6Y7T*A>;{pbBAvWt?4W8M;S`B{kV&*$ z#;!r-W$X$t^QeDvJ1A%fj|pNfV|Ne-1tM%2JA^ky#X=mujNJgtM2rbGWDz?i2x)_C z0iWxEZ%j}Jl=)G|1U0}ykTxGk8r(DknTNED9o)1bxQtx|*5(5liQ47^Nr4RpwR6Z= z#tyE<A%l0Q%kx1acbzRN3Sdv5v}I+$LJ)s}q`}sMS_LGZ+%5t07syCde}SaH29vpr z9bB(M2JcY)1sb^n-Q5ZH1d6`|z(NpziHJkD9f0apq%mA1V=>z3Ad?||Djt}>Kt`ha z3nT^h7pSf!V;MWRCc!f%$N}~QioaOELJ)s}q`}sMY9>&?ZFWGsfi5MzQ2Fn@uJ zMD-U)3T!Z_wi;^7*#C&ZkE9l3U;x+Lpm+zB(%?b+0b0iXTMX3q7_rOPzrbS!!~(|( zDAj^u1w7?2K+D)agJWeRE@OWKj}Q<G93h}76HtVJ=Ss-!c7Ucwi0O9VSjPSu93dli z8T%7>tbkbHSOHD=fMNyIN9`uJ+X0%~A*S1bV;TEnaIB2PW$bt05dva?BLp;41&R<* z_q2O}ma*RkN61KA#(o7JAs`kwLO@e&pa=o=NV^AU8T(~$gbd&^_Jkq4jQtEePC+bi zoPwqoL2(M|)q|Ssr~{Lr8AT$7i$PO~-BVORs?iQDK)sL>RO%UkZsDAw0-|77AD#xs zDMicJCk)MH>_^}c0%Cz91T-%SiV*OG=m0HaKMal#ik7h-7@Eu2_rN0r!~#bMXhIhh zA>f(Z0b0hs8yq1NEo0v?gqN{zfyWAn1&$TabTlYd!1K@pw2XZ-I94cH#vU<*m$9#b z#|nrAjup^kIw)4av*-h~jD0mYRw!D=9xybQu`hu~2#5ua5YQ?BP=tV|{|9Ip`(ki} zP_&G_fy`y>E5M7fT2vr3c!p~M1<Tl>dP!TxJ_jBvAQm`QKx-I4u>v-4fR?e(2FJ<( zE@R(7lV$9Xn1;=LQEM4HxOoX(#tw=!EX&v-!Z??)gHtec5jkYRI?Rp4E@KCW7t{pM z04pc~L8bwTTgDEaeuL`YfpXx61-+NCgCdFWlojSO_6cIhQ&te(6qOD!_>@%xn2DIO zI_ppDloe#?n(#9A8c=RVowBL`3qhs|K+@n5eUN#e{uFeo02E=+nJ|p00>m=*5;5p9 zc94;dD9hMEQecC@Qy|n|#$EvS1j-ah4p<1{FOW3YdeC4p(i8}IOc^p0hT$*JWEf;> zCj;g$kddhV0!e`l22X)de;IoU*b^xJN&pK%`~{K*TMrtOC3zWp49s63BT@YYk^&nH z8mFbfGWH0tCs6zq0v3Y!3nUG;9yF?nbQ(K&a1$~Uh7n(gDXRdOzd%N!`U@llHW)P0 zNrPqVK44Fv_{#$<1o0P08f-mia1iM<cJLS>WOY1-zYxpVU10tK8HwsIkQCTp8ZBdY z0DA((Up8PNh`&J6VCz9+Y$PvZw}ANzWF)G;KvH0XX|#;p1ndbEe;I&<ApQbLgRKXR zGm*TET?ghbkddhV0!e`l28}(vr2aB?4X`Ir{G|dGg7^z04YnRMT!VBPJ9yLvvN|3k z9U_*oE5Q5(G7{BaAStlHG+M?k1NH=pza+py5PyNB!PbLDJwV4+fg8|URKSBDkk#=R z{z5Ec7lHW;WF)G;KvH0XX|#-80PG1AfAN5YApQbLgRKXR8z7y=4jw*$td7U<7h)MZ z2h3j}BT@YYk^&n{qh;(YU{9d<ivcVI@fS!MY(1#wP4Y7KKcdj~2FOTMe}SaH2GeL6 z`wvmfZF68Dh`&J6VCz90SkQu5XgUP<V<D^KG2#ocjQs=5UtdJgPb~*Yfej{e89S(% zk8c_K8?Yx(;_C%i2;wi0G}wAj7ZB+*b|hml{DoM?{siVPkddhI1(E_AJQSC)KLCXg zco{p01@0n)mVAMFIN-Hj<Sr!vE$;#~R>@q(eqR){l!T(w*oX2m_8ah60kOcb0$Q*J ziWTqzIC7VgfEK5Lsus{u*<_r?ejOYuBX$}41$eB0Sm0Oz^)*4U0$yiF?s^hXzmk~s zB%lS;SeCJ$2gk~YUB-R_9xEUgI95PCWl*euR|%54o&?k@CT2YeC|0m6V?Pd#l>uGG z&Jn}F0NSVpS~Nh(GIoYIh&cnkjC}zG%h*BHJSa^-#_b$vzKlHpw(Oirr?Gp$%%lD? zc2Lj|9uve|#=b)oc}x((o1(Ho6h0=n0?b5=3GTEec1#e`1|htReF-S@qmBtK01H9d zd?0CX(+p%D$;;U1z}kEuBT?IYAStlHpmq)oma)$Odjh2`I|VER@fS!MY(1z|K=Ly7 z2{3<wj70SpND6E)sHH&0Y3$&75YIC99<V1+{M7*#g7^z04YnRsuOf}%A{mR(Mn^1T zZ-My>WF)G;KvH0XL3J$+ma#X0J%Qq{8n6(=Um$6)^`M#w)GUUMO@k{af@6XeFn@uJ zMD-U)3T!Z_wi;^7*h@em1nzr)Sm2r)6z`x?8a!xEZr=kG$Dlwa)b{|b&&6^Ydoj4? z9<j^VbKtQ8Vu51?lxjh-0-kapx9<T;p~Un(Kr7X-oW`CFj+K$Pj6DS&As`kwLO@d{ zpa>brW$ek|2pO@<*kj<a0%Cz<1vKFUiWTro54qhA(Buv=-40MT!P4!B2FJ=sT*e*( zj}Q<G93h~YDo})gr>Lf=fKGQu+WHTgoFZa{EofE>bm|_VF%wXP6hKzkf+*Mu+hA~n zjKpQ^KJW+uvA_`mnqmV*2&hLoP|Mi8!4Wcm%h+cO;brVD@Hhprz;OziUIfMIKrUl< z2FEEy%h*p0&1LL1@CX61z!3tP7X?KKctUi5ma$udBZQ)5>_3L)GIkSqgn(G!2mwv# zf+7SwlRH4m*p0yvLeVnz4?}nvyAC{7KrC>qfTp8Cu>zil9-w9H+Td8BXc_y2A-s%T z1s*FP7C2Twlj)#X8OUYq%HUX`Xc>FQ&|JnY1CJ083mhS!RREv}0Z;!A&@y&uaD-5_ zjC}{0%h+dtS3k6<KxptX_6Za$V~6S`Z5g`=JXSy~aIApVFo0qOY~BDZV;2U;$^b57 ze?gOF?2wp-EfJ^IGIntD61t2X6lqwNu|tG$E@KC$VCW)p@|LlK!wYHxXn+-zfFRRh z#4Te7PrpI+?-<x+?4U>@JY|KsjGY5z$_m1pqQU^4rvjhG{zC*bdxe;?VpAk`$_g@c zO?Vmm7ZHr*S0BJakf{QYG<ZZGWFFEK2q?k`FJpfr0$o-HGSU%c89PV{Y%pkeoCc?{ zzW{pzWeVg8SP0@TkTlqO&|orXbRIed0v=N)xQzV)%wHfQQT+vy0vik(V5Y%o?03MP zK=IcNun@#wAZf7mpfOpJm$6@g`3qzus=q)|V1sG2jQs-G6Da;V0~Uh#3nUG;9yF>+ z@-p@lFn@uJMD-U)3T!ZFq>~2A*pGlcf#R<NU?GUVK+<6AL4$)NFJs>W^B2fSRDXe_ zzy^Z`3|~_JH1-`}PoVf~3s?x^FOW3Yde9gf$;;R`!2AU=64hTIDX_sbTE@Nx><JWq ztpE!_`~{K*TMrs%B6%765}3b0Mxy!)Bn38@M$6b2fIWfYuQ^~Lh`&J6VCzA{H6$-% zp8@k1$VgOwfuz6&(`Xs{6tE{y`~{i>a_NNl3nUG;9yIC!n(qTQpov|^-UIU&$VgOw zfuz6&(`Xrc2d2MTz(NpzfuzCKgT@U=UdG-4^B2fSRDXe_zy{N38G8-b6DaXj0TzPz z3nUG;9@O(Dc^P{N%wHfQQT+vy0vk-DW$XoDPoVfK2P_2f7f2dxJ*Wdq@-p@en7=?q zqWTLY1vZ$>W$d74KE7q_DPT{a_$vV{1o0P08f-nN3y8Fg9m!aXB{GO*>@hHZfs91; z7f1?h@K9XF9svp=@KO>G3*1EpE%^fVa0YT2d$`DmUdA2(j};IL94nv&YoJ&e$Yt#Q z;8+>4%h)~Ou>xX&V+GXL1jPz?o!tN}V|NF~%7|UY?f{P!5DOeDpq?@)R=}$S$z4wZ z>J<~So&?7-c6)HF4Cpd;2P!RNw-_wT*af0M>!!&&jU80YgVF?K+^&M=%h)?$%g(8^ zjJ*M79`%>8gMx<em>}jdb^{Smbihtyhw!GTXn+R-!DE67U?yTr@DmTQV}g)22;pVy zGN8<lx{O@{ECgxufuzArGmv>CFJl*hwfR6sqPF=!QecB=w2WN<><N^%EDu-+;xCXi z*m_W_faGQD958=@j70SpND6E)jh3;qfIWfYF9xs>#9tt3u=SvN6=@6?T)je8$78h7 z5zE;B2t&tkK}Mqb3nT?L7(9l1?FTp=f)0<sb#qq_XhB+w3Zy34q5`f-AnVOhPnidq z(b=N%Ll|Rh`U_YH;xCXi*m{t8NMpE2#$xyjWHRI^k`FL{fs91;7f1?hFsQZ~YRlN) zfI<k|_W-fLH8&{UL8UZ!(0+iHvA-4u^*u)HGWI9%SOKxXu>wl9pjZJ<ISkM;_Q&8@ z8Hvl-@4zDj!~#bMXvzc>Ap^OL{Wds4K*No%84SUfNP}F}-J$}bnjf%(?i7({VCZg9 z0nNie1VAjL3ET%xpa#Tw#C6>uKY<!(-5o0L85lZyR6vCwOwfZ>;yp-xiwdX@3=)OV z;I#$drQ;Cwou>}|l0W!Ln(^YnpR5NTGI#c<fbMrX-l77Uk%3+U1)4(vF+s*a^@0?2 zp6li@z~1U_eDHvQfx$)dkYmTi&K{L3@K^z{z_9`{9&R4F-42k$usZ<rTw*NC*e`=) zWh5?RKLd{t5DOe3pqVOAgbd^|_S4`98Hvl-kH8}Y!~#bMXo?LKAp^OL{V+H}25=es zg(19*eGfcNK`d~bf~FTiaXOI8*mr~Dl%i$q44$C6<vhx5b=@5-AHdZR=ol=JA3=4K z2kQ@LHS|LUw7tJY1ww<XA@I`i9u<&!EY*;RECa*w78TIMC8+K>-l77UY6LMshC}s& z6v3(?usNvbji6OSTi_7_Vu2$BWIWuw0b0hs85|)LEn_$E#ugzqkO%>t-v#m`Bti^6 zfRwhV+<-<1ga$_lc<FeL3P?Sc2>Budju6m{D<~F@w}9`!1~EZ~L-m3b!6F1~4&De^ z1CJ083mhRJ<KgBF&@%Sb;0U2;8M}rrD3s6dWMyDrK+7g2kXQkoJ_hnABvvw@v9bdi zD-aqSE8wN$Jt`peSYqW0BvwH4#h|D--l77U;RP{4hC}s&6v1KzY!2R7Sptt05DOeD zAmicY4bU?7#o$<>Xc_y7A-s%z4m?&sEO4xVCeuN&GLXyIXM<yfqGjv{hUPN%DewpZ zvA_`mS_J@#kbzvrJ{cS#6fI-_K;|;`4)7|R78M8$F1#8jSjG<3OWHE_9(b&PSm0Oz ztziJg3fQ~>TE^ZDj+Fsi#;y<ssgT&vD<lu3TYYze)-rdVH#`XnE=a{>@eZW#*scRG zeW2kBUU2==35#iJFJlKcFQLoWL6L?u3Nqt0s2Mp$1tN@d89O)yLl=>gw~QSeUQiQ2 z1FVP;A#NEvc=`>hf5*TsV+UnN!c$hDsCVo<<kKr_$PJ3}Jt_^tAR0Dh1>sFmsSpOO zT?bED6@ZzDDJ%O=|NeKksDREp$2C>(0Wwtp8M-FCj6DaGn^C8%GQdKRsREERctjs$ z9?}#DD8dLYV^4ui6@ZL%L|MiTk^&nHo&ur%Y3vDLPoPZg#DIk${sKvZtp^PzBTa#T z$CL>!V~>FO3uGj!zd%x8gTYfE)IW_q1ndbEe+7VrApQbLgRKV*dy>42-3R6`kddhV z0!e`l1`V3h;52p*uqROb<pLIh_zNTrwjMO9N%Asw2bjM=Mxy!)Bn37YG}1|fW$ZR! zPoVhA0xSga7f2dxJ!o){<Ynw8Fn@uJMD-U)3T!Zqma!XvJ%Qpc9k39@Um$6)^`J2} zl9#b-!2AU=64hTIDX_sbTE?yd_5_N*6u?3de}SaI)`P~GNM6P+1M?TiNK}7;q`(H# zXc@Z%*b^xJ5&;WA`~{K*TMrtpA$b|Q0L)(?BT@YYk^&nH8e4i<{|-FW4H~z=Re!ya z0ngGvMk!F%o1;#3gUsk`QQ-l50>xh(U?GUVK+<6ALFSRXjGYDMFOZR_{sKvX4W`jD zb_TE~Q2g~r2sEn?@fS!MY&~e)faGQDKZKx56F^3y`U@llHW)O>@$%byaD1JRVPL=& zUuz)o1?eeoQ33aq_o#qGQR53_MrVu47qBN#{Ph7W1o0P08f-ntJd&5Ozk&G+WF)G; zKvH0XX|#;}1=tfP{(1ryg7^z04YnTCfhBnv`vaK2Kt`ha3nT?Ln9ODDpk_Y4W$bsr zo<Q-}4X_ZzUm$6)^`I^w(lT}=V=<PJAeOOTf%yw$B&xqaQecCJ;xhINpb!EtB>}O( zU1ZRbFHjF>AeXV97XmFM8L_9apMb{-hy{)n(1JBktbnHOLAet3?q1OKOGKP323nj3 zs#*x0EcT>xiVBt!5s!moWyCIHKLC#v5DOeDpuQ$3R>14*251@kesHXe*k$ZH;IRT? zfnx>KQwGHfc$FZz>q$VpVq(^l;8@1K9ULnIx{SSoO3T;_2Fo(`1`3w3gQ|H@nt+UC zE};1`_6@LQ=Tut8z5-?*^_Q`Of`;&zAm%dm6+*~kf)L&ml?6iZF~J#NCSpub`yR1l zf{-={;brVoK$#zPOmG5N2-4;QNrRhaAoECG#@+*K^MQ;+ZS#Sozy{N38G8rV6DV!j z7O)V+Um$6)^`KS($;;RqVEzIbiRv$q6xd);OMwQbvDbh-f#R<Uun@#wAZf7mpn4T) z3>RFz5?sb!0`nKhNK}7;q`(G)$8f2?jJ*Kt2^4?jfQ2Cb0!f3d2h~hSW4Pc73bHyL zqwfJ)!3kN$o&ob0$VgOwfuz6&gKDdxwv0Um6hh#>2Z#l(xk2#`Dy6}L_ES_e<QN!` z&d&u+CJ@oe1;sHakjXraJsDhckJx4GG4NOcvB0qcO0}R^0Z%y$&@%RDaIB2PW$YpF z2m!Ic5dxYr0Y%6_E@KY{N63g>#_j`;6%Y#?E1(G<P^^HL#gp6Z08Q=?)9nBq{*7fB zyEiyiM&dGd7kGq#Sl|c&%~XLRWFVKZJA)%+BrapOfkz041&$EV6dNc)267p@H8?^B za2Y#?JE+@)b@R>{$mSgn=o%47U-bZV)in4rwC)xa2n}9Q1ztMdqXJToWjUw`WYsii z{tvW9=Xi?>XvPl21jPYVFGvw=IVjj1yvspN;Bg9Kf#VcpJlwn~Dj@Tanrt8=iD<Hc z9M(Mr;t^B_U~b+q04*Dtq5`5|R~{IH<CLOh>=r|F8M_WVLO?8Vgn;HnK@kF87C%7C z*tNkCLeVnzjG?)VT?HN?AQm`6Koh#42mvpPAE0II%HRm0Xc>FL5MIVE1CJFD3mhw; z>1a@_fS1J&&@y&uaI8?YjGZ9>XKk_uvbg{>oelCRq&8Uq-CQsOy14*CgKHD;gm{k% zNIjO?WD8_-0cf@xRD~RGQ31_QgP0)0p?X1zV6_R@9Mr-bZ4ZtJJXSy~aIAoghnqJ= z1!NvlZ2~fqh}s0?Fwph3G7Jozs1CraO*ViQ1x!%^QLx%X7#u4UEo1*NG?%gSz#|02 z0!IjF6#ytg267oYH#kBlTE?ys0?8&=_Tb2T1aDOX&Gmyk3dtq{A3^F{R4Sm$*da7H zn-oy6j2)_%v}Nop@K^z{z_9{a!vJzD*t`K+#?B0ml>uDF9zm03?2wqI_A+*G^Afs@ z9TaI;ma#*GaV}#Ar(ozJa`Kk3gTo7I0%(90lz<?qow#M};ORH0{vFUR3WT=U$HBnB zu!{+KU%(cX40#5IPyB){DhhHSh5(Eq*aDV9Snu!#l-Z8&l!xix0a}3GdC;R<6jHxz zQ31QN@z{=H(31Z>;A}~F$_iu(>N55pf*_k<%h(~jDJmZX;Zs&Gz)ZxHRmEXqr>r1D z*Myg`KM@42jYF9#cmNiHOcj8n!6W)0^N^-MKoLfG8T%bU=yExbk&Y<K*g;ZYgF(aN zG&qg@2G|oQ{<;Ddg7^z04YnRMm<$@7C+Rfy3ow6yj70SpND6E)cnXC2%h=C=J%Qq{ z6JQ~Tzd+Jp>p^3(Brjt>0`nKhNK}7;q`(H#Xc_wfuqRObwFfK&@fS!MY&~dHljLRW zJ7E3-8HwsIkQCTp&`2i@ma%UEdjiE@8^A&ke}SaI)`JEINnXaj2Ieo2k*NLxNr4Tf z(K7ZGU{9d<YYA8g;xCXi*m}?y8_CPq7r^`lG7{BaAStlHG+M?!2kZ$Hf6V|3LHq@h z23rprXCiqS`xKbJKt`ha3nT?L7&P|u^4MGO@?p@q0l1bA^MJ;kAcqimbZ=1s53lS| z0g0k69|oDx*`hK5><JWq^?-#S{sKvZtp^R)ki3k&1LiM~k*NLxNr4Tf(K7ZHuqROb z)c_WP_zNTrwjMO<0a|MaZa|}~j>nkl1}%_>Om)}5`~@-+)n6bfu)#E1#$EyT1d6{( zz(NpzfuzCKgT@U=UdCPk^B2fSRDXe_zy{N38G8=c6Da=501H9<1(F6^59)c7yo?<* z<T}%(yGI3NB&xqaQecBYz44b@K7jMr3Ovj6dq6$$78OW`bBhYN!?{NVB#N59KxTBd zs3d?rf#R<iun@#wAZf7mAoD;AW})d2$ykhZ2r?Ox4kKXk1u_!VUmz*4!DKFD2Q~BY zEn^P>djiE@0bn7Bzd+Jp>p@*Wq-E?##$xyj)U}29%LnE!kddhV0!e`l9*WD@JwPD@ zUP=ODfxF0{C10Q}#y~D(cNZMd%h(;@u>xX&V+FKe4HPQ_xs2T&94jMs8M_5MRzNIp ztbqEOpjZK~vm2mg?B?KD8L`XQ4dAf?Vu51?)Kdn<3V4+ux$8+ly<%e4li*m!t`Clt z0bRzvflABRR}7YA>>nst#ty3HL1_X!9>#it=F8Y`z?Pj;X&L(kn0eG+#tsS^!efG% z%h(lA#snd}DJl}+fk5!l76M=<VoWe)J+WhgkTwY6W$Zkl%#XT^odYZcY4d@k!A&!e zc_c4mXMwf(Kt`gr`9M-&gK4ykodN6#l(y_20nqR##9tt3u=Su;0m;kQe+WRwIzdLF z`U@llHkd}s*uQ{1f#R<ZU?GUVK+<6ALG>!q7%sSaCAf_J4a{F4BT@YYk^&nH9>b;n zGWHi>PoVhg30Mf?FOW3YdQi=TG=>YVpa?Ewe*p6r$VgOwfuz6&gKDdxwv7D_D1^X$ z4-gAnbA#d?R7!&f?FVQX`)vVG-($osW4{8A6%Y#?E1*;hij{#}#(o(bD<g3k`x$tI zfLP!N0Zo~JB4i+!v7ZJ<$cSCWegqyXAQm`QKodToSQ*G=?1#azG7^`u?}0}Mhy{)i z&`cF5LI!df`)+WAjKpQ^Ti_7_Vu2$BG{pvrkbzvrz8M@L1GtRcVF)i{UjvU*5DOfq zpy@?WoPw9d5707p(0Xb9e$d1T>}C*<tGZiMKveSsM$mmppv7m9h5Qf!(Cj7BVxoef zxr}`YJVHP$aD;&7ML`h)o@X7PW$cT=nG6&yum}OUsv9y13<-az0BEumA^>6`MaY7o zxr}`dJVHP$aD;#+bU_g^kjvO-gCm5ZW$ZJC@G|x(@K^z{z_9|Fjt0fbKrUmS42~6w zma$t5;brVS@K^z{z_9|FOb5jZcv<`aEo1Kn#|lNu*bRa}%O%n8_ILu>R{)wV2l){) z6nz7_ub=|DuK+@W7fgev+<R0&>apxAm;%{X0Gg%;E%rR#q5_&&2Qfj0L-m3b!S)q^ z%|Tt(kG8L%1s)+F7C1sc#>35<q5?7xX<q@zNFw$XfE)&zOChwc;92Jsl?kBL3=j&o zub>$mArviRPaty{dj@#*LyHQ8hQtb}CDEe-S{r~RRzNG%kGH6R78!t|;&_V+XjuS= z2@XYwUXUVKtbonI8!I*NSOKxXu>vw4Zr%VbW3L9s$^b57pFopk?2wqI_A+*G^Afs@ zJpr7idZ0_Q55i7khX~_b#tu%w&_(3rEn^3V7t{pM04pc~LCPTFma&7U-=O+;4D2#? zP$Utavcg=(ULXJ}mto7;A-pLn83OQS><M5dV#;dc6k?~WAVb%Lm$Aoyax?0bRRmZF zGF1SQ29M~2%tM+20Yw<$W$YoasREFZjws96K~i9Y!BZgAKaD*A><N@95FfA*#9tt3 zu=Sw9WTYt&@R%~e)7U*={sI|^>MxKK*kJG!2=$k-yMR4`;x7lV5X4^~X|VO6F<FwA zvD?7>1u_!VUmz*4!8BUNZUOcLioZ<2LJ)s}q`}sMMm0%Z#%=)f7syCde}SaH2GeL6 zyAIeBDE`s_3qkw^k_KB38XP2f8M_M1Umzn<{RNT&8%(2R><VB{p!iD$EClfvNE&QC zXpD{IW$Y3#e}Rld^%qDAY%q<Mv5SB`f#NR#un@#wAZf7mprIU+m$CD}`~@-+)n6bf zu)&~#AQ~)V=Ky;G#a}F7A&9>~(qQYs;~-PO_wu2wj>lMz3R)l!S&qs8^B2fSRDXe_ zzy^cHQ)qA+`yYPLIs_Dd{QwI=`~{K*TMrubAbA=47k=o{1dx%a{sKvX4W`jD_77lB zp!n+zSP0@TkTlqO(6|A~%h+GQ`~@-+)n6bfu)#E1#{LBC2^4=l01H9<1(F6^59)c7 zyo~)0%wHfQQT+vy0vk-DW$ZV=o<Q-}6|fM*Um$6)^`H(c$;;R;!2AU=64hTIDX_ty zPVLLwkKpC`piUgF?Jqf?4lSfd2+H7~W<I`U>}SB9K=IcJun@#wAZf7mpe`WNGIk_m zG0F{)>mcRE5tzR~Mxy!)Bn37Y)L(t6{ptUISpT5Aqvs8Hr#I*rL71QiYXf-mX^RS| z1qTv^(BLjIc<Fc#_zoj1U1ZSVgvVP{Kx?u<{o>;-Dxg(VASTEds9umFSQi;&FWPwo zXag4qKp_NPN&;emyT~Bp;pUOMlmz53P-B(QiHM+czrTRCIZaUkQLurF{rsS%B-7a$ z7+}NTAXjxm{NDV4zZ1ghhJ*k_0AwZ7F!%{8P><#OPG;B^Uyz?by|(U-Bam1D9bpa= z^kCfqjTO++0FWqz2FD6`>3EL{NIjNVxdJ)#2(+RM6cxu?R6uLMKunM^P`w~Uuvh_` zgLmL!2Rv3lEO4xVjE9>yMFnIY(r^;UNFs)lKn?>{Eo7d?z8xGZH`x%e0&-P%iwcN> zL;{G_4G95=0LV(DSShf^87qGvv2p_1K?X&Y2kQrDtbmpVfV>Z(!Lb5f+t#B3QjaB8 zwm@P9)ENXt#qkyuQ1=bQ1Q`R>3sMA&6|gyYV`T$8RzNIptbmM%n>Rqq*w=$&MH(qq zK(6X;Q2|lS59&K1tZqmMKm<TxffOqeHjr4sxSp@O!{jZv1OuH73-Tx=Ry5v%)VHXB z)(3z@Av8Evz$^25R6y#n#L5&%tbn?*pr|<Bq5|rCf|wv<pn5@yV6g%=2XCybfX51m z1&$Sv@o@77Xc_x*aID0#BJv8zRoyKrAPN!*AQtGtI(Y^LhyW-okYeQku2}J4jQ~f$ z@qG%g!p-oKM`w!)Xn6q0<B(W-L8WEv4+hIJc8PdUvOBhu9p>vDptaSV#|;mFPHvb2 z9Tz-w;uA>OHN>Tnpr8R&^N64kC;%xr4!#>1bfqLIM@N9qX+b(V0-_&OKk<hj0G~bq zVk7LTz^(yQQhwqW038nlIkN&JfY7x8T^Gc!cuuGQ`H=9?9w@{hCsfR228F>Ml^OgX z8aA{C;Z0GQzz-kV>i{zmLwna6h#lI41O(yL=q;c;j5@T}02YEY-9Xad78b}nl2@bG zz?yC#BT<`fAStlHpti%y$=|_EQczurt4VqW)Kr1gcc3OIxN?V#!J+OL1)0&=qEZ3& z1WJ>%1S|yc7f2dxJt*o)UX5M=^B2fSRDXe_zy^bA-<N?u!2SYNaJc-n2I4PJjREo( zxO#*v8%OmQ$c)Ywl^n1qQ2dnv7J~Q-Bn`G6WFFE`ERwMpO>L0LkfwGD%wHfQQT+vy z0vimfzh3hH1p5nAMd0#R55!-fk{0AIa0LTdHje5qkQtyIzF<$F_$vl11o2k_KLZ2U zdXRab)-Ciz5hP<V`~@-@;;#spzd%N!`U@llHW*X`ygd68xeHPA4qO3)n(~O!p#Xd@ zZi@<RJ_AC7D`4<=H=!;BC}KdhE?Tn)s+Y7bL<lH^z+DIs3tRz%j0ZUuY#zB?2v8h@ z0+~=3;&CUW?*KZ|K$d|4)`bWLSHP}fhzc0wDtNPq9lcou5&&6=Q~_UrF5G~hG6eDy zsBZ1<*aC?aP(FtVda$m5#>x(86Ba^)V+GX5>n7BN03|DEtbh^%hzT+rsu$Gchc$~p z_Tul@`M_fZ!~(|($auJU<aQxI4kM-u0Xi)j%Y{zf;8<xFMZ^loRq$pJXu%m&0Avwl zeG`a<6e|WUp!y1ZiNG63gn)_>kRL&@<iYv?8X=&rF-R0bgChjgz3V2_djL&MfMVfz ziwbBm0mK9u1Jz4f@4*EgAs`kwLO{mD%_Fz>0CE`U=s`k#I#4#*04ffrsDLO~HgN_= z$T<;2gn(St-J$}bnje621&GxR2?2-z$V#LLdEf+&keyhvi3;R+VNf9m@+c%$B%sF& zgKAHZD1-*b3aES6O{n((npgov#qkyu&{PPB2{Hz%m$cr44LnvrEO4xVjE9>?Ztnr) zFwnvcLa_qMCRh$tw+6?G08*@gTm?Fc8QfU_WfQ0X$RbEK0kM!`rNbE<E8r$B$UC60 z@9v0!L`Vm;gaQeAum(UQ1XMqRltO55gn)W>-90KG^;o)dC6MkMXhsMW3&&ejKyx@C zCde45UXUVKxdk={Z+8x~rppCfn}As02mu)nH*bmx$ULN>50H^W41Itc);$H{5mX0Y zp3qqU$tEBQmQ9So5fUzp$R;3Hb+@R1C`kB&Sly5ifCzxBM2e6bSj(*`kO;{@iI5Ix zgn$|rAfH2MaD;%mc%aiyKnd&A!C&$RUr94wJouCK;6vul9+ePCgn*{9K(TPVMFlkZ z1Y&}Wf$9Y*f<*|(Ui=k_4m^i|Sl|c&84ova3fNMl2mu*MM1+7G);&c9q#Dfus1fq0 zbBam;Btk$GEJC!w5i(B*5g`on;LCAAj%$9v+}WZ6Vs%4803rak5+dH&q5@S966|bI zVS(rd2|^TuSltkDhyX|hQgk-Bg7X))Qg6^7s;&Z$QxFRrr=VFvP@E3rYIJ3AoGubX z#3{&CB&|lTfb0=KEA?0)>jy#8fFM6YO1&S@O!fo1eh@-~GZ}aVc#jH5J(f~W1d_=> z^N*mMb-V>U2MJ<=42S9kDS~A(usNuO4%#rh3_L<WEO3N?jE9>yK&#QE!4dLO01+V| zSCO<DeFfI-0XC2b0Ug5y@*^Zd44?}-Z$KjiLW3g&yaK#O1*9HJgnWT4=mbrif@0x# ziwbC}6T}1=4%G`%1d9-`Id~&P1RfzE7C1sc#>34UphMM#!4U#l2?CoJ0lBKXMFm7P zKd|qFu(}~301*I%1yZ?n1F}6}CuraaEt`};V&w%i{2{TD0gaU%P*DgCjur3<@E#SA zdMvSW1rjTuX<txO9B)wpP1J&zpiqSB1u25X3fLUHvBCq76%Y#?D<I?H<_*xH>fGR1 zDdI<D6OgOATU0<4q{$3obwfe`A^-{tq*!_3hqE>r^oOdmz+(l(0>=tyP8<{~1GyTV z85}EH_z<xIa#eSW3W$QV&_Jwi$g%Pe0nn5?Qmhm}_6VS5lR>{4{SO}l1EdWNVu2$B zG?@>I5b)~u0a}gzn-4Up`<oXLA>gTa$N_wivI^wPZpeCkhyZA<KsQ81CuAuARJyYT zygs}eA_!3oH4Zcn4-o*dkfQSjWOD&x{s0srpi-{81MiXS5#V*lEh-QiT=WG%)}w<K z2w*AtK+DvRx2PPD1C@3R498nkjzF0p8=-nZieN<_*c`k?-xqkCf>_`<1sM-FkKDEF zAcukaMa1kdC;%<Un4$urV9oH);5bEI%iaKKNP`>)i3AX<8xjH#0kD;j(CKVZfvN`y zcDAUrKy-ryA&Nn)ZiqNU0HgvbPA@?BC&1@~Kp_H(Q;+T)93>14;JmvNw4k~3yx~c> zB&!8@Xy_PXk_a@7!wZTp&}<PTrm4M_9o#sDu4M-$0wiz1*0Mu{ajs<tr(ozxa>$r3 z%#9abgU%`MfsP0pdUPH~)bNIv5dH>-7t{pM@GL@xxV7xyIXI|($oOW93WUafBs(bn zz>{K_N3w&Y5Z04^Bs(aQ2v2x{q8>8gWylSxWA~`M-~-XH2`>n5ipm2%_=MLDFcUH1 z^)!{(2`|tPGiag#6td7o=cp46pke&Z7L_ZY+>AQmbpb2{nP>n>gXaT4<{?d>AQ_7> z(Eu_TGSP5`54si*WTYd?T6T~W*kI5|_sgd*z!NB-!DL($D9{Nn(3mX9U*Mr=JQH3g zz@9*vKsf>yg7^z04YnR+9%yVII)Q>@EQY^8u7mjN0L)(?BT@YYk^&nH8Y_Oe_$An1 zps`?F{(??;frd6g{sNEa;+gQ;1NH=pzjlCyApQbLgRKXdNAg;BP!k1wq%FuuRDXe_ zzy^bcTwlh#0{aUznu^O`&<QWl;2_9f;4x7=6J8s@o<Q-}8n6(=Um$6)^&s;|Udz4$ z7GEGEQT+vy0vik(w0tS`8tgC7NFFYK<$y+jAfs&{e}RV<_o#qGQS%qbjLsI7C16jW z_-g@J2;wi0G}wCZnB5fcs3n@Q80ipXG9(?&f%yw$B&xqaQecBYV}CC%L;M9A;=<)G zABev|LscLLfJf-|sDMOK{RJ|kvqfbF*b^xJngSMr_zNTrwjN|2$!pms!2AU=64hTI zDX_ty(Xy94Z@}>dx@ixWzjPq}0*&8*`~@CW+M@yzMfDfRjLsI79<V1+{M7*#g7^z0 z4YnR+9?5IjTVVbI8HwsIkQCTp@LKi`svpVT0QLlmziPlj5PyNB!PbL^RY+aSUIFtL z$VgOwfuz6&gV(Y<z5~Y>Xw(B&e7ylR?I42(p!fohne0&kiK50A$c)Ywl@hQgQ2bQ@ z7J~Q-Bn`G6G%Nv{Ed)29i9M1%2j(x3k*NLxNr4Rp4Qjmn1@RYX+yIxq&OrPH>VAX# z1s-+SqXH5|^%uyD&K8vnuqRObl>!!m_zNTrwjN|2$!pmYVEzIbiRv$q6xd+Uu)xb5 z@4@i}x_b<lzt%wf1?s?p`~~i3?@<AXqWTMDMrVsk4A>JW{)zw#LHq@h23rp@kL0!N zAuxY|j70SpND6E)sAK)IjOs_S2Y@|+;x8Yt5X4^~X|VO+e&rPKK5tNPfqTJ_lio4P zdC<;mNICBT^B2fSRDXe_zy^c*r!V!1Uds;Z3xON}YUblx%kBd91d6{Lz(NpzfuzCK zgUsum0`6U+8H?dBP!|*8FB_P@Kt`ha3nT?L7}Oo3$69vKjZL6V*YOsW9MEM<44__2 ziwbC>zPm?-w1p@Zpb!F29fDZkE;7h?P!|Ji-W0HTNHbNSCNmK;RUn6f8mojBqTpD| zZVv7ue_eza_5iu68*(KTWRWyf0AvwF0K`J-9nyO(J7}F9G*&<><3LPMD1s*HyL-TE z&tU@>pw>Cs^c~vNh5<ZQKrC>qfQ*NmHwA1SQmlZCBqCNo4g*y!povh_krUMULr|<> zS%{(!jumsHSOK|;q#XwIUds+zB?yWNv>gVZ+4}At71CCtXux9y!~(|($aqk!fXyR! zH413KAu+2_K!<;WmZ5Z`u0~M@$4ccwL|y^83ckYtw3Zzz0I~?OY8u2s$}99<%MR+I zLt_QhsRl7Yp$M9?@9t3{Z8eGlJXSy~aIAoghnq+4Y7~&eh*^ySiWMxYQRKm~vU>p{ zRzR+TFQo&;3RD1O5hPYXETmYWbS=9^G^k?O0$JhSiM09}6gJ@DKGq4?*Th3EFNY12 zDa1m|0gGZc=LB|hKwTJwWftKObHJil%|RM|1Pv{MrrQ`iy7xdAsUJTe1R8`ohPZ(c zX^^hF;RIN=MFrGOKv?=i5@IP>6xmWF3*e_?7)XIEIPcMkWQRvD#2K9slaIHkL_jOu z<1H#N2xbC;nSx+~s!LGv?uHDLc0&y57BIZn*#jBIg;1?09r&jnbLiNjA_q!1tx@wq z3FkFKH^lPp9<aB%133&YfSTNp5noUh*m?k_Q5LLG5vuVu0|-K0%gDgM0P^n^u-lOW z2OMOOCH?gFrwrJ+<?~4Pr!-ij9aJO8m#;yy1)x~L<xc})P`nO|Kc&FVoidkXe@cQi zMng3c<<E=(^rr;axf|z@>`!s9#tNuLqWrmF0R1TjcJ8^^B>PhotZ^z-BT@dmF@XLQ z0Xz5gERy{x4A!^_s*xyvf);IhbPi1Z6aqV!1L|B7%3DFO#`7~F0YsEPJwyhs91;LK zR~71968y;z*7#-y3I1$A_GdS&9l-!j&5#=KIAjtNR5c!l%v6GypqdF>QFk+Rb94u= ze7~f4vH2mRL&v$+lc2hh5A2jQuv3uLda$&f<ez$|V~PqdSVag}MK{Eb&KB_AaL}$n zIR=K-1N>7C@^3rX*#hp_f>zOkrlqHVXHhvX@J|KFaPToObo8jOgAG$cGYs120nMp) zLiSR_dqAKy#!zWcR|~Q}0>nBF+5ZIcBT`QUs;|2TyeIwpMa2`%4;VWRwI1l0qQVBY zn+F_S&@J1o2l%HR?C4Qp1xqo)q(F`P&K8vqkkMlhh2%$2#}Kr#2_!iMyvZ8oM+S%= z|MN01@VB0a`4MUuc&-VuB^eZakmd$R5aJ~e3lyI4h1H-bq~k5%_3uzWf+k-;Oi%!W zwD*8_t)lwzAK31lFpq!?f%)+-SZW<i3N%LqngRrQqXpdgMe-wbz70%G0dG2h`SAxY zI3B-)4XZ&j3_OnkS)$(wS%OS>Y4UN%N?4G`kk$!9^>z1vCq+>G_zi4#8n}3Yu5^a^ z@hey=8YTsre*mr5fcOzK5r^bQP*1hH1>9kU&QZYp_yOX__h7>`(F_BR{X>@Xc0$f0 zCcHBAIOO15s2@Rt;UFedUw4lRXxJaskMF>C3xjL6ZiqE7KfVP^aloWNWA>dbD$tok z5QXGN&>UTN3wRqeXkU^X0|U&DFCcz=4mRu>%#TpRz~jo0^`xDU)nf2fWT5;Al?DwG zLlQ5DbsVyy3FNWkkhM%8CRAT{4|vrbsvn<$?LGkW2*?naAD@Dyw!)-9<H4OR;9hJu zghKKobgmIhO;G`j@WTA~0OH5{V8fcx41=!H0jWn{!~^mpR2sB&2jWK%3;SXk=;EL5 z9`I5iR6pJW+noz;iFLPt6~O#>7c7+olLC#QcD8_b27rop&=xX8xeD5B(A@&wa{wJ@ zh57LY#E;j(h8dt4Rsbp{AZuPgvn3E7ND$&B5DR1~yv!+)hjnT|QlRPp(x=^lRQZ59 zJ@6hBSi14x5Acp?a3>GcUjQ$5f$Q#Wr~vg+p`AUDFsMH2=79Fr-~t{joju?x1GJJ^ zmVp6e((x9R3PjJZ2FgU#LLf=#vYgHq6_EV#78Q`&L22)Jiwej?ASR@4?u5v}IUw0? zhz;F6U~Psc5M4o#Y27_wBf!F-!BFUMX?G8Jn3(ef|I|aBEh-m4aWF;YJU9-5Ho|K4 z?iTQ2BF!%t`KN%Yz!M;4Ju1gR%35B7ljD%{>H&}+rl{-(`JuIZ11_)b04eKH*$z_H z5;`=!x&h>eDJttherTPu9+y{FfRy#9EC(rT*$*yAhg_^K0Qq5x%6yO?S`V(n<<%J= zWj!j>LCRVn_2Q88>I9G<rl|CT{LuPrEiSKifRy#9w1bqjOa?b|hMZR$Kz^8_QV;S& zD>qKBR)CcCsFZ`0wfqIQT8Erh3qXFDqLL5tL#x3WT(Oz~Qr4rA4pP>VJ~X|W0P@2W zm3WXJT0>Xk@@fP~S&vFMNLkCp=^$l8E>;6Tewd=-5As86)hdu5Kw|>v^{NL*S&xc4 zNLh>Z(DbSU$PZIg>_L8Loxc*7S1mxwdQ{9o%379A11TGFv1$PF!xR;LkRMu)tN{4| zTdZn;l=Y~ngOs)K4^6Ksfc!8;MIPjb)|bn1c~t_WtVcy0q^zZKDoELoi&X)TAEv1A zgZ$9ShtsPZAZ0x&>>y<=kEaYtuQGuAFhvEl+kn5-cp1nK*b?v$9>_=u4+8^#i|^3% z>IaY?rl`CJ`JpvpDK4+R04eKHc@9$6vVAg0*^o=X4?upHqH-VPht}F9AU|M>)f*sX zJu25h%32hMrdKb3{4ho3Jjf5Nix%VZ>Ismt9+l%DWi8#4K+1+(tR4XQVT#IrkRMu) zgO}N0i`5+<Wj!j}LCRXbP8^b6-2n2#6qWTLKeWC9uU*0B)fFIRJu1sV%39)wrdJn$ z{4hmjKFANPf;hc81Ej1+WjaV%%gG5tGFB&m{4hnOALNHtGw|9MY_Zw_Qr4r=4pP=) zJT$%90P@2Wm3ojLTBG5MF3_9%6(D6jD&-($Epz*aWULl|{4hl&ALNJD2Kc%QbgyQB zl=Y~jgOs(f4^6Kofc!8;B_8C5)}`>J7wBG%04eKH2?r@_DeN1Pu^IsK!xR;NkRMu4 zfyd&p<y8-mvK|$8kg}HBy+hKg4j?~FQLzX4q4hm@fESxrEkMe8RLnujT3m;wR}DaZ zn4+Q&@<Xc#POoZ!l=Y~ngOs(b?-`P@ssQrC6cu@pA6hNJW7*hZRRW}}M@1Z@tVMEY zdQ|}Ahbb!jAV0Lmfd_c8d6fgCtVe|%q^za6dq~DA1IQ0kRQ_{=mL)WUM?JB5^#?bk zzY0>;^0sS8di4Xy4^vd$gZ$9C0z4Fm&8sg!%6e3ugOs&|4^6K=0Qq5x%6*U@TF-*V z$FO<z21r?t%5{*kmV=!`GFC5u{4ho3Jjf5NpTOf|*t~iIq^w8fI7nHG_R#d|0gxZ2 zsO$&%p;a8GS9gGv^{8wIDQlV9F(hMk1IQ0kRMvz1&}su7AHx=_D?rM6RF;F3wft`% zl3rZ^^1~FB`5-^ECV~fzuz7U`NLi1{bda)^%%SPk2_QdAQRxTyp|uS>XoStH9Ux^r zD(xU;EtlJdWUMxT{4hnO9^{AC)!>m7Y+kJZDeF-w2Ptc@9hzP(0Qq5xN<PRBtrx(< z3)sAx0aDhZk`7YVvb=Rj#%cn{4^vd)L4Iic3hr}b^J)Z0S&vFMNLh>E(DZ5m$PZIg z{6T(bmBQ&&50J7R6?c%bmg<%v8LJK;KTJ`v2l=7Z9z48&Emkc+%6e4HLCRX5HV;X! z8i4#TMMWRvht?EupBtN3H9*RGRMbJrTKtEmR~0~hn4%&N@<VGUxFd?qs}dk(Ju2cL zWi30KhGeV?fc!8;g&*XH)^*^HC^oNhfRy#9u!EGfC=X4qGJyOrMdd#isK0s{+&jbO z)gN4t{whdWOK;<ljMWbyKTJ`15As9n4{(<Sn^#|el=Y}Q2Ptd$-Y_J+`T*pIDJu6t zerT1&>D3z`Wj!j_LCRVZho)CAfc!8;<vhp_txn+H8MatG0aDhZavY?r<#hd!jMW1m zKTJ{C5As86I=IV%&8s^=%6e3`gOs(H4o$Ca0Qq5x%6gC=T6@6lcx+x>0aDhZvK*wW zWq#d|jMW7oKTJ`X5As9nMsVX6n^$Lml=Y}g2Ptde9GYI80P@2Wm41*PTCaf{x7fVe z0aDhZ(hgGAQd~PEW3>U~hbb!cAV0MJ2DkXId9?zhtVg9Bq^#v`&5-nJ0mu(iRPsT7 zXjQ=J)eMlb9+h;EvKIHD>D2^~AEv0pgZ$9y3U2XXi`58_vL2Oikg}GI)k88?13-S5 zqT&zoLu(ee#fQzS9w22sD()a<Ez(2Ns}3MPOi{51`JuHR+zi6zRSS@^9u;$tvX<7W zAsMR%AU{k|(FggVbqly{fX%BKAZ0x&>L6t;?<<F-R~0~hn4%&N@<Z!QaD|D@s}dk( zJu2cLWi63I)2jj?KTJ{K2l=7(AGpHA=2Z@mvK|$7kg}G;6+<#s89;uRqVk^;)L&J` z>D3>ckp3!2S&Qz_^y&wYAEv0h2l=7Z16*NZi`5q(Wj!j-LCRXDmk-HUeE{;q6qWlR zKeXn8YY}W-y#Z3zqjDXjtc4Nm)z=K5J+0uav3T~rg0`-L)PXm{g7?0HHk_g^u*J5M z6|!Fywv`pK=@q)U_c-J*KhTC(q=O9M+g(9t8^Jly-K-!RK=*ioHvWS4zCw>$0Pl>2 zY;pzfeFYu3fO@n7eD5pB|5H@XgZ$q*4d*7a6Ch<hD#t;}TJ*70R3L{y9S*V_#Ds3m z9W-7&0P@2WmHi+;wC={a$!rHmS&zzgkg^uRq3P8PAU{k|Sr76<>jRvd%vOMu^{6Zd zDQo$RrJ@=FvAO`{hbb!aL4Igu#p%@<AZ0x&(?QBwt`1GFP5}8~ib_Ao53O1__tSNN zl=Y~zgOs&wADUim0Qq5xN<GLAt^PRo(^Y_!^{AACl(ozpnqDmc`C*DmKFANPB{=uf zWq_3RsHB6GwNwvHuO@)}FhwOE<cHRoI5(L^fRy#9goBi|#12ib27vr9Ma3WFht_>K zH<@{Wl=Y~%gOs({4^6K+fc!8;#UA8`*2g$EnOT68^{AMGl(i@hO|Ke&{4hmDALNHt z4xC=q04eKHQ3ok&VIG=ZRRH;6ii$kQ53Ra5_tQy$l=Y~HgOs&A#nLMp0!;`3kRPU~ z@Pqu&8iaE{9S2BRj|w|TS<CUE=~V`hAEv1M=K%F}%W>|f`@sR}>w=WEtQ?wN{Q&aA z6qWZNKeW!lxykGWNLi1{bC9x@?xE?`2OvL8QMnKDL+e4Do6K&2l=Y}w2PtdG9hzRf z0P@2WmGdA!v_8YR$?OD3S&zzbkg^v4q3P8FAU{k|*$?tVD>qKB?f@z4QP~bs)?zp` zy}AM9hbb!SL4Ig8z`37p1xQ(s%5sph7U7}k)de6wOi`H+@<VGV&i!;VK+1Ylrh}BV zd<Bn74Y{$U2_QdAQRxTyp|uL<e!32jvL2Ookg}HRL({7bAU{k|sR#L?bw18bW)&c1 zJu2lOWi300rdJC<ewd<?5As9n5uBUMGC<0DRMJ7pT4oPTuO@)}FhwOE<cHRmI5(L^ zfRy#9goBi|)DBIr27vr9Ma3WFhgLqEUiAPe>rrtBDQk%znqGAP`C*ERJ;)EO#yI!W zS%8%FsF;J4wKxt<uNr{-FhxZl<cHP>ocrlCK+1Yl)IrKxl!vBQ6+nKNq9PCSLu)P0 z{d5u_Wj!k5AZ0DAL({7QAU{k|;RpGlbrH@@W*i`8Ju2)VWi8LkFlX_GK-ZiB<cBFL z|JgzP)#Erfnf+jg^jAU3T22m4uYLgeVT#IokRMv#;M`>P0;H@*<vB=M%j%)&)dwIy zOi{TH@<Xd2POshoDeF<W4pP?AJ2bs|0py1%D(69dXf?yRpY8-mS&zzbkg}Hiq3P8F zAU{k|*$?tVYc$UNbUQ%GdQ`T9l(hs7O|NbM`C*F6dXOJl8*uKYTLDtmqp}>Nti^a} zdUXNF4^vd;gZ$9C6z3+h86agnD$_yAT11DYS0{k{Fh!*w<cHQ%I5(MffRy#9w1bqj zd@mi6^=bpi4^vd?L4Ig`-wW~sw$(8eAZ0x&<sfA(H;1NI3qXFDqLL5tL#qf*uV#Rh z^{AwSl(p<0nqEx+`C*DmJjf5NmN@s*MSzs`sDy)*waguwUJU^GVTy`B$PcY?IQP?e zfRy#9xPz3n)DKOsI)MBzMa3TEht_7C`{^t|%6e4HLCRVZho)BzKz^8_q7U*z>k6Ek z%rrpCdQ{Xw%37R<rdJg}ewd;n5As9nS)7~9BtXh~RK!8bT2zOoR|P<Rn4-cD@<Zz< zoSV!zK+1Yl*g?u#*oUT989;uRqVk^&)L#|H>D3=>kp3!2S<A~3Q1v_HM)yB}{4ho3 zJ;)EOHaPdwy#OieQF#th)^d7idi4Rw4^vd`gZ$8%h;u*P4Un=PmFpm7Eo+CSS1*A4 zFh%7&$PcY;IQP??04eKHISx|R(myo4dI02yDJuIxerR2dbCcN)kg^_??I2|>g+tS; z8$f=TqOu<3ht>-?H<_&fDeF;L4pP<<JT$$!0OW@$D)T{pX#I+Fli3WAvL2P`AZ0D4 zL({7hKz^8_(hu@Os}xSJc7T-isI-HWwTKT*uQq`EFh!*v<cC&!ocrl2K+1Yl%0bFn zeijeOdbI%Lhbb!gAV0LG;M`A_0aDhZk`7YVa(ifcH38&@DJt<GKeTq@+)oz)Qr4pq z4pP>#cW8Pw0OW@$D*hlpw64Rs$;<<!tVhKiq^xEB(DbSU$PZIg>_L8Ly^M2{nFUB$ zkBT`+Sxe*4^r`{K4^ve1L4Iicfpe3Y21r?tiaJPHOY+e4sshLlQ&i+ZerT1&=~W4k zvK|$2kg^unq3KltkRPU~@Pqu&>IB{ahHY?^1Ej1+g&m}<MSW;`l>y|3DJuV2LH*Tq z@Je-TUj4xe>92y6wQvqiuYLgeVT#IokRMumz>5d5dG!TIS&zzdkg}H7MMJU)@c`t9 zDJu6terVkYUgUtyt2aQ(dQ`51l(n1%d-XK~w)<f<WI&g+gYSo3z`(!&z8`i6!&xSV z&f^~4Ju2X>vW*7~m>C&7I?wG|0aIdl$ph)uSg<Nquu;tiI6yKtWEl`!ZCL}D7#WaE z6DR=LdEBG(+)mK7v0yt5FM)R4LJaDFDu)<i=+Sw6C){nw>JC8Ffps+=JV3zMAE?St zU{US?*@WwAc+#gAaw9F0vv(AO{Io>{v@O`9dkQ3MI?sD_PEk3*3i8n*kYW(?IExBs zmn_(0u7)Q)diSV+cK9NEC;@T`G^h^9GB9A@9t=_slJ)2oaOphf(s|Nx2k7Qqu(2N9 zd%z9>b3hTh1<eW$OOTU}!{yO01_s9#$o0n%fxBY`dc;?-U`BlJ7Vw3<n9)2zfPujS z$yW&XGkoF~VEDu@*rK8!2MWX%NZ_F)nH@+;<`cg_!-@h1h!zPXEg*>vFbNJhkO~2a zp<vTN%U(dXf>Q+R3~;JFjy;iG07-WDs6ed6NMyZ`@chIt(4qoz0N8lcNaO&A4XW|I zTU0>%w87dS&J%0_iy&O(fUXTS(Sh!y2c;vh7a9-35*;Kjpd`8jh(rfsVo7uc^2mXm z0P-<3dKN$u9Y_Hvr5<llF#%;o28eo)EUrWcatJ(8ZBYT4fbbhxi7w&{T50ow5i`+s zwx~d|0wn2x(!qs%Q1la>raV}8{0DgtUZ|bO2j>v<VhEbN!BGfGt>BnPO;{g526dvw zJm_X{P;j$qFhB}BYzYfBsv*e<?jBfFL;Q&n)jJST4Ps)6YEY^}#6ttffv8apQUHx= z(2)TkCP+QLs0KL%DXKvxfV6;9CM$AOBSaZoKw;Vf&Q;j&`v$3n1Pw#C2TSuq#!kpZ z-pJw{-!C>lWbB>-zTunmq67cbV-Eb=u60fU7d+iiY7bcY`}NjeAO)R0DqC0?7#upb zsB8w+daX+eKovX*wH~qQ5E5jFbhv_WI-G%2wqc~h1+-0v5W7(eIHJ-a#GfeXa0MbA zf|w+w!v;u^14>1p#CN<!1vwppWN{TaAcqi@4ng%GQS}_LQ4I+@L{$ICBUt1>JOa^z z5!D~^K-mMM<wLC^2VyrFQ4R4YN>ndEL^X&>QdC#qjcSlAuBZk%WMHBi5_XVy1Jy1H zgmX2-BM>bZQ7u8+Tn(|CjHrhA6D6u=Afg(?Bq^#B@J2OA7FSe*95OIb4GB9)yn&+H zgK$(sJOa^z5!DW~jcSP9WJEQ@pD0m10TI<8CP`5pfj6o_vbdre<dA`hYDm~Y;tdql z4TPf_;t_}zjHs@lZB#?-CL^jL{zQrD4n$Ogm?TBD1Ky|x$>NG?kVA-$YDh=&6Tbks z(#F!zzMu@QFHw4My<o*iU8D{LkRtF92B;4Mw;f&G0W9i3txiaL_JlLYlol086u@YP zZjSB%mhYEZA&t<EEh_Ec#$`(esBsC`jW|pUq#bv|7bM&5!O|@XY5_qSww*mH4bXOL zJ=kd7Jebj7)f}BYDmrou4BfmSJ)J!&8XyitjDJ0Z+t~wd7$0u|w}?UNKrJCi=)&E| zdchFnL+rg4R1YBZa2SEQOj{tKg52W)jcI^7MX<<2Xh9wY0QmqU1EE2q034tA1$)4w z0H63HAwBnf3P__$(54V*cmo_SjmImD7#P3_05srGzzQ9sLK+9^ZV&*uvJ)0(u&fK| zNn>+6tgqJHa047BXga$aCV-PliwY>E6O2ue2SEzE16bfungfl}Y;cs8=3qqW7if<F ztPvE)7i1Y2x_eYW;><lNARckC`T*4FM2Q)KzIy@ILV@p6puS56`)*S<b$o|?5Ud-L zs3FN8cC=j#Xn1pqN;KGhU$Fh%kny_C7L^U4kOXJ`&K8vkAPz)~8N%y?9L0xp3?C%3 zKzie_#3KPt0Z5qz6wBZg+1;Q3mV+c3f~f;7uZKW`E*R|ewOLq$4&vEPNHQQNQ3QZp zh~#?g-QXXlpb`PK<lHggIA}P=@IdE5_#kKFA&Yk)mDhG1K+38Z8BPLJghEFhK<OGD zWULymLB&W9I6rxGgX=dYxJd_)%JKtXBMx$SboQuNfU^jEcq9RA#uTWqkw@qG&O@CC zA*DUC5ii_9qmU3I6i|(r0W|_5jA{hZ`167wkO`21YzeRluvBpYY(S3+L=@}~P(p(^ z0?7o9P=pB#C?;^cfrJD^6l?+;JR~l8z>7bajVHnoCj9`7)pxh3Kxj~D3K<}Uj8fqq z2Xz41iCjcL;`pEkByD<hZ-ETQHXefvLG1w-_dd{a#Iy6E<8en0P_5#495nvS-~&mY zU{f4B5Bc=UGGu^~#~u|AaGT@1Pv<qC?kP~-6cq<n1_qzbOW-&KGf(<<-UNr)%Qf5n z{_k!9S8y|Tg6e_qKbsFQb{^<F=5g>DbLWT77L^Z>91O{xTU0<j#O^&{(a!&loj-gS zk9FI*bVDk{&K4CDRt5%_&J#1g|8(p;>8N?Jvq!}MEOhen^X@5NY0h7rQ^2F;-BVOR zhi!ra0Lj?q<1CmayL6uDoT8$`%D^xaWEsdv$L~KKH7~k!LJS5;fei+k_|i1yKgeHi zWEmK6`RfeCU!d_2kOM$%<nBExAW>A?L1uKesAzyaf#NR}un@$0kTlqOka^uxz+)?D z#$xyjWHQ8G3NU|xj70SpND6E)Xtd_#XNbQ};PKZQh`*3)-|jssAW>9*fz0S^QIP?A z0>xhvU?GUVK+<6ALFRQ&QLzElUEPpM9cnCwzd$BK{3QbO7syCde}SaH27^p|xiJ<T zUn}tVs|VsQ$bk12a52=qM+GE`>MxKPoh>S$HZ58@<U#TmNE&QC$UIQGg!&6q=s}Ie z@E6Erh`%^s{sI|^>MxKK*kI6r{>%Kh|NmdUPx$};<)y^`|6guR`v3psjO73SUsk95 z|Nk;B_5c5uj%ok@zf?*8|NnT4N`pKD11O8XT!+d(-lEb1lbW3V|39K+w-5&9{1z3^ zv;g)B9HbT`>(LEsOe=y$cO5#;wVnix*0O_Z!X^e-O$bx#!P0t?f9j!*DJpEN3=E}( zuR*h9pwta2iI7GQyCKf*?g3|MPNbu9K~C<59F+?e2BqL`$gpGU0f^D8AfpXIGpH}! zAYKNQGoT=NJrU|15C_^=05M;d{Rbx%kW08BhIK-wc%Vji_ke@3dkQ$nnm_$lyx9El zzaq$8NDhEH7g_>gsCt?E9~>c|R1db55#l-+|9A^HCxE4%r6EU10W?BDgZIZ<!1FWE z00Sim5EB$3AX!`y!h#kdFtvyXVFra7BtkSmT`mUj45kj0+1;W7a%OiA*m7{lgH&}x zoZQ_5o@xRMgLHsOe|ZK5ScEWvjD|$W%rtNifHDZkpWq0Aai9}cAaPiPfb8IIQ306= zDlX+27@$UX_dwhODLhbu8l)JZ3S>AmD`KdEMTi0{8-zey2jd@aQBi?OS)xS93TTAv zfb^*)prLfUMMVb61Vso)7FUEYqD2TyEh0h~K%oYS5CN#6$6HiHpv>+T6_7K#dsIM{ zgF_yqsvF|u?jEp1z``IM-4Kni2>H*#z)%W_kT<E|2mu)a3V3jYz&KFvfW%=D0<wb} zVi>4AghU9~h8~D}rhtPCC8)vD5Is=mc25C24?`6!LO5Wdz8vB@82@;S3J*-GClxt| zJb*?BXj<WTiwXlYl#aKkut1rh2m#6BijaRSpfxp!A`7M#5g~s;p$3VNA9A2f2hJgX zpv>+T6_7K#d%%{1Lms568{*{d9<W2e!XO>pEh-=i79oE?MnfXR9^z$?4?qDAju03J z>K%|cEJ8qba6=61Y*7L6phkE1K-@D09Aqd#4YC=b3S@Zq6tMF!RKX(TgB-LD`IQ1r zE-*f57Yt15ehPAgNQgk{5KtTJc#FylXeb?TQF#Mpf+7SYiz`BYqeTczEh0jGfkF)u zArGL29&b^30%dl$sDPZ=-2=899P%Jl-7P9#AfqiHhk%7aI=UemVG;5ZWHcl~CPBOm z@&PE|!4U%EK)nMJheZg;4sM8Hoh>RL9@OaW9*BFUfbB;KY9t3ho!dPH98MUjU=eZy z7V5qb*TMM5TU74Aq>NA^Bmf#ApdtF>Eh-nFp>(`O<qDJuiV%=2t_b;o79lXThzR)( z3N=WCoPZj7yhY^<l-b>)0&-?|57=^W$b(dML!8{*19k{l7^I^cq7fD$-#|t~BIIc@ zI1Pe)019|;gupmZ?|{T%5dyM<8)8^ziwcMbHM+Y8;+`qsAVUdiBnLp9+dT#BJPcK^ z2sr=?^#u^u!T85pRF1%;T9c7;NCz}RK%Io+kZT4&h05_370}HDASNh6K(e?Z<SSZ) zz|<ll<O?X&AQ1u@*aI1gG@#hsq5^VecaI9_b_8(9gH&}xoZQ_5b_iG)bVmSm&;}ME zpFu`LBE$mXWso7DfConij05!!NE{X+AUn7rBS47D2EaD-K-@D09Aqd#4VH%JfjYN) z3fOrVs=yHf8vOxv;*nRVb;N^8B=EopGji`7UEKjJ>R!&A`~N@H4RxV~q3`F=z@@Wj zVW=xD4E->h2Hu}d3q###Vd%$MH1Pf$S{Uk13qwE6q=EP6(!x*=S{V9y1`WJFj~0e{ z(!$U$(`n%S`Lr<9ix!4{okj!iFQA2?-n20E+f*8Oe<3Xl^`V8K->1;P`-^B{s4p!H z{V|ya-d{`$L;YxB=+8+s@ct5780t?8Lw`-Af%liv!q5O(82Wnx4ZOdM7KR4W!q7kc zH1Pg%S{NEc3q$|*(ZKsFXklnDEe!qFO9St(q=lg&v@rC44-LG(iWY{3(!x*%S{S;z zn+9PTMhin3X<_J^E*f}0oEC;M(ZbNRoiy-%1T73@riG#FI>5v0phb(I@#2?D{80Jz z{;2$@093wdASz!V2$laDjejf{ReoUzD!(Qal^-64$~Ou}<?}|M@?W6w4@aWP&x=Ck zS4N}qLt;?*`mv~dt~gZwQ#Ag8cvSh>38?(CL{xrY5-MK@GP(|r4|d3m51ju9jlUN% zfe4qM0h!c>^NS&?4&Z#h3{?9xGg0|0S*ZN`X#8E-sPa>DQ27P9sC@4{RK8k1Dxa|c zm463~zr7Gueo_%CKerf_?@@xvS1v{6|3l;7EJKywQjW^+uR!HzRig4;t5Eq0)u{a6 zX#8t6sPY?YQTaV}sQmPLRK8OKDqpq{mHz{cf4K=&eqA#vzq18<@t2VRpFd&2H-EB# zNOd<5>NxS4=aC4v7q2=2Eb3m~-8YOpBQTsi<Ggnm#Z1|rVdR;WyN8iy?(7;yp5Y%( zo^jeajAEvA$1w8DitWS5Gq<-5BhT;+C(k%;9Y!%zvSk=~X8Gn}<e6KWhLLA@hm&U< zHV&hhDc&%QJhN>5F!IdJb;HOrJj2N|_G^bx%oME|MxI%^dKh`;#;RfD8Sdfa8M~Fk zC}s**3?t7hSw4(BbA8z`@(kB-@{H}$VH7h3ONNnW7B3z~p1HPY7<q<sIC;iq;V_Ds z`~}0vGmGXABhOr&H;g>PF`PVOjbjt+kX&w(hht0Yko3$#92-=Jq-U<+*ls!`J;Oen zJY$7pC+v`nnOq$ET8E@(7U0;WIwU=F8ONT}A?X>m;p7=h9NS@sWX$B?*xWiKJu@H2 zR@EWtnM*h}o(@URuns5BSm4+bJ0xQ!8^;dUA?cZUIQFX!NzYuwvHNsLdWK~<dBz;a z#@HblGg&>T`)Lx;_Pbf5?ROJH+wb-fjeiDh|J!o3{clZZ``=>G_Pbf2?ROJK+wb-c zjeint|JxF@{crVX``@C__Pd#)?ROJE+wb-ojeiVn|Jy>e{ckmB``^OR_PZIO?RVov z+wb-Qjei(z|JyvY{cn|M``<#)_Pgn$?RVos+wb-ijeh`b|J!V|{cmMx``-f5_Pgnz z?RR5G+wb-WjlUOd|Jw|-{cpu+``!G|_Pc4K?RR5A+wXQCjlT<R|Jziw{ci<m``x_J z_PeQ}?RR5D+wXP<jlUgj|Jx+A{cpKw``tXy_PZ&g?RWc!#=qHunt!*nqVoIOQ2ANy zsC?HB>_g31FJ~ouX&vlR7SK&8kVArD*9b%)UCjzPt+qu4LgTnb0Q;pZJ>ZK1KJg1Q zUVz<%2f7>;=>i9^%bOv$FF;OVh3N5UJP5viv-3RsE|(W9h`U^fxNeoGOE)1_g0EG8 zUm1*g*&*oMZY1|YZamlmzOWL0-v#Je+$ky#SU{Tz!I%1im{=}QvH&024hv)COE*F1 zogRmrgALjk4Lz<K!~`AG36jNiff6X*z;`2nj*#2}KDipCr2}LY%B7nxm3xtn2t{1r z1G;$w_pKx#S<D-V?yx{^&b$piC6tpDb{0EWHRyJl(Hn?BM?-`1)dg6pg=IF}VGWM6 z?uG`G+le4o7wiHZate!P(E0HwmxMsl)fUKgDaaRhz*7RMskog0x_AjQy3atP`!qPZ zO_^cQ4OR_`ZqSBr$R!^{UP6Q9FxcHmAj6<X=!4Qd^ay<rvm0^aD@YOYy-7!)E;|f% z*)}GS%TRCj0p(q=E=CG&_Zf&wllDNpwj1oVZ;T+Xfr1U}8Cal$1mKwtbO{up+kbF` zF!cCa@SS0>OOv*+FfepXQP~W(e<Ij^)S!i2eojHK((2NrHPE124R-oR_*D@oK}S-0 zg%qx!(}h7H4$i2o7?&o!JTVPiU4xYUhup^iI$IdTd@1`Ir__f(U@6c=5+J3}gb!lA zEcgqS!e*fHKd=-wT@xWv*mRjdoP|y5=R~l9*rc{h#wk@k1uTWl8CM}v*bJ<gil~aZ zJ9^$QFhFmuLxgSv_!6QPl?TvEj36`vs7Spb1HSqURL69lI`~We;45jyiwA$Q9(>3Q zz3&ctDhC-3)eE{5uk&0tk3sho@MS`s*AM=eKlonSvGal>;}6Hi2M-t+7+f?DId*_9 z1X{wvz~ItxvU`dOh;{iD^g1B8c~iib>@^=^>Aco0V$i)u1>`ix&L55kf5<x?d?D@F z`OB5@kYnQ`h^f~dI(~u;0bTur-2u%H9yor#;nI1a^IC5R+mp^I;EUe6Ayn%n{`m(x zdQ=vJl6QYP2dHF#Bv4Q^bVKedYkt5Fy}7KrMFnILL;%DB6^Y;^+<1_|8q{VvzY~6H zHONmOx$cf5kXQj-`U4a6VBG<Yl>^XNfzaSs*#U_aka{e!as_gm1*kOzD$<eeV*wcs z)eBMtixsdrcw=P_JXSy~aDal0hnqJ=1!NvltbmLpB38h+u1rw@sYXi{n6Uyba;Jc= z--E@<Y;dfsWJkmb$W`5tJ6|A?02KgP1Q7tSkYZ&8?pXN)i51Y5MIeuYqRNBy12k3^ zKw||$gJWd|BvwG`vBb(2NUVU`571Zv-EIV8f((c11u25X3fLUHu`&f7D<Bp)RzSwX z&6}bEG7l+MKt>V~D<Fq;Pf-D>#v3coJEuTy^n*~aSeXotmDg;DSOK{Tdi6~6gZfTL z;~RSE3`78AB~q*e*g*0M{QfnNpFk1N-C^<;T!MivT!IODuxh*osc%tffW``h2FFST zBvwG`vBb(0NUVUamjOiu^zIrE6J$74FGvwAR>0=qjg=mFtbkbHSOFOiH*bmx$ULN2 z0U1d|tbpza>YkzkQcW;cz*j3y0pG9)i<NG0tmq=e3dmL6kZXt_kpL9{Sp*RPv5;cr z2d-H0V2uDrz;TqWX=jT{0yI8AQRl&$5DmIuZwo{eYulN10(Nse<Ul%My}5{35O0eL zSQNWCC$O92fMQNTIK&*VC{}a2cW{(Id%`=xmoJ_-JPC?Oh<_kAFCIg`c@gX^=nZ`y ztO4L_6CpPif-Qo}z;9jzI||$}#(wkS53muCjytFYiWDWVn-?L%sMjVU-@K^c0lKRY zVg%?OGbAHmH!nhjQH?;lH&GxMWCFw=kV_h2(E+=85h4oq2k0^eSQiTJUk9X{7a_Ma z!c2hOya*8mn*h)GklGg1vOxqq11RF4w^>4NYiv=0&^U%nu-sov`pt`=cqQfL#hJ{Y zLTQgm19-3kcJm^HH$|m_1%C5l0ho!ndC|y~)SDN<O)We(FXn*CB-EQ1Gr&S8!8b30 zq`?={fg3f5n-`Ic#klbf)b4`Zc$dNgy?GI2q$A4Biy$em!JxL#%fsKm*Rz3Ydm?XM z1UFXp;J$e=0qhBs8wz8<LJ)s}q`}sMTLFlh7m<v`@E6E+5PwC$`~@-+)n6bfu)(0# z#LLF-V1I$?OI-c}-LVL*0YO(kf~$KxH!p^OJ%Qq{0I(3mUm$6)_27yXa(Nn>u^9dW zxenqlADF*DMxy!)Bn37YR3E>z{{i+FsK&wNFVG!}&^iR<0C0tg=jKHZuqROb<pLIh z_zNTrwjNv)O#$CVhh{8>zd){o_{#z2FOZR_{sKvX4F=U{FaQ1^x=RGP&lP^JE2v8Z z>K(!EG$pl51l3Dgm&gVbLf|eDhy`v?fbuD*I0TzFKwTnhaDzhL4$;g5xr(GNkp=F? zH{LE0s9XYhA3}qhd7$1=cMqf|ff*~H-pO%Dw*b_(L+cVj^@0?^nt7m70IijU)=@Qq z#|nrAjulWT19BGFyaDPG8G~ab(H0RaAXkypC3=86R`7O-K&2|k`w$u&E1=#{cMqf| zff*~H-U;rJc&J{GB3P_|$}s$~q63c=5DOeDpfVEVEU<Y4)Fsje$I4<GM67^Z1+5Su zZEdIksNjVNfLKV)v<_U2ZvtH+P*nr+K7<Cx3aEF~-2>@KV8#llcY^zNQm9^#B3P_| zN?`o4q5_W<5DOeDpb{SBEU<Y4)Fn~|$I4S{M67^Z1+C5?kpL9{RT~fi5DO_*3~WJd zyz@KZ%~g<}AiV<|T_R8wiBL2H+9d*uV(o(9>Jp)LttLRbL|{?u=HTcOS%7;Vu$K4= zP|Lb|iwam2t2szrB2d#D)Y510=-vaKee`HNE&;xF?buFs*jb<+y^ujNkKP^?P*LR5 z3+evD=4jwEdyR)8z!$0?;_&F4q5|qFVINxT-U7Z&xcS)ukXDd7k8UBCZZ5~>hxRU< z=Q<(M-CH0dacHW%SP;rUZBn>l_UQUR0w5J2OTZD@ct|4z)CGdL4-`PyYn1LSV1Gj0 z2U3UbK2R`pZvo!}j;;#XeW11_+%S-HQ1pQWKq`>j_ahMDK1hdf3N%^{F$5r_Kpj2o z#V#n~<rx^7pZx%t3Q`AmIy)q2!KKs|@CC={s=!W%Dg%{WaKqR;A#<hOFnu5akP46` z5O)gg6JTIq=-i?r11c#%*WQBrOjA?@K>W^Aj=Ml-)q-xR=Ku>_bOaBh`gG3$tMKWR zQ916S!U3AO1BrnY`E<sp2po4&kpN{)k4_gAh2t(N8X(RF25{HZqj!r6q+1D3ydR_) z7<MHvFfi=oX8`5v78M4>lp-t{gC}l0dZ&P6*`wDK)S!SRW_b6o@j!wv1A}Mt0S+I~ z6rvpXj#*Iq2&5W1?EqqeF02O$J2pQ&0MZOf&c59YuH6ET&5!I|IWM|&_JET+NFgXW zVkit?K~f1)0a6Jy(jJR$uoy@k$U0EM_G~<m;f-)BD8V90S$HC(Kyig6wZH`-1xhPO zQVxCyDbTP0_M8H8DJV@lHb49U(hO1w_dGk)^B@Hvg`l*Kp%CnOm`acekV=pa$L5FZ zAkTx?ATf{}Obo;ZSqE~nZ#M%d!GL_`+b!+UJqJ?qbxS+$1f@ui&MhiGm>C#cI?sU$ zr4L}{DMxVW21<=Dzyc?Ix*^Iyas7aqfx)-)gX2!nSdLHk9u<%#pH3Ta0tJoz`*bf+ z0V(q7Oo1d&NUB|;0x}FF2u`SA-JLNi2FG1gEI=weI%8BEj=QLMKsYWc0mofbB8W)O z1<2|71~YUxi-cksGHwGY_CV=#uocUYktvA#K&cmdVuP2Y;A#V;4qmoFs|`?Ep+&L$ z0IBQ+B{Wd62g-OJjfXb)4UJ;?3Mid}uJ#2FX-!c%0pcSU%Ll*$NX0Tp1$wazQbcyK z+<=^ncVH%CB8z1YA4JK7J28Wb3}_iTfW>lv7s9Qe1Pdy(KncgQ@c?K%7hb!_GB6-X zdAK6!LX`pyB*S!p3R@&ypkZp56lfS0dnN*f3n-hw3Sy8-qDpMILW)Xkkei_;Hl*+d zm)MXzB@HTsL80W^ZH6ekH-OV6xbR*9W}b4~$pNn0dsG&H1x|v>Xpk~c;XMOfc>i$R z2`Xek1vN;MPp1k};k`x$q{yc;2dVJxjDfT+AiCy&O$H@&aN!Lq$x#Y#pUx6U;SEv_ zYMCS)cTve8q9mVyoCPLeW&wf?><aJ*Jfx(=o%rExVsJ?bQionrg0coJ8rUA-IR=RP zKv9W35e{5QE`hs&t>8a2O7b31qVMie0nwl)O#_IJT#{FS1&~T|kP7sY9Ha=8z{zT0 zgI0gS8`uSy$rxIai-Ow6u!c2g6c|+Wc{Cnt@BxMNF%D4M43s#rH%DBc1Cfr+4-SAd zgH(ESo4IuJfjWS$oF`nMOEy3XLCs+dg?`|!B2*<v1xO_*<vTV%u*aesECx~svJUFj z4ljgTK?xd3Dgrzs2lWPci6L}RVYiu2_Y`n5)VEv6aR;m!ngNcNW1u)o05cCc?f`YZ zLCw$zu)sl3aSoDm1P@1m+O;4FSlofjq3$^<AcH{V5jgHZMUYQt2%`7`l}F%Wi-_`Q z19Ev3fEkel%cBj0ygX_c<mFKS?(!&Nh?GY@prqT~qXMEqY1;wBM=p;nzye6+5l96r zO@os!sME#_noK3L!+!ud85>|GV*=&Tjv-VY9l%{4O(3y6(g4Q`xI9t-Gm*+839taT zJOarf$|H~jEbd_C5y&9wlt&kkBT@h}A_<m9F9vyev}2H$M;*A!qX|Q#JmLW*-R>S0 z5DhAi7(jgF^5_Q>XgCO|JOZhJrD<4s1X4tH`v|mh72ZDjz=SbiL!dnRFoepZAGph- z6C{>LFTn8vE{`67nMmc)4X^;XJOarf$|H~jEbd_C5y&9wlt&+s%cBdJ5lOH-QW)eD zA|D2Md2|4Gd30iklt*VkNw>R41w@0&qXQs5a(T1^EPzxVfmFcKG^{)VDI&W(Vqip? z5ZQp4j0u!S8or1=5U3Y6nEPu6-Uzp%_173Y5mJy5C;a`j72tRQmq!c0Or-K?23P=G z9)aW#<q=2%7I(1n2xJg-$|C{fh@5~Kkp#=534^>mN*Lr5v<5+-Gz1ynf}|n%1g!<q zBmrn_bFfa(_JEQuX#9u?G(kE=r2)i8E{`g}0!ZZ%NChlS!^$I&BC^XP1>|I0fSHV; z<q@F?+8IM=f_4G!3EBeO6SNt)Culi_(FAP<IK_d-_!GcPqzT#xumE_17NiU`#vj0h zHbD#0gg!wFQUscdMGTU4FHr#*1`?$51g!ybdiKCf&jgEQjzQjSU4T^Vfoj#kHmtt_ zsq957mMezH1g#4wop<-BfM`%N(E`LrE|v|z0!YO&NCkSa3{pgPvFw1Hj5RQmF_Fcx zzz~|CmB2kg`@$VD3kyn9ps{(-q=0AR0Rh|-v<I9Kx<HeiNV+7Dq(HN&*fY_Po1j$y zr%Q0*EdgdCP0$K}1;7)uAZ4J!n*&^UBTdkPG@(z>f)wGOpaq!>O6cI34sb&od36oh z6SM)yS%3jE3qVS8k6v6;TNl9XSLmD&o;72TrDzy4R&<@(x&bcKA#TN8sDtLrAPWW{ z({Lb_7}Ibd1t5i>g;_99!4;xR!+}(QRDyKCrr|(rkQhh~CI(_7&9$w-(su)8Mvum0 z74D!WIn*1_X*f{(+XFHU2g<rA({Mi+LBoIGZrukk6RBJG0xSUT)`8?;OTgx+fHa|$ zwVfeIs|UKLsDO0Br{O>|Z=_7aB_OA|2aFiwg@jVwj3JcjGKNs9dw@IDZ6Gn#-2kU7 zaH_iiW+J7!6JP;wssqU(QXNPW-c$$DNp`9$Ku&cB5UCC{C(VGEwuc`vbt3_k(I7ie zLBl|xMf4Dwa~EiiyYreOXq1WpG@rc#;w8_{1CXH+(0X~0m`5iIcqI-O0|NtrRlE(z z&f7rPc>;-;&Vv*Y2Nxi@98xUxfT!m@x;a5h`5>NvuV7vSa(j1+3Wx@^#1??~orfR` zmO-AI0Twt3_8h1`4DuXE4CXmd0D{Co8bQSXxC=srk0&7e7{r0Dat4`)EkZnS`xty+ zBlM&&!anW+d8oTZ1w?~<3<`P|WFJ?66m+8c7$k=7V~|Etd<+U9_(TaPJ)-&;+TcX3 zY&(y6cAoI;yy)3^%CqybN9XCipu`7SOOnCJz|i>)<N;8D+WBfPCv=@y1W4i~Xn7e( z38)nUs^@(>KkNlXpHF8GculTj=S$E^GLRIkGYM)~fEJN~mh6K1jo_FB3&K`hKseA< zz90^0pbxyt7sLUr0|B`Olt;m<eIbH#R6s5QHDACB$3TLhP%Aj@q5=w4f;oc;X*d_u zXorLve4DlfD7ixyt%K4bI2W)^0PpK)fhK$y&Ct!!{E!j4L>;6Ul3EzLJy=>#@=ra~ zF-66mk%57~HG&hg$p&fRJ4i9A$)Kthd!rVl79{J@Z2&4*KovgtkS_2NYq0CPA$43c z_*5E@Jg6%K+Q|SGfV2i+TU_8i0oV2|Dv;Wqp_}92OJ;~?K${#ucEV&po^j-#dcdWl zMa2&6on_#IV33cF>xE`B)I<l_ivn{rZ0n0pZx49q0XPkw1}!>=lp)d{oqJS3qX9mh z=i$jx1C;u}$r3c~g_JBMKoT#ZOBFjszy%{HEr3!D#1LpB11tzzq6p@6&rtyx3ra%Z z7BDy+cW+Sv*#K(%f>R(waE}Ve9-qz{$dW~nc2F9{OnIQC?F7nX&{2Kx{0bV32FD#J zq#-GR0WIZ0LIop@!GuB40!d^1;7Bn9p96z6jloQYBu}K&d+{YC%0X!c<PeAg<n+r2 zHv1F!+zhmRqYzi0faVNH3T=U$4A%{JH7qefq>)YL1)IDBW-@3;40y>KJSW0T2BkyX zJMuuX(3}C<t%jL1K%$VG0b+IcfVWjc1R%TFU^xS3AE@UE3JeI1oHH~)M;&&zsK8`E zQ2@&sJYesz!MvjbI+YJpg2HkJWFHH>^8?u>1<D$r5CUZlkn2EM17wL$?-Xbjx$FTd zruV3TW}$pKufy}j5734oaJ~Rdvm)gSP@?L52`Z*R6Y0L4Ctz6wvPTG%f<ajXBnc{5 z;e|3NStCaFy5~S`gci=A+yKg{AZtM?k+R4Z6_5)+`39+w-lGC?2PofwvkF*yr;7@> zkOu8#B9K)+upkxEHxPU2u$D4=z(EDuLUjdnoK|;>3Wx^PW+y;=<mwo--pQr&Bxq~_ zB<HvjWGE;|KoUOCk_6TX0S6CA0Raa)up>Em2h71Q<(Wu5$^yKl9nVn~Tfp8yIYI!m z4$-9(a)ba#8objVv{JJhag+s;u^2}PAda$F!vH<X0%RoW5dt77u)&}uo-er%f{#W3 zZ4<|JG{PBK1_tQ9Yfx7dyeS;dQ5GvO{j~%v1o0P08f-nNr0PZ-Wr1WYhQC0rbLl+M zIYkAu<aQ=#YzSl|s=q)|V1q%m<ja!~e}Ohy<MJ2UQ5N8B)p(Awm;?3%N_@=#3qkw^ zk_KB3Dh0X`M_C{li{USj>mdG`0*fz@k*NLxNr4Rpm8&n?4}s$gv{f0GztE1d0B=mj zbCd;WF}@4xkt#h%{sKvZtp}BA-H4+skc`Ff7sz!Ge|5n81u_!VUmz*4!JxAHrR!nH zQ5J}L3sRqf6X}d^P)Tr02UPXIn>gS=asLjHM^vatn)gEw$v~KV@FgQe9-LBsL*)_m z8blIovc*q`$%uLaZZoJ}c=`P2|Nj#Zz@zz%hev0Pii1aIiHe0sca4h0KEwuM5Z9x7 ze?|#t#<50410=8E(fXf%%7G3S74;I%*9;!rE-D)TA0TZ=_EE9$Xgt_q3et1lqw^T3 zG-kk%Z&1Q7Zy|wSo`Hb;0|N2}iulc6KtNuAfctL{(C<M&KV&yIDEz=%9<c|afj$9G z@Zgt+>{$m{g5CTHocQ%?h~k$A^*ua5=SJg51QW#Z>z7c6$%D_w20PLN+N$Y>q%(w) zT?(K@U!b-R0d)>UssnFFCc-@M{y4ZgjFkRB4bu}Cie6|Fp=bj!ie7*ZRtCi>BFr$X zQ!pmNIt~@MB70b~0>dN+ViZ*nqi6#$ieBJQRH9<QAAaByzo3hX0jNl_@L;_G&K$?_ zghBw8<b~nE9h&e^z#Yd4T0|(CL5!jc#3<q*CLweX<GTZTL^%8hF^X2`5@AvSF^VE| zh%iY3haxNq;RIMIT0+30ZUQ!SpawXD2eh!!P=>h-T*P3cl>`Fv42YrtcaE|kM$rrc zHYtG47Qt8Y5|9TU&j8ksC;yxvU`PZ3`2rLC!MTEfyaa(ZMg*di!yViK1PnO<m&X$l zD?q(-Lc#TbfOmGln*=*?JNE-IiVO(U`xyk}Z@}fjgGSgByN5LX*xLb@#}jZFkW+j- zI*)<-!q{zEK#Zar#3&N5gSi=xbsoehY9L0@4q_BN03G}TNjspz273?$M8F*mZ=Pcm zKOMv<`az7Mj7TD^yFrX1k0>Hc+ChvW31S@1zy-4odpMpT(4s9Mpx=Uk`~w2=D+tI3 zaN~Es1OfRD0`dn4$p0W9e*tu?FgUSd4_^*YhZm1LxX+45zJh@M4Fu$05Rg|O5dSYY z@P|L0yM>?l1wds21854Cq4S_ecL=C+eaHdSA@2rt*c*?5*bwRCpZEp9lOR65tR|rG z-_9Bp36IX};8w8!zXn*l1gN9W;n8``r`tz`!=sZ&#i#QmI4(V!k9Zu0ww*zSdUPHK zi@$z`7%rHAI$mIS0Omkg3uy;0Y%<qJ#Q<!vhR1Oi70@Cg1~69w#04z^f^a!Jj<cwM z28KZf7<hDZb_;@77M&9nE`yGU_5n5LIlBW{prR^JQP2=W185o*8VVejK__vzs3>@J zp6@)=c@XS!u#b=&KViZIkH$Bk0V~jS4``eSH2Vk|)&Y&VgK1U)Z~|~qi8$V(0_xa- z<^_(osI-7+P?&bRs6=>x<`}vISehR)c7qS0GW^*5h>`Q+_e-r89r&jncj%~5(dKW} z0^cSA3Q>@bE)SN5hm0*J`CG-o;!yKJ!_%PYLy-B$T~um7bT`E6?jEqU-!CejXnw%h zaj5k`M~I3Rf9rejRUh3DN3|Z{pL(#vM@5sr^$A4WMWv$CMJ1%$MJ1&50RNPO{M!z8 zx~K$ngK&sS4d(^^sUQKB4j&a|{?;SNni9HQRBAe1R8qQKR1%sWFm}4AfP_*Y%oM`| zKD{6jAC&~q^gAfPI=e1hW?<;{QAt6FTmi??6cv!XN8=#|K~O|)fpU-S0LgY<M?_NN z5m1aAe)$b@p$90?!GQwtABg$-F(`yUte0}2t39Bzt)Son3v&L4jCp}9?C$u&%)rp; zqvC^zQ_%5Fpm|ycP+T~8bTfcB7TrE7J{afH`>2>4cToWy+{AF)MWqB38z4;}#U_wb z7eiEh@Ey76qap*kL?c8+=JKn~5S0Rm?hq9d__6afDn10yoDWec>Bh7O^=5{Logpd) z-61Llt>Bw7d{m_Q`$2=uuNk^sR0<$bR?zLDQUYO?fQ~u=`RBNcN)Ctysp|GoDM3wN zQv9uq;PeU72}@j({H;I1>EyVJ3TRXW6gDYPGb*}$R5CbEK$A*Mw~tB=m<LKjDIfz5 zb%dx$@VA}?8}ORp<+i{7|988n_+TFmc2Us*Im`o;ue)nhbUOb#cK+~TJl1XJ0*){j z6%mxNUmq0#$OJ@)iU{W~P>6SjsFc99qmA_XsQ7?}c|%lqW`c|pKpx-?QQ-j_Q1WsQ zC?&e6fYux^yaXjKP|$%=8Yt*M^vf^*z<x;Jc2P-z`aGrEN2Q`WM5U(r(|^T_%^&|O zg2DtG3ZM|`4pGTLm3jH;-~ay}&2K6|<F5svVmZU3+eIbAgOvl6V>(^HhmJ*ng88_M zN(_hw$%E2wHwS^zN1eYl92|=<9f;D$1uPCa!3^XRED;*f?V}QdnkdxxTjjypx?NOa zKyiAo!$(CGR+51V3s4CFxl+kRMWG`^MV`Oa2&N;U(?!Lm+eO6&sW`Iec2TkD4pB*g z6-N>sJ}Tn;txPaY;G_exMvTAJ5+;}cvs;wE6*N!un!%&jMFmtf1a$g<=@4+v?{rbo zFgySf*XR~(e#8i3Wpqw#xB@DPd{i{L16dHFEl|-6kH&)=vOp?IR5Cmok7ZneR4E#0 zRZ7L-m&YIp1hg0gloet?A^G|xC@ev&m#-nxpamo#=@hWwHBfAWT??+G!S02zd_V<~ z#!Jut|Np-{4N?b52Pfe76GBI|48S!{r;kbiC|<!l50*|Jm5^>9l>pErfR9Q*w~tB) zgc<U3%fJ8sK}B`|Y|yl~M#W<%Xq*&us@|baQ0D3OQ4xUDmc1b=9v+<`DjFcyaJ=08 z@Be>LeV+kJp9!G!837vqkJtw~#R;OLy9QJl?tcMlj)2xFfaC+<^8@~{`GEkm`GE+J z#)BNmC=D%GJ7NbYtRM}Y2#>}?2S6rwm#9Q|G#&%7A=1bV9Z>xXa-ajOp<@AU=zwQ5 z44@4i1CLH06%C}8PR3!B-V-fcqyTe~1k^<epfD7Gx<~-zA`X~~US|GBx%1Ko<YV+O z0>ycR2P-HXU~RLPhW}Bfb0#>3JB9^&G`^X@3JTsmDji^Yi%J8C?%o5Y_kosIK_d_% z0-EC~g=km+I{veJj|!NE%=EOVn6of2l<<Hids@H}{~tiuVAH!DG&~^rvPZ=XbgnP7 zs0Y=*AZw2`94KXAV1#i!dU-`a4XMtK43L$LM?g-Ec8qh3Ka7zGrhwghtO;ZQ1E_%k zGS8#&kON3(H`G^+$3R@nlmTiDfZBN)ARmES!7VBZAoqb9!c$Zr!dp}%z|z-zx_h9^ z9_YcQkXG@_C|KhH9D)uS9^DQOaR2gy%xe+)|NlQ)fOI=J9CrY%kY@nb6>!h93V_o+ zBp8pks8oQP_uw;1YoJV!T5#xhgXRrES-D3AB!?`{@%<vG@i_%7!3k<~9&_N|cCB*? z*g(+Dhfu?gx2TjrncWb{?mb{l->)nFYJSA%&~dHxQfH3}=-gI^jx8$eAP==x@`Bck zb+>?3!s3<<ER_e7DqscQPX;m_Qt(5LZ0(q$@}C*B?koXWA?V^Eka~z~I$Kn1AUq3J z1_n^`q(ue90^Lgl7XYaMExm!d9&|qtlnFY#8>GDlYzlHsHAUqg*lr5}sQW>Nz}){A zETs#RGJqIi!pgt^b3f?3(T*u9-@yvykrj5fs7Qd+x2S;Bcebd=KzJZQi2FgTZiqNU z0Hor0iwdOw29976g!{p&d%&iky8j#4?n{DD_k#?9x&JFz>NrdaWN>$n3dm5H`$6ZO zc1%%u4_3GnSz%|3$_*Cqq6m=s&K4EW-KO0TL5Opq(jak&0Eh)u(cJ@9|NUa?3COCi zcVHW;#Xzon4W8TG0#10a3=F>X1(dWwX%?JkSv8VC<qO&%JgP1<#n4-3AXx#DVLZA) zx6E*S;uq{u0bSkli9fOh%FsE{*#maNaY(9#G?!Yy1&9ah40PM!c6B!_0L#HFfRudT z(h*ccz+DKgs=@w(S`2f6AjDd@fvh_~-BRQOyiv>nrvb1zsNs_Ub_J2)16EAU@VS6) zJKQ_n4L87Y)C->v=-~smi}e6#^q>=B72(1Wv;ejnyvA4)Tmp9XfDiHo6=Tnt85l}! zA!RZo0YS@BH1(j<fI;f-gVgiBW`J4>YJ}h@L?K0XH>5~L&Aa!&xniXVC|97Bop-@f z^I%d~%1+Snz#UUmu7ed$Kvqb%vhy0)?hJ9L`;p7et6-^Em=vh&>}*j1-LeK+ea^zb z0B_p2sB}OI8Zb2lTwX%j_$?|Im>C#4dQ{GX4bw(5i~&-jLd#bc2oEF(DULv_?iLl0 zI79%%>TXd1i}!%V5#`f4unnowAfHe%b%9RS1*MkbpwvP{>H?j*3sS!yq@L2$wGZs4 z@A4o&p{1_9V5v7SDJ-cAbZT$M6qW5@h4+vZ(k*pu1KYh#3F?02)U_2XwHhWxX6gc+ zB-_!WvL0+$C7NO2WCE|fk<tz%F+rt4;t&B43s>q|2ex668ptQF86brWq>`h!`~n?% z3raD|K`Dla6b3p-7o>hZNIhx_>qaZ7V0HIAu<ISPL9R!suR--1q^bfXpile)u&PzC zMFk{+$Vdg?8ET}u3L#YimV%VvAW>-H`-xuwG~Np{5o$Dl_{mTFhfXl0dGObr=sXB% z>Vm38q&mDCQoVLVn!3;t)?*+)&jov=Lkr{)<VYaN39upaG=9Ak{L?}A&CUTC+nxh9 z77`pF7omg{NC4zHNTgo?PsJmJ253|QT+M?vT7lIx9-IK~%0u>GLBga5+>`R?=KRDj zzzA*-fFb}%3pkXaTY+YPIw{>fDi9i>1$50CtS^Y-SVVILbYa>j{zzDJMW96mqzT+| zf=I=k0Jo=5J+%OR@eIPhE5K4jMln+O9^{{Xkbm1nL>~pzsR1?9aMZNW?#dQ$C*=Dz z#ZS$T7&|VuUh3#knGK4gRy$2l)T5P!v%pfuFey;NC9<Xh_hi6><1H#vAT`xwuwjg7 zhC#b3AoWD|WYGI8u-rHaY=bp8wY_F|x%310qG^z;L2ZziQ$C^ap}je<ROP4t|3Pc` z6IdBQjbd<u11F;nX0Sky3Wx!2$b#-p1ErkfEh+(Eb>Qwu2!a`bV8%e1p!f#q>Gog& zZL<PZ$KW*8*`wkE?g_Vm6JxgrPxllR50La0umtBR$d%)q=Quxs_9t{sQE34k<k!)n z(hT-1Xn!26)Pz{TfoPOXKi1i!Qp3!^0LtLiAocCl;K4tHbC6U|0;w*6sxAhpZt_P} zjieZCbPiN;Hb`;13QomflT)CIlR=7`AOqkamxG&$4$yD{ZA^hOyCK2b-J=2uTGX~- z63C3!?cg!+?iR2DSide2ECpKR4mKE+x}doNR7ZlCpbP0iA>5+^vQ_Z}YMl+*a0Twf zA`&A=BglBrE-=us#HBePcfVW<NnM~c08T=tpz%fn(2NSi<siZ15XXU-FGWG)8s}c$ zd41~j4bc8DRtC^k5Qdjw5G^3bfYd>Q0K|N0{U5yA8{`RYNG~7ZSdgo_d%$%`^T+?) zQ@|q=NMqs<_0T~8(7rOzSO8d|3y6gnR);GDS%cq1unOqt0wnk#R%4h5Quy)~XyCR* z1=Iim`{wF<aQ1`op<@tWsa@|Ob57v$oizhIEe^>N$6Hi1pef>bi;51E2}{7>5nRyN z4Qj_F2AbBQK@~Z);s@mpka7?3L?f#D5UBcKka|eP53&^GwBs!*3Q$Xrx2UK<ncWaq zfv!(vWne%}oIxN%s$YZlOn{sVN;#mKFc2hM2u_rs@d{8%Jl+Bxvj8zcmvuoBCCD~# zq6DexhBUIed%!kxg3>Bz5Cb|i0x}wuC_zV7ml}c-<&AgXFa{X{a{cRxQ1^p4Q1^qF zFUue)3uFg3#1)7^8L$mK;CO>XFQ^xRlCr=mAlkZnz~KW?2nrr-3PIL%<24biq6IQ6 zgx5rnLU2}sjs}5!V-4{&Xb=a)Ki&c!$N@25D!fBZSsmcsa*N6Y7I2}>0gcY%Eh;=v zCMacrWI;&<OP3gQyfdgY^#;WzG-bh*V@p{s(3Is2QV&U4AWK0`I}Yh?fnxtSq$>tu zcDJa26o5`{#YkCB;Ib_pT+VhwoD50=pw#6EmI6(kfD<051x{o|4QiXix|BAMirN}% z7-Yg7+HCJ^QF#IBiGtL3wy3;;@IZo)Ha>{e4H1V3fK(iBQ2}k11bOUui^>zwhA{^4 zz!_L|57-pc++zi{yOjyr7y%gq^P?qLstPQ195UJlH5fFy24Z$YMhLrmz_x;O5p*OD zG*H*w19ky87lCwiLk8|Z#)EPZ=zwniRx_~iuNhwYy#ePWP)LGe>*X>?X$Ip%qYNz7 z4W49bfsT&B(irH1<l`+WKcJpI-lFmc$^@k`kSvZgW&%w!#-KC<O=B?S*wUB|RJ}Gx zJtU2RECo63c#8^X2OcPFj<=|Mf!f~<aaDH@*hQ$}uLUv$QhI@$3kn=i`qBgmLrO1D z)Ikjd#SVxGO(fktVB5d}3{nLhVd(Aw+YA;4>42sZkkO!U2c4S@ExpeE1&2Av$spH* zOD`A)>VA+otn>oe!3{}ri1Z1zp$9UOF$Fx5fl?xYr6GEt&h4H8b{>YRm${IN8I*v* zwst^V2jfE%G*~JZGz$+&lH8C~g%~3SC$%2%&^4r#1f@k3+rTOy+Mr1nq7al{uqgzm zX}l(aRY2T>-$anYmjZwP|A%$SK#kxNETA^8V2cXq(DP6H0zF^`xbbUv64d+!-TCd& z4K6W3Gd<v18#*24(Re%{7c}iV1$;C3K2Y#@bna0BotWPF9W+roMFn)EdFLsQ&V!Di zkramGEGlITAT2FmRp9y3<1Q)!0SpYF3111ABuE=b61=$;wCx1Cl@sRlT_C$UFTz~p z*?15%l?B=m3UZ()WTFeK$g%S>tSjZy%c{iyI&}X$xVg^(Dq}Pb`gGp&>AVFx<pOdl z_C>JgU(R^~npfNpvd3eeBPRm`eD&x{*B9WV3-4>Byhh<8_cc6U<LGOEPTK|tH;4hY z5fs><hRXo;HNJojuIgw}`OE~GgCgA5c*6wgYrF=jC)n3`0#*GOq?%A);|^5uZIEI@ zeT^$n#g{>fDeP-p0-1rmuW=D9MYFyJ=%j6EU!(RVI5my-HKwQ#*VkAI$&T3i8eK1t zTZFJdMrbYrHTyv|8u5J%(1F&V7U5}dV%Q39oPjEMkb$5I9$Srl1e!h%gY`6m^*|fy zP|HCrX%G`%N8=D!cQ9CYH>7U_s#8JL^+B){=)4wia}d-WfcGKzrylBTQQ5=9z|b*8 zWj9!%70e8f!cJ%-3ewx@gtVohEh|XN4%E)>hKNH1L|Ab(r=j|wQ>&;=ja^{7YrqXI zsBtj&gHA-@Z!H8%;cO>^BDcE-+*}5?EkI2(M288~pa%<s8m!Qk2*`L)lLK@>6Mw5N z*!b5BFPWc%a~CM?K}Gw^LP%2&6c}LsE>u2pKWaWo(+{>B0n{Tn4(ZK+A`z*d2TB<r zSy0LVEj#;uNfEU6z@g(@>q$^5+71qrCh*7xvRV)LIW*gt7#K<+Eiq6$K+Olm0Eh`X z(*n|u1a*|aEntwUZb)Q;E~JGGZh&+kg*qr$wt|d?^dt2kUIrNg3IcFT490<a2P6(_ ziGl3khNK8Y@&Vg`w=)M;0nr9pFajEv0FO|B`j;pTAE-i*HTXN6U=`2=+ym}TLYh(- zCV~{g`jMax7uYuwAuT)@AKEPhOO-)dc%U@K4e70QLi%seqy_EXf_BJ*yn#Htf|LNE z$+de5xW9?wMUbkOg@2LCCd6bqr1if?1w@0}1>m*{XoMSSVga&R9Xj+585#z41CaV1 zIC=w9z<reNEs)*-%Fyr@aB{p1PLAD>4h$#{fr^;TU@6eS8{i@a)J*SeQOSXH3qcgp zBxVLg6ih){+pr$z8c;FRqp})o*ff|uP{Tlt({4z&h2ljPtH3s#2N!g&8D36%3eNH% zi@?!q1!?QU_{g0NdFYZQP$SX<F@X<h1MdO1auIO?nGJwX3&7(9F<cMov>b<YKtLH5 zM-O=lq`SQZ(nCgxla-)c3hAMNIy12N03ELnEf+xH1~nVhwE!`@Ass<zX8>F-f>c36 zmq5>WImkvxxiA+}F2MRg;Bo=RLGBPi$Cp5M;OY>8!WvuO3*=;I*Bt8H?kV7oJ4$u| zsRH-V3=e>AWZwXq(&?R|vI9(SQ8@sjp<R+s`~vMO@}ONB$SjROiwcAx*n*<eqwzR+ zK}GX%4v)?~DgjVaAhi7_egSrH&hr3wkOW#(APm74h`mtzJQ|OKSFJz|aex{Ep%I2y zzzl&f1Y1<Vp6Ip(#TTp-13KIWl<+(o4}iK=j^OSK$Z4P(7F;^7gSK3NZb0Y+pQ+fv z#K6#b2y|f{NE+0AfgD<Y6MVxS=qMr3f%T4^2jE?<IVvC#&_O^P$X%`?aDQx$3dm@X zB)H23TIqV+Ma2Ll2|7g%WE<$TAdBNJDh^Od4~XO*@Ok>6Q-i=q34tVu?5xcJkD_=s z9tQQ@z`n)VR|6fH4_XY`dCvpVSA(34eiNJ<Uw*iT)K~LI>8t(w4bJ@VzM9wr6h3la z?e~2geKpWw>)^f`hyk{7fct7O(7swUxS%84R||o*L4!f+3HH@|psKw=stNVgT%d}b zL5d0W)oh@OtwD+@?5kOU%)s7Pvjj`60oO2~J1M|}7vNSaXd(ra<tUmL0-Zt*?W^hE z1E;3Zz8bN8weY*(00TAWL3J_mypYXZr1lVewJ)e^MZ~;NcK{1|^V%Go3cSFr08sN9 zWD=+;j%Z$k#(()+K{wTdn>C<50d$rJwk{NOxO~SH6@9Q#@Ii8rLPXOSH1R{jo|7Kf z?z`ar3sM^%)GPyaYWQ10gBIYaCQy47l(?b8)gUHfstMH21vdpis)*}3>40tS1Ka$X z;idW=aMXc34~n{%ry$J(7$4e;21~6+=_$bm$w7S~>^)yl^nheR(Sv0o26Qk#sKcZV zYMVd@$zjT|b-6)%CPC`uLFyrc<gor7_MRG|Ck5(@qxM|oK!!k?U?53Y6HFE)jIV13 z3KwWc2f1qoN-YGsW-=h7Ax$voAUUiz25y4EI3#w>K<<I`k3dd9X<vzedXc1e&G48A z>Tw~413)f@SWR5l%m8=SOq4ENGteM8C{2P|V;&@R&G^GlQnyzo4bFsEdu38!DN1`~ zB9LC0FxW6!_R567Hk<;N$FCV){<{t?PCz{aaG61qUKu1hsMsMB1m#UgX@J}z18vKu zQHP8lWFw?BSOh6?VSO-gX#nF4<__70;npDoU6TRrkb$mAfON<}*C#+aWFTo!hYWH< z0-{3(y5|73Lk1E7^|`Qi$UsJeB(ZkLK(^uRkU=bl9)C=m4jJg6ev}Rw=p28aPH2ZL z{v=X|EEJ_f#{B31f5?GjkTtC@4_yJN_2}IKUf%?p-~;!)LA^G3Im`-P)``45<fY?P zm{!mlOqf<Q9njq#kmes`p%L<K7|1A2By4e~U<-IJ48jbIMXE0&u7XQ0kmJB5*ZFHG zeB>_WmTSmuTG;weOs7DW_(Gh5IiLhuUke%J11%Rk-U41O2x{IzmzF`9pxF`-6Lnc3 z>iSR6pjauS`~odTgtcbQF~SF$LCd*7rhpC#hjyG$drRO}BuG^^WKSA_Rdi=TMnlRk zLr6fuR=<JEFBk`TDI9b_31kPprEtWpg9DvAi#|#V>IQ-bln~L3)<^|)P@tgzS`h_e zg4DuVomd*Fpo=L$jn3mB{}Rzi1zkS@QV*J8E`>BwL6(A26!!iOqAd?{5o#k9H1*Ek z`k5KJk_Myz7PX)Q|M^=%2RY#C<bXDSg0?t-&%(Y1GXvDRqgy9u8`$nm;B&aqIys<O zHvZP-Fey+!hRCsJ@T?GYSu*H04bT<`usx+{hJgkUp=+!<TU3rf2CzYbkQpx!s~aK? z5kOMW-2+yS*g&%mY=ba2C?-HD2Hb>Xg{3ag0(R(5DNvF^TCERK3zEgr1zN!fnQdQ= zl)7Nbv2}q!*Gzy?*L;wANa_L=k<ioyD!QQdgVth$nCP1x5GSWhQJDw!Qw$HtPiTwA z=YpjIVNxK2yL-UPQ(;|b&@~|)Q&gsd6*?m;M67QGIRdc;7nJWI0S#h7SG7U}KpB_x zt*z6*c1!a^-48MZmOG|`r37G7pd3i#S~^f3gUxJ!M&LSnRQkb&-Q$DW12qgZF$3*z zcS5FBplh-qUV=)47GOaHKrE;V_^K_~qW3<q4V}Uu8^CKoSuTR>9#Ccl#qCR}KPY_U zPWk`eIEFVtSD1i@H$e>e@Fr;fiPWViAU%*}@1XuRWI1~$WIZb=eM6*qx~G7bk#=u^ zEOf<O_Fe<Jd!?gA1+;*jzZDd?Aip9^Kwq0)0?i!7pwK}Y-h|kPq#C?9JqM~f8>AX( zcoVD|NilfYJLm)oP(DfqDMlLJL{|(p8MGu5q&OO+7=3tC0$Q0uyY0|C2O2rVy6im) zWCr%(%}B5me0USo*9MseT?q?fB32TBY$b8{0dzJ8ba>PF7dSP690^WcBrbagSq|0* zUG@&+LxTV;Wer)J4Dtl7No7#th72DJx{(X$vUgCW1olnzPjG<2_{fvW4$$MpQ7Z&c zI>Nr_0hZixR0tu^R18`Y0^6?(G7O{~TZP~QRqu_c5I`e=AXj5wxQbZk37V}&ZEk=D zRry<;!CiUioH(pN^#mtQ_?RlVbn5}nHp40e&@nn4Q&d2@VJCe-i@Q$93S#Kwb0=gG zaW_N|QZ|BmyWJ3RhyZBWB+m80VAYU?z^Lwb0y`2urV26y=6*-86nsn-RQH2&)Nx4t z4`L!#ZG&b!!L4DCDrf@&y5k=#3~eWXD3I}>Wf!2ki}+jNW2)P}gVPHrlt3{78&iex zq4W7*Dbz7l*y<C|%ry3?eo*=W$$}CDmgcGrG(A{@(gU=)3R8|P{g^=28-vtC8Wgah zo8yr6;-IiWn(Ri*LW7nfqlQ1|fFo!x1SAOx9ME{SA;@gVm?|jhpaz0s2gHOXlI|Yx zbUC<C1F3?hmTt%bb8xtWbU;%H$Y@ZwgDw|BU3d(dn+F9fxEBKBK-~`#hb?yj*})A- za)|T^wxI{SmI6{Tfhuj3F;%b%h&HH;AqqjGM%WaBtm(#UB3K0^GvGH7q!6~;1(Za= zzJZRZ!uZD_OOU}*&@oj|BIbr9^G?W2IkeG>XCVtHX(LpD4DX%-KJfy@iy&3tg~yQj z`xT%`^4=+sDe&Gc==15|4V2LNbjV~pY;qnkpAMPON1oXSF9v{4%7YdG%qV~^0)R~0 zBhTMMrrsgv_kfg6fGLGc&I`1tKp288DqyD)IZF?^oeDZA1iF(7GAIPPhzc?&1d;{~ z3W4sB^65kj3UM$pFrW?!fkZ&#K3E5ZKt_Wku?`A>Y{NMy1hJT=gF>K9ZYYC7pqq!_ zgF;^0L8CRG{w{;ZzEqS!A(OA*auC#g04K?fpHcY8Ln_lg<7mc$E;Ir+V?hjfGZr+~ zLTWP>qzBTB1&z1Bo3Wq)6HuuNkp?wm!O}Q)Z@vLtt<uq=@|uCT71f|qC_&A~#~}4c z%~*(iNG(%vWAhFJq(^ugq#CIi3s#M!7~G5n-KzvL8Z?i<kJOAsR}41!4AkV)V3R4^ zy?F{`2KHv`Nw5^W84K#`fr@#Y<4)ibAEb)7aVOBc2(%e{>LWNck=u-g4N;Ap-J72v z+g(8&SWtFEo~6J30eM6dHm(6mN7zTLU>OESGX!*qDkxzd1}6s4SP$et0+4|qE!dhO zd!XraH&_q3E2?*ab%UDE;Bhff*8<d70JTGQf~D}Ss0Q8G)iFh7Ggu+|ifT~nAG!#) z6S8v;+GK<@S)tOP(J6=kh=sim0M&<egWx8x-EYCO^U$^@%>5g|Qjfq=I2-q%$nA#g zodP$SKy6OwFhzF{crO)L7}T(VHnBj)gW6J{^CS6N=YfrX&G0e+veg&VO9Leqx~-_j z+zJdTOF)TfH3Q-dBiM*7wuA&a^A)6iF-Se6O^eh;1$9ZG1rlh29K`Hy0WUL#&flZ9 zX%~SEfsCw!X1PIu1xiZ`LBfzWEhyqara*gzASPnr0mwFR2?tUIO+C<+^x${^B@(1) z2E_yD7Bpy^b}wYc9ApS64!~_%7za8o01}6_X+d^yLy~4EbPp<ohkwB}k{i15Zb^eG z1X+XMM6e2|3-Fo<QV46)!uqW*6(GKb@uBNpz#e6VtP=nwYHrA&TPJiQB!q`&2{%%T zhvtuNEPD$<s$Meu{r?}*rUkXRJ$fN4c|lWIpuUj@v^nb0cpSM+3+bir0Wa|O=ti_@ z(Uy1%LRRs@3;{J%;cZ&9i6TKr2Mk#$yiJSQQU*Jf$TltLd}(N#7If%0q)iJt9um@~ z1xbV2w4jrx5p7x*l?vnrZH!6<sKJW0H48EnB#E^(3$h1iYZhW9)myVF@cn@<Dg{VQ zSs#@QcssUf4N^Nc6Qv!S^$LEds7Lb~l=ELfr=LTQX@i_k4BGMt+VdC!DhNO)l)}#^ zMqSBP0y+{{3_R8XR|Q+c7NR1@-^vb_1D{gvqY?rcW^qxG=m-HF3#<*7>~v8v>2^^u zfv?qfQ8DNS;SiM&$UwG>ia>{t3O|1<=x$o@Vc4K^(7}hK^YOO|!%c#eZ9Xcz{H>re z2y|+Xi;4&6s9+87IrN>N<8ZruK)2q34xsN0Q2`&*s{lDzSOIcSeMIL(gR7vOpgt-J z&{OIoIwzVyg+Ry3W|)DbAxGFsT!kDdjDAXe#^K{GDxmwT8D9Pdt&V_lj=QLU2FMs* zJ_D^p1DzcVa-9W=>%hD~7P#wP#)23BgX75qbetY|;@CyS;^jV&A>iZdSsRcfG{D1u z9-xzfK@nr&(JcU?HGH}mc7h6_&U2kUDjcBGvN<5#5!f-o3ZP5GU%msK5{x)wAM1J6 z9{ixQA6-;HNB?7-XYB!MAYlyc_^6mTbd;zVgK7qlbHJ)FYd;?q9gs5ZQm)saL<k!6 z1<~kdlwT8qCGbZss;eZY^j*5q$xfu)ZG<Rh(BIzm8)<--rb1|4bb((R(+f|Lv$ zx?NNpkdL&M=>VO{4m+;jMFoDOwG@Breekkg(8<}bWGKnsIu|SmI?_4-+Fb*k=L|V^ z$44auw3FBZlKL!=kF|aPO>Gt^$67yuih_={t^gf6+YLF^`UEr$T3{S&4L`s7A0!Q; zo?rbElze(Ymk7Wdgm5IpX)hE0|NsB;-oO9<U-E$xA@riYUa)#l0t*0N(bsvcGekwi zqw`d^kBW$A=K)aC5`ibJ0MH5479g*3yc9q?+?bgA8ycW#4RrT!1*l8{-4XzzL8&MK zM_O|MB_d~7S_7-Xmey=Q%B-Pj4SeA{s9yjo;5}FyKtbFIx;FyL^#P#!AHesuBThP+ zdaM&Yje}KTvlk_e7l1M)=s<dqr$7hNgXnG-70@~S1>lqSGeEaEfKK)Y^K!afRB}Lx z40H~^7Jn;fE(kOc1-*X)q#Nme3(&#ipd<W|TZJK@%O#3n`2uVf=wNmY{?=TGAj)+W zu#y{^Wjc_R;JlY&3izfASosajI6*M2pvyNvJ^<M&#or3L*B;#40o9%`aY_ExU-0Zx z0na`NRt+dkc|fv{2Q>TifG?%!oH*ecsEh`kAP+ql9wspbECJzpG#-pd0jYyuWl?br zQk;9BWF_c*7NC&ic2UXcbWs6CNDkKP0l<;d?W0lvy0idkw9!Q+=Vde~7eay-6y-0c zqVl1U29~OV=1qie5iE$mUowO8W+^Cdt_CXx&*OO@@@54Qd9wnPH$6b%$nkPBq}=yt zeq#YTe%%0cwmIZ}*nM2E%OXL?!E1PQ@BaWguDi2FMFAwB06!mI9Ckju0^~e#@FDl` z!^C}5#Q0l5eOORe+C@d<Sc69y_!w~)6%CJGULFQeozej|y7`C&_~II<8U{#V0=k&i zgEavZWt}c65}^Dq0nY#6RrcVUT8@D-v?zaT7dYh@9xy!V*m)9k$&Q9c;~{WlHy`2v zd0GJ`2);43y9DGFGY`luCXPG!LB~F@sKC#~f6eex2UGxp+9e*K<IxdEhWn_9@V9^@ zA-*zzNy82c7X+OH4|PW`_{b;FRTdf^jmN+?cYgQiyau{D1&gKKpjz0Y@eSw-aF5PC zDxeN7XtNdQ{%TM;1*V}VRe{Q>7VzEF(EJbTM1q*0Py&}jm|J~IRMh!feZl=@xGF>o zPL03S5iHjYF&9r(2iXP7>Ixk`poXC$iq;ktP>Z^|MFpg?vqc4Tp>#Jy5Hi*Pl?I7J z1VF6gE#TY?^2PBM70~s~ASP5_cMsSU)M8DJzZG<D1-L8$nE)$GWcgb^z#IfB-8)@W zuvhj|z}CS00=>clbO-|2NT}8d&=I)|3=EwvDm9>T8suV7aqXf~17X&n-#7zO)$OBF zgX&r_{#MYrhu{`V4a}*c{H>rv3c-Q}c-qD#Fh@e$#-P*k;F=OZcfAmO?GGp&b#^&i zM_l^@5plT=DjcV%fOsB_hh~6|MC;xH<sQ=j$wD#=Bzra<0VUMK$05lDT#Oxr^n^jJ zaS;DFBmsb!FPA~L8G{XHbpT)P3~EresDOHJpol)+q5`_n9K-}APjG_~TO#Hwt%T$~ zunI&1<}J+w?>_;hEs!C{A?XgpgeGaw`I`)&)8acJX%U)0yL-SEgU2^Osi+&G5fnq9 zDwhY;ta#1vG8W=FkaIykdOhVh#O)vs)a@YV%Sy;n0+2JfAtoWL0~_B1&bQrDz_|md z&VVR}+75MV_Y|<(FjRq;4MH;v*j6Ek>p)2s#D``e5cB2tKajCfNYHaYgWdvr`vDa6 z7Kl<7`KW*r74Fh3NYH~-AcCH&G#=c1M`^EhL$Y&s5BM4`a8m}Blb{!4fyF>s3Y;52 zVa-{p2Wr~9Tn$-21Zp3EyzqK4)UhBAQoZ@Q8<f+yA$ML?fLl#H;4-8elChD34U%_j zpswkLv{z8&Uw44=G02LS`5^mURA5at(8?Z$mr*F;I01aEsEdjQdQ%P*f*QC&kfSsX z5`thAh!A8i4FHEAddH^#boCH8oWOY;)UpHfQo5nt5m2bHm8yb6O$6d<@U=&;H-X#* z@(ien38G&w0QFYTE<D0)w}4Fs*@}7L(d)SrK=;XDv=_M1+Y1$Cpt_x$_QG^<MGg+a zV+|Luv=_ieBefSmY9M#mBbxAdnhK!Z5n$6jSTk48g0TE${hJ^@daR4}E{cyGh zg!x-Ql8{KnXbl+SYz=GxcQ^3024Jlj)K&x`eJ??-0W~C`7+d=e)bxUs;oUW$(UJWO z<y48Uh;q#K9oT53_yVbc#}~A#Hx%OQ1)1?hNFPRgHNJstxY(lt+FJo?|ADqqfCg1T z^gd8u92$3fR6rsg-TOPh(YHqhv~Uun5KP0a{sA4R3%mLUBmrrvw?Iq>wQWK59B7Kb z54_|Ev=s!Dm|MVxA8U93iBkyIqn8)dRQBlX09)C31mx7iptdQ<B@o37FfOd=-l78P zX@f)|Gz0kZGvtH7eZe+^))XUbM(74P`gn^9Xk!PcE<fI)as^bJfw~W%dlnf%jTY$T z5S=|>Igni-UEn+oyJ!cbi4%Oy1oWaEkb%crR6q;nq2``}n%g}EY+LspuxV%)?SSUv zK(jUOU`OY{y0{?YVIk=TmIAHS1UDl=Hgxx>fJ}$<ty@$;>#sVdsDL`c{H>udGe8PE zTU0=c#JgL->N{IhwlFe)&UFO|f?At!X^=QX0L1EsiuZukBi4c2ft><62Le=%y=Hj% zAKDHBCoWL*Bf2&{Dz+fiEg&V3h(J~Z>)<>@JGl?E0|&fI2DG*byzl|U04GtyOP~V^ zK$R#cMnFl#gB5&7cJ~%=)#}lC9P35dJ>azG(Rfe+TtuJu=sX5C@1-5&$P`dGfuiaq z=qMy;6oUB2A%O;BzH9+?lDnbFmh}Mm(rrje1g+BpDFOBQT2$^Jn4qK&O$kq+q9Av} zQZ4u#ZP0cJ=-5P$$`NqdgP00RYv7}_!78?Z<&clk23;%KIYmVWynbzu3TQl>zjY2c z<{@DXw+4OD1n7`!P=ZqiMNWGQSPP1~kTif7PRM}m*rFm0($EA+UC0`c)Pq-Lh(OhY zM%MY;)iEqUQV%vCH0%m;5I5NTpWqrBlDdw!sDO5HKm&0PG!Q|T5P=eL4>+)q`$SVz zxIktg7G{8A1D1<8!BU{fRB*E%lmVaygAzQ5*$v6P-92Di!PAx?RnWZC-J=3>0k~NY z($NjcOCaMx)0Uv+P0)oI`$6rd78OuR208g9^7>+!AZVo;BlP%ev}3hFUIy!ft}ll1 zkGFsw0G6_bbP7Pu<A%5ck<r19>j4);ki~hRk^-q|3Q-SrKGd@ig&_Z7QwXxA8?T9A z74R|x<YF8qf)qk081|@uwsXL?w}JCKXr&c6&x07?Jc^m)VR;YKECnS)P<a4Z)&v?_ z1c^XoKxKGmk4g_TQ$dvRbWc$MDeK+>mf$>vqMirokorH+Wlq1rs}3B%`-hIVsDMUG zL22c9iwbx+oB>o_w1A7BZVn{Fv^hF^R6uL|L1JLLk!7tp_$M7|c*MxR?Ns*`urli{ zV54EepPGL%@J~I|{Eq>Y(H%H`K@@lPfNkxD7_Hp{)&sSu8zR>U5d`&CK`8~<eICe8 z(Dnei5VVa2?m`rKYY!ARf)tYKMvxwa8$mn_H-btmP;f%-s(^(Tq-g&DE@Y94bdW<K zQa`{_E#MXkspT)MCI^?lph_EF{(|aUkR5OpJg}O33%KUSQvQAcFWT9o@)?{;Kq&%L za-kT4RNR4!N6`8?P;m!dKi3Yb{vic6+*TwF;PMe=0bP?MygY?zKvEC30A&eXJIEST z3y{=<%|}^9*R&U@{6#NmVf%G1fqgp_suC1;kkMn%0$xyd!>nm9K~{u=BKSX~(w)M{ zzyM`}QU;U>N)I6B%h!MZ|9@EnQ3@+FU*@3lk;~9P$khZFU!Qt?78LxDbn*e*%7)aa z(25<D+MpFXhzU{)t12-^hqkC(1bbBqoc)p2!n)d1R4#y3fKJ`T)j9*MJnWdF0$zC7 z`U^IY2x@h7LMk|D4FfvU5>&B41R;&F9S{L<$^3v3RRy$0LbT2x%M!y_K>MgbjztXw zP<kQU@WmDgkTs2<tzoDs2@wdOWr_T)N~|E8yCIG1Zb;)9)<gv@iR+l60$C9!f~*h` z2%rpz>P0dF;Se}J4uLmAk{bx%NjG}5{!thGnX^N~4-^ofh6Sh}1zFLj1Cs(J8?@Fx z%CbE<WQB;J1+@Vv4qC|Kyj%{DD?#NPsQnK)hz#T^P<8Y27o_b2<3n>ASn57>ybF>l zcR;fysBS#oq5^8>g7PD1M+!(a1EO99HHERIO2~RZ#6hDVlR#<_sS-5f0UDvf*^UG` zv%3duIk>q8&26B-0&Rh1gbwb4a$h&3^#zI*P;+lRXaO8#|92k5%OD?sTn=8P2ID}z z0}_X=QUlq+4KWN6JzyK~wy?k|AljfVhP1Fiod%RP8&o048vJcGunI_7gWp7uLhvdz zSX&Kr!aAhwCI}g=2e;Wk!}Rbr8>9^e>o0+;a`3{F78TH%3{bv=&=&hZ$Do7G(S^*? zfhrS7I}&!hIk;g6S_=a{C=|koI|vp9H6}eE)i>z0L2$zAh7Myu4srMCZUJB04%$i1 z;L*7UJUh_&z4MymF3?Q^pz{Pl1M9Ax7og_}f=?a<ua=5YL0Q`cI>H?!2~zIU8KVMT z+XZqv>^woxG${BSL69KysKA$ZAZY{C%mAl>2av6YFg|qf0W5X&7i3r+><d;{_5jsQ zgljHXc?C+ips)q$0%ZmGwZI?=l+g-M%EzAVx~G7<4&8geBL--r6`)x(P~)W=l>8yf zLP17@as{ZY0?nJ^&2S)(LlYZvh69y%1TtJF$VNzpV}b-CERRu=;Xv+z<Rg$1u;n9g zc7hZU5QPLX93B%v`KX)h3<qijfm1zb76Y8&Kn!?>gOq;QG8`-`LTEZ<IFM7I8Lmd9 z0y($2s1zV)wHTEOP*%c{&tg;xKr+N-vQo(QR8W!z=cH>tz#AiAeB?T4_YXXo43wa- z)Ispf1YReAI)jy8S_5ek!4$zNpAeNi==>ol)}f|?(h`V?7-#@liJTEYnF()32vNy} z&L4(Dd<ilE<ZJN!A&i4u?Lg-bLCzQk)ebZlxTqw2;ur8yNf`9$KLR!V2cV|^2v91= zn*IYoGQ_3-;&1TfxuE&92+;bQ0MPmy577D=k9~Z|^JyL)-TMV9AoDX8AbAV;`Ws=` z`Wp+#puUSr0C+hHq;&UD5#n!Eg7!XLR6LF~WK=-sXFL$=Z@@-3ABh03zk#X&&(C;x zu>Oz+Sqom(16o+i`alZ8*8t6!p-o^4^0#V&?E<wk;qn}vJ}N5RE-EUW2b*8k^Upuj zdcc8y#xVzuPc4@^eN=e(Tfc!jr647(2l(fM7Km~4_k*^cy=E{xpbgULq9OuXd*}c< z@)wjDkGrV2fM}2<1|Ho8pjC(mAF_0w+9?1Jw_X<&2T=Vk0lwV48|?ZUJfJ3OiHZbh z*cmj90Zxi94}%AaVL^u&4fj#u;%@;-g31ddY1laU3XndKJs@|1y1*cJf#_}@6^F)$ z|Nk>Ebo!_`bo;2dz<DlUH@!>;^?eV&J_z!4^9#_r5|NjZ(4~?djc-nHfr5FD$^kIF zMP&zw?%o5Y_rV<wn&^SZ?4JQn9(z<GpbEh>Z2o6I2Ll6a{s$xhNh&Q6(?Jsekkrzn zvX29_KM-_(J;=u`VC#-Ge1N1G2p2K`1Gcj92*|16`5%x=Ad12BKOn9L>kbZ(M_N<@ z!0v+4nDak-!8U^~K}Oi@!3tRj+5+~~@fMX0pjBy*G5al0CaC2E@)D@!1Zz=&<dDVT zEh>-%N{b3);PDof6;Q*Dx2UXvGP|dMZR_5n0@8%mq5^pe)S}uBcJwE3-yJkI%LTqe zdn%|^wGAxw93};_p}PlcI;?ZOfdhOM#(J>Ao5%_~TU2InfoFU`uIX%1nFHa01R<Sk z5UU#^4iP|70b0t!1-cy&HuAF$Y=bGdbB!Fh27HjHfdn5UkU_x+>9@hAz_+NZ1?%s_ z&>sLU8esZhG-lMU0qYlf1L=W+A_kN+x;yqTGca`as7OFl21w9@6?6eKX#5z>Q6M1? zP6h_hF{WG$44tPA{*piVN}BQF!Jn)LA2N6LsEBZZk5vZ6D5zvO-U5y|5EEo0R4+(T z=ecekgYGF{dpoZm{4am-y|iQJ1xLmoj*Sl<fJTrt4>@*R?Ceol!NI`b(s8nT3Yc~I zRVO4(z|ETiwzT;WOXsz25rghMU?Uwne>fieA@6wbg|uVmFIUDxj*X8Xre1gG_z5-y zl%lXZp!vZA$L}{>IuCSS>kVOh*f~YT2Nd-Xs`V16aO_c84hqlyPwznC37YfcVqkzI z0+8RK$q*s{wg{4Kx_iLkff#pM1~R3!=sn03P<j3GCFoF|Zlpp1Q4aQ~ECs1<0V#o` zAaJ<^Dml6x9AKs4X{Z6<i0p0vCy#ChXkX96@Pr3=D*~w9<I#G8e+sBaz65M6WY;44 zS_f#M*LchWoX+qplRy+V-8&9|6hoFv7=GIcI&ctN#CNtpLJ~r?9&qHJa=@jdMP)JA z0gu2Hkl`i61CE^sVe4tT;SSgVG843F^W08(1_lN!%Qlf*&@cluVM)N>i@?@`R)T@5 zILL}sh@(9a)=mH!1hN*_Vo`>d?w|??)I9;`p$1S$b$g_=o`i(%La;4&LF<J<v!tMO z3ym9)kB}5D04p>DtuFqKY9h!DNDAkJDw6h>o{%wJP@x8j&X>}V0VNn8T8x6F7?2YM zD>!UAA+^Bq7L^6i!WOhh5XuBO5>i*d3KHbT`xcdXAg8t>29RKCVQu&+DswsD2MB_S zNl*y@TABwnzZ+88clUrT2RGwEs=6U1e|L|{5-#Wf60}GKQK0G(lw9Y4jE3}Q`yu^V zkPkrq1ovlQ9H@6d;;;cEkR9BR0vl1fgN*L(0oOi|ZYrp_N9oUkRY0^sT?|nOawIl| zAZzfO2vz~DUm#(C!$gom@Bk7hMxe<C9DM|m_H0lRaiT0)M?eoKf?A7~2xoz8xcPeC zjul6k7&?!GZd(T{Y&;lnA5^2A+XY(X2+sD9)d!F?0&Tvqz5uN_f{trBfb$JZMgpWA zbZ0SG)DYB0WP>*+yTQ{Xu7)RldLbn$lD!3>##i?iXd`C|xY^{<dETRQipm6V`{xiy zF^GAb1+=XXGHm8*coH-y2g)_@FlK#lkAcAh>N*QZl?GA(O~s%D0%C&HgJeCr1zbAM zxpba%1a%c5#)2A!ARog5pmz&6g@LqygNaoDT$~*T%Oga=#dRmF7KhQWOo(U=^n){? zFnn?aY$kD~eIH0`%M7qqs+9H(;L;xIX#DNNZVwj7z8y&Wud_#`gM$HlLwP&MRjr^~ zOd(YpsO8ZOnr8)9y`Z&LpjL_rs2=VCS0c<kDj;5G54eSh*3f_i2e=wC{07>F3#yb+ z>LCM=Ni8ZM3Q-TWfgI5Sx<3unTY=U?Tfi28k`CC^#)F_@+oSV5;aZ6G11OP00|p+) z-8;a&w{BSE3K)Ld3BO7bR}I+;c3A*;1`Jk1f)*fv@<`*s8=&rB_ZDyi-J{zK<Ty~4 z!B#~=LKo~4Rtaztgt!BLynz~|<hAS3Tkx&F9Qdb#E}L(FMtnWUXRV-PDM9TYcqp-E z5ZNxS1MANQhs<k+myf@oUT50D1wHnsfCI!3=urVNz_|``ohhX70T(Nvk#KOxK)ZNI z*O`J;K?mmcsAO<3Fu<-eO#rd6US|qY1?pvDj8TC62+{_Ugb!1|hP~rZYksu0L_El| zE%kr?|9|NS86O8FY*1epngKve@JtfO5{O?KK<O2!^$-U(BlPe8|1Tw=OVFSSLCFY7 zVJukTNsz)$w54XCP=(uoQI><pS_5F=4PqdLH>AKMCcHhmg+T2vkOoM>3YvxR0QCks zkAqfYI)H-y9H@A=0I?vE0GgYCPDp?p2vQCTXRMI`(gu<QM*=7df+i$DvlSqnAk#sT z7!wnb$bkmk6xE^vN+4iupd8taSzw!k0<#q~!}^*5c`^tTlHl;tfQ1)`ffQb#f)MGt zT}V_xh9HpACrA}MeJX&01A5)A1c(g@8fH+R9dX?*NEOI~Sc3+n4I~K;8sur2+0dy- zush%-2q=y~zK775z844kzLpo#%>k)I)emw$;SvbaB}Q$Dih)gL2OqHtG8beLq_k>1 z$v+j;ToeVX_zJcg+ED{tcMmE5LET>X#^V-nPZD(8Fi3I=xT^-)?9-wm02(LgQQ-$0 zb{NetP?Hnd<?Cz#w_QQ|T;M%Is5H2_2wsT*VnJ0v+q#GzAs^U=<6sXV7uLvi3E`ju zSD4)b-32U$r#L@>j{J7$xbE=ncs+PgRL33_Ua&Pk!PX#!TbBpRw}bWk;K36fu#($g zB~TkdjcI5YVsvG>!OD+-m4i%##4wee%>}k3LlTsbK$bwG8`;^MU?pB)B}6!z1FYN_ ztQ=$_O`OdRwq&IS9%r+Gm2`uZ5aDcAu<{bHa+*1t1#F3gF&<|#gO&U;0x2QF*-T*N zkHN}m=4?i=C5K!<ZUR|?C6O?Il`H`(A;Q`J*+C<+y<p`a6KRr2{(&tq^vC1uzhEW8 zU?oI2`wv+8A3vChG;#KCuqCr2VU}PC?O$LewO}PgIQu79c^X(b%|iPJ*b=T3JkI_O zR`Mnpq=X1(e*-JO3RX@tXMY7-Qj!O96UY)Qq5TD{Bn+&C2xor=E4K$L2boBdMDhu2 z$>Rz<&i)8iaulqD2xor)D_;jzPBUk}2V3IXjK|sUz)JMNN{DdwTd;BouyUF?`wiHV zJ-v9G{Ti%f9#{zx&VB_}-VRnyGiSd9TcS1tkF#HZm2iNS5aI0SVC5gD!%U<}+I|MM zWa=WAC0MfLQ?Qb9uo5Di{RFH$0j!*6q5T+a$+eAmoc#!_WCvIY5zc-HR=yCdoMz5` z0Jg++4<2XV2P;trD<Q(!_rS^pz{+Xn?7Lt~UY^C{>^op3r@=~yaQ1Dm@-1NHprI4| z_@&b)$od^f762Wz0h-Oz0C!hmL$9#Lx&l-bLNj!8fR+qQZ&8s)6Bju6h@}%UhsVEd z3Wjt63+E?Le*?4}n4N*4bBf9ZcF<_w9+mU#3=E|Kpy6rIR1abx>jP*+s(XqG#92nr z={e9Ti=fWb0e<Zk@a!JwkeGv*qgyW*L(X*tjfsGIDld6IftNGE_|P#Du+*oI$iV>~ zQtyQH;<3*}gAxX$j}PnJqV_}2fnx(PBLY*4m=QS(@-yV%M^J|lG=L19q5v@wy(-W^ zGkB{MNENhK37@n^bT2`DNZ5?X8IaMC8Ih%sAOINx@+Wvk1ja$05rST+1hRu0(q-+0 z%mjgsVgPl2A>*pukX|s#EC<MDges8X-BZAG>KLlPXCr_@4xH$^iJFu<&CbA3LgCEC zG3c4JP;1d<E>3}LxcPeCOCv~FVM!jZAMdzu3^c7_c%bv3M>ljXq4Cg<SD>klYr77> zCL|zJ8<5C`<)RIsQD3CV19$+i9sp_Y?oojZlYpl-;HyX=79R9~jE{o`zQC?;JO-K8 z*aIG60xik~343-vbUf||Iwgm}5p=&4=<p<Pmmh43W9K2CURg`fLh#N#DhJp>ZvO7m zdCjML3Y0fRWd}P0gHPur5778In0eB-6M9$MI_SN-Ad9*?zP!Y;KH$YmkeMyed<vr( zKqU&O%pkZv0F-DzsUDglK}=96LG_ZhK41+yY<&QT1)kam84ov)-1PyVSsrKsgtkBd zb$!5N?CS$og9?QHIPi82(9&$k{10^Ev-tsgCv*xFGUW*o09lDN(ZCP@3gz=VnP906 z<R?h1=)3~Q3J<hE1_^qwDu9w#XNw90R1`vkW90{A)domCmQ@>HIKitnK!pJ)Dv*jL zkd08iAVsiM8(?$ruG&}vj};IL94jE>;pR<I0hx!iY6D~>5vw-9h0hcfkZQcK0$Q~J znjh+(0-h#<t=d=&jup^FS)dsn(7~)AS3$?(Aj>tN0w9YZ0w5Mrto#TB#|n6e7UUgJ z*mrlNKqBM~CunIKtR#qlM#uxGD1-(_$PGw@fYf7&kSmY~0nPqGBLp-D4Pt_9gz5z; zf<*|}9J~=S2Oc3H7C1sc#>35<q5?7xDMCO-5)mOFhjmX;0jb6tA<sIefM?sfArve^ zW`iT-Ib=5S5-37IuIh%&jW<8AN1dmK2!O0aiV%$uaD?mx%_*S8${a|noPmZvD5^YI zCqQH608|u0gJWd}BvwG`vBb(2NUStK7cqd2zkxDAp$OFrQUr??usL{RWePl2KrC>q zfQ*NmH$??x9#X7;j3gpfT0m9!6cvzag0Zpz<mo9YAPN>Mlfki~i4-dpAOl-eK#qe% z0*KY!q5`rAA^>86j)4Fz3~Nz=ss{;nwy4xVbb|yTia{*U&2gY+9XOIfDv;tdA`B9z zplM8$IQ8h>aiD?$GROW>{p<h#(8l3R@a*pQpUnptI}dao^Emj7x$^_)x<ZJ}kQ!l& z3b=;Zqapxu<bTJ`A3lu7y6s$G^;L^X4=6r5Pt5%O)3NiUqb6wmSqE6?<mKm}qm0-Y z7&w1*PEi4w2W|C$s%j)-n~$?}VwmjGd7^WQN((y!!%UE6AR`^W|8&&6=+X%>7$gNY z7*qwkJo6LmFHj+e%U>Trkp^k8fgAuXqxYzQL{V)AnbFyz(g5}Zioa^WLJ;dg(qQYs zh2IqL6b72H82$p84DnY5%wHfQQT+vy0vik}L|=CO0{aV8R^am21&F^ug#gF_;G%Ai z3P=>yUm!C&TU1KGo<Q+e0ays)FOW3YdXRab6THAR;}#VpV=?>%G8y8p9GJgAMxy!) zBn37YR2IE-{tfmQD7WGA*BXewK)D9wFK}V8M+GE`>MxKPoh>REU{9d<D+MeB@fS!M zY(2<4&=Fcte<2x*;V+QM5Pv1W`~@-+)n6bfu)&~=`;rkf(R}zBu1?hJ$2&9*V`(K< zJOgE3j8-zF)eEZ|kz2`-?jB6W0i?aV2imwqYbAp%#M4R!Swgs#3^E0^l^lW6N`~;J zs04tU#^6@62bhUyC3jpxbRfGsSe}C0W1#6oaM=xt57r+~K;h5=E_6Vmpi;u48(h(V znr5(42j?nUP$2`V^U+$#P`#wJl3hTl2fT_F!~$0|Amc&K0-HBLtz>6#MFUz30&9<f zTt!kV*})GK%2->;Hjr2Wl~f>)LaKj*ry%t$Dxe}0BnqLyu>xwJf$r7-)ee}k0&1}0 zUM>mM3sMBD{z3Ml)xKzJn{D8+0%Cz<1yllqoCP*-fLh7c;8-~X-VY7wyMSCpQY(3Z z3n-M&qtxBq9VL(m0Tr<zKSClT0~#TqLK!3qp}`RXYMOyAa^u9h*c{Yg1s(keZG<7M zF9jI`)eBMtix7~#_#?yw9w8tWI6^>G2i!bbw~`-WZzUUpBZLzvLcj$-td-1+-bw}u zfNCaaI~vpgfV6`^f}N078?>#~`~ajFY8<H4hX{aJNbNBWcW`uK>x!;{#3`tH0R<Bz zP8UGq6jULBMZs-taGWjx)r38erYUA712uO+7a}9#6x1>Vm4YB+pn5@yU~vkv7k`|B z4gi2`AONwzaSCdF!p$SMjSX^GHxX@YP*)VxxCSkS<78lfwXwCqamowc&;iM0AXkB| zT?RLbK_^x~1wa--x}qQ!Qmj~bf@1|OlRbe%$Q*Ex!z$Gq&<L3T6@}2?2<d=C2uMAa zPEQM@(^G(mkP;{p6o62@AVshU0h@!j)1v~<WFQteLO{mD&6}bEG7qWK12U3`P7lao zphh03v_N$L>WB>}LK;Axo}vPxU=gAWj*t+f5gU-JKzH54BLpe{vIr6(AQn=DWO!qX z5SeG-#z6%%=pi{o0MyFqY*8tIib7~`gk*r+)}sPak0nA<AQ6%QjfLYaDmhRlC;*{) zL5g4z0yYOVJkY8k8F++%Sl|c&84ovaiVDa)qzC~SNkoKz9M(Mr;t^B_phgI2Y#;&T z=_x883Kk*K;0T!w-joH&As|<Q&Lsdx2xx2oDgd$w(y;`wkRl|(7aSp&V*@Dt$h*(L z?RQY?4Ojae)MJD;OF->+aGMEFKT-q~qNx2y0k9CH{SJ}_H?zU59mwc8nz0z|caZBK z?ROqn`yFH?YWp1|1vVJmk6iT)>@QF|1ed=+Jw|B74srmv)q$rU$pQ8RO232!EClfv zNE&QCxV?brM<N-E;V+QuApT;2`3qzus=q)|V1vQ^$c*n`e}SrVT>b*}7@@Tv$Y0>b z0G@v2A2tRC7u5Lr0TzPz3nUG;9@H2B6)~XpefJg>Bx5oB1#%t4Utidu{eF;<sQv;; zfei*#%r6yxfc*uk(s20;)MJF!5g>noD?~i~$PZvopv2c3un@#wAZf7m;F@L%c$@~! zSPXxGTnF*j3z)w^Mxy!)Bn37YRAarAg^Xu_ig8^2ssUyH78Otd3vvLsUfH7p5=HGF zgUsk`QF#LP1d6{NfQ2Cb0!f3d2btGBMFmn!Z&5)q7Q<g4lOg`P1M?TiNK}7;q`(G) zOni9_;xABXh|6Cw5PyNnDUiRw#q%B&kSMCZKxTBdsN4X10>xifz(NpzfuzCKgUsum z0xtQ{jK%O5$YhAWF2MW+G7{BaAStlHpz`x2Xj|3Grt5h6{TE1>9YgKiBlr6u9b#A$ z1vWbd5k>3wgDu3<?*~~zxZe*l1-0LQf(=wbz-GrFyeTRN*x<8cJHSjtzkk9Z<bFTi z*)dQh0jfhGG`MO9bvDWE_e1rP*6-f}N<H9yKZpgc+Cg;=$XQ_X2B_b^nT>&gpR(Dp z4Y>RLcxT5zbrHz>5E>jSpdKf={eGxk()#^t;IRT?fnx<!V}hIoHgACX{j0&TLecEl z1IU~uT9*Xx>=>vL1^FC8gChjgZ6vqf57kRrzkdllLO?8Vgn+7gkh8$%(YoIcY5{}x zqM^->Ee1yjMYCf9!Jq=}JZ1~Hy94j+7^r0d@;-zH#|o&=2s%Iy)W5>na|ewifV%5w zvtv-br1jkAz+(l(0>=u-c#yNe=8@ZT2Mulz({l&K3YMPxY;dd$;Ov+~C?rnt&yKO) z0=FhX{RUjE6SQ6pxJJO!tC<3d57buj1h5dKbpnzGHzGha4ASfvlCc=A6Oij5t&<*D z>jY#ZYU>0f1vVJmt08@M3|yw->D6?AJ%Q5dY5@yD`~{K*TMsIuLAS*~TmMMLV)zTu zt7(Aw3uGj!zd%x8gTcKT(r3rOMH8N0O%2!+DE_Jd3qkw^k_KB3D(aAWHAu!{_zTgi zDS`P5WF)G;KvH0X!Mz&NXUD*q8&9vM0PG1Af8~IMApQbLgRKV@7D%&WNXBCL3(>2| zfcXn#B&xqaQecBY1qftzO!zFGR&u~mEVE-7FF=_WV|EPE>V>t1kz2`-<|9nT0Hhss z4>33qqP3F27UF3ogDfH3N(PyN+DcA9X(dB=Q&b|rO=IxvSOA!bXeDQDM{XtWfee6w z@;a#Oh75phfDV9xN(YcAga%hMpr#qQtz@WP(pt$rpwt6yC4*StiUw4Mft&?4Z-83K z-r$NxkPEbE7%~6`auswK3bJGjDgY`NAp#&4(g0Y1GbpuTogMoEi4{;u1@b5)R$f43 z1yr_yL?JXdRzU4Da$Ctzy`;60UEr|-Vu51?R04yX1vYPhTFK7fSPAAt#0tn&&_OiF zurO2rRIEb;KrEzK`2mR)v{tgtbMQDZsE7sm5fUK^&p{E`q5>+E!J^>tVsM0jnr7s- zlA(G@YbD#jBLu_(M+m6u067b69<5u+pn-cVtz>I(gn$ktflVTVTm>EdgM>d+08}4A z1VAjL2=Q<Qg);hdWeOxhKy?GikB|t7fJO+YHUWu3XmEsphnEOVZGkE!EDdC+UeX%K zCh!OWvA_`mG9KhCuz9p@AcLkWu{4m4!4U%54g!l1@c1HZ`54X#N9dGfXA8dNV<6p7 z<3NLpkm*Vg3n@B3K%x`dbmbgKoPtLCK*0ow(+SWxg-pW0CS|~J3LdfRQ30vPG8qG! zs5%ZAwuHthXkZY;1Q`z13sMA|i~*a2Iv0XA8KVP_QxFRrr=U?xxOoFKJEjee)2B$& zl^|D<G&`o?fi06=fkX&sm=feiNQ9h#Mo0j-v};j;(BKGx9wG-)k0nAt6II7sR6ygS zpjbeg9fRrxDS|}^*c`kOq5_W)5DOe3Amc%f1)Dd8#<OFfQV%pO){QzlrVNe{P#S?{ zGLWm_vtyw7W2gXVOczq>fmle{B)|(>gz&roS3@q)poc^VXvHOHdA|iz6hea|!~itJ z-J=3hk0nBMAk&oz&^gNEEh;HcCMW=*dO?a{5dt;`Z-mIeBLu_(M+nGxxOr1lK;|J$ zSAvWrV!9IKu<j`kkDxjLbGlLk<mo9YAPPQR3679rr1@iztGZiMKon$l62$6;gaAYU zWF=CBc=&)L1aq(kWx7)96saA1a3dB^$6f>!qNp8v0k9CHLj{rs_j|ytO~iC1lCc;a zDo|q|(y`}(b*Ml_qIRf2QecBYt=5;4kmbCf1}Uxq3$*D<a5E53$DRZ136zc^3s?x^ zFOW3YdT@IUF<psdEQY^8u7miC0p>4|k*NLxNr4RpwfkOPhxiNBuEXUowCPH4YYI=t z{tqkWbR}2_;xCXi*m`iYXA1b_QqVLJlCc>60=W+2uP>~y=}J}x22_86q`(G)noln$ zK&F>L%_Cg?f=*XL8YS@gH;^dm^cTpC&K8vqU{9dL*Bh`9#9tt3u=ODGkUI9@whm;x z0K;D(lOg_k0rMBgNK}7;q`(G)JNBNC>19w=jmux4iAiYP2MSnlMUQW~66^^Se?0&T zLHzZE6}qe(T%{tWE0K)F@E6E+5P#i)`3qzus=q)|V1I#HB`;ke)61Y550}3{6O+&? z1mrJp{f2M466^^Se_a6!LHu<C<}YwXgqW^GG8V&MAlE_sbphrtkddhV0!e}W1**_q z{)6}nRLbM>mkOx3hLpr0e}Su=Jt`nk)N}|kqq9Zj4A>JW{yG5`g7^z04YnR!o=*YS zXlTY__zPq*#9v2X{sI|^>MxKK*kDk-@N)al|NmcR?#45~1e(w6JWkvuMbu#=<N+qg z$O~+M2{sK25k(td0$Ye@fC*#?;Q=O)DX0TXJ6J&#A8Z;H!keP9ffYUty8_Ha3@~vn zMIK<nI}Hn}r9f>12n}wsfJQaQ9bkg$C2fFd2`Ke|2be%CaFYd8mx7!HHgA9im=?2A zJPoVh4~jXg159|QVL^2)$omi)94nw94sr*Wpn6FgV44Gu6%Y#?E1;GD$XQ_X255k3 zHaJ!&nue7ieUl=naRBl;ga$_lXlR4n0Vb$k(gv8Oz#|020!Ij_2?KH#*gRSfFo7og zunaIw21f`*)36?7PQ!wlHX!dqXmG561}Vs$hK1@SZIr189xEUgI95OnFp#sr=FxhT z2^1?>Mwvio0rU3{;52LnQPZ$hdr0lAfolXjy|orle4zH$8o)x3)(J=&+=u|xFi6v| zNXBBcP7uAd8d&QDWF%_q1SADE7~ESUeHs>ArsC<XRe(K#(&{P!3qkw^k_KB3Dx;C6 zVUdi*@E4-DRsi!C$VgOwfuz6&gL`YFPs4(XCOo~h9Iz)){FMO~g7^z04YnRs)FDm7 zA{mR}FGO!G1?Df1k*NLxNr4Rp_tr?Ch6QJCNVf{Ll?>`rb+)J^fIWfYuNbfp#9tt3 zu=SwA0;#u#WGsfiKqf<4$q_Jrfs91;7f1?hFu1n{-lX_z1D;m00Jg=78^A|cU`)e8 zTD`EgFmfvy(tL!;RDiU1_ozTb(OSu13-Pp)L6#71C4)>sZ6ybww2~pbDJmY|rZIRL z)&a~!w2~jsL~bRofeh?{@;a#Oh79a20L>?ZR-Qr!_CTcsbdw^eX+~}<8LF4GR<aE! z^?+N+AQrfy0hM7O$AZnHbt@S(odG^?9QCRXYjE`+i8QbWE`eH9K#qgVKY&=!+Y2B9 zpdu1FQHwZO2PB9%!3SgrL@|g3Jy8cD04j)(h6Od;aJI3ZK;jfs&Vhmn5~nwyaSAFK z!J^=}1vef*tviBkY*0Z29X$sX9Uvyi7^q&-+Ss7GZy~#{KrC>af{cfoM{XM%<gjk? z4+1d;$7w9m-V%_jNSZT@z&f2F1351TR6v9L2#F8@P-)TGq5>+?L81^E93h~F9l<s> zs00PY0@|WFs9w_A*gEh`24aCD1Y|tOSzz<XZDWH9V`2^h0ZnIMX=7`HBV-BEkrN<S zL1zRZvlmbSkVOyy5DO`rbYPv%2!TWhsAd8A5fULD&<Fw5MIccK4UQ1-pc|n{T+jq0 zG(tdAk02(<7^q&-n%64u2m!Ic5dtzEZXUVKYmmbzSmme;j*!>jgY_YcPe87M?mdCb zUO)vv7C{6+ETjl&AZj`zd?Tq1WN>AGr-3X33Q^PsvIJNN(l7x@gWC__QX4Uyfn+R3 z!vs`mLK-F_u!af9NYsW2ND6E)sGxs&5wbf3R5arnmV{1cfQlZ__#wD}#nV6*0DA(Z z!Nmg>g7^z04YnR+9#TUR$yf}3fm{di7YEE=AR|%z1(E_A3@UVAo+bJe4^V~$`3qdG z;AtSUfIWfYF9xs>#9tt3u=ODGkQ$Om#$xyjRQ^Kz^@jyIodGfu)n6bfu)&~$<YgPt zr+9$UJ;-0+?2e~_{DTE!n&t~w2;wi0G}wBOdEJQJS4hTU_zP5wLHzXr<}Z+usQv;; zfei-b$Cvh?Q#>Xt!_z>%fUSXy<E%SKgBR8eMs6TOT8=Oo*f26g6s>^_wh&JP8Dt6J z1~SML)CTek7EnV8HjE76O;LHk0v|@c0cIi^$cOrn8_0NvkwK*cs7!{?;Hm=Dz9P4Q z4Ao0o1NjOl^?)15AQrf)0F_}NXMxS5bpsj4S$CINC>}<RAafWQRJMV<523-a0%}|l zY~q5N+@M+;?W{YfUecPlXW+2{Vu51?WIV`OVDrdr;(~es6f|*9gJXrFVdMbPhmk>r zGRWr;8XO^@#udRPE~w6eMhK{u0Wm?wK=qQ=#61F!5D*I-At2-7=8@aP1v!kECN5~e z5=#^JFgQXe8b)p)a~K&^rGUH-p~0~NT2e*sFfvpxY3<xS@K^z{z_9|V&_T`un@4Ut z7gTvu(9Ycrj+Fr%M&3ZwFtYwKQd`O38Uar$c?&2$P+Q3xz(SDL2}l~;hyc|vNW;iT z#$vQi5Uu1ju+|C4NYvH|ND6E)xRp%$FfzDI#nVb&0rmt+YjO!#2;wi0G}wAj8I3fI zjASf^zYwitP;m-A!w+O6s=q)|V1q&BHW_E#fr~mkt>igiPoVf~23QE<FOW3YdQee^ z)cQv<7Q<hNR`L{Be1VKa^%qDAY%r+!Bjc<)aOTF-N}d4r1d6|Uz(NpzfuzCKg9;0z z)<2T582&=Ek~?7j0vU<wFOU@2U{C=9+0COk2Tv;*G#-nw*aF8eGNjcDYYQW{k|E7U zm<((f86t|-N(Nhqr<Dw{gm5bvWD064xdEk>4B<^tsQ@>P!NbS}U?!rKJf|7Cm5g^7 z8B{ueN^}Sfu4q6_GjdzWP`#wJl5;?*2i!^qvA`7#s0;%+3v3>(Tgf<vk+Z=S%>WJ~ zCy+Ue3@RBxfd`?%aSCeP5o}|F3L;QD4((tzs9w_A*eUQh1+l<!3Njw#EU<axwy{BF z8U=0aWN@5PG>klf^kHOBkq+`Xga$_ls9{I2jSVV6p%DTq^FU0HF;KmvwXtL15dva? zBLrkT+&psI*dT`y)5gXzj2sP)5Q>J850E~L462JjK8MiY2m!BgBy$)Us+Y9pbqG8{ zKrC>CfX0<T&H|f9Zu1&6hD1U0Iv5-w6b&QqAZi$yXEvz~WN>AGr-AGP3Q^PsvIkfQ z(l7x@gWC_F$_1%`jASfE!vxVlc7ZiaKt`fAOh8g#gTW1C(ua}31tOjXvIE!?C=D(f zun@#wAZf7mpaK@DA&F!xhQAOEWDA(TKt`ha3nT?L7*yzzF^mi@r|>k8O~9T&@s|Nu z2;wi0G}wAjIfc}aL^2k`Ux)^>4$NO5BT@YYk^&nHD!a%SMh0h3JPl+GuqRObr2-a$ z_zNTrwjPw-k%p0xjK%O5qJgXc^B2fSRDXe_zy^bg0LU=%_KA2J$e{69j0Q4}VPr^y z7uF0$ZXiQijxZV6Ffv3Gt$_@-5KjXcWC`I0GRPFv2C@W70~x}bq9Oop6@wed9AGA* zfm~gI+(5=Vj0`FrKxHz723HlJb``k|WT;-!8ptf5)B|oHgIM6I0#t^9oCP+I)(vDF z!^q6ws)C{`Qzno(j0`H<K;DPY;8+1Qt_U`9L1PG@W)#{mGE^^VP24}su#0R!EO4xV zj0ZUjY#zByT+na?1x?)F%nS_t{h+}O*giv$t4P}J-a+~>GN@1n`5Z!nBLvjABG|+Q z)mhL80o5`fCde45UecPlU*Hh}Vu2$BWIWtFa+|myhY{1n#W9Th85|)L4I}R$a~K&^ zrGUH-p~0~N+6YSSFfvpxY3<xM@K^z{z_9|V&_T`un@4Ut7gTvu(9V4gj+Fr%Mt(um zF!GarQd`O38Uar$`3WdKP+Q3lz(SDL2}l~;hyc|vNUdZfV=-DMh*t6)X6WQS$Vk-I z2}lZTFu0XW`Y<xMOvTemz5(_GN~`M%SP0@TkTlqOaA}CxJBnm1hQAQ4<O?u=fs91; z7f1?hFsR%nV;C7+)Zu9*p8<OU#a}1DLJ)s}q`}sMiaMm$Ka#N+{z9~pkHGu|G7{Ba zAStlHpyH2=VPtUT#?wkZ0QLlmzxIHIApQbLgRKV@7D%msBx5oBg=i)3fcXn#B&xqa zQecBY1qfsq*`pm#D;YE%i_uEPF^mjp^}^c1$gN~Z^ARQk8%BnRqP3F27UF3ogDfH3 zN(PyN+DhKQjJ((a!keP9f*HQpVgZ<mXeEc{BDa$94kLp~2T+L)p}`dmsA)!SD;cVn zv{v#QQ0f7<l0htRMFT3sK+Xc2N9$HHj$!24;EHAdhmmKHIgAV{89{*up}}zqYTXfR zV}lAJP&*E77#XUUv^Mq>c$|V*;5Y>t4{{dRJaXIEpfZhuHuhw2oKiH5e1i00WKfX~ z@;QVCM+m54N3e|zDnX$U0xI)BOpq~9y`;6Vd*BfQVu2$BWIWtFa@*J-hY{1p#xacC z4UQ0shLL}eK8y^ii$Fey(BKFG?^Gvq7#XUUwB~gSJVHP$aD;%yl|aq{n@4W*8Z?GP zLG!v993d19BYz-j82MlesSRXsWq_xFTmuSG)CO_|SP0TE0ZD_~51`5gsez1SEJniw z(LgSNHB3N8qBcxGQecC@4P?@Xk--Hbo(6IO*b^uXt{kus#9tt3u=St<7O5eLWGsfi z5DnxEn7=?qqWTLY1vVH|=#nvv3@)eeG>}uko<Q+e0$2#*FOW3YdQdrq)R06n7Q<hN z267C{Umzn<{RNT&8w@JD$QVWjXHPs0<Or}QQ2Z4F7J~Q-Bn`G6l--erk&%qW@E4+i z902nd$VgOwfuz6&gNgviF!H?`JPl;fcq~Q(8OJa(q`?bo1|v6+AuUIk3~U$~B8t{P z23v@yfef;Qa03}+3Tgw{1Eqls;Z0F-0Jn<4!^jq3CZd6Cl7!qq#ygA*Djh&&GK2<K z6`*z%xea8fUeX%KCZN;<ZXknL;Hm;thJl;~HjmZ~WE{iD#^9=gqG99{WDX;P$~KVq zAv8EvK#ePcO<d3z0;m~<HjE6_OIj0G2OcXR7C2Tw#)F&%HjmsUE@(J{f+nsuI94bc zMm|9LFfyo62KgL9gChjgxFXoZ1=U&52m#eHASTEds9w^VxGL}n0kOal0x}+M9=T0i zki&>+;^G)aRt851MZ?G+$Q(unRVg6vLuhcUNPrG#BGk?W)wrOjK-&fh)k|7CR|Xy{ zAQm`QK*ocd1vZb|b}p#$rl6fG4UUxo97a|k>gMg4m87<k!8HP&R<Z~vK2Tf90$?FX z>jWeXZbX1;7^GG*lCc=A6GSVS2i7_P8Hw6D0ZD-k2Dg$)A4Ue3sd!q+9AHnNw7OWp zLJ)s}q`}sM%4nq3$VkRw_zTfWW`Ow%WF)G;KvH0XLFG0X!^q&G4o@rj4->}TwjW?2 zh`&J6VCz9e9a8Hb$yf}3AzH~_n4rV{AR|%z1(E_A3@ZM}7)AzXZal5z4`5HA#Mc|J z5X4^~X|VO6!UC!Fk7O){zYwkD7chT;j70SpND6E)r~rWsBj*?4X(fZkV=-FEIEIlS ztzKAL7`c@UX+FYaV8h4|QM6Vv*g`z5WRN9<Tgf0(P+Q3ln2?8&A-pLnH<;kV$QQs& zL@Svu9J!T@cNiH|I)F-a2o0`iKut4pTggzpq_vXIfKm^*l?-BmD;iK4267hIJX*Js zaSS7$W&%y?58yEJ1u}<`K_w$7@E|lePC>0Zf^BS2K?H3)fQk+f6J!ijFKKP;Bk(u{ zvA}T(G9GRoxovEa!zgHD9|p%MMZ?GpSg#bud#DAdNC){GLW3g&)UYGi#s-z3pjbd_ z%|Z2&*2dlgj}Q<G93dd%LCyl3M{XM%R2UP}#>O#>yc--L6b&OAV7*cp@1Yi;x(MWR z2n~)90b-ihpn)G~gn))>KunM^P`#uzueZP>1jGVI2*`N2dE_>)K@Ov!dA%7NAruWG zYY=s%a9JLy4P<a-fTw}H1{9*G4dfMIAxOgnBn@sqfGQWH1~QVd7!4Cd19=IoVFEG| zwP6C10vil&Ad^0f3@%{tG>{j7J%Q5TngbSs_zNTrwjNZ#A~htDjK%O5qJcaE<}Z+u zsQv;;fei)~x?~I^gUb~>4df|cPoVf~0$2#*FOW3YdQdrq)R06n7Q<hN267L~Umzn< z{RNT&8w@JD$QVWjXLmde<PNYWQ2f;b7J~Q-Bn`G6l--erk&%qW@E4+i+yL_z$VgOw zfuz6&gNgviFfwZfo(3{#JQkyYjAIxX(%^+PgOMA^kd`A%1~!Zg5k+etgDu3<Kn7Vt zxPc5Z1+{@(fzm*R@TRB~fLq1jVdM-j6VX6^>y6w%#ygA*Djh&&GK2<K6`*z%xea8f zUeX%KDWKE?ZXknL;Hm;thJl;~HjmZ~WE{iD$>6GjqG4nU$YrS5uA#wuHZrJe19=}p zgJT8MxFXoZ1&twqYHhS(WT;-!nz%9WSOKxXu>vw4<Sejx<Ti0Z!x0oTaihVpLeVg? zK@h0JiE-D{EAXu+pduFJN60Z@5wAc>TU0=WGDs9cgChjgxFXoZ1=U&52m#eHASTEd zs9w^VxFPTe0kOal0x}+M9=T0iki&>+;^G)a4hBaEMZ?GmA)rtu{fb&pl>+iUga*e7 z2QlqjP>l<U3bc*~R4-}mTpxI>fLP#I0T~Z+7T7#;+qt01n}T+(H#k-Xa2R<4QNzf7 z$)vWD!8HP&R<a8yK2Tf94qzcj>jWeXZbX1;7^GG*lCc=A6GSW72G%+O8Hw6D0ZD-k z2Dg$)A4Ue3sd!q+7GO`Hw7N{dLJ)s}q`}sM%4novWF%uT{Do*G8^HVpG7{BaAStlH zpmLjxVPtSoho_aS1NH=pzcj!?5PyNB!PbL{I;7S=lCc>60u|wqBhpl0{sI|^>MxKK z*kDlcN5(KRICJA^B`bhEf#NS2un@#wAZf7mpuz&F^^asMhQAQ4WC@tRKt`ha3nT?L z7*v2jhLNYm;%Oyo9L91*ZN)QCfsAoBGNjcDYYQW{k|E7Un2ZBRdv}itL=>%+47LzY zD;Z=7;Z`!p6x3F-07@$v!kePP0d5+DTgePyCZd&m+yS|j%<>dm{e$v4sO*MR|3999 z!U1;s9E=86G@zy#xvgZVUea30e;DDr!x$MDz!eRs3<Eh9Y~BF1l7BOThLIaM5SO8X zTm?P(7;+;mQ~*>kLIgl8q*FpU{6L|M^#&0eNUVTLDv(DZv10HPq`pN3RJMUcAv8Ev zK<zVfTggzpq_vX2z+(l(0>=ud1O_<^Y~BF1l0SoE<q$g}RzR*IY0qAU3n-M)TgfGm z2muwbAU{GPBm){Dph6iW3ZcOf0&1F(+e(J&C9ReG1|A_G7C1scRR_pfVDo6*N(Rlg zU^)8jH8?^zks<_K@VBUd90xh`8^r2v0pHaI5dhUp&;zMEAy=nDr8`?xK&3nUY-G@l zs8HiT;t<^+7E&g2a0f>xwyx+JNSuPI7f>)k;&cHtPC*qCNEAYY<CKAzHa2MV2inF4 zjn{yfAY-6<No!+2fyXI`1&&jY@o@9VZDWHRMob$UG`NrD{*lMvIORpU5f$Vr_yNhF z3pk+yAd4U;R)bhbu~L9_w&e*VLO?gXf&2)GkQ>kl`N6@!01}1J;0XD^!NAZ><`uP2 zy`)`Hdj}pNAQm`6KsVQcoCP*-fUc;$4UUiyq;vW~u7Y0E2MK?u0O&eAhyaL%6d?<+ z&bG)vZb}DTO$YKLBtis0t(?vll^4(mfzaRxc>swJka{dPqJnOp#eGFBR4+&o>_$|u zIj9$sqTLyM1s)+F7C1scSMGrv3pQ^GjjyN$jSXPAqV_U4LS`c!vJY|<^nO9eiQ!NI z&^>+-0T2r*LS_&(+rkn`YCjU(X2R2tJOc_*)PCd%un?sE4w42pv%!s<DJqaA%@#=0 z4q_}u`yJG_g!D^}FhZBNfQ&?Kzk{T}27^p|xe9W_CaC>G;0SS0{SG>k8r<r@(~mp= z_5@15WDi&f;xCXi*m{t8Nc~78V=?>%avj89J7E3-8HwsIkQCTpa6d8wa>FL58G*}R zXg8vQD{nmg$Sq(`p!jP8SP0@TkTlqOa4n0t5f#Z;41a-K2l3Y$n7=?qqWTLY1vVH| zTfbC<+(ZegN^$uMboVK=jsV3MxI)C!k6Z!v1d6|wfQ2Cb0!f3d2iG)+BgB!6#qbx% zbr63ofcXn#B&xqaQecBYHP%a6$Wiv78VQ%b&~8Kp*8_NNM4bcn1d6|AfQ2Cb0!f3d z2N&3g8&Q#r#qbx%br63|f%yw$B&xqaQecBY1^>%y5PyLRSX}->yAc&!oZ`6=bpqHE zDE{gJ3qkw^k_KB3F82^Oq9PfK;V+QuApYur`3qzus=q)|V1q&B=ga<I|Np-X^~2Ne z2Td~(J39vH$HRK}$o+oMuo<Y5fz*hw*)fPHTE8D`A)bCe$P&W+evm1s{r(0<P{S5> z<U52nMWuofzI?0z%tZA2&l@23`|-|>fhq}*_aHR5Y6o>T$?f+;^^(@_&jF<#@a!0f z1+Lmbbq>f`VDkp3-=7Vx+9{eHtH9mw$2&U)s*6D0htS|y0rfb^?e|0VlGg7}fyWAn z1&$R^jR|rV*t`Mi_a}p6g`(N96_7d07qA{1C~J3jq~Mz!1686RpF?PHgn+t><o5fa zdP(c|$G{^5!~#bMsHz7!3v3>(`~9F6FqVFQG&n*injKpJnXcT)%D}*Y7Atcgu>z{l zK^_G~l?Uqt=$s{}2>=p>(BN1B^%==Ms|Tu=w4QqiJXSy~aIAm^DnQNxn@4WX9W=N> zOwSz@D_DB&!QfaKz}YblqR#5k@gTKV1FjJu-4E0o8$o@K&K4CPP<){FYCOO~kk$!E z8r+Bg)i6l2V@Sqgv`#=KLs};;u+|C4NYvH|ND6E)xK~5^>=?LA#nY>C0DA(Z)nx+~ zg7^z04YnRsMkDoVkc`Ff7ot~V0rMBgNK}7;q`(G)do`rr911R)@bqd-z@9+ymjPG^ z;xCXi*m_V=hcr8eWGsfi5WN~5n7=?qqWTLY1vVJmt0Dd7P;lnP)2q<{djiE@Dqtaq zzd+Jp>p_JD((D+Lu^9dW6@rj-r~va9$VgOwfuz6&g9;GH?ASABJgww_qgZCgGQhVh zV$6;~TD{m>$<FOvV7Dy}JiIRN&E#)=CCjh^LhdvV?Fe8Dt7-D_H`il?>rcQ4s() zjlr{H9AGA*mAq0Fxs|*JG5`k3>!7k5QqgRH4uFA52aqU)23ItorWv`dWT;-!TFES+ z)B|oMgIM5-22_TDoCP*-fLh7S;EG0&3o#D^aurFlV-n7w{tedIu`iHV0hLrBk3wSQ z1vFMbWgAEoLW5%k)IKA(l?>HOS}XYv1ANYs0Xk<1DuF@H0-HBLt>oVfpgGH6PDHGL zTt(9C*a1kaptZ+zo`WZmK}9UckB|sacn*rd78OvT3=)OV;0OUV&B$#fL-mr@O8x?m z5D*KTO+Zx#$XQ_XXx&N%&7@#yC4UA-$SkBuWRR<%b6=2gdZ+-XK7t5<SV-f<0<NG? zMxU-sfkX(XZUFfa5+M=L2m#e5AW;Ynju7zh5}CV@p?XPcAise}2#5ua5Kx^5au(P; zS~rkE)0J2n$gjZ>@(^jd5<I>LnT3SRFM^!e4Vj392!N_o=#*q<iwaadNU*a-1vH2V z9rT1KhDw9PAp#&4QgrTsL?^cC$~llY1&#KBf(a6*6QFSlnS_B&%7Eh(JhVpU>=;xp zX|rQb;Bg9Kf#Vc3iV1Qo*t{t!AoH3Jv2<SR7BT4FqXP1ZW9JXYgFoaQ55ACg?EK}* zc*wEw(F2e{n%5mVeu51FjaY&jd7KOkov5=x%?}<pe!t<;d7$%JZwMPGlQn?mXs4)v zsMbsT^AC3Ps5}P8=~JZXN|38anjL!qnM6h_^{zl71T;(u@*^ZdPCz5%26T1|LW3jZ z0tK^UP`#whj@<!;Be-z@Vu8aFH2ey3EZ96+&yIm21k3E$ZE%F>AVmnsRq)v{(EKq} z05qlxDfK`sq--LA^^7qd$erLW;9I|8%}&tRfk$VH$_Z$MKxlA;9Dqa!NIjP6N*zuH zhT|<N30$BNAuuxq$^-=<R4+&oY`PL`4r&5LpRR;Q$Q4+-0AxJeyeTRm^N?}~$Veh` z2*_dGQ&d2z@#YZFuz&_6hkz(p4!I1DkYc3yW00%5A%2I<PC^Ah7C{6+ETjk#AnJ^< zKnqek_TWY=o{s$)P>7;->`#D&ARQ`@G`QabZhj)BE0K)F=um+g`;ZRR5eC?FB?AKk zYKICW1vVJmv6uV`_7|u@ifcLrdgC#u!3CQB0yhKkbnFj+J%Q3u+yfSZ_zNTrwjN|2 zQpX<2SPXxGTnF*j4w%0{Mxy!)Bn37Y+_Aq7@fWCFhs$4R)0N=X6rPU#7O*E!{Ivlr z1o0P08f-nd*@Kv_L^2k`Um({({Iv$=FOZR_{sKvX4F)xzUQU2aFN2y#xcmj3t_0Qg zp!fneO7L{-SAac%;;$uOA&9>~(qQXB<{@?Lk&MOg7sz!Ge=UId3uGj!zd%x8gFy|B zm!6R6Wl+6}%U@{ImEejQ-*hF|6Da<g0TzPzYYqbg1K4_Sm5P|IL^2k`Um({({51vU zFOZR_{sKvX4F*;IFI^$i%b=PTm%q@aE5Y>}zUfM^Cs6#=0~Uh#YXZz);ED(_U5R8Y zhQC0rgZQfh<}Z+usQv;;f&B%l&|dz7_zP5S<MNjZsJMod#GrHtu6FjQfJ9LTIzeWD z*2jT8f#R<Qun@#wEiiw9%kwEJkWze$3X-uH{sNf{@>hsT4fp~`ka@_S3Q?&6`v_## z%e_DU|9|OZgnMWSRM$aTN;~*LJ(m{fco2+c=;k>1lDGLGV`q;FsL%$fhm0dJbbGM0 zp5&i;s3SzBn!gpatob#lf(Dhqpeow%l1J|rxE_cd72t;3ag>Ie2P@3x2rkHyG6)T} znFnI?1~eIj%~kxZ%NRi&=;JLaTcGU$h|MrP5Sv$kSGYlK?%kt;DvLaq46^-r3s?cj z8%XAY{0@@!=r-8N#=yYPc?#?igmv9LU~SD0?K>f??jEqCAOaxgfsBAS=mvN?<@io^ z*mMfQ7=$9?+y%Gx07xgqg$&&m&Cl&Qf1*1CMM(t<Oby6waASyY{RQw=DJ0jwWQI;> zBN7KZ-GEZW%eQ|a19>p#d9WOO35{Kl<uBd;LFB=q)7@}D8Z|XSg*%|apfNt&kpyx> zw+9O-G(ZZw16VjOI`B_D*6E{C;?Pl|Qq13a1Dt3;D!__4I(t-npot8u1teg?1zyhx z67Qa(0^(_2bl{%@GA0LPOg4WHXxYtchSv<;kOTvb@9!5|Pe3w87JutZxJ^($1c3a| z&EV0^3rb%eh9^9BGBPkQfUrmF3H~Xd43){>vX%*ytPKwso^<Ry>Cr0+R@}Ws1#C*= zF%OU_o!>n=uXP^kJm?6@Lk!1RR5)PC={0zDCRlNIg9Q&LXW_RpgTEyKzm*0cQ;4*3 z2RH&Dt~UI(Qvv2I(Buy&SX;os-Fm>0f64)u4i}Yl{ua<43DDIph6fxw4|?=MLI>gD z9WW1{+bIuf|6%d*YlfGr1#y*iFC+e;NWJvHo#KwSfD0~AkRNXWFRBAE;i<)gr5hX_ z@KlumN)GY-t@{~3aRyfhN<`pcCa_;XSrJrw^r(Qun0r(}10K*~70nh%w1LCW@S8{P z6tHVh0uj`s290uXGB6+lF|H&ADF9)GZx1xd9E616d7Q!bavvmwK;``Z|KPv^WnB>S zW!is~><Kce+asm*BqX`UmK=QD4)Qj{;SHd~i6kAv-;(?H|Nocz&@uq33Y4CZR7LZ* z>;kE(K~;rjRTO`V@W226UtakOZsUV`2e|5L0Z2lF6!j=;B2nvVkQtpWDj_b&9Ty*! z0GCcsEghl~0<KR%#&k~sm*=3GA6#redO{dgG{`_m740+ArQ1g(0JVPh0h<Rd^}Ga7 zf|Zzj>RsaWngM6A018tNym|Dc9IBll9Z0d@RdVO`!U;&gqw&oQ@By-WR3?DwEh-%# zx_b|p-X{Rd+8$65kM8{nm7vmlkIDwnW~go`-TI$@%7Km+m2f5oh7uk~`2<q%{{e&z zHoe<H!=v>8|5VUOQ5b0RXsf$0EKh-~J=RcB$-uw}N^2mlM=viw1IVrpu$7HRKu$dj zlZ7Y-7ldHNtQj&OwJj>3(?yQAs5CHxvNd=t0d&YXhy&6JlJ)3j=;r7SVEKMY@nZ8s zMu(1bttTBiwy1=H-SkBW<|de0Sc_ze3TRg}f9oT#3Q!JZW?<-SQ30J^(hZ?n5AaVp z$iMAiXNwBxP!Z60KNAB3s29S_z`%Kde=0~OfQf;jqesObY~2Pl!$3z`gT_1|MuX1R z1`VGvGcZ5~XQ0v`afkqj1y#}AqXOc=YN#nHeqbB6fCKpxzW``;2ZKlN9u?3r%%AuL zTU0=MOh54p^ne-A1`ni8>TH2Va0@skc(5|4fvTA;5Yf)#JMEFnX{2-lQ_lfX4>jd@ z3)o6f92{>^0i8h&Wr7Z<hB84%UPGCn@B}eI@dZjmkoW?Z%7zy^d%#hPEC(yH!IGfJ z?uMv=1^Y#0#Q`kcrXa&PKYhQ{3TinVcj(xoVh;`}b7@%A!>olF4Xq7&R6v_xL21Pt ztU(M@0|%t~0J{ZrUOKYf9y~~rpflOKdsIMbKu4H>(g&(Td9XRu3~X<o6ox~gM#CKn z+Efd2s6JRjEm#AzH3JIY<`<0oQ$UFkv~9JcM@1c^tR)Sq?0Aa`D4~JE>39n`QGuA< zkZA1g0Y~BYi;5?jA24<tYCX^~MMVvyzts_}zZ(+Au&k~MmNJ7$feePGR8CM!s<TA} zv{SWXii$i~p$4+T&K4EWnbzG9M}Qg_%nYCg8AuS4{z0tn78M_O4gs-_w}6kp208k8 zi;4@hDCmY7)&o|J>V7$}-Fv|C2{jJpep#^8Mwk@nZ0+tIu%R&bgEqu=Oi>XBD_o4M zu(L%)1L9(kBRX4DK<6cQLj)o2hf0IQAp#&4R7H0WSUn;`i-Bzjlm*2;q+o@VeH<o` zvJaGOahH7{Sx{c`V1e|!Kv@Mjd2)OQ6^HO#18P+}@Nc`;IR%{Kj<<l*Dl|+%sTaiT zhDdhrQ309u{kr0>=0}VU9oJefb@r%$cF2O#AV1jI_mx1-hL&xxz~uu=U4cn~b3=~` z$aGlXf|`yUQ&iZ&3XdTxL?jN7dKxAUHn82G5)j-Q0HrXP`&q$KUsa)A!;&~ao#&1z zD*r*#^sUd46;e5I`~%xCRUPCM!%OHbZE!+qJa)holv2KXbmDGlgOUVTHR}%0I6k5b z$5ExyJz4!=WMBX#tM6bBt7(HgjFzmvfu*EjQdpAJ2Sx^ljwved!3uei6?V3$fUXnh zZUHC5&K4EWbpzcHK}Z&bN`u590wC6LNa+H~6vrXu5r_%Z*WCj)1+~n12ew;W7wUeH zA+Tii7A(aBlL8lVkYWp#EnYy}{~WCFpAOUvkiyOu70{vo&{C|kMa2eE0)Ye}&IPf$ zA>t4Lkc#6iDhAMk@_361=(K&P`@yPvz^0(O{~6eBV?C(dAVXm8e+rh;fJuQ2?(P8_ z3UmJhi2Lt@6-ps1>}*jv0BSTr9MRdL0y_V)8zKmCE>s#M4iNycpenk1!0HiY<~^_t z>P8?}B9gWPNhKz>*5X~TxtrnUBC-Kw<ZOxxXyYP(>q4*!Xlt>%2V73V0s%Bq(J@8k zI@qYm$O=0lH83<;cS7nvXgd$$XK+0V5r+tX+I~<K(E1e-2-m<iJTe9a0;m-L4~XuD z4f3E80j;^$-7rA`A`EH-<F8VnqcI?bh|!oHl?%{ZdLC@`PE(N8AZtP4)D7xAgN|PV z34k?%1VCqufdU8=`BPLtJkXfT++&?RDxghlpe%nJWLR%I*f8*jBq(Qt(&=$XZUr$> zgBmr-9s}ub4F~IohAk|~9tBJJz@$J1cca!spp9!CQ&jeY71|*yq+5}(4{Y}_Gf-f5 zLrNx?`}cyScEhBgB>||dN2qTCs?T73lO3RJ*`u-@Y*;6nVW3lz5yi?CP&~D$fCM24 z5X9<kQ2~iV1VF5Ah>GqWus9+`ZUft(W)1QQQd0(JdOU%Y9zm%Fl#D==qTtjc&|SdN zZRyhO$YS`b^Sg`Tan4^ZoX5UjYyITNKlPwXM~})@uyrh8>tNb(BwWx2JWyI&57zR= z3gkj)4gihgflhRSmXqiy4Kgw~MP(gW=UK2$V*K_3ngv0Af!YL0$VfI3a589%9>~ed z!4^*fTMX9%%37r4HPE&^kYV#dhV>?Z4I?M7%>(IgH2~{J%WHGNQmQa1EO`yItFB{; z%5<<oab$&^Eh?bfnGn@8==LViiUxQO04fa<honOg3#y{KM+L+~q{C@o8`8iDgP6Ed zKuU*X#T96K9w>PG!4_+SEhamzKwI)ahP8tX>-`B1CvxJd4Wz&IBv?OMT(yFw_Q9mE z#1&{mT*nlZda%L`$O@?(S9M?;{)2-IDXv%}z>Qi+6^1`fK;0i~m3b}LWD6TmUWY{n zNG)RMrv|J-4Xgq+-@__%(0;&<DJtb)g%ZdL5y1fJBz3lclOQxfLQ*J6Nwy4ZgP9%3 zv7pNQ<%~Cwkw*9^TepJ)e59=uq`C#91QKM(ijF&gx~>c_tKNV|gh35_P!ac1;VlXu zS`dMySl>eIg7gqPk|C`G&_TD@JBXmr1j&Lz6I;lafSlLb1Rq?7sYQf*F(U&*X(2dO zgW5dMk`dI}0WlGA0ZN&iCr}$s@ERP^f&ys-)tQGnrl=Hwj5Y*^;SNX;fD8fo^Yuii zcR(Cy;{wEdS@s`1HVtwKH>CB2un}Z5>ey5Br~ir<n?L?n1i1?=4bg)-@Pnc1WiE6` z9umqG(6KboLA;>wgwPD1_yrii!+4<ca6j=2w5UKC;3<hu{E-JedLe}+czVI3^LXdE z&K?!e9#7CJtprB+W-rKaFKBRAoq@sdn@9H)6_6~bummsaZ&8VWDF#h^Ln?kyodTPm zXi*6V#be7_=t?ta?{f>ds0LNjV2?B&lu!qy7u?enAm4$Nvcmifsplbi+oPLEKZ6o7 zik}0Z-T-YI0*`Yd4`{11Fm!KG0W0aAq5@LV-2>rvwy1#i^?-HY^0+<N<98t|x**eA zTfhS*nBLw6nkvINMMEpUgEkO>;v2LD2ka9xzwdJZjk0%7Q2{CF?oolM2knpntH<SY zb+FHQi1Ine4!Zjsv=s;BbI@KCuusr^o&fbZNI`dx3QRp{&k9&QE}!#*eQpgobc8^h zgY2NY&p}&lKt2b}JcE6L?sL$}5>Pe=Dd_G|fvNuioAANq^Y5Vbi!E8qpt=X1$wAo- zOKJz%fz#*Yk5J*%xdPmb#xu4B&tcsS3ZNzf-ii7tD&N3<U5;bo99&E_9+LnWgm+R7 zb3PBM^#OPc0{1jOl5;CSN(ngkE7-Y;_?=q-GKf6qo{$5T-9$MT+#n<1T+r?i{+8L` z6%;swD1dP2;u`4$4cCHaOV8n$t9p6l8&XrIy8%=;!6!?nsDL+&v}A%7S?T=5r|=Uv z+-*R|Vf?|X@FQ3u@9+Qr!B+twMK7AwAHWLlffUaBjnC@$V1?`d{Qv(l{|~A{Qw9bk z&%6UGEcpBX|4Sq26g)T)b~k_$1d_tHV1?g63U{C?1VsgsLeO3k{+1fhbiEy9X#r?f z5!^)D_Y~ZE1Pwia`FEb6@=GD|F!j(WL9o(hh!m)G1?HbY<wGZ<z)~BZB6*nAKopcX z;j_P>_B5z<cpTE!1~Eaw4eDTn8ltcn5KvbTSsXqC0+N7Cr9o#vKn5Of0S^p=3_A`P zdjT=Kr+^zd-Fv{TdbAl3P}vLWpFL+_U?{By&mMq`2DLvyv*6D_!jPsdXuJkw9O~FH zC?G(M5oQJka1#=w3OX4E9a09jctImL(196{(V(X7Q;^Y+rtLdO_=85RK_Lfj+QK+c zkATEsO<Ry1+>jA$#0(4Ah92;&VDrcS-BZAmg3ZXSTd)d<HmHjs3PHo#*c5`S>Beg! zSOsKM9lwbng)c=Rt6D%aFks&VLVOM5L+1g(Qf5z(lMY%R1~l9ajR??)Hi!vIB8Ywo zWbzCohb#_HIv@#@qysV#$Kd)D$VmMj$lyBa<QZsZ4k+o|2Sp?#>41y|#V;u7+ye<i zk`8DJ5#-F{km*Gbv%3X60ovUI9@7UW9gwPS$YdydC>}8(37T~T84XH0cR@x&l1}ks zaMlHl--AL9oOECu=qv$99F}xIc5p*nftcI^8Qt9jb`K;)fTluGQUq89L>tt_5QU&g z2y6;L*5Ef0tO7F4ir++#LU7Un#U;2%WK{t7QCd_Wy)5W@hVC6;;cl2PgGciLiGAP} z4yaM@(Rm1z)j_L4K;0tH5DF7w^;U}tXj2e>%YCE<V|Nc^VFk2L)I9}ipO8oMAqkJp z^B$eoc7ct@wIB}D!LY6p*c%83Lxe#N7T5=Fj1uEu@W!E*aJ&wN7z}c-0Mx-?<EiLi z4shQD+LOZ{!neQ`*LkFdDP{;G9LxcAFp=F7aNI*DLgC?#9>M}(cXpn`n-Fe-of$}k zGmSht&lBh{!k6+umiB<h0xmExfI7)NDj){fDWHA;#OE!LB*zL{n**s1A${v^4;G}Q zH4qulGVo5wj1|b&AVa!6cwkfhTOd<er$AD0^*o&CI6;H%2Rf&yfOZ7AbhN0P2Kl+Q z4cssVT^qs#DxttDvp{R4Kpc<}VADB3EU01Hpn+@9WvU=C3|VUq{z-=#9x?K7JJr2K z1*FV+3wR|KO!!mtPX_*}hnoK}IPgzB?!fU2qPVjMvNEd&tVg>Ctfv#g>xRg6LIjVu zsDN&SMRA`8iUU1BE|dT-n1cl(vb?niiW@-+Np&Mg55kQg9)=r1R|~`3h?MgoYfC}l z0#26Tlz^*KcM23iEn<+SIIKMl2~^B(9nK!z%bdGN)hb4N`XtyA7f_@2*DrhuL7U3> zTh4$KHsNjk9tWEk0&4wop|yTNLprb}tW#8uffb$xDeU+IuFyc?0$TeDjbkVi6uwX< zC{#hrmrJ25i6KFY-bpwLHksl7|Nk!!Lt4SG0mPSjcfmHn_{d|3!pH%Ik{c;lDF@9p z-N*~jK+Q)`?t#g{ma~CZr-6qfAq_BSdyW&l01c)X`${>`Zan^0&{Qa7I2ooIW;CSf z)7hf}+BXMkzkt?F^S6Q~lh8CEI~3HsMsX-~IUCrapcXUesDIEhhAH4yHkw1Ru9Vvc ziken&aH7U$G~A(}eRv>;ZU<{%18V>c2}7q%;Vb1pJKZ{ZRMvx(wSanFAVoO(1b9}; ztpn+A1!XyKcL1~+64dYlwdvM^r9c4&9_<D-Ye6|1wMzg>P|)TuxLpTQ1)U5d&?NwE z%qy)2x%%agTj0bA>w>*Bg*2YwUAACIVuthIK=?5A$X&Ky5Gh!P;-xdhC>S5PgP{Q# zI|sQKR|f;+J4oXXw5AKC@keF{1CNOyhaeUOf?N!-8l%esQuy*X#G|kd#Y<jD7{d6- z9gI&mkqS6?-xSn^I1X8y1C3TtgC4{LrB9G7a^Dmrhb&I@4hCqWBq-f42Q~LGI~dDA z!nEmNECm@2>0s#J1SdpTpJF(7FnafZn;fu(*x=S0Xg4N!0XB#MuA@P%HI$wQynX=R z$_MR$Kw4`G(544O2HILvfeL~Qfwa~@%DT6xfFwA<tu?rM<klK!8z`u?HW^$9<$+tM z$05tuK}i%e^a5?Tfl?*dbZ}z_Y8be+2D%U)t1N134W!I^3%DIdxV2USnr-S)0qN0( zx6eR4&>|-$@L@QhX5{e}70?CsAg97z0BNnk90+c$aiD|_vIo#wYaoTBx)G!Y;YJV- z!;L)9r6V9Wf}0d5-A(@R1N@pTDxeGCK?*>D3@Q>p%}jJLaC04WQGIt0*yEi&;QP$G zdsIMzojoddAmIkGuzLzbZVOnD^Hg__${!{M22fKS)Hd#%q5|4I3t9)?4UYUp;5rbr z2pk&YpkXv9v%3Wn6%bc;wy1z^Q-=y#Lj?IJ9c*~W$iMAi_Y|<K^%U?;k}%<m%|96U zrygwn1!|ZdbKv+0Q4E?E2RRvHqIL^d55oK$P*g(%kGH5iLAVAK%-Ss~KOpj;EAG2n zR6v5(5J8x=AlHCoNp%fK55hGdUU!QMs%zdrT?3z)*aG%9Qrj7_>=xI&=gZD3;8GBj zszHrTXj+CcL4JWUL6He!zTEp4tN|4EAU-ripiGb(p-hm=K+KnkkXjj38G;9Fo<nSe z@sV4nXRaVsUWoNrkcJ+#3)$Te0bK_Js<uEW1)8ow#RQZIO2Hsa&}CL2g~;mzLHnaY z)lV}x$^C^d003(NrFhUl3djtwMvwq#MIh$-K=7W;8qg-aYW|*!U}M0oCs30TR2qQN zF4RTnt0NH`7(!I4_*+3+{=scBP|Fz7Ci79L<ZlJ-yayWzY9T<41T_&rOwfr#pmu2w zcn}!eAOopFG{``WSMV4uNC(oAJ<v|jiqd#+YwX}<aBPBF>!8Sih9QXg(ijqNFsYZy z5I)FrV0qBqJ<vrD%#cl{P|t#;ydkYEkaM_Oz{`&j%M`(mfowj3G<h&uTVNFsZP2zi zL?OsOD9tjcLXb7kRSgh@7$$;MK!(uqn+Q_)@;Rin1!{SLee>-SIE-L?Xqy-;b^8)h zf@L*OMwtl!HP1j11#O;zn4m-jN@d`p6=NS*iAs5C4O&+Pz8Su(G!L8-Kx4WfqmDyX zQG=L>h9t;Ja7qBFf)2~WcZ?v`V}lxapi~A*38kfw=1@7rmmm{BUVA+Sx|0ROf%*W% zd|3&JTaX3Z5GNp3eS^#eo&5+}4+kEq2Q{2gq71A8q7DBrDpVoJ8vG`LRY01y_)P>U z1dq2uN5sLtxpfg7x3ESa^1$-`i^y>cTeS@;5{^TLcR@jqH1G|ITaYX$Zeg=Lpy6d? zad=A_BmwCLLT7nEqrt~pR6q?TsJWm<6NuS81+tN64`fFU@=(2xN&%?!$>(nk1=pM1 zkdbUq)E?~cQOV<P^@WLp3?_2JAjo3KqFfi1j1C`_bpBRFG-IIaZ9ytKA<J%|i)|rm z%AnGqMYs?F5bJo0$_Z#~b-YF843r7g2VbO%Trj5bw=#l<?x0J1Vg5?xZ~X~&&~eDp zd{8JIhb-0yF}oq#3A%g0_JOklblE;=R3CIgG^hmvS{4Oeybq#4W`nXr3V-Vku-UH} zUaDLGCk{~1ffLJCNWlQ(A8!FSY{62qkjHA^s{%o-sN*f*77)l`$6LUyAP^IjC_u8H z#DcjttwbdmRuI4x!4{>3s3buP0#G1>j5-d901&eql7PB<z*d6Gevqneh(o&}Ei<q% zC_<1z73A|oXh9GH@#PIBNVyI!2w)tj55QiA76c#*xFJsHgf6&-@Ib3tK=soUa44aa z0!X$)-P%0`95fiJz{}B~DF<vTC&YE2lmy~KQxb^z@*QOFHYl#SA*lhep92)d_@`sQ zDj?dRDF;%SfD$T-v!Dt=*5Ef0tOAlk@tX)z__7am&KtHj0@Q^#-lDPtnq7{!sO*6< zK}iTC+l@B<<)ab<DioqiYavw$SPiHV1G;}4aymq3j|%8ehVC8}kQg(B*VzNM1<3}a zMkUC8q(&uVy$jAprNlXK#sO&pH36YUf|##YLs!XyV=VD3SRH5)4~UPv*wgJSa_I|R zgwhG0V+XYzLFpaZb_6j&p@FYvje=z;m?A`miiBn;P)i476tocpVxlhb1Q#VBRiH^W zP|}8N(!jRFGeji<nxP(@0s9i<Xpk?#84AXM`T!&jt64!7;9K7bNtU4HyeP>M)N(}3 z&x6c|D8y(CK^20mfvy;ZD8w)ktfB=x@s7WF1yTsESwTCzLG43mvk(-5&}Jcs35p_c z#}l;)4?6Y$RB!GA9ex8Ih%mea-w6&W{-8~NR&cWG-U6Ag>^zQRJ^<Ms&>|kZtARpP z!ueZ3>kYA;egJlD<1x_s6YzQ-yoaKI6%(_(D?}xXzXdeQiN{L9YrBwq3C<k^d>P8$ z(g*IL;9T$oT8@L?moM#3A!W+$22i-b*X4w$gz&d~0xinff?OANH-Nl?q$-%d#U3<i z!HB%h7;Q07h)Ph&gqJ~(4hOc<q4pFwOTnaG=0f<OR1eNd+fez)xokGFA6U`0FN0Dt zD5)Y90ibXOO#y;xYFM)ll!cJR;mtab1WL0GWFYq3&^-l`v-W`3v!E>A@lo*smEhi` zr5JreFKAf>>Zm{+3hD)cm=yH~J)vdQlat_p1!ZDTK!VFE7zdh_LE^Bo3gnC-*u#S^ z*n#!vUb;hk4dWyC@N`ZhC3$$m4pfOi`$wRlhxU&^Oi;1_$%2v#wuYSte=BH}Go)&U zDMZvo?)<Hq;N~Y{84YO73S^^)i;6=>h>AT@a~#xL1D#?3s*GB|2Lp6M+j@|eVDkge zIjf)sE3^#=5dgK@vA0VREl7|W<Q<G5Dt7#>pi?uzX%Zw0YBGbm8MgebpxGI4?-bM; z1O)?XqZAad-I$G1Q16gHqtu4KRUAAX{F>pV&IxeZ1o;`925&-|f-pWb62MZRBZojS z0WFhZjVVwygL@hTsu(uB1gUzUl}+y+@Or6TptcvdjsgvqgIZS5&Lq^&pbiFz39=hx zS$6>VxG<2yZVwjDQ;z&o4|Im8Sb)x^GUsna3_XDr!y0KI-C!*s0i=c+Xm11)0|RJl z>U_{~VFsX5LZ81MY3K>mjRZLWsb7ld4uM>U8l`$jLr)-CSd{7_4LyNU57bCdtb>?{ z#0jzw+$;jALagxucW*&GERYUp(gT?d>SyRchn}h-1BuwW-q4{Zm=tX231k^KdZ9y4 zFh10?U@7R(6UaH-kgR~nA7IDeT@D6T0nygo1MYT1+D0J%ptQE23PIN3A9Vn$fMiDe zCV~{ghMr*Et(U7HYX)F^<nCAhAMkD<P=>?R{Q_sZDc~bVP#jM}_pANS|Nn*uV8^C` z)(Akmg`gGu;0)7v2vouQboZ!4fR1JAyzbF?4*S`0FDD)WXJAmR4N67O=01q|Qs*dK z3KWe{DG>9e;8BnhAT2`3$#0+*BgF1wgch>D{E4s=WE!%;OHl26IR(OpHzMw$@{t=6 zhmIoG3g8v}&^21P2c%(*2yC^2Hmr97Q-r7yw4gOUs1^nV6|@!xF%dOBsF?xIh9Fgl z5nyn01e6UyL#Lp*17^_5K*$+_n$Q|Q4&qCY37`N1*Z43Fv>5~vht>EX3%DUmn-O~p z!N%hq(*>)5Xv4qq7OD_r4gO9CSVaqX>>Iy{Acf%G3C@-;q3s1P6L2RYZb<Nf(lj`j zenXVP6Oju<9>zybM4BjxNCUjb0kW8jyhNmdI}xeVC=sba6VXwKuVLwC7$+i7-3%^! zx*Le;cBx`NRu61K<1r$-ToVr?l{6ULBo)xHddMRxcMjt$M-QT!1ZxvP+Mv)LHfqlt zx(^jp)IifMhzSY-kS45?Yd$Ipphk&2a@z*17}S&@a$0RJsBI$wGDe)g7pZLnN?jla zKuaYM6Hx+!hB;8%HeyI^8;~rh{Q+vOiXydbKxG5S9MnP;l$oJr3UZ+e8Xy79s)No; z!%?V;K-)HZ4uPWqTds$;ZD3NcwhhR0-~t5Nwt?}Xo&`%m+cqFa<0^tdPKPvEK(0lp z^`Rvzn1ZamgD3=564(@itieA(1Xe+G5e%AE1En2s+V5^4yiqGeMHp}H0S!M87_f*s zh?09iI*^7Ugh06mdM2eu;~UUcOpnez;CsV7I=4V}CU)-u)B6Nr+Y>?C8NquNyZ5hv zo=16u0dzp&9u+VRJC72yrVn-=B}f8x9wlgprw8(Rl-t0w(4d|)q*Dko{8$4+74$qx z5EpSCCD_WwBOs@O&!Ys%LKK6~qXcn1SP$?*<{?4ru#dN>fa`At@TzCf^<kj&4vGhm zEV!52{E!iHI3TEFF%@*?4QRa~Xo4KH;IPyd;w+eQ@E8c1dX)8Cyx@)?$Wl<E1+C9u z1Rr<|vKw*u1!#>Ie=Dfl^BS~h9DYC~NZ&rtHUV%i3vzljNDLnAjmIqn85qDrf1o}X zeDxA+aT90^5~P8EAqB{WfDVEO+Xo64a2R$se9(pjA}H>VgdGGS!l2;59kw7%(DD(a z5P9(dWWCE473g{w@UC@``Cu)eQWCUw9V7tO2oeCTN(RkZF@TTr1o1!-H6IjFC@UHI z3&4hfgCDe;78KFPTU0>Tl!2J&F@czAo1y|b1cATR0j$5fMFkX9km9mOr4gJwO<__X zgFy#lfgIDK0$P%bbnqx>;VtMeERf_Bu*I->#F`Et&~fgZXvTnc8g)aQ*x8~2x=^UQ zMFk|-3?8@uvAQAR5CJ3=-92FSuoMMeMsW#zr4x8z1E_lh3PpH!LmW&2T3OLD>CgZF zkc5q_0(L4z320Gw?;aJ9Z~4Oypr<8}F!luLqEY}!NWFW&`3{R_P~t?=jFb>vR6v(6 zg36K>aG~Qtt5oQtk^-t;llfb{!GlepfFdLP!DgEH`{lvLke>2FRHFD>LAzMNgGz{G z=c5wI-}(wXUftaSjs-H(Tu6tHN-%#bXf-z2cu=f?R&{|=CzaA%5Pxesc!v5l!^=*{ zcm^nXK?NUZB^4uN3iahv$Ve4T>g81kALJmA(w7pDk!~0tnrXpOf1!iv_)Db<)KUqw zix-sTkGH6R_Bw-@@F<2HU=J=_kPfhi3^*gV+TiH{bbSm+H&_d(JOZs+@9t3niFZ#? z0r5cP5qL3_3n*DRqb!C3X9q~_1KPL?a!YrM3dn_^1%nI>45+O(C!|&z$nB6~$VbHy zsnrH57C`2J)}DcANQ8nyue(PDWFL5n8>9+awt#LS1l@@NYPCU&5D*128#E&20ByC2 zL81lZHc;3@Ll4A+Erx<g!CGw~%fRx`RvV0eyhR1%S+Eqe)dq47H>4~>BtnoYx_iJ0 zyc<$rqO`=o(hxmRpLI_G8;qgqC1_5?@BsAmf6!<QB*9F96eNv@0&*D`K*z^1bRP2n zjX-uDas*A!f)4jDV_;zL=?+n`@aYUu;W+N1A`rm9z_0;yr4|FjOBLM7ddg35D;J)u zTOfQ;M1XyM7L|{jtT#cot3zCgtFomyS(|{;qcO$FS_fo|HYLeg3rDinq+znwfF|qH zKfuuhOS{84Su0>k)(TjY_08}9|3jPpV9KNM4X7jowf{k_Ur_rW)T#wl)F67F5OVt; zB;wJ%-=GTA7Tlu(YCeJ#f@w(m-$f-I*7ygB!W#czqY;gNAC)xz)@$Hu4phQ|j6K%S z0c-h#xQLcN*s{hWAV-2*{vcV1VsOhJ#PxumL=U=v2(*G8S~`NZK0=uwdqA?FmOr>D z5884F?!UCCz{KIxnV@B<DASpsi>SJ%sDP<GDj@0a*IR#qj%)7pQGr}nQR)tEfAK)4 zci}!pZhyt`w}9j!?Jr~%u=dwGXsrkF)d}!*v@I&2P07bwR6tFBkZ+E+sDReTf|wv* zfn<?=1(HJ+hx-a7f#NHWf!$NU=7R341l36hUqyiOemH;YMDR2esCNPKJ?_8-JGr}| z!3dN#TA*oT3z*&oDiuLh1cTuvP~Qa--YqI%Evy!xGi18AKw<&9xB;vf<O#46R8w&~ z0hHn}R|N%tJmSyaDg|zXgA4_$;{aV>16>y6qf*fAqf)}`qf!9ctO}{ML9q-AW2iG= zC$xeLz#bvpJ>b*<w*{%G;Q?}oJAdn1@aavUdkR389=WLGfYuj*OzdXtbWzFZc2UV; zc2UXbghV(}WJ5ZIphyE54|Wpk15n`M2(%l9$WZ|e?(PQI(U+iDAQ+Y)n?MQ?Jvkp0 z2areX`CI>kx2l6wfL#v`P!JES9>l{Fs5nBe1sr;iSb@#`T6Bb{nDe);0o#TYY~A3# zGwDGl0bWKASww@L&R|DPf;>bpY#@UHkQ~+NqXM0kY?T8$6ci93Q@TL`Q3CN%C*E`h ziC$191L=iCZveVmUo*U%`TPHW!%J{A-3<cZEa#$90T+YjsS=e657N>GEP;cfkf8rT z)`1ivrwt8I+EC|jbp-bgKq?S{0OEnwgMtK4+Q1eN1Ch>QBf6mDLm@>r>3$(0olA6t zsEG5o+Jd{3gE*ZFfTEb6zZEn{h8`?bOXn{|A<b`4b^xuPgx2IB=F2;{rFtPf8BiGs zQVK0RLClxXRTJ0@%z|`7u}S@hH07}wnEMOdyu_wU?l)Kpo31Q~6gFMIfB*kK!K3+& zg-2(Nih)OGiHe3tca4h1K4C-~tOg{ue+Re$R->W-l2`D6HNE&tI6)EOqM`t4ce$t- zAdR^CsPOT(?uItHTvRlUH3(FL$68%fG(38Fc|eV!&JM8A%||Q_dvwFqFo4+}tQWvj zbuKCr9-z(=s8WYWbT@E-sv*!yJRcPak8Vy7!g>)KZ%HX=@t;SxkBWvz<3R?nwh)jW zBcE;`70{t3*yqq+GrZISx2#}(LT*;^@V9^@A%2H=x!b`3)~4cz>eKM(ZU9+`G!V{R zvixP<f0PEXN8=mNNF%5{3>wt~wTD4XPf#-#MDG(p#6=5u48Wti!J!(pq6HNbpu=FN zfTmr-OT<79gS3di@zQt%WW!;IDA*F@mT?$=>r{B*39|B7!wg6~LGA11<%C2P$lBNI zL8U4}8MsXh;=*DJ(z*p*?gwhiKw37SduTyCkXBHEjNEzz$svowTaO?KNL>frxdCdw zc27|OQ+rfE(nxJ$SfdMcl?cS65J$n9wBR5D?W_fP1%5dc_;#RUpz_6!zx5NiAOyJu zthKwL0+d=hApwab>;M&p_yi{118z@t6FR2cN5z-F^$NH}1~L-lEa><($T;Mh4c2@+ z1Xc_UP*5HKU1<plO-R^+>TM7YbOjxVhK$jG1E~iRNJy9Xgs8ajxAuSy>}~<ef`(>5 zsm7JRwFM>)GP1iz1$34GtW5-64_AY%1lnNiZUL+8gme<RA+1QrC^D!#>V}9z1VB9k zsEY0$aHj$>tZc{MdJf!T26by*GraVLuG5DnSmYdN%ijW$hr~3p3Rort-Bkyw*THQz zP=gm7r;P_EfQyv#9-YU)O|jQAK(PRpL&P^ICXj?-@eS(tK%)T^&`8k$QVh}rjY*I~ z<e0PoB`|aTR%v(w11ko#N@_q_!RkT0if$j3n(h#l3eY$o%F46f;9?X!?hA??sQsWA z0x?0?SAyalTZHTJx1IxQMvHJ={#MYLDc}KHkdbH+uF(;qqK-7C3sOSm2v_581>GJ5 zitd*@ka`r9Y>|>Ui20HeQdz>JUjBqA1vv;TZv&Bs@uB$uETsr8iJ`?9E4a?+gqKgC z8W9wD(3&2^1VsiYYCz=^w$($bu!SEmMTpfyD$s==pd=463fd9?F}oo>A<(Hl4A3+F zK&qhm2HJrGkEBAg2Z#dYOVE(1GIZg`c8IS*js|%Ryzm3Yf%*U>4qH70vVa?s^ANEH zGPk=2+zW=xNQ2T3$_OV|1w<Rvxe$e*t|>N!AZzfO2vz|p6Y!e|QV3l=gp^$&an=JK zk$?+#cizwfRe(M!1)%mwL8ps~3^+G`;umyL$@s)C03pF=Wb<oW_{1M`@skI?&M6Pj zxhtS3Y49-o2@?5{#;<q6gWvtU2WVIYZUMBI=-vah*BsPVNbu-9-yNbN)9s@ogLz-; zOG`*25tK|pHXxM_FT)@WH;@!4j-XN?=1Xgc6fE(+tV87^C+1}6iAvzY3Z9rjWe4t# z6G#?UVwQ#_W|$&GVwR#&VwQv^=AV#8E-d|yti%jXwLQ?L96TjQfKqZqr;CaRO;U0V zD61eRW07tj6%j1SxbX){>vRIBe-Huc9|VB<2Oc0-dh7$;(+GAZnCsEK{{*-}TBG6s z5^#X`59DF}0|#(BwA)1`0HuE*$KU!ITsMK5^&ZC>6l$RT0}n+10Bm&gk%+^fNf#d# zk7JD>RScjOy@yBR!5QHC+(*R%Cg7n0DH;qw0tO!4mf-UR!RyI8T~s8%&IXqg8sKsQ zM3PueD1b}`*&PA0ySoNtH#le&ASHxEw~vYhmY@Zlq6G3NBn*&SGvfR$AW2Yb1{Op} z{fYbkkfX$-`HcoBJ`_OlApr_+iG5;-J_4w-)ZGwJ0}2Ee6#<Za0`RT@dx-$V4gqj{ zG#}9bcM4oU{W|!?tv)Jj{H;^r(IRoIVFfH&Bs}1K0gw%^cY}<FDggJ{Bs^FbfbVE^ zQQ-i|aUcpGL{po!v<P&~F-Ts*gB2Wz%?CI<I-$;$@L*K{2P~+2)@|s~3Ay$CHN(pQ zaCHuIEph;|@V9^@A)ZqJr!k}e1f6_^)D{GF85<9R?Esy@32g|%F3t65ev<(T-vm(j zLUQFkapdrg@aS&10S#XdkS9Fg;j0b{Uk_;bW<bL?0qi7DoepYitMRwSL7RduDiOyT z3~HgtJ^~TGAR8dz3snFP-v|#@@M6eL7Zr!&E-IkuLx$rnDj}d62jmn7P<TUT8+=qk z;3CjI73eBt&~>QCz#Xa(m4I##u2Bg=>PNxGpg<>$!+OpU9*qYf*7~S;fD%7QAi)@v z#C$+m9!%iOX9nPW1|mt!XBsf8R)7vP@2&ya4bEp8c=Oq7hL`Q&Sq&EzNU$R(6mk9* zkR&9bz@=fGhMa$pkxmzt2oF~9no(%Nv;YNVga<3cCE(Hqkubs2T5!Un`Ar2V{S<)G zPX;J|X6%zdq@Nm<43F;p3ALcW1N#U>z{XR=VdW(x{dBv4N^PW!DaPNb1Wz~_#~OCP z5>5u9yaXHFe53+Ao&r?^PCFT}Su04|0iELtN;@eiX$M=YSCqdMG(QdrGiVBf+<zCM zBEsK#6<kzwyQm~|x~SN6yQtW}7b>}^SagGMh)N1<eUd<jj|xA3>sDk<0o^VtDWDs? zK@|k3qv)an5(<GZLqMY4J}M!It|A|QYXKr0pwR*?C%}g^f}*Pcoac}upO?P{bb=$q zBXDV0<gWm=uwB5HqA<MF1$8Q*oZ~JkDd5H$X!Qul<O~n!wV5s|2FG1gB0wQ{+(jh@ zM1$lFK)DcGNb;1%K`aNW@PHpV!d)8h8Wa~O`56?V-99Q2oF_UV;gQnqqY?w=fr2Ci zRGNT#2wbJA;4Tz+t&0oz78i!sn?UXYIU3|H5dC@qC>Xh2R6?Mpg>?I<By@+Uq#(8H zT~xp(gKX^%QHep7c|8~8w+z(K29??xAaM<kZU=A~t^ndGz>7rAk~)Z&6^=U;fCK2b ziwbDjA!OBq;YknZRufR=4ALqAI`R{=G|NRr;<y6~SW9<}ibUr*N6>i@Fl~n4IzM=H zhp1$DG#&!wN}p~}&DVLo^IYdCkIsYOYX0SizyJTgo(|8NV1`HY8xK(abO7Z~3sC;F z*e8jcKP^1E_rHMVPXmy=0lYl}AMZ2(m+##!pwbU1fAaCSf>s+sJZy2S!J!UXuv;MV zC)nubBOc)V2~`8mpB9Mx2@6r!9p;F-leaV#YPtt2WGDktJAy*a0$Q2EGC~xzx^%$E z2t52PAW4WxaA{aZ0IhI=nulUVC%EPX6OgEu0I8CIM>RKpixE6mN+3lysQ81$8&Y&b z8YhV87C?$_0UXh7;n8>q<S0;d3wWSLH^?<;VE{^=1|V_JAT2m~LW34uM0NP6aPhZ* z4yS^Iga(qEH9!duoQhDAC?s_w+^m4)W(7QM2DNfPZdO2Z^UJV*|Np;y@bCZs*OS1> zbAm_X8_+I!(0DN9u9__>phd`_@n8_WPYRJ%_dsR#7u12OlRe;}QIJAVPZOSK%VFyy zK%$Uz+XA@;2YD2@jK9?uK92~p=vc!ENa}5Y*wV|(4;nh{>;PNVcm(7~@cIaZV(?fn zhzm=}kb#fmE#Q^jpa2D(#S1EpKqf(lMR1jsrTne0AS(fo6e5bu68_fPVCim%>D@gl zB8&_Su<^=*ju4f6{?^OLN;)A69J^b<Dmz=i>t>;=9zj(NTpF~H7a{;+K~;bb)B`P8 zxCprcG6Zz!&o=NfSkR~u#MkisX^^2=0jO8ed<}{RT)xibZ(RX56s8d2>m2^pnP6$` zzRu_f0UaVV5m`wmWL-MK*Pz9p&?U+cPlA?}LDwQf1VAc|LqY=@X`pZbF`@cE=MgfZ zFDOjoZ#~HYx-$rB7N~v#wRThaTMxp-K`Yjv0SSw#gpLrEc>dO%$Vw3F_COXBy<i!% z(;DPTNa%yk;|KAe3!UN1m=VjdLsa7UTV1%IZU(h+U~Z1(Z?%DmgV$&FfRFcwxjCW( zbecu039=H<5jdbRSja^HoscEl&^6kSU<D0RcSFP>0-#0Rpd)8Mu7tQ5wA2&CL+FD{ za5g_=)I7mI^$@7;?g&xw2aTg3jKWak(GjBJUJBVC02(d=rNeH>Fer3ADWWaq#@|}N z4GIFxU3=g}-FPg62UNP?z7z=D`dA7*#v5E_feIGnhL<aU3rHSR$|4m#NIkT6$Rs5w z!+_lSQXR4#7siKXAg~k<XvKIpq)_Va_`(c69TYS^iO7a8KnH+Ahdn`}prJ*NZU)dw zAn<%k4|vf>=c$9g<PW}*X1sXtC+oq7%$+^pBQlS-fLC&YV&Qm;3J=ImXlVu23))xE zd9ItspnD3$oa+bw%O89%?bvz2k@1IP;{(tFR2R)djvW^}eN-G=I!<<ns5o4H)d^i9 z3Y~=NhMdURe2As<TDOQnH)NHUW9JXYgFoaQ55ACg?EK}*c*wEw5yZUf4jn(ihJc)e z-RkBC4;;VWaOphId962u?P2E>$dnX>YQ4li|6qrYiamcn=)ODfxIbu7C?qL@Sltls zLIgmzVBdBDI(n0lfuZ>UBSyM|uAEs0ZUrEW!%%0?5u&2c-&zM&hdsdYl{9+%t-)Ze zXeEs<Y?(dI!V8q3pjV-RH=KdXBS?MF-2;wn@HjlE)Pb&O29*e)R<;g*D;L<#*9<RT z{RHm_2PL|h;FI^i|7<?M*m<Dyn8(3q%$*-VXYfOc0#LAmHc^9<&K?!eE|Sjwj-5Yz z7>{+^xj={hJ6%*XTslw8{QlFi^Q5CDDAlOAbe_EYygNiigYy?O-E>a@yARE@=Ho1w z2D)^f=nPR&nCa5(qoU&Y{imbmMVNUCVDmtc`|=NDz#3HggA)165>WpKlK8=V=!^r% z3m`r;Gk};c=llZ)04VghA=N0NZUu*354gsM%pHKLWu%@2L_IWgp>Y9G2yzuRg&=FX z@tO!$0jaR?n+Q_)(&is%pF4c3y^Bf(xWMS10%_<p9s(73pb`HH4}6>KInm0jOvvhA zP!a<L5^|a4_XDy!4qTwJZU7BufX>*0l=+|l#!}jVrUY=c669e+_b^3>7J?jf=pGcU zP*XwGIf#j9Gk~lFr+<(tXv+XO{eyHM<t<S9mjzWhuNhuG`3_!b0x|*QOYqP=jDws+ zpoi9hEZ}Yd?{h*lM8L-5jZm-(h&KEY3RMWQ27eL(tAI9zdcY+-jzkAi2%d+679t>D zzI2568kBrMeB=f8YTuFL7Iw@LsLDAG*?j>DdgxMc5EH3F>V}Ne^?>(|Ad5rC>!yGu zP}V?z)|MY{0q?y483x_{0AhAeQ2|MI?*VH<JLU+o$rpOe5%O*iF=%oD)iEGbaPIT~ zCl`<^M9u|myZ{%Mpq3MK_ZY0W6on?2%x~c20_sSC0uG#9U>vC9LE^A!OOOTl_HIDp z3{=FR6ck7ffNtM_C<M6>n?jH^(A^*qg%~D+RX}#g;WrVa5SCm(Ek&?zj(r9D8pa2m zCc*&Sp|tuda&iIh&4sQoIo<-k&j%U{S3opU!vj<lz>*6{4wPI#wF*cao?Ji@D9Hu1 zl?ZgGBh)a^A&yXH_Y|;g-Fs9(n$VI9WW^mcxq#$B(F$rj@IjLcs3{9F2y_@Ih=$a5 zApe36V1&(bgH&}x+QZOomEhz8YW6}KuOM?lr3)`KxxD)V4mi+qB~U<ulM9Rkbv#HM zmRvv<fKH$Ut!r)p-$nv8x4Q?tM;H>hppF1aDnN1o)VUCapbeqe6oRb5Zz5O))CD~% zAQ$5>5u^~DT*z*1zwG~lJRJlYFE|0d`FM{C=*AZC`Y{l{dyfieylkH|@^}GA#G`xv z59oLSs1FYsF96e!LFg8heg*~x*pLB80ybm-HXYQm2Spm_DihE#cl@o6@KFPh;l~;R z>cL}PEnwRbyDGp|HXZ>v6+CKyPz)Y50C7Fw=j1~=5l|!GqM+LIc#FykPzr!look>> zP-cMW0bP&);(^qHvPJ+8BtLZafZM{Lz1HpEeYPjSBLg6%FpZ!ccc8WkNDQXk10)El z(V@c&-BZ8~8|d<!9`N!2(BhtY{{Bf|1HsK|kPAV}X~11%*l<A|e``Hh3CMg@?}9=Z zQxxPb+}?%gVd(bY0qF#(1$&nT>Rlg|3Xr?X`CHlG@dMWa@)XRcFr6SVB#&xCs^`ug zaBzc`AwYu~G>^6gEC{j};*SU5yUURlxI;R65J}j*Y9QM|tEZsmfEGbOnIMBevLFv& z9I*;JcR30kb}*$N7lV8O>0N-X_kwJH2aBP^laERUC@|9b`}M)5K$cNKZAbDOXc-m6 zj4j}(Lmnwe<8NgGYX&W&VuYOT11b?y`CEU1S5JaQsUVs`sS-9)03F@<gscQq-h))O zsDM;<wx~>j@IcGHo59<$L9A|wI79%X;&_Wn2g1!gP$sB13DVvJHU)KyXB>a)A8_nL z&4OfHAC*}C)-Nz|kdfUzDj?%vZibF-yg^n1I#wQJO$%6MXNyV*ga^7&9pXd~3v~89 zq-%((0(5B+I7DHYA&kHEEGKBt;Wfic*!8#Y@&$S1Ae6sl;cwUma%2^-!Rls6D;$*N zKp_H+O%U^C5o8bnCiOB2I&y%TE<ue~Pzpp91+@Y}OX)!dLCTsrP$oz%L=UX20jUM0 zU3gjJqXJot3aUVnm4i|&sHFiCgJ}YnFraoNbXZIqvPreGM`Z>h0|RIk8Pr}##sdk0 zv_o8rk?~%(K>Q3+23mv;)emC6-UlsAKpbc=ftW9~AX+v+_fSJKCdk&8S5c*29)<AX zA<6;iLc;h^Q^8u_VhwCaX#*|T5u5HI#cd08RGI;_4htki#9~@M{?=dMsYFnqKum{4 z3)loK+o3?W=7LLr1t5n)B6kUb2?{z;lLi`eASP&U1Sq-psDRX=<}o+ez68)!Vo2qJ zD}O8K(j~A(pzI7X=Qt!wgP4fY0%RY!aSc)hS`!Gd36$KxttC*_N6NpT){;wU7I@6< z6(sG0`~h-5Xc-`gW?*1|ZKFn$0?ESmz=P}s^P@pioh{(x2I52g4Pw4@fLuWUav3+o z?TE4q>@G<40BP%h3Nw_}6IcaATQ?**LllC%hD{;J8qi`wPzeIQ)BwXou!<HHFooAd zkcyXgAOjkp5)SMeK1guE_{Sk-8CdEwY;7H=<pghVK(aYxNW`Pt0@QC|0AJ|@>S%!y z95@#uk})JtL(Zc>C<d`U@e4pYgW!vxpdz4ER1BZ^BTs=AA@WZ@$iMAk=M<F&NVabQ zxeJmwD-g^YC=+x)Cn%k4Q30v@eogUH^CQNNi>;SBd{mr~E-3)X!b*K7q)Q4wMk0pQ zLER_tgc$#}gNUJbP|v1&iVDa|*yO!UhmVRi(y6FWV-i44X#uP3Yyr=ecejA&(IGVh zR2npg4iNycKqnkRJp;OF4$6e;1D%%+t}l>lU@QJs(4l?c78b|^n7=IfTR{h3fpZ$D z5&~r}(2aBmBSA3^IyR9Jy80HRsv8pf-90KX;Ata7g$1hFKxTt-ngxGrI=Je7&G6Cy zvS<<HXOPh^p_dfE_@INkphf6Q*d+zf^zj1RK57AXNkAvgfwV)Swgk!qB_?q138Vc3 z+gSe-Udn;h!KxB?;|Hk<>7D{U=K$15od-JM3%aSk59x%j45+=J<G7$q(8W2Rc<fOD zISRFqL*7&mk_811sPTimsU8%|(4Ys!4u}alGz?-S$Ubms22uq&HW4D60}FbPj&4ZO z0htX7df2A=%aA$-wB7^cQqVD7uwaIs@CB2CofQGH45aiW^sERNAL?1K6!feJkaM^p z_I5&&EYuaCBN0Jvgrt9z(hA9~pgV3Lsz5%$Pz63K0-Dglwnjnx5&`u9G~t7#>>+n5 zfRZNYoM%P`&^^;29<)sby6G5RFoIfZD7JypEJ7j3d`M9XO54~Jf(`Bgw<jSAF-!!j zXi))EJ>W$p5QP{ff>gY`kF~Z3rBqPL24@3!sR|vF@Bn!mk_&vGOpscL9#|a?Qj6BK zQUNvPmHAtjgYzY_7Eqo5b>Tr`Fpc2m6{tTB9e`kl^v*kbR6zEF&YwoI8`Yhlt~%)G zX{Z9wsmD-ecaI9l$sl_`iogyOfp@%QKn|4VZ&d_45Oie_Br-vBd!UvLL<?uPqd+Hg zO0ETb#0xWo*V&>1nx8?k4rC9i(?Ao$pyQRH?g8CU1Z9HmAOh84psoyf^oXH5KnCtK z5s=e_`CAu)XEH#C3qza++6Mq~8pvFaZq9B;3Fz=Ns6E2Kz`zWd$Oqj&1gV{Dpw?kJ z4YZ~kbW}4`0qC9|D6_jq1*8q61*8ZZYASH2@qnDhja0XQ4)2EUDFQhSqJ^{DQ2{!! z2i;f14B1=I*`i_qaheI#IvnBCq5@I?DK=pp9+r*}73NaO*9?#y-k=f)RJt+ow}LKm z1y?K}*MM5f(4Yn}Aq{en1jtK}2zmiN%M7U}{8IKacti`7+CZA1DGtPhonr)wZ4e(C z;~?fs=;bRQ!$Eu`TVHlUS~VcwLZv_+0x`imWk82eLn0M)*(1b5phg>HgbpM&^E>1q z4b0elsR>#!gk?Xd3}Orkw0E<+p`ji$B@A8)1R}tVhYlYV@e=6zV#t0_(5_C<PylQT zB`jdY_*+5w6C$N?tl<V^$OvRKe0?#<2FUtXr~>dBDGk`l63}8V@QN=iTlqx!TUSDB zOOTP!yIDc*>;@B<tExo!TW2Ec$Ph*G9u_-<`CAu(b$}Y;uNlBYda!jyprvpQpjA;* zL8(ZHzXfy(KE$)2Wk_IYSoamQ>>HGjpxe|SyHpQIf~-gEQCo;USP0sv+jtD34EMbJ z%as4%<*dE<41Z~hx*Zj?-XCK>uq-0}A^U+j8bB^2XFu>)aHxTTO5<2VMgw@P5EM)B z{lH+OK`94zDGq4s?y*LYQt+aG4Ug_l$dQ4db=)9HkH&)>VxSd+B`Ojgow)AGK{{O9 z1w4qy09rn%;n8@gLJV{)6KJ(Lp6Nd1_=BZmURVJE^-cn^cU(ZlZ)XR{J1=!W`KlY1 z4Uoq-c=%gBfEIs&Q#VHH04?c6xEnMbe5?s%2?Nr8=n&AxX5#$tG6T9U8g3Chm2&g9 znE!{2vmiMWmP%!i(uhan8_?tyXnh)Z{-G0kkV^L+@CfTZIYe54h<J4Gp8-uSkmdC7 zm1*{{<<1eXF=nudh%sg#&{YVa);lQlAshFOHGF`CGK7m5U<TXKcm(7&@BlMtiylNV zcz_wiMHC(Zpco4PRY8ztx`+jqwy@q4s8EM!Y5*m!PSBe0ZZLsae%SE0g1XZPS9-86 zfEFPUphJT}jbTVr2ebkJ!~@wMfv{ikV)H{rhmLctCqdPqHGk`La19Mtg_z&9;&1H+ z%Ykl80u|~#;8g{%s?4M#M8%lDwHa9n_{5SHu(D1Um7H!Dl?+fn40`kngb5by_EE_} zEDSQ@Z#@le!a$3-C~)F|ZFhD67h~}BW60kEl81x<JQk5w_<$$fFBu+i>^umYd50ar z(|F7Q9Kzo{I?wHtXJBB!+&hC_07BDT<FO4eoj7g`dg%%-10nW;+R&g}+1)7s*5(7+ zjs%$)@Mt^;c0_lHN&xOM<mFdL<qO&|2Wn=#EJx*o4!ws>^u<7f3zCLlHA=v77nKT7 z0yyrXQUjtvQ4CIqkjXtCl^VDRbXqS&r3TVUg6`U=IPRhXUP*o2MWqB}1Zcx>ca2I7 z+9V#N+gBR<nxWf8r3O}JX_bb7mzrmQbRKt6$pO)@wB(~wpa@!Ro&mad3zWEgR7$`L z&Oyl|2V?}OXQl~VaDEchn{iPAb-x&1uK~FV6eb{7f#}z>Knac8MI{HE{y+&2GG7Ae zM}RT{QqKe=4Z=Pu1t9qll@bie4A2?L3=9k)`6=MkjG_c|j!{N84*eM~{lG;qw9x2o zh)@SrU@j`4f)ryXga_uCPOx4FXo>`s$X!%Czz6NPKw6TZg<jo0DlVXvUZ8$Ac<(l} zBhdd5T;+kIA)(txB?Xbir1)DOf+gXFO?QI_IBB}5;43&V-3M#gpM@Idq7s44eat>8 z4xNx_fTmSY^uub}-Ywt>El_hCoNA$Y0%w)_n&IUm(3qMFc(4bwfdSMvW_T$K8c~D_ zzGMSszylb!N`Ueq;q3c*9<=xbwQr#=1~FfH!fPZ#>!sz9>m$&Zut)cPg+@^9?*T8P z0L_1b2IQc%Qj5xTr1?*f1gvg?j4s1h`}e3!15YG!gNs^F1cD4d)=<$1Zqq;(C?cvS zu$36Ara_9q)e}fB(kZl{u{Yeyra`jkNuURO@EK@+6EuGd+h7B77Fac?Zw#6ThbGq^ z@K_Y+N+3`!p91DV(=DVt1Q`ULDD4MjR8S3oSUm)qV1uRMDJpFY3=E(ReXki_UV#>Q z@Q^~Zbb3@;L7~+GQUc09u(5tfH3DmAY=ac1piBlTLXehFy>5U82T1&70W>p%Lyc7; zo`JywyiuzKe55hV(;nRx`#2aF7{Gf!K{3eyI#docs0$v%1$99{N+E`v2tybG8ZQ9J zKxojZ*^pshP|qDak_*!Mi9ZrD;g5c1F~|Z?q5_-Sc>IDP{19!>N;6P?133faN01$0 zRjkOigIo%dfzSxsA(je2R*9fn3Na44A)xU%hY^yc(1VhpYi2!&whZJ5i1UaKVNhRY z3%Gd=4r8<si-UyNCw`q1(D_<u6oW$r?!Xn`Lw1pR(Fm6i8&C)x#Je5tVz}n+h7Dk+ zw1Ag%fFc!?BtQ`ZI#{q5$)liE)<{VM?$H>?pbpq*NE|^62G$2)ld*d81y~BQ$_wNc zkcA%II8p+C_{lVWy@UMIL7mNf{&pVlyecRjpxwS6=(5Ix9YUZ|57ey$x3WN{GC=Yo zIF=Ff7$6yty<lNh1yJ^aP76RYAt<`gVh*GSdi)8f!13s2;NONc`39YUZ=DTZ<_|I) ztN~Okfe!Kk2|%@Vb2L8u4_eUE1K!Tk-2*<RhJQVTi<xXdK7~XC$PkE=H=qy0z@@qy zc7WwzfeWbvKyE>aQ33E)RdB3<vK?GIO5TAu7*^&Wq6d=bBYVILtUmF_^?+9<ed5<S ziRpTT$u~4Wv4br=45XU{d%&3);Y<T`XClHMelY+%BZCz~OJ-0QgN%XDpwQy@#4iX7 zBmo#B?gUsAHLql#TM8>dai>RcLO@T_Q@}MoxKaSG+XlG>6z|Z~4RRh>AL{~;h22{q z)d~8Eh7ctuz=JffbOfU@`-W-!tp~xUCP9u+1Z(bYcmT4X6Q&DRCIo<5rQKV=u0%OV z5ha^K+O812xB~#>H}pmV{ODMWvCcUDRxTdUf()pEphgxnhl7}iNJfNF2gtEl!v;@+ z1w|Za_LQQm1+4=SHLwG$jR$cj$O2Gd4G!zZ;}OOT3_I;X4NlPZ8Bn+&H@PSYO~_&H zm?Ott{H+Y&JvGFK<_=BV*`E?8LJo?@bfOb~>q7AMCE}f!poQi{s8%es0XXDgy(~yd z1>Iu@3VTor0Ojs|py~;c3qhN6Km{uH-5{V;2a*LPXj71VoFBnAJo>2EfNprS#`K>R ze=94@f1nl_C>2B3r-PV~gaVQP84Y$W>kR7pkC}mifpY(ufc$5S=|3a>)=Y3s4-GJo zrwI7(0(JezP8I*@fc&S8=|3(0*0bQY0`dOi0N3nDHR#K%&nN@ncw0g*KSP$PgVH86 zFhLz15cA~>lr{d4u^d>v52G<leog+?9Pj`dD9J<0Bxpeo>*d3822>bJPX<zBfzl`_ z`TKM;fKRglCo4zLmPyFAYEb3@wM;>U9*73zGjL}I<QW1*El4fMd<YGym$7zspe<Sg z{SHtC25ww}HeM@$j08J_{+@^AZ>oDA9F`zcK_LbyHNb5g<Z2MvY5`Cohp25>!HQ|o zAbQR4@)l%|3dnV!5)xW_gP3r~BHA6GR01lI!E`U=Dz1GBe&{6@WPcGfc_MU!CS#%b z5@bHK+yOBm;|m}QL29}SSl|V<3@D>Z^S3?*X9@VkBxq0qG~fhQ4!T1bv;Y9QX+RsY zWTq3c0t?9&>>Cq7wt^fCswAd>H_JiBO*?&5Aj4y&QsBiypi&<+mI>-G3Guh)f*k}k z5|rJc7s`N`khp}b>w<;?D=3YlG|mWCgQ$%?XqLtu=%Abq@(Vb9Ap#u~RfGZ^qy{<A zc|d{A&EI+sJV=ER=wRiP2Rg{XSOT2|G?&4QCD57pTR}@yz!U4Bumy!QQlNv1OOP<Q z_<+O!D9XTj57Zk&>Sqf=k_M>U1BX`QaZnBemq19mL0N*1os*Y^kY%zUM?<{^+S&+W zzI1{fY6?!Lpx!U|#6`%4G|-w~&~O~&{6)|-J!moux@`^`KA=4IG7U8F4)Hn2P-w;g zF<*v5hv2|bLazDMP(wgL*bOoSlu02uyR!#8RtU|YAUA^YYbWFk2BaeA<*Cn*eNL2^ zapeo;W*9^FTfqZ_2)}?L4jQQ7@IFrkGqS%y{6dL4*g;pRIEDp-hP*jIZSg%S3SfGR ziUf%6-UFr)bu>6%fMxctfDU;tU<b{V?@<BMuq{9OY=|vCAPM-8H&`#ykhdNi0|S36 z=n^|<kCcOf;aCGh6Ku#Eq`sFIbcKsYX9w8I#v>XW3=GkZao|Z=kTW6bA(bLXJ?o4V zkY8F<W`LarqtV+_TU2z}7#K>uKx5G_W1$1UVDE$Gi;+i~bwG}60V#nv5?K*!q#1O^ z4X9{=)X$&=GEmDwF7#kk5CDbJ7L^Gg(az&L=fgUfJ6;@SV(2_>c%btjsQLt}Zaj40 z3CIW6c7aBIKnWf?rT_{`a6u0CCfH19-4F9BSU+pSeUNQE&;S4(EQYWUI%)tmfx{0m zMh$iuXrKiwvjb`ZL=<cS6U@n=a}#^O3B#lDV1^6Gh=Uv+ojocYAkTHfr9Obom;x0x z^5{I@c?f!t60##CKzGDIz0m+Rp#@?%tHuL}Hz1;T9B}|_1jG>)U?bp;NC2BLMFk>^ z>WBlNb^<uW8V?G%BK%Q+YQzkv5fEWiBfz&<fQ?|?0X6}2?qG{b2G|6cKQ4d`=z)rY z{Q;VFfJ7{^BVM>89FYJv0`3ovhoG>Sq5=^{bp)~z5?%-+B2bNRfEoc2Ml}M-9|Arg z6CnNw0Gj~wM+Mk`9u<fv*dL(e4)F()2^C1m$^*rO4NwyxqF@uSC#w|!AV)xA)B$V+ zJS1L#&6uJB5yt6_1wkMaAl|S5n*j5M!Xr>n_NYKa!H&S{4USNR2?i)8L_ke|h@zSR z$<PNqAa|>PPFDaYkH%vSpaQcSQc(IpGn!}TL&xKepgAQ5$K#+h!Qca_7Qv=Cb{_KS zm9+#9H0@DQU;~v2-+el-`E*Z#@}{UrurV<BbY22wKLIfFq;Ka<aE!dX2;GAKwx4wZ z$jzO{5oI~3wA!K~0Lt#2Eh-vN4}$1V`~r|Jn*uunsQ=cY0$~WYK$Jrr51sfy?y4XP zrxVz%<A7Qx0ksZ9!>tp5SqEW&+F~H(aO(=3Kp}wCA4gcn@E9zG?mGsMeo%8CY8?l} z28iz%Ae<Hz2t%+%1*{xy-3px6b?>;)$N=pr!<AnEP56P<l0xl*6v?3QgIEU%LjhP| zLS_V@GcAyv8lboUHF?14fdRBv3lv<S76Yj902Kx880BDK=-#3t!ok3Ryi=n`g&$N= zwJL%Sy$7AbzyaG<*rUP+mJ)+WfeZ#6SjEP`0NQ`g239!bAY{MB4~Qt3nxewP!N367 zuhF8y!N$PQ(WAl+HtY#_6dH7T3kP^{`3H8eVIcLLEh=9iJdhx0MNo?hh}8`dhX{aF zfQ}vjjr%|rGrfT_LDx)zwD*8bLEbtrMTHG)Hw)}UDv%+NzE_V5D_H6$%#SZ1Mt}^3 ztY&IaVF0;*ipqahP^;rLvck?5l?x#CEh-@Ooh>R?AUu#D#JM0=H$)sFfTW_k2do}3 ziTw|3!%Xmi6ZmXe=$c8S^a071;7YuE3#6(hyI}xHYw!XN)YyR5sE`UACIf32Kt#a> z7rZ5cTqipqmEe$C9cBWoVE_>Yn}EFpUx3swfK=e{5**erfC%F(!2`gxI-~@LRNpX1 zz#0Y+Q9O?L0X70sjX<hzxFcW<1BfuHBakap4{)^(F#=Mp!;OG73?Ra&Mj%y(AHXI+ z`~j)HVg7(M3?QOle}F0haPf`O%2MzERYed-K&o%JBVY{!h%l-nko^&W)G&Zl;BX^g z4FiZUsu4*3@IY!9Kq_#UKVS_5h$z?}SZmY`NXZIPeZx$EH4Gr4U=y$>s|QF814so9 z_XezC01?LN4S`@#SVE!$Qh~!90c#jQM8S^0>J0~^h5@L)L{vkth5<wr)dX;r4r=9t zx9MSN7=SV{DGdWbaD!lv$_G|Z-3MzJKzLJBUa-O&1`ohYM8jamC-4>mP#pkDZZF?J zHlo4!(8>lZbrE{oE2OZ3RdJBwqXp8NL0U%uska4MRG<v-q&LcDL{JS28hL<LW}qW+ zpk+Sj2plN0dkVNF?%txpf>FiYXJue0tp*Rffh1u?|2>d!A$R}?RL?-`Oi<+jVnXXE z&<QQ<pd%eS;k6N{vI1?Ch6#goKr1Pb(T6&wsN4k^Z3ylq`auHf2Rr2KmDdxY?gs@G z)cqjl%QDC|SCC7%AvGStMz9S%DxkmyoxsJxz<{*s5TY3B0H||8*9)^_QS~wxv?{Je z1ys#}ZRLcx4#o$ah68oz%XhHzqCo8-Rs~Q|0J^t?9o)!x01bA~Q9V#5C`Cg`c+keF z=7)@(Jt|M2?HZUkbT#P|umoga6uh8xiVDa;(9wxd!$7CiK$+bT$?iR1O(^S2dsJ?) zGBALaiCqT;I3$Wec7oyobUMg2kT4{QK~*s*UXMenV-T|&5)j=zDmU1nyD~wlx*_#B zXki*GilNmuhyoc6isGvvqajhO4LNiRWC$ow!BGt3Ks^Eyhea{S4sM7m5G@Fh(V#<q zK(PkicMNJ$pu{p*1w<Rv#gIj)cR*S`{a3u${P90{4>wdH$Qt}6f>l7<Fpx07VIoK& zcy|eW0JC?G3h0PXP@F@uIr5q)NFCjx0--^zS;#62NSj%p2iAD*Q8@ukA0RV6@e6dU zC;)XH1bb9Iuz?r?Fos}{$^kT`8(>Obz?4E5f;}obz>Rdl7L^mMP*Xq*NDX-sG_VU& z;L$q;VrK6ah%-7{pdEft`ON^jR2$?fc;VW30CcG~s2c@pK{)OP#VBb1!2wnV2A9tJ z;3IEX85laxd2~)u*#KgJj(~RD%?7$}8?=*+m4U&v^Tu%&70?abpnzKeE`wbVmvA3< zQIRMD_1wB!RCYim6^^^8Xn>BYKJKDo0Fj&nHr}H%M#bW|i;4qi-NA7e6%UZ4PxlrT zkb`_WOH@Fsyd$8J2_QQ`HzQ|&R!D;cVHbW+g$^r%{OUod!w2elfm{xv;XN?4J_<&M zk0BgX)nM&`5$N!NdR`#wKs4MsXf*+=FEBcMAM9{iN1(&^08}@E4r+xYC`b<snr3l! z_%!TsS%<a5#{muuSS<*nL0JT19a>=0qQkcw(#?Q%#E?6D%fM27Fey-dLq>;h0V}w} zHy><R44Pq}`VDj_C#3rauGk<IYcu%J8K^W!93lW>k>25(2e!Kg)>#7?0xGvbRl;1b zR3S_XRP%NBfID!o4&Mxr`=_W(2P;fQR){FBL8UXr9lmK`8w9a+_+YIiNVbG^_`srM zclf}%;X@B#Goc+mNc9YB(!x4?5K(Z06uH9(?Uf?NY{A_-@FgZYk=x(B;FcWH05!M^ z2jATWDUL6BU>FDPs1actxHE=k+yVHuo+*&=;KoDX4i}m-qz;OP8=_?osjpzc0PAQ$ zM8UxTD)S-kM(!m=Aa%4rbpz4}F|4Bn5k~D^AREyEYF#x$`f8BQCA^0M>u5oQQH?<I zM*~tv3(~iQ`2*I`f{23s0jl93{y;K8!5<M4kj^E{1XxE4A__JEIie9MK_U=j1jHMV zz9rlnu#Of)7^gQpkUCnBt|iP7u#Of)6zmAB-l#z8XhHgxFcV-MEr=+p2?RS@pv(hr z3lK2^dlFoK?@{Rh)3A;fgf~T{0o=O+kHA)dnTU>-|5MbCRte-XHc(j%N`A;4tw@x? zT3FQr$+j)fDHKH2f>v?DtCkb+Q(q|VXqAK7#E@1va!0ESBn)YVgUWYM(;IXaDXe|p z0_oWFsDS!N-~&29s-TqwfsR%w$Y@9_{2HVy12O~@P~cWLj5F{Zt%(rVfvOvj>ySHI zWhnjS2vAYb328hZZvpp<paBNz*npUzCK04i!t7|l#HrrVDu8yh@<9O(iDHm@V6|!< zNEi~upw0rwnbhiN<${ccMDga)jutDpISsA1A=L`3-vY|&_&QqPwJQm*E)j?UsRO`W zB5+Tvvqc3`&45Z)cozt%3I}z8kVc^{6o59ZL&{gr#sfFN+$mr)5FMllL<cDV)GY#c zkUT&v)DDsZMh6L`2(*Te19b5==vpG=4id;%kR(b6sT|yUnxg`;2;M=0_MSXok|2vf zlHd*!R1$XwDHXbA0@4!0m=ObYCqZ*Cpw*9%fyfr{EF-+Xg3_V~ulk3C`Xvw0)*aB; z3UtMUN8|AW5ey7FLB%4-Gz(UY=^_ICCCDIV3wV+eZa-SFkI`RBz}bOeCD31j40*Pw zfM~dNg!)S}a9W4dUjj`tf|DR99ANbsBm%(AR?wzx&~O0RO<^o%LbDX40EHxJ5BQ=8 zh$y(pFnpWB2}n(0SjhqjbXZduA_@)$P$dRQ$jI&32}n(0NJ#>30K%HW5Mk5?AhHn$ zK=mKADGX_{!i|76g(1SIMj$n0cOW%|Ax&0Tbi$g#5K*u{K*<c^4<r*Jkeb4f1}n@2 zSW_4x3N`_IQ#b&rDGX_{!o2}&3PXf(dZPiUDGX_{!W;o>3PVJ}j=<`T4M<I4SlI-L zP*_tKB8qAP!KN@M^N`XMhAl=gKxqm?cvDm~!0lgfQ&<7aL^Oq6Zb6o-LEMbD=*3#} zky7*;L?RWv5+oPBpfV8DE(6i<vXD^GdjV%zNT}$A%oRaaV8E>-RP=H<5HVDRQuIQK zJ$SMMO%Zo*feh=CUG!ox6I%2_N*9=Iuu%wzC^(4@-=cR0Qqc=3AYs7(D|#WK;9$UB z^qxQ}dLacQyug7Ky%1s40tdP1{ee{ULW)SZ5wM~cB8+MTQo;EFspy3ikuZP2ie88) z*dJKStO-a(FQkBknE)$#A);Utuot}@NJTHCh=h9sR`f!Iae8A1Qqc=3B4Lhz6}=Eq zup_W~;{{UD3oDx-#TcyUg@~e>K(OcqWgc>hUeFd0k51Sq1cWz5g#%pPf{R`TFcVSq zp1eYI(F-c_Fp54>irxjtMeh$5Qd_-P%R)j$FKE^TW&Z@o2apIN(CP)veSj5%Cz+s& z-Ut=F6(kqEkYW#>?4U(2Sd{Fd7pxoW05GI<f!PKtdLg3VBszSH-U~=YFQkBk1p}<; zg@}TK0ejKQ;E5RagcOkQ0tZ&~LWEHZ9OR<cz#Cx%q=<wY0V{eT!l*_d6}=k1AQK=< zR3Jqp%pb6#7a|Jw2i7v{1X9rpDIj4cz=~doDA)w-MehNmq8Cy`!o2}2dLhC%z3~C5 z=!F!KFh{_OUWh2z5m>#U09sQCZBoF>CP>bP6}=EqR1*jmy`aoPPSN{;1=Q+-6}=GN z6qOe&h?O>ACZg!|J5O}ci?!$@rRWuiLTdHiAi3zpS{4#2dN<%K3kkJ)LG!?%q8CIH z-RgaT(>h!O4v=CGp6sASFIbf9q8F?iYtaiSU0}Asie88)IEfD5qL%}_bpcXjK?+D% zFu;mlh$uK1uot}+NJTHCfP@z~u%Z_tj9TCz7rhxsMK7d?gc|`XdLhE7Mj#cv2}ngR zq=<z116K4xM8W>RT4phTS13Y40#ZQ2On?==5K*uR*o)pDNJTHCh=h9sR`f!Iae6}| z1W|)Pib$9vU_~!P6zmAB-iSaddSPV~YS9Z3MKyt7(F@8v<P^OZP>Nm%Z;HwZaCr-E z^&S8-5k>FilSCK2Sc^VVirxm~qIU<$MK7of#3&016}<|Mpt=a_QXB%UUb5E4MBuaz zSJ4Y8_Tb45TJ(ZN$u4@qy0I3$kkSQa8?5Msh=P;o@GW{BkcwVN0SOBRSkVg+1qTE6 zqPGC4=!F!J@B#-`^g@JD3moL4cL7q-3n?PuM!<?*h%l-VNJZ}qq@ov6M8f<5D|#WK zV1HmOvn-H`UPu86GXYlgLPWtPU@v+Nz}v<k%N`&_B-|UYq8B2J(;Ep$MK7d?ggF9M z^g=|zj=<`T2}ngRyleu^F0sN|y%14U69^W)pv*%~(Ypbq=!Nj6sH^~&x8S080hoy> zdLxbyUG!ot`ba5yKOh&qGe|Cav6h8|irxu0%R)k}-W$+mi6ENjR__U%*5N98A;lg% z*+Gk5uqfF@FIYF$q8C!Sz-)sRy%14w5*>C$FUC4Ia6t=M=Z3w2tpJzNkYWW=lERA> zVhh+6NM$snB!wG+zktPXEx0&^xE8tm!B7V-G$HD+7nTL!@)qJNNZAZ`60ER<2;(d) zZ-9$iNMjjNHp9{ptgwWLg3}SQW8o?B0#b1cDVbp=zzR!<D5?nr3rkRPC#SHSfKpgO zcvDn5z$GNOuxtP`5rw7pexeIYNWFnJQ$$K(2|BtIz9yl9<iZkC>$IqVXn5&GsIX+f zxr>%iVTrYFAT&B_fzvvq!V=WjhV*4%XOV(RE6}k!h<!<*wbY=cPaw4*S<u<~uv7D= zsFbsSc96z^Z?r^G(QJ{$KmB0CLq?Phy`c4eAfuq`{Xk66;m4p|ygiUzycZGol1))5 z1Dh=kHXCghZz)(x044=8n2bf;1uWo2-T7d{?!hi0g&KymUXO;mc=Nz^o5B{9BQNUC z1xsncq(H0e&=z%PfZRVtB^|6#23aAM7j>tBZ8!y9HvXDn$Asfpj_R;@2kM7m9Mu6y zGO(fu`HTxl@eh;Hcnvv>03r%5q_CH@$Y)%j75@ofGp49Ogi*^{<R&`u@e+`78D3n^ zfEoc2Ml}MdiH`eFg$rN<C^=Mt;|(Mvkcw;2ejC_=4)_WySjZvoQbH~nkq<UTDICFT z=D_Q_;FX61$WG(~3?Olg=kx|pyb`V#Kq&=sdczm+LWw;p5h(Qngf~Sc09+Y>>je)m z6HzY+?*y+M2Dum1q=23a0Ajve2|6PmveFjJ|Afki7BgU}>%Wm}7g%WrN^GF>$q=O- zXo)YVTmqG4AX(JX&K;Z&ZbPpEXn`I8!q9BN$Ug~D+Ci5bgVyPSjDoJy1u?rJM=Nyq zKsI!uly+`lvuA<L?rs4qfGtFI1xtaBpQp*jP8SvihEjj<#!hQU2!eb73O48tRS@%~ z7G#$t$X8%~F)APGOR!V~UABGtLmU7q7s0mPfGjG9@sU>}??qWuz5&#L>}&xS6S!9+ zLkbbh)yOb$s;@?NV1aD=v<F28=4xa+kT7jlBin+EhO9>Z1zFM!+vy2ja1P^)lx?4& z)*<ZL15iBxE-wvOpxZ7%3`kjg610*N(kutH$dT3-LyimcXgm&CTMRp+M+j+|@d5C< zVn`Y8*?8atm^%f$%oVZBSc3(=4^x2!whvPR#6n$W3~C6W?!yG>1g#`SS!N8{FbOKy zKqi1B!OM(6WgloCCS)aXiwejhkR*5?CUhk+beVCB3dkamByr1(FT+lE1-0-xdfqTF zboQwHfp+~N?al^p#RF+dgPjIi0^7|13RzII7qnA?je()_)WKi!2VY4uUOf1d_25J1 z&K?!ep)8<78$r&89GU{!!VW5ALB>G!f)sV0>*g^4?Hvc1bN%3d`GfDJ9Xl^LGX8LE zd;mH$&PDT(W5>nL9u*!?Xm^|h?M7!|V7UCMa|(D92i&|VU`v}1v2<SR7BT4F1NMqz z=MTq&Kja+`zL0k8{N>7c$g%Me#MJ8!9Y4W_fE<S10nHB{IDWt3(s`isT5ky3lg=qB zS3pP3K&aMB{PRJZ`?x`+e}6i7M;&M-+ZM2^pj-KyAMk^Y^#KKN3;2W@hych+q%B(v z)}Xf8`JJGXlfh{h<R?%Bbaxzq#L5|zSlI!M735JN4@j(lMr=S=eu6?1GgeNpfp^k_ z>JLy<K<f_>6J#S)FGvwARzUW4;*Av+c&va}-~a^~4>xa$3dlU9SOFPHM67^n`tB(z zAk}CNz>Jk6kXQjxuvlRR$I43Z@jH-M0l5lV!9yYeDgd$wA^>6`#mWlYvGNBJD|=94 z<pVTUHb6xoG&rxU06DNn1*9HJUReT(6;Ra;iVA2|4Pt^q5vmuY2o@_~bMVH>A7%yy z7jRwyvB0qcG9GT;6cvzpNU;Jkl89LO13GPJ3dAF*4nU2S=bckj7Jxhrp<uD{o0)-u zzaMg-5hPYXuIg?9Z^LSSP~Qn*fsT3toiG3v09lC?D*-l;yaI1?gZu=^D<*HjCD;sT z_=5yJST){))VHWifQmwBaIAEI9N41*QjaB8S|G6k>SuzY0%`Xc$VRAMkRn*DfXzV- z6toiT3p`dpEO4xVjE9>yMFnIYQmlZCBqCNo-O}zU5Rafb05et^K%SnW0-|8C@);Z} zpi?FxM?h_Xoq+{%93)miEa-6`5CM>tNU`z*SFCujMt~y#^*}e!rXOg0Kw>E&8q}%U zqEY}7MegW;!Um#b0(NsUKsw+ZrHELFIbc!j=A6K8P6CQK1)x3i-CI<^qFBvA8m8F^ z>PB^*H#~`OETp%64E<nCNS6iDs_<Y%J~#@}Y=z0ddfO0DJiTq?gQGxgA*3jQ^|m3x zs9j~`-Zt`?O_0_SMsFJ;jA{f@ZyWbXMX=sBQePR=6a&|BDCc+~EnS86ks+}T>up0s z!65<9`H&I>)bm6HJn~jG(D)x%2GVGO4+T=cw+)I{QhM7nnL&lp9+ekh8n#di!keP< zfEm6}>js#K=xr}u`TswtbYx>-m<c`%|NGD81B{&qI*)lAe8$}Q0W`1#%HWV*H>f=e zZffmOxd3Vq{CDj9;lp^W+s*~naBESy0xFX_Pt5%O)3NiUqb8`Kbpb4N^78ZUDPU>N zU(lu&sObfo-|OC@f@Ey-ah6UDlU+JbbWTw@!_2@i6J#04NXPF#9W^hybV3XUNr4Rp zwS`_D{s#6JsJ6%DuLBT&fog4#zrc-^Jt`nkRNFyjbhfCR0DA((Uq`?~5bHtGVCzBV zbx#5J=h2MC@E6Erh`$cN`~@-+)n6bfu)(0##LLF-V1I#XS6u#@1MwHAA_O@AT;1<c z0g0me3uH!Ti^?9bCs6#g11tpb7f2dxJ;*#zs}`L0x2PZ)i{USj$q;{Sf%yw$B&xqa zQecBY_3=ylA7Foh>L*<O0-b~bJs=U}FK~sqM+GE`>MxKPoh>RGz@9+y*BY=8#9tt3 zu=U`YXbSkiMKohE`~@-@;;$7je}Rld^%qDAY%r)sd-)gX0IF{MT_R8p0F^Ef8r+}& zwZ~yO3$yVJ>h0j}5<&HX6u}x4e?WN_wLV8{P%Ht35V)BKVu2eJpy6?lv%uyJP?u;i zxItk}Nteh1cjFsxmk3lWfV>Z(!Lb5rhm+eSg6aizVPLTWDh1GbBxtcR2OcXR7C2Tw zr3}bfVDkp3OEeoCE47q#i5}pN6}(*{Q0W8mK7<Cx3aB04O=gz}su$FSfyD}_48tEQ zQ{b@zVu51?R7QfF1vYPhx<r$~v9cdLcLQnAfLujVm#70*<GZ^9Z<h#EB!j#Up~0~N zYJYc=*(HMN1$ALyu>vZA@yALJJXSy~aIAnzc#yNe<_%Dns2dzBj7YHpauu{XgY<x) z0-$OGA^>6`wZ9E)L2bPAJK@b$ke?upS{z*>aHj>{m6-wU5`jgrc0pKibcw*77PvVR zpj{%cD0XvjbcrHRx<o6WT_Uh3R&z-25<$;vf)4g00tnpy={yd)7ae()5vsf!e$W!Q zkArs55^~=L`Isa~KM1350};mAw?RG}2+|FL_0eE`8;B^lXM(kFgM2s;q#pz`0oJ#H zh=NVPl2||oG{A<tA-y2T$_mU|ej&vNs6PZA5~qGQ2h<%Ur<>D&(#?VJrl?ea`!?W7 z#R4!B(aqU62i&{_HGpt6FF_p|=pZYo9SCmj;OXY%fXW%vZcYYR2-3U+NrPK~pym@& zHwVdBjOHcCb&%#|3aohvG7`0U36cUE4DRN<C%KygZZP2K<|KeUfzoV_0SiI=1(F6^ z4{CrQb#su6#qbxXfdlbZ1k7I`BT@YYk^&nH?&ho|xtjy7a`AL?LcpFt@mBy?2;wi0 zG}wA@WjRF!)F}Za10-WH`~_+vK>Xzc^B2fSRDXe_zy^a%e3?yhHwRp4;pyghfIWfY zFBh;7#9tt3u=U{D1<}nxG8V&Mph_0vF9(>vKt`ha3nT?L7*tEW)I>V|i9k08Z4hNZ zW`1lyAq4K`fLP#00w|w?>I+cst9yXDIo9At0!7`N6IPH$!cd&~F@eVlhy{)nP$>h7 z6;SW1dw{w*#^6|?sGCzjW;X{^s)D=^p}`GmP>+Y)ZVps0Y26$hc&va};8+2bksxP* z%^RR@jy5<}DC*`&klD=v)d?W)LuhcUfO<USc5|S5N$cjQz+(l(0>=udga<hbY~BEM zbCkicLQyy80Ipaea^~j(w3`E};c%RefTNoO?ij(lIVYgq9Iz<X?g=Z7ZVtF(1UJV5 z+RXurVl{`7ZVsfk0qX!ztDA#-1Qw(_g3--^2;=PLARqh$>5jnM2<zrRM8TaDtlb>s zgP&mC6i9Cu*3E&4f=$5E%>f;T1ncIYwmB@oC)n*mbOhnY*wLt)1BxVax;YXk-5dyS zii!ZZZv*b;aDbVJZqD_7QoA|e<_?~24hyK9LG9)+fQ2B<OOQ0U6$olRA$4<*jKyeP zBDy($n4q&cAR|$mmmn#y!DM!GzzqgG-JBmxpp{lA&E_v)A&9>~(qQXB4G^Sm4wA7L z{z7zfKEV71G7{BaAStlHWOj4FRW6=x&Ks~NQ2g}*EClfvNE&QCs0t@}Hs=Y<Umzn< z{RNT&8%$<52V804>E=8DdjiE@cfdjre}SaI)`Kd+ZbUZ+$yki|LUeO(!2AU=64hTI zDX_t$c5^^E08|@8XmBF|-1qMRuOh>;JOngRL*?0=E1(bpcXL22a3cYfPeINCyJdj7 zIhUD0i*^R2n*++fAn!wHaIApZ)^wfCIRlRs5DOeDpi&0pEU;S!sGD;d94iCT%>fl^ zAn!wHaIApZ*5r0`pn6H`<{W{?3Wx=c6;K%oau(RU0qW))2FJ>PbaOyuC&>E{8XPO2 zHZ{539H?H>x;cB`u>xX&V+B;ggPa96Z-BZvyTP$S=WY(TBLeSMaKsQ?n1-X91MY~x z&0&axm;)BY+C9P5%>mEbz|DbmbHJil&7q{51L<wRx)9Xr<{%$83F(etbaNoWIJ-H> zhb%(6BQQ6@x;YS0a3=+8HwXEUMR+#_v?hub*3E&4f=$5E%>f-e2<zrR+8Qn3wNwKz zn*)j@a=JMim_TEeutjSS-V~J;Oz=f(3&2c7H|IkWsoflKa|cg1XAY>GLG9+u01H8y zmmq0yD-hItLh9xq8H>@pM09hez?zpJBT<`|AStlHWOj4F4F){joC#o0pfsC%z(Npz zfuzCKgBl=6-5exiG5m$-=5)aP1u_!VUmz*4!DM!Gz*R1uZcYo>6Da;_01H9<1(F6^ z530hEx;aS3V)zR&n^Obx7syCde}SaH29w#%0asdhx;YhKPoVg#1S|yc7f2dxJ*W~y z>gFIBi{URsH>UvRFOZR_{sKvX4JNgl1IhuQ+89EE8wsGcHMxt{pn5@lA=r`+P(H%H z<Rb?ZLf~!=hy`vWfbuEGSzz-9sGE}wZX^syHwTn|LEeYZ;8+2*t;y}?K=qQgXe|XE zD<Bp)RzRf;$XQ_X2B@2p433on>E?h+ACUJUG&oj3ZEJG7IZ(Z%b#r3iu>xX&V+B-3 zf}905Z-BZv(coAakZumB>;!oqLW5%k)Y2xmn*-HLS~n*I9xEUgI95O<JjhvK^9HD! z6AX?OI(Kux9T9l9!U5XN0gGboR^VE+2JVQ!&9Q)XbHJk5&A~C76M(X44c5&8i()m0 zl5P&9w*l)yP^+7Re6%y9JA%>8fe7R5<{%$v4C#)*+z9LDKt#cv6s+AG<O7Xi-4xW> z9Ed2`1T5Vg&>_FDZVsfa(V_yO={}nSiX?KnIUXq890+fUiUYWB1D?&X05cKY9L_RQ zyE)+I4xVm~38<Vw?dBMOg&@sKkTkdz2x>kdb#su6#b{oFnrD#3LOQVKCCEtB<|Rl9 zY%rPK9B_jHPd7&c><N@+vkF)U;xCXi*m_U{1gV>YWGsfi5ZxRFn7=?qqWTLY1vZ$> zZVtH0#na7^0eb?)UlL#;h`&J6VCz9uI8rwU$yf}3A-XvtFn@uJMD-U)3T!Z$-5hYG zg{PY%0QLlmzj(kx5PyNB!PbK+L8NXDlCc>6LUeODVEzIbiRv$q6xd)=yE&j70IH24 zG`NufYFm@r&4KDAt((IF3L$Ve2gCw55<vMB<Sejx1Juo71~(E0q?-fEzaZ~JXmG56 z+ScTDbD(-j>*oAngl~*zgdQRRDrG><0-HBL-JIWypgFby>E?h+ACUJUG&oj3ZEJG7 zIZ(Z%b#uPJV+F(l=M_*H333+LyaDRwd<MtLfOK;}Whcn{5E>jSpf)wR-5jW1(z-cs z;IRT?fnx<!!h@UzHgABsIj_O7Lg#J{xFZ7Zo>V})Ibcz&-3naY9B@YjZcYKTn*$cb zZVrxajtACm4p<bcIh1sBAiWJ(7lK;d9OP>hAl(s+ZVp5kXEz7=@&HJ81m;FqHwPjL z?xbMt<{)1l0O_8<On}YiKt#bNVCm+74%CNrb0BSv78M9h_ihd-lE~@iJYYng&4KWy zsN7(L&*oeJGZEb!rA$)0IpF3Fo^H+=P&tFz%{c)Uf;2Bd(%@DgsQHA{%|S92qj`zw z<{V*!E?NT_iQ2pbNr4R}vzr5MFyQIt8~}R)rP;g(EClfvNE&QCr~!i1%|S92!(WJQ z&JLKrKt`ha3nT?Ln9ObtxXQ)T&DjF>1d6{lfQ2Cb0!f3d2UX!n-5exiG5m$-=B$DF z3uGj!zd%x8gURgXfGaIL-JBI*PoVf~30Mf?FOW3YdQc^Z)XhOM7Q<hNZq5Rjzd%N! z`U@llHkj0I4k!nJYGViuZX|%(*5r0`pn6H`=F9<w5V)HIVu2e8pnM8)7TCN2>gLP_ zHxdS<n*++fAn!wHaIApZ*5r0`pn6H`=1hUd3Wx=c6;LSyau(RU0qW*V2FJ>PbaOzZ z56Jrv8XPO2wl%rk9H?H>x;Z`YSOKxXu>vY1LCyl3H$dH-Zg8v&NH+&mc7nVQp~0~N zYEzTj&4KDAt((&Vj};IL94nv_9^@>rc>~nVX$Hp%ox3^UjtIPavH{x70gGboR^aO9 zfIA{^b5=mRIbc!j=HTe&IAHDOfJL#ILrFIW(%XP_0I1c?LB6sG(jCF*=0JpTc5{#~ z>Vb4eU~YtUb0DJNP72m;4)R4kknRc01Xwo*A__JEOE(8}c@3<a18Hlts6c4CcXL3I zL{2xS0;QV+;Z0E~0QYUcvpE@HCZd~T9Ybn22i)Aj)6Gc%l{2W_oCL5Cq<IOF2Dbu1 z%_pR84wA7L%}Yc#CkEEM1R06iyaY*s4JNaj18y+j>E=X$J%Q3}4gm{6`~{K*TMuf0 zAa!$)jK%O5qMH){^B2fSRDXe_zy_1q%>h@rc)B@0U{9d<%L6O~@fS!MY(1z7N9yJv z8H?dBL^sC;<}Z+usQv;;fej|Jn**-2@N{z=z@9+ymkn45;xCXi*m_VUh}6wNG8V&M zh;EJr%wHfQQT+vy0vk+fHwTmhK(#T11~(EwZEJG7IZ(Z%b#qKWAq4K`fLP#00w|w? zoCP*-fVw%x;6}oLbaO!Y7vy~i4UQF1+nU^N4pc8`-5ecwtbkbHSOJwXAZLNi8=!8E zHaJ!Wq?-dOeL&ua(BN1BwXMnR=0Nq5*3D6Y#|nrAjulWD333+LyaDRwD1&2VK)N}g zvJ>Qe2n~)EP@9_EZVps0Y26$dc&va};8+2b@E~V_%^RR@jx;z{=-kZ#cSPXblNZo# z4p<axw*pr;2iy^XoAUtL%>j#IHwQ;I#{z3N2P}%!97?)5klqHY13;~A4)Vo;knRXZ zHwPk&vzvo_#U7+P0&^p*n*$LAcT%u+bC9ptgLF?|CcwHm5K*uRSh_jL7mGmJ8ZF>+ za|d8=4k(hy>E;NabaNoQDJmS`z74pW!vJO?x;Y{Kq;_+_%^f`5oIea0TlIf{g&@sK zkTkdz2x>kdb#su6#b{n4x;bAMptCt3BT<`|AStlHWOj4F4F){joDX16pfsD`fQ2Cb z0!f3d2Q@&Dx;aS3V)zTu%>kW=4n8OwWF)G;KvH0X$?WEUt6V(YoF`yUp!f@XK??Mo zZjdzCdQcUP)XhOM7Q<hNZq6N8e1VKa^%qDAY%rPK9B`$Dr<-#F(_dG>LXh|ZNrSBi zRf0&}93*2g{DtV|T!8rtWF)G;KvH0XN$uu<asa3{hS1<f0;p|GZZ`+2m$Yuq8Bhp; zyEz~hxRC(Lryys6%^RR@&S?hF@~FSyD-<E8T!LH$J^m4Lc?(nkl=&e7AQsYbtmK}w z2Fkx6??Y&Ctbp3q<aTqQdP(c%9D&CQhy{)nP$>g)7TCN2>gF5<$BI2ttbkla(j7bG zp0oxkeL&ua(BN1BwXMnR=0Nq5*3H=ij};IL94nwQ667qfc>~nV*$s}BM)2LMkh}tN z6-ft5lY7z{sO$uJA3}p;1=OY{x0?giOIkN)3p`dpEO4xVN_dd7z~&85H)k_ARt|$N zv4zA6$W<gAC{6lFYv7IuynCVnJ!uUrinUvTtD6Jvh``NJfS$Al7R7E3j&6<t)@}}1 z6stK%-JF+<uB0|p!4(#shUywnN<kfuUjY_^G!Q`2;CdWfvrGZs-Ud2i7RgwQ1_Gj? zx&+oh02zteKmbXB4F=UvFLi%{{RL_Y;yUUWbjJy_R0TNzT;$_vs4f6|0;NGW2P_2f z7f2dxJ-Dz&+<by$EQY^8u7m6vnE~?`$VgOwfuz6&gBz-^A^rk21#$TcbjJy_TmtzE zTxjBHs7?WU0>xhwz(NpzfuzCKgNr-Fk-$jCV)zT>I*7k|VEzIbiRv$q6xd*JLv`gZ zaD0K9g1Gzzy5j_zvqAm>7dv<wsvTfYp!ll=EClfvNE&QCxWGW1m4#$1hQC0rgZQff z<}Z+usQv;;fei*XRMUU||33j4cr?H9@aU{jaq#FYQL*sou2Herr^vv-0P2r0fVm#s z`wf~vs|RaTG(Z9x9<BfRryS^TQ4ufUe9hp|?V_Ub{{e*I;L+`%;Q_fZ*GEN+zZH~z zAW{~`8akR77#Kn7Ej)U8c^E)uc7Tm;KH_oMqqj!I;1j<9sLu~J6siHj_FzR^u<W9u z05U`Ya<wwYCw@U66^T#$kuE9{`#@J^f}IX3eM?jfKn}L>XgpqF#K5pq9&DwH3I|xl zOV9tH+lXCMAdc>KaDY3UoxcSn32``F`nUsVPY%ONz5hsI=+XS90u+7)pzzB8S(mX- z2|4^SJi7PqfQDZLNIn7{e*CcTi-3h+0ZRDsm8L+Pka4U*pcxu=8Hlh08{2%O;xH&U zplZOumEpm9!Wk62E-C>axd6}w${gJREZ;A+UUcA}dd#7tM1{B13Uu4>>sF8oh{ecZ zz*Eu+u^cW1^M4jJ+%h~^4Kx@SAXCOJDjpznJRp(6@QGg#9I!4b86K?Q%lw)TaDeVC zwE(HI0FAY<GcYh9j(Y@aFaSxS8LI&j(?B*B9Qzp_tl;}Jp~gypR7rr0WkE3(k_-|) z@eA;RuJ;4mBJhb{z(vIYoMJ#JE<{D)6MtlgiohrSxQn0oBMyO8C4fxK@L-kD0|n%9 zaN+@{8>l$4*CRk;5y)<I0EuLHu!3*QgSrtG6&Cx5^g3pU!n_W?v=VA8B1Ea}^#{6W zUWbMz)NkOBfjR<92z=t#@lkQ;^ifGT?xK<c3Vo=)75I$>+e(J91?a}URQ&t@Keqg- zjGjLonn50|QK2Az&cKpC!NwxxPmmf|{$y|gSvffJC-`O=Xow?H6OoA&cm4!l?gTZK zcKP#!4k#eW&7a`wTcB>FV*Ui*paeA*5u()2pA*o%j+#HgAp>;;Dfu%3zp-Fj$uL#{ z-B?KeY<vS6qx0z8qXHUI^XS~7aspIMckcny`&1Bl6(Zu%z5fI_yY5i|wV6D+p){;f z;$Ff7s<&Fevj4&Dk`{>3$W0Ts(i(^=kY&dj6k5QwYYW&;k6vDWkP|vPz!o(g0Xgt6 zsB4K(%mCwhupSTrCBPOHP(uqO3ZWUg(d%2+Qg={&`w~)pBDo2<)^*`;N&El*Kg4a| z00FtW+ra@=`FemWU#LU78yKWOmb<7_9B)xM04if4w{U|7kU%_8iCfX_!O|@X;&FoO z{!Ski2T=WQ&)*6<3<qSDi%LZ|N2iZUNjJEb?DSD7=mzmZR0=dNI`Gdq*6E{S0n%g6 z-xI{dzyR_`H^jp39u<&f-!HbF;GcS^BSgiFzttYB1QZsa0P}#k5)vgKVNk?Dtm+o% zE?_Y{#rf&`wboA#{8NuRbkwMr^0z*M8v$19!P0sXV!R1|>qD>{)WeLZ9zNcp0_uu^ ze0#h_1=P6%G11+sc%u0MW5=P^105kM#{8|T!3K7>fPDgMyc+ShE{2JNjO^|K8^;Oq zW~YmaPDhA}Hh=4EWF?&~DxiKvH^k!378Ouur5hsH{D2W54HAb4fLKr!-92FSh>+Cc zZ*>5V?|Ag?QP}`Wk=<zVjtEg`Ku4&9k^?L)P66iu&;%1GP407GU|;~>CfezvqT<r+ zqoM+7&vd$|RDc?$6%cOk9&k!RX)<Min@ky>_~Q<uHnF#W)q*l1*aeNp59lE^v?ahM zf>O&%*1!M%V@4S$_Fis6m3p}dRBa=?3h@($8$kgAZm4B|QWV&BSVD7ANk9^V>5uT} z4pB+)>2^_xKnV&FP*8|~T?%TT27rPh0K)Cv15QmSLE!-l3V{$64_J#4Jy1Xi23Md! zdmpd<!2<-8M2|yKABg$V95q0oQsA(Xfk=VO2lL}m`Oq{EmU2N3MpglSM9Mhcq5>Ko z0NHiCMFlia0b+uJ43thleFYDe=7)@(JzzOxagOg7n;$ZEPXS9niW3L^ZPz-dfDJs} zq5>Kn02y|?MFrIL12MZHlHGg2n!aCG{MG!3(V^p7>!nT~6&6sb!dzPVnxPxw5?J<Q zD$RS%a2%3%K&Bjr<R%ca8<NLC<Bbdq42mZZ`3jWZyL-SkbAp7SxeG*r%mo!sjHQO) z^w|Cf9Q+{nf<o){6sY4t9H`?#%$Jq_!2<!He9aAU0>V0wx!pbBB+~rxfA<t{0nz;F zzv9K_kN?5$0;_;%gE|+Y5EM4p6oRb5Zz5O)Bv|m92vYb`^#A|=phf|-Q{4lp-x?2s za;Zn>d5_Lxh<?q>us{F*Prx=Fpo*x2Yd~WJ`vY1)iKzzCy*9w?&l*7LU2qQ&X*__B zzm<m(RC|D`C5vMXD<HM5iwe?s0N7~octE#{3RDfa&1~VpT3`mU)&(>S0n*dm@If0Q zpa9CV3gA2oE)pcbc@#u~>ob0h3!nI7E`IXh*E!^|AC!a`7#JEn41a(`ex&j1o$%my zKMu<L0$`VUbT=4SfDT{<4}fTRblZcF;SZ1fpd<mp9<4w4ryT6?QQ<8qea&Eaz@yto z#loZUpaxi52*`y-KHWYl0v?^`I}ddpbld@IQ5<Jc;eZ9@YlfFM|KS>c;NfopNkXb- zjPZwkP<<92-3=fMyFF4`Px4OzrEcz$<uCJ)hbOScry9%=pkTA`=-z*WI`L`H3T{P# z;s!px12!5dK0#_=@d*y(PP)Wr1~_6!j?V<JHaf;9$U>6iQyo1%6Iwy}0waH_!^WF% z<WDvJRw3m4c>zm&f{jLsPmmf|d}hditcAuWXvhYm4Xn!F3d*IBgbhl+9ZJxA2F|D+ zh9^9Bg7Oy#d$gW_Bx)7@7JIO>3=bHdbnHCo(F<<PdUS&ZEE|tCfQ;z;?$LP-S5AXi z2gygEdH|GhmHAscz=ncz{IP};$bS6{YHW3Ofc*NBAKc)E6=le6btV3m%l{y4btGw6 zLwyHQE+xj7iY1Pql7w6zzSKst4-_OwsYs#Z?(3yse|mH`pvlSex7_~s|NqMcFzt|_ zK#x-J$eaPFX@K6Xkt?kRRj452QA1dkztt2ugm)l^Ft}?24dJ!mh6+*;!pC7{_*-Ie zhp-dO$sXMe#DuUkg&{l>$v(7Dl!Axwu?CPo!NG?b9FqL4pnfDIEm|CFIDi}+E=UP( z-XC1mf&_od2He3h73MsM*<dFSo5jRS%E?cBFCRf|hIkk`i-_^J@It$@Nbw8HBA=l8 zK(0g!P|=d*FLOW@nMdOrP-7P~J^*U7g2o3xZ8lKj8bt5YK$LxZz^zY@?)?mHpmJyr zv_}r5VdDeku<-#<TNlz!fi#CvhThBgTlv6UJ5cKvWYMvPgf?*P25I9W#t6WcH68&u z5<EtLPz)X;0C7E7VI^Y)D4SGZ%SNUAt+%1M1!_Zg!wgQsg<}bSiy7FV=!N4JNN6-3 zn*cHeZ}|xGqX%n-C@AD${YMy$IhIk(-}(&IwX6qtiPKud-wL|W<uwC*uBR6=f&*^3 zfjXK#DjA?kg2C`4=o(5;G<kG`8?Zjm7B4LFJsOV(<br}|3OK6wfoqM<8kL03@15s7 zIzv<<I!}3k8egD$7mu^3l!0<tH>h{m>7v4M+(kto05p2wq9Os4i2yYM6^^^8Xn;D) z8z8e_pyKW26>uSilv0qBc{qOyNFJ28QB}ZF`ZlO;aB3hfV#7+DFta2HkqVvOf+r?W zGC-;mLQC$vUI>r)?gljJ5dM}YfB*k~x$G~bf<y8atOgC{Z{5rQY0X0{Io1$?mPMd* ze4s4y@&>qALsp5%AH;=75Pu6OF;EsNhTt*>$vepL7|7qE4=t`xRlwp=5ZoAmc)|lC z&;m;4y-fK3|3B804H`G_QSpGMZ18{v{$w4ZQUOoX4zM(Bfjvz-fKo2jG;INrK~K{! z)&D{!F+G~!Sb*j)4M6jkkonzxnuszLJm<TA0=Ph}0nNLD2v|`HpZ`^Wl%p=74k1#1 zPYk&|sd22~10;EX8i?@z0odr~BNpH}OsE=g)un+bHDQwnnB|Zta(hz4qq`viRM>Sh zctA!Mv9~8haJMHlJQ|NhfQ-OfUV`#Dx?hF)Tic-}qKk^gv4$7OeucItL4Jj|Ck?>$ z4RY!eLT*pOrC~`A)}AERmx9<^JH+}B-kt>62MQ9TvO@sY+QA~nkJg@q1_d-qiHjgU z?5z>voc_`YoJV2tgB-xT{4H_t_7g?`JA+FGP~z-vK=UvUtTi%W0-^SZ79#J!iwT8x zP}K;kcfgfT>jB8vfq98ABqb$)vk$Zl0TMzgd|;)m8Gq|rX!?Sfd#s_N9koHi398;Y zJ3zL*UJonUA<B@8+6sAyYa+0ZuE8{VbT>FaRR(~%S^=O@Xwab2E>LX>F2+Cwi^p-u zAT(%H<#>w<XcY~J32Ns=bO*42Xpe3W7S2<S{8JBfhNu|0bhxPK^S8!9J>#Mh0iJqr zfv5z{LO68$sJL{8s5pS;9_E7P9yCCsgzEggpgpso+W0u6WdgG3c#8^X%?pUx4RJ|# z57-soFCvfftMRvrgKg}FxDghts{F0|FmX`j(%l0#4mQfK&=H~{&)>?9tfbRLC8HZ+ zYp07!PPdCn252lFB<iA)17U(iyM0u05Tp5W{H^OC4Yf-iz2I^mv}_sNAZR>TAOVUK z(0Cj;U|%!5{0eO`fE&HgIUsnyNS40^B#)L<kY<UlLxzPxb0?ri5j0{z%$Els<IXUt zm!KX6G(5rbpCR&~zyR@~;R|BEyaCQ3kmTpl-2hKWSdxs#aTk>eP^27pQK<pZpy-Y0 z_JAgc02avLai@=p1SoFB`CCEbav%@E5`+y%hX*)qSakcS*mQ@eSb!1)crr==q=}!u zXFj+A@|xkei%J2=mg6oeB_O&RG^6FCQiGZZ_)6<vGjzMC)WBk&x3mJRHv^>SxQj{- zi0+0Yu5KTd0>u+Zk=^a1Qo;!m07Y&N$OzDg8&9bhC`G>H1_hgo3h2lIkZWqd0hapz z|9?<GfH@Hm4(KofhSyyn7lVXBE(XyrOF;w8E-D$^E-E=-TR~~72i&lQj2VNHIntOh zNE(EFR0=@yAu1&pk{R82^=E*RF36kM^k=-(L?1iGo>pH5LR%7$Rb?KHZ@_agosd~1 zP=9R)s9fsaqXMG$X(P%<h=@n`{uSWzaSwR%4Aiy<l``-_<$PFw4I~OKD50}?;QA93 z4WQwiJpR_x;7kfiiy(`RH3)P-i$@R_(O&~w)_4TuNN|4*BnwdtE+j!*Sjz)ao`6gQ z<rZwcwp{+!NhsEOu)Y9iWylEq@fPsB9Vk^DZ&3lQR0c6Y27){TG7vV=0+K@(hflPC zBp`VOI?)1}_rfvDIR$K6_a3lKXcH|Npd6FV-&zKCZa2g=u+U56Z_R^=gF3QkbDaqt zAu93wt!c<gIw8dXVy+W3rO^!$1eJjhRySlC2qJ)_0y;^9C>`VYTX%p<M{s5mhZZ!T z8EtShBiG`w{4F4PP+0+)f`+MpwUmBBi%xJ<b~kW<B7{JbFa~>P3v5E;F$Rzccw2<< z&K6ilcLPWVQiCZP)Y*bY8aT600hJbzX)F*QdD^ZL>UXe7@D4dB0pgyv1IdC?6J%^o z5j02V&~dKyBq+|KU@IM9iV$<@k<d9dP{sx&Oz6B9h>4hE164raxh#+>Xmoc&W(L7? zY|vN*QJ~}mDib51b8P$&UxG{k`4T+G2ID{{9zo);IW~|5+>iu=NL?Uv@z02XRY0_L z_kiaiLFE}_iV~?vgsOn1OT4poAcsJcGJX?53c+)1Xo(RN5TH^ioWB)xbOS^d6rsl& z7|=>3Xcrk&D!oko{r|t=0gqnDSa|moaEW=W38aO=1IqR2o|K^lYAis;JV3=IsH*M+ ztt9J&^)JCgDHY&JFc1kg4`~wYl*cYm9786-z|&$Epp#&yJV0wdKn)X+Ydsndf)X-l z-rNH;n^gdwGQ8Fuq7u;UqY{8^QVUdu!E-Gv7~J_=L069<2LlIMFnEFLh|UghFvR@= z4S=HNZFtkijlX3*v<;7{0+#zH{=*uGAPbQLaRD?CAq6p{?&$=LQG%AbfE!`d4@3*p zKm@gO9WVnCBL#SLPr8BKwI-nG(x9?ySAa^p?hq9XRM(nx`>2=@=UM^qwCog=`n=Oc zMFr$q$dVB1g)Pjr5~!|K0WELAbnVOeKk=k3asHMl_;?pQ6(cpPZBUvz;06!2@e>_H z8wWCe;?M!gv7n)oZV*Aj_z7aZT;o{70!XF-by4BtCt#zI#!o<Mpq*{_s59R2lSkkt zG^nu+>dkA2gT_+{j-Qx=n*!)V&Lt|KF1iB92)wl=#4>2zhP0X=W4ch7zZJCM8q_90 znlFd<+~I|S5b}IETpCs)z~;+|^`BsgJ?0oAv3`3Q3LSm`*#-&<q-KCX$^F;MA)fDU zK$GL=Z+Q%whg$(H++gDuurid7zZFz<g33_v_|gm<Y2oF2aO(%I2AUSS8;FTnUfcsO zAm@7Ejn$Wt;Fb%_;mA!k9{v_r=(sA9G%S9!aEBOZJp*_e2%OhI1h)L9i=N*uke%N^ z&24xXYjlEp5GeT#Y&24S1F3<AF>(2=3!L9zSqD_kdNdx=0L{BJAL0N_S}1_(TMduK zLo+~v-6fz=S2K^!>$qmOUm8Ng51#Ph`9g%h1tbaa5L_A-?qZ-09>$b9C<}va#uwS$ zpr`<2Z1JIo9v=~%<d%Pk^#~fr8g^ib53tcl@c~jpQhb1FPe=fPaxA#_Mxe7mVEp(2 zsQ$zkcnlEhAn^gq-{|AV8<7199X|&7l`7-M#P|}=_%Sg)L>WH@X+S9lq3aPqA&w@8 zW&9Wv6woN`ZXm|#c*c)Gwh<`Cs4;#FvIfb+sN=_=Lrp;Q*`TToG(G}KfuMFgh~B4< z$U80I^|>D14KKji2QrobYG8wg06=*hyaFw|L=2RkAhO``k;Wq+8xBK6!Ipr=4`B%{ zi@)_Pcz-g;B#@QI8XUU7<s8($US8-xGRRuUKr%uZc#H(ZMU0Vv1`I%EL1@f5noRyy z(2z02IUudw9iY=jkXDz11U*<mt0O=YzF^;VL;T~>4c=Z3G8)?F>^ybwm;Aw3(u@}m z{$xG)khv4m<vZS@0y=vH)U7$*q5?7>#DqBu+KYo-UJF|BitqATAC(lBj+5OXDk<QN z<sgIMCP5anHXmZ?yw)vZ0A1AT*!jcp;17AngD<2VJAb({9&&7a1k&ZAdEKGoC)f~> zlc4Kn(X4KM@WAo=4VTUXo!5Fp*dBIH0k2=}hET1S_~#$&@KH(T@1F%8AL)j86f&X$ zvZEW~U5Eh4mg6ldpr8c#|9FcE==>EB6RNMf2OK!4<DyCYt<2yg0W}MjR1*1Hf5R*V z8QI+fHV!s89@7z`63yTG8Cgjuq*K-nvA7ems2Mt72XP{(T@77o4-o)uAAqXB*h~<` z-#P=b1il-ZI$6OheL5k@19z-L5)mvhqK=3}^0%ggPqP3;Doi0_R3w7GH3BS+8e}kE zhjfIf1oO8BAS>yFtjmW6UuTO7=vWo#3U-JmLBoyR5OIhAk_ylvBMb}-h{3QR{#I{x z(9F8wC6E&#*~20gw$&2icZ5uaBB;&Y10MYI=ms5o1=0cDqyd^p_zmgtzzYK8hFKtg z%h%tq8Gd9Hur|VV(2xZ3gaycGkH&*wJHZQxK>`e~XF!*4<Jv3@8YzK>Cup-YI736$ z>vaBi?EK-wc&yvb1(wBKRD4{JckKJ9c({PiDGE{X0dHjnr~4`3l#FH?#vW-<UI*=w z4pDKL30mmyfxJ69M8ySc9w^(qTm)GW4oc|YQ7LxF914sN%}ZdZH_){VAisOC-T<}J zpsT`<L-zH6a@_G270|IkASNiTAOj5y-LMf~kQ}l&e8d+dfimI?S}S)PlEOfS9fu?% z5VLy<cxO}h9<WVlBfbux(La0W(t41guxxDy9rgp|M35=a{RJRqH>B+7hAb2Z5Bq^s zL9;V7p@N6~pt%-Afy@OB``JQ={q!LL2}<&y&;k$p!8lOIgT!IOejp3DAq54Z^Z=RL z-2*PjAftJpatviO53B;B4eDHoLQuxSrVwNeeiOkepyd}N0C1QHQV1UQ0}a(|0guvw zhRndJq48h=C_8rcfcM@UZvo4Kg7$ce3g`eC5EG;rG8)U-9mvxBh_Q1DSPofS@cX6a zM~vNjz!IFlK(nWg{M&wX!X{06ppAph3E(Y1kcl2pD*`$iXaQQ!VbB?(q62akWIr}& zEYL*-L}HEz?gKdtG9vg9v@HGubVTqRXwaqr<T8*s(3}q+Yw0dgF#t`>ftJ5x8EvWk z4c=q{%C6uTU-b)x53Q-eQvJ|DKd>#`4G>>JM#!;OXrR0UE=DkRw?Su%TS2X6NUH;^ zj-wN@GX%0Uq|-+w2RaMU9ioy0npvC=nrc=6<r;bZ{)^yl8h8r|DF1;%2Ps1%@(Czi zqSmHz{H>ru2fU*NBn!$OpekGzb{YbXybP(>yL-S{8l3e&nFd;?boYR>I5_KpQaH3O z0htZTdNQT)ph1$CtA2t57+aZT44Qs~WN&bQD?|7o&w=@%ZHLg(3&e+d7Q}q%4Vs#S z6hPdN!Us{|fgRHWZhS#<3#cqb$$wxK5N%M;K@@_DBy0-7zQ$`JSOvsA_)P>UeEA$Y zAPn282F*E$j0#E}(5-3EXa<!nASNi;gJeCr5g8REhb#`ys2~ZHj0!3yKq?_g8lF)h z6#;z2Spt+%1v*1ictGlCm{A3~LsTTXeN-f{WYkOFA*;b4>s!F5OYTttU04L_pUeR9 zyZ5Mo=zRu={s~0HqkDfr7pQx`M+J1aKBx!+(~v>V78TI)3fL4bNCMnN>286Tj_8>5 zsPHi|Fn}iLK^Yrl)v<;Xuzm@Mi<rR$Ti195bWsy{1{Wj?Q4H>#fVdv43Z@`8wWxp& z$_JSUp<xX|*eMuWRCqzQLZ<Ljz~d-LE<?1GdQ?CIvHUHd)qRj61spga=OYadgL;lA zyRE=ZX*>vSx*-}%ASGK=CV)=F4L|USU$6zt200R}p7lfu$mvKs+Cdr+D@H)E(Rh#n z)EoqxiP*t~WCZy1j}~x@fR_1yvN33>Yl{l#q991phR9-{Hr%4Z4RT(qI=t}>iaL;5 zSUY)&3Kv*~C|Ct(3o9c7LuZQ$=o*4<2nAl}#J}xeXNwBxc7SduH3jSj&I|lgK{70i z3=ADTD$HQR9>aPepup*D0k5X$hPb)2MFq5`q8lRE4Bkx(T5Qn`5r+sMsp#$jtA{nJ zr>HQ2ZKwk`+u;G#-5_8D3T#MN;14PEZPYy~phHd_I<~0%2A%rVx`PkYWCs}y3af5V zbpyIQ09xJjsDQ2j=<ZPgi%kLZpp{OK3g}Q%kU^jti@(1MY!JBq0EGi+Uoa!0doV@i z6WF>Euqu#s;8fGS1H2puvNgu=8+e~DxIpP_fmjKlS`Rq#PdVVy(W3GZWJ=33QIMkz zFBu+i>^umcdE5fd>mH5A3cxGCzI$|@1E(^~k^@xhKr#f_6_B(GJ{$lNq#*Z!f&+0j zN{fmGC<TM&S3xvu2K)#ajLiCpUjS4dwx}3@RKj$0H*kQ{ev1m|Y6wty1ERrx1!WXS z&H`sE4MqmgDsza9pZFsn27Tg>X;Fbl5tsEKCW3rInjb&$>-2y<+t~xo;h-(7(2R&M z43dwLGCN!f;ZH~aLdsXLKf!yXI!_?&ONRL|5@O>genAKW6g*&8Hy-!sLJAGgbTUNz z5J((i;5naO*5AUQ{ICVwx&*Da2ifP*IR#w7`*fZH)n}mQ9B7~h)XD%!GrY`$wCg~r z739H}Zz1hI7$53!u+$}JcNA=Scf$lBkaegr56LlDP6pVcavhXtTR|BBlITFCdN-m- zx(1eh2X4B7)+I7BfDS?iZ6gNV#04t$Kr7uq?tm1ApqrpTqM((7j0_B*m4o1%hFCc` zMdd2k%&lNEL5l|&85lsVu7e#t;1(Hw>ngC+@fL7t1v2e8q(}lWq2(fI9Tp=41Gx1D zEwn)8FlezMOc+{pfhdsihdQRHTxMWkD9r-pu$StP00r$>0~rfi&j<CzOVI2Ire8s_ z;GtruhrxXC3bYoL21Kxc0st&!3u)qk9LEiDKBD*qxv3kHgu5YyH%hY&EDg~E^=J1K z6_CLgs$QBvYglOHH$cl?Q2ssM0=}jP>bnw<qd-dnx?8}x9JA~NwJJeHCiu+Q)?eT_ z0A(GJStOOcpk^D$AjmPNAY(xx-VJe1caI9lDTrF+5I8k#gIfpj38`i8L69jei5!%d zy)PRfSr4?T5EN#|TfhzmF<)9hTKF)jml~k?9^|ncu;UvK7J!RW(Be~YArH>dkn9Ck z(A`i0R)8qbp~A4}17$*jRVOHJKnlCzOFw(SU0YDlgU>RBZ#{+B1CK+vMo@){y$+po ztg{Ea<_%;RXio}%52%cS#v`Z{!l*+xfnuQb6k0su@F^sEyQhGgQ^eG*8$qVGM6)5s zFKF))s2XcL2(DDSw}4Ia=sXV&bZj;2OL@rpDUb(15sF-lszBlvCiPMTHD_UuF?{|2 zEv@cuQ2|kiHUemHl)r@;+$w{$5lHt3C<ehvX0&wxZO?#OKtr;1pa@FAom;?1UVvH$ z;Lb7h^bct30JKU0)H(o-_=1a)mjRz3BhcV9fLfXmEH+RME`l781Ipx+K^d&|K6vH< zl)OMKBzTDdX+LzLw78ieJj|9DqR9v{2kb;vNP&pdCVTlFIywcG?`{C)VEFXj6qQLJ z*R%+O_RC%SgLdEsSO-QI5MdX%vp3pc2Dg)kWR@@jHB&mbfQNfQSpqz;+c^cia37i_ zKtr;iy)uvkmR>r%Cn}A+JOLR;2bJ;vA;mqY(FkI`bOSH)X@PXp(9&awN;!YazMud9 zzifmqb_1tD3{_?PExW$||NpZ3J9x|xWDR;PQ}q!=>SfkP>@HscZXn=kL2LjkfYj{p zw2$a~gBk_|ivo~7kU~TY!bhb5G+LX_-^vDFj|NhKQWAg!z*_LO9eh-vi{pE4f!hPP zdfp)_Y5c9n!Ad{|Lqiq2*YH)f;1K}S?zoFeDu0U!rR{~{4=B-v++Kk85@3=1QVO-b zK)NSD4F$CJf{RKDf6F^?nLOH9fV6dx&pXFf(-2$w56O~|6WmxRQHcOe7e#=UwgrF- zL?4v^P-zI+3jNaP6-w&>IVBP-5>Q$PJ}NGt(!iO&^#V8zg7PRzX+Uwi08-2$w+kdu zM>9~`1tBU<{4Ls`M!~z$MuA7~h#Ex$ov;O(*8#8iw*V!518CAW0406MlKq$F&#_mh zX!8Xx@8WI<gyL-osPnfx1vLa#;B5$~@wb@1`v3psx0ggY2b8bCbsy`6Fv#E?D3^gm zAv9>n6*6oK>gIsNA*vPPA*w+YGDs9cqhBoz9=HXK_kQ9RXo0p01$w|Ez@Qmv{^<w# zw_WU<f<B<y4H4<y0-jv>ey#Nr|5VVVt7<7HXc*w7{4=Cd24&0{G++dB4unQH9yCG> z5(hgTcGO&piUO!m>)r#V!QC6k&?(YbtN{3QDzHB!U<1$~!#?o~%u#`e#NGPDA9EP2 z1ax`ZC;rGD6$nER!oW6c3sQh{*cO)Hz}p}sKnYF&n&1RL2@ZPZ<gq9J|G)h34>s2a zTEOek_~r%3OPzaE9)RgBDmOrM_Z~34&k%XO4<fVw2Xwv<G#m+XDVT=M_kp$sz~=iv z60rF`u<4))GtlrRX!O(!H1k#u8E66-e5@g$8@dh=#6`^afvsyi0&*sJz7He|Q4F5% z193fAUzk8r7ie%36m1Y1bH2|MWGm`?AKYcg^L?QEf05_=kQKoeM}g-1Kz2b~06B5L z1w0H45(SN=f@aJhoAMAdj-VZ3{H?s)pur*7PVnA6Dks39-UAMGkM2&$(S|)LpdbXr zI*0}zTiUrr1#}B8c!I~X^8jek6o?N_i-wm#J#UEDTOcN}R;Ykhhiw6m`g(L8-)WDC zP^gmb2FMYqEl|t$fN8LS;3Nvks8GeM0zQa!XfT@~w8cKqZHtH_{Xqu?fP4iu1T+H( z3Kd9L-OxuE0tqKrxFIqiC_{n^0g(Nl_yu~vvxuMgBYMC?!k_pf4}t>(>`+MK3S=87 zL4qx5JpMw3fdTAaP^f@1E?6ld#d)v_fC8rz=5d%8KR`tx-h#=3mTq<Ss2l*t6J!(} z6ullSojoc?pn{-S0t@nVPXVj!-U626JO%PQ#5{&>4<62QoZ!=rJEy3Cu4#4X*rOs1 zo}bL;0ws&%klq1wFdQ`K31WhbfLXu+;y}$~2K8<rUPrhUS-~z)pRx0rwt?kG2ma~D z9U7i9I`D5h-@OH#=o~vQxM)Kxbg?{!q~Lq=PX^GD%+3E89Qmgnbm2G;(}@^c0a?oo znbhp;0UOs1A87&gb3p68K)p%^P$ERQ&I8$b%pM>QTtE*(WF?)~v<o;eTo2NsJq0|y zX?YFF;n!gf|ApJ(AiW5OgLoJYzXEkQa@v9=5d~FHaf6hbK#JgV^pNy-Z~|BnYPT2^ zZ(wQG1?(UrAYlSpegd@(v>Fe}1gV8bcy|EkOx|u&P)acT*!+l*^CI*%kK>@^BLz-A zkSPTueO(?b4G$SxPV%>UgJ&C{7J)1Pt+|CvdVx|eVv0i&Y?29BIb;DU#JylILr1D0 zu7U-|22g(KhLu&I1*qU$ho0NI8)kq5gcvVlPWXs0GBALK{)EBK>jKYP!#oNe`UCe! z!3*0udsINz+jsYXhqJq<fO(+FcJM?2Xe9^8An*!@o*1w};4VKXXM<KCgQox?$C<-c zIk<sUfvkgs1+1(Gg#$E5LGgko?!I3_UWB|w1=Km>Z#9LR3o;2@azIZyhg|2%->M8& zff`qkrN}KR;I$J|plc^Ykrjegqk`hL1zh@oP9lf!K>dHnQV9?Xy0ii!02*6DOjm+< zh$I17JK-h)Dw`02umcqB7=>p8R1{P=fmXVKk{V>T^$dau%5TsBgXJ=ixrjiZK|u>y zt+5BXT4T33$TN_-0B$XEk<%T(0ZKB{L32we>oeMCgSDc#7mLP8AdR4VUO{Q?HE4vm zDFs6#iar6jeV{dTAZ?F9+S>Jr&<3^*wAv7)?KVhT(=RcI4?*o;&{}j*3g}S*MFJvX zK?7d=t(U+mK^}snZdepT!UdMgVbKkWSWLCBS{x!P08#)F^66%PH3N2nj@0Ws*VzM} zHwU#_Kq~;jg#@U622E3fbU`ddt877%-MDJnE#R6KWFV*@<2(m41)_n+k@KVr=Q&6I zsfRkZfY(*JbWBk>4+@@EGjM7qvZe(Yrwyv5AiV)d2?olp;0OfCT66GEI@Iupk$>B% zZpiW(>n-4#2`2oh`6mPa)I-hxz;&tv$1jLtL{$nhS{q)Kf_NA;(i~_G0{IXgPH-n^ zgX>Yq!Zd^nAyqBdi5@6!1SurdjUYV;H-dN=Zd?L&Bd9`z1O&*nkc8y`E*p?)O;Fl= z&G54D%m4p`o5CPJfSSS}8r<@O-W7t}6b7yM1Scs-Qy9`Cg{5FvQy45df}6sig{j~` zdim)Kc*GdgiUYL~p{+G26I4h;nV>=%#C-YT+yDPB4?$)OK=makvZ1vei23?4JhEYz zF+f_%poQ=t1(2Fx50nW~3@R`%YkSaAc~DUXSsE}6KD-ZB4a$hb*7l$cy&!`i%K|_# z4@z_0Eh;-8<srx^i1HA!EFcJO9VFReYxzL3p9MG_;^^{#`Yj+If(=0p4kTe%-wRY) zf>Jlghd$6{j$qTl$-A=$e7OLqkON(E0FFIGsksNdb_OEY3t5(nvNjCV`9khWO@Vf$ zAlIFNZ2@aW&a;sIzenS7Q0ordo&(tjn%xB}ea-Mv`5QR#fC3T}pP&s6(3M3m=Rj62 zz@%RGK=>O#>L5vA3zP{v%o}vY2_pl;4$uXDoyR&4f-M1s2PE_+K`y$P0`A6wPSSyD z2c4V)Wp?+dfD8rc0fk|A5ew%>(6$MXvq6*5osj8c(Ar1H^l=4vj}>UAA!O;Q4Y&u= z1Gb_YbXyB({HnV}1tiYD9x@o!*`fkkcZ_5$B+Nj9@JMAn0dD6a4HU!bK)90bh6`Xd zuyPt&L?OE3ATNNt0!n9~<N)G>BMO!rKqu{ik^_i_1Qv9~7N`RZN=y(zY{>zXFp-i& z97aDHRQZ660f&9#@d#C<el%z@6Jj)I69g#8SQD^$g;fJ83Uc`%Y6ym?)PU|7s^)K9 z0bUDCR1?rgr35s$P|V-n2i63NM^MawngEar7UWA157KI!1lmfH1JaVs-xQ0X1zcsr zbikT@J}N074axlNdPHad+YkfN5Y6B8AG`<~RIq_NGN9rdv{wtMBNL($#ou}htPJFK zaKutM-jJG7AS+<OgX&kqi%2bdm=e&bfRJhe9D*!hMWD7^H)Ob_dkVN4!+8<eT+rE) z2u%enoSzWg;Tn}l{?>)y=~QGb==MN%*>(D;gn+^^n7_3cQwxgo1317=0~Kp1=JJ4e zu=ot%0V@JkEZsejYG#TGh==BW6io#@sO}HqZ+(c73OrD>1n|J!?*nqbH-GD4uoh4{ z=!OjFG{0cvpK`F%Ma8AVN5z@HWfNEdG&h3EP3#>5(9~7yLa<s;@kZLX1}xu0Vi#Jl z!P6QflwidkS~DLa2^9n-08qF>%mIy>5jmz7qGAKe;nw`E#$YcXCCuY3;Dz^~t|zk5 z$dL?g=7Vw&E(NG9eUM60ThSmrh*mU+htY}#b<@yYiBix&8vCFo8nQc4lvty-_d#k& zbty;>!lfV{hD$+BLy${B2?a8s2yzglfB`ifP{y1=N%Q4lNb?a?WrEt2(7F`Fe7XJy zxJdyD5>V=VssH=`|Cd^j#m_K7Xd@LY&kx$XeD3v~*QZ|JK<Yq%GAQ;IC#3c~=h1lx zT!%p%4N{I&-GPSfz>6|LGbaXMbqFsJuIoT*;b|5$I1Fkhg9NFSx^+OQTN`%r<Y?*! z_qai6bD&c<_(Dr);R;I5(3A>gf?Nn?g7OTA`Fh36YRLL+&{#P*Mb|@iaKice5P58g z-{BY9d@VTBHDVoh1|@X#VjPk?!Nb$=Nuif9khx}%eIOSS^ukLPhz3w12YCRgGx&1F zD{yXxNxhu$3hZEbyAkXFtRu?cfdU*O$}i8pM>Y07sx`<iI09W<0uC8g<Pj`rG=WMk zs2`zBP&7fAplE_JLGb}%BIjcc$nq0#Z4W6z5bY7zrev@rsPf0w6o3^70W6SVebgp^ z7Jut%ct;eb6lN~G>Q@0({mT5Ut(Z!Y-3RJ<A-mm!2g&iEZc}%U3P?@&6mTaB(o}(2 z8o-0ieM<bTpdoZf`x&MbW-i=)G9dR!^S6ElubTsv|L9E<kq#dfVg44-m?wC<H7Myp zQxd3(0EIfViU2Xu8!U*eIw2}T{H;5|)_`_L!}sa<s0i}6f>z{%O$Uv8gUo?0jsr0f zgX<vsz&o!&sz8U7K*k8xz&7cCM#Z6n=Ac<@(9Uat(h`uTULJh$|3CN?SZH|+^1;ho zNVgZ1c)<L>5I&L*LG?UXiVw2F6XXIgKM<7<4FRx}8Dy^x$c>;Y2_c<V5D)4!Xsa2r z%No>*LfMFe<Ok5Xs*DT_5QQL5Vp9k<7}5@hD8w)ktOBx$6~Bofg)c9GwqqmjLILN^ z#)IH2582R)D2*Px{{R1_{Y!9uhlScpeN;X)`+|c;<mLbWug|@{`1;iAv!LV-Hk%bT zo(<|1BSc}P0cbc8Bnpv*Ha=nb86pW41m$BCl{}zBSwU%J3#5S$p5=vWLCo@osPKU5 zY;MAJHp~&=7Ae#uaElbuC5O+FcOxr6ZIOahl3Ejj^dQ=KARb0L4|(hv=1NF&6Xr~m z;b*K$&|0LR@nce53etmcDTs&RQj$iXUsgj>6Ufid3J>H{C=+BplnF8w#C*L1bW<oJ z0|STyIx!i+#G?A;*B8jEZNU5ML1WaQ^S?mDupXVT^Fts9d+Y-(Cx<pUAtD~#`y0AJ zGsTeOazO1=&}bI)eDoHTZUzPh*m@g~1Z=$x*mUIeHlTwC`CH52V*sENevdWWfUUU! zaS>~7z*b_Mk`7V~UULIh%v#}xSj7Q3)c|trIj9#88lwem9|GkUh#WK%fd*tj`xhA? zdow}9Zww3!khPhHpi5gpdrF|^lOu_OMm-USQiFJ~Sy0fq_#IPJn!&R=OTj%pP>Bp4 z2>}g`!TZ299X=}6{4JZn+nK271Q(T(ju4e%{#FY-mgjW%sATiEWKiGol#URUWd7FE z;E2WU^Oz1Fm1zDJHR@XqJq;@kkL5lcJ}Tb)El<FUa;X~ZE*&8%&it((!QR5|bDIty z6>I*MMbx+41afzH3m(gLI($^L`CAgGZ@EfGh>9|Qt2iFZWjcIRr1@LqsBgJQM~I3r zf9oo+$=HLPr^82uo4@4_xKa9=;bkUtoiw~qLM*lOQQ_im$pQ7_!6gf_3fMY3Kj<N3 zTfnEg^Ft5K08K@JN*7331YS|ri8SQ?x(n3jfoOqU$OT@BMnK<7f9N(@GPHtrVUlSp z=)PYvwa$aKsK{_|GWl9H$k+N7a<Uqza0a!%Uj{?^xN!afG`=om00=HW3yseX>4L)L zOVIca(fEEy$9Q`*zli|de-;2*uI&N35ZPm&F=B~ijf#gy_kNBZPz7A0;sBC&fL6UO zD)O-VbR8g-F6az?M2+jCBFEnfTKEC#A-Sk{9BWAE0k3a$QStDApWh8Oy7@@NVaO%Y z9>*F%su(~QN_%*~&L{$1F5T^;0ut~5PoevOZdwBqmf%St@C;<9iwe@|>KfqV|3M^p zO%%%U|Dd~eKq24YVfYht*ca>$?DL?*z7$|~8-NZn>jvNWZ4TPPq2SSZ9?uy<zo5rI z2f*&*03A^ZUW6^q-vW|^gacd}mb>qxuSW)F=*~Tm^9-SzO+n2bP{UxK2_kMFr-Om6 z;R8nx;rq2f21AcUg)~i&?y586Z}kV4R-hsUWYe*R7m(<JS_3~8bwUpV1LRm#gfei^ z1>(XE9&%9uU9SZyYCz!(z3c+S1Reh!0d6352e6<n3M)}D<!|MHI>JQ-tO|CvMTm+C ze=BGO6S!FeG8cMSBBT}MqGHey0=iZUv{DJK1hh5_)V69-0Ub!z3Ay<Sbk+p}0|R8y z7ihC0=uiu|04NVZRe(;zU_hKZ6QZKW-#P(Y=7Y*9R)}XH_h@)D9{iyI$|9h_Byj8X zHN(rv;Pe1HtkOZl1G&uC<!=GWgOUWQ3RtQrg>;ocJ_Yye*dYC37#|v<V5!$oiy+~@ zLk#2)7nJ}|Gacke$b2*CmJkpR6#fCA@Q0nl2$Fyc!Vh5ti9*IOpx5qzn%1EGNFXyH zCQgAeyQhF}TJ7EgKDQO^5Jt#ZbkIW>L570H4L}<c)S!njf?@z<5VT<gVuB9G0!3So z3aH@>9*+R2f}XGmI?8|ndX@+@wm=lfT+n!gYN;WpN`7e$2|thtpg;m2!U*Gl#y+6U zZ`dJ>APcx52?UX9K;}Y^&V_XSLCFYZi~y_xq78IH2q+nS{0}-L1jPFEU-4q|$Nyjt zKox?l!EYj11?1u-{3e1Ff)8PYWmpgB_@0Xj#^qz6Lr_2=3yC;ThXKSp?xNxXqCv6m z0ZI~x0W%+!0=Ot_$j$I#r;kbr=)SD(5S0?p2pOm#?`G)6K76Ob->M1E%V6VS20_wQ zr;iHstie{$;a8xX05#78*&P`OcOZuBKxY^FsAPZ+E<|<*)?qq1{?>)yK`{)2;O>B3 z!3GLaP~rh!lI8;MHwkq3sPLCmgKtU$b?ZS_s8w`>Z&!n?k_}PeD=mD@09h;y$%Wv$ z13a_~E|#HXC@Z*>==M=bKsl%O<poglZzU)`Kxy?Q=w4_zCl{3Q(Jxl3g0#_~9YPOQ z@Nu+I>nson0%n~BvUL+d+g{+<qwx*MPoVxdcpRe>dM-yd<hZ4Mp!L?^5)D)XftC+> zbnlnw1r;%Sz?*qM!k|q(&_+Rv%73K(I7kB0G=Ll@1FjiCITf`3<sZ1i3OaTM6!(z5 z(Z?DJdckc32p7>G2V2>A1mslk9vF~IAd10N4~UC6ksGp8s0F;G2$HTrRSjYX%3rX} zpz#2N%^s`=L_of3f%*zYWA2~<)k6HO%fV?Ilv-ZOK=%@Z!w=N{K@{{okkz&=ASDpH zkQKqo`hVc2B;*LP?jFdwDBYbWz(+&%sDNrj(6LisKQ<oxAqm<_umvKF^Yj$h24vV> zO3+wsJZ2yT@+F=V&0se(Ku%Hv9WM;A_}B|@#q!;w^BVS>7(mGmthBqq0<^sa?=1pT zRDOZd3Dzr~!G<&*GXNQa_a1?ljnJA8tfRXDqyy<#ik~1GZoZxecNpspK9J{O2?IuB zMltC8c>Y$<;3?)sDDaRZ6dsWH205*}Lx+Wdp|c0PaS7oX1r~^FK)D1Y3ZcOVzJpS5 zH$**_1K&Zr>5fCr3<H(l$6HiVK;;GlC}BW6)!hR=;SF}+J7|Lx+NtY}4?sr*xo948 z>;NrE0kxYzXTDDXpT!40u^qHZ3gj%XTc&``Lpt^yWF!&CzJnarJq7G>GzVZF`wm)X z);$Hh+^iLR?0b(2<Uqg(@KJx=5FbMtZXm~XL;MX909yo6(cJ?M55%fQ(0OV6t)R#U z=T}eY>D8d9@nHP`PS!1u{L~GjG1CP2yuj9YXtt+3m#~5}NhhqFfzg;2gN`NVZ(Rnq z7!)56XAAISO+08<2yIb$4=(F8!0lG-x^br@Xo7?v*$oO~kQp#>cxw?P0jW))twnJ3 zOaUK0+Pw!78`oQZIq**fZ3+NYC!i&%&%sVh2d_B-Ma*l4m#6-q-X0Fx#sVI+0G+i5 z-UA0>fDB+TJPBIZ2s+=+qZ`~92OT~F4jX9I<<WRNAQzM+rl^2aL2nY@16~FXxlDYD z3TP-A+kN7YQ_Ml@AFy004mo)jB#CjW_|v~Q+z%So0lOc>Kyp7Qa}jnwNEO`upk5rz z{h)3fcK3r+ft-ld{UB{1NeuT_qZX(Z;K~A0q~J~_AlG58U<M6QgJO9#IF|L`2@tFr zG&&B>?%=+8C*-Wg?jCU7@16qQ_6*t#0^Y9#YIK7PS`0F%hXHI5xQho)WaxQ)5lB_* zD{yWhzY;{0-5!XA%b;YBI}|{&n4tjb@_-ya8|*Awu;XD_2)t{H*iZoVwm=4fm+toP zgAKwR3g8o&T7TjX1#n9ORKemt+!W+gNS-39PM-+!WlIj&aX3pzP%Ta13Yt%l0WMGk zgDN&?1qWijWP$YSU{Wu?Ko0<bxe_(Sf);*5t4PqnydWmXOW-t!)*a~q-<k~yV$h6I zX)PoPfK`H0D`-D7WICo3vKzm<M+GFt4B>S`cIO_4ocs&22cG!0fESx1wcsF4FkI)} zy=;Pv4uYHxoniwy9mIUS8k+n-A%Pqypv$n4q+WuGA&@_zfdW}O4yj>|w}71kav_pq zL5i^_U{Gff6eytSC0L+<RZ=-nAc+xYpcLZ?6p-VgJ_V&Mq!wTkI6bcZ`~UyTT!aDW zeuuVnd-s4t26F{I#Oz6s!f*<>S8==ryayX<QV1wMAR`u_r~)lp2d5jv3Vaup3Q*@6 zboYBPf9nD8v<37$=uXH2dr<lSYr?(o9<(9+c#8_mHqa>47O*qm0l>Ndocxhy0idY@ z>QGQU4Pqi~Isj!PoL+_`oGIWg5%z=wZEJzN3{DluUe4$QUnJxLnw@(AE)$?lI3zEF zHR1L$C=(zhQ;3&Af(S2XpnDlE)!ncHEC)~cAisjV56wCtCP*>Z4QQo-j|y~cd8;Sb z<#2VNWKUd~4PRE>qX;$vS7{xh62#xi2UY?vGr-j%Qr`<wWZ>zwK}st~N^K~hz}v8; z)Er<3k>zdZF+@F}BO^fVI%upzlM1X^4qjt@mcBJqh>9bB%M*y5Xczy%yLF%<gh2Ha z_!Yb$2b3Q{#WFNcf|xJUAyX}&Bnsj~(=Lel(jHO*ff6C8ynrS`5cB0@RH>JjL6sV` zJi?yuKoJ9tZAiHUN#vj;14_=jK*mCvnU@StI(CBF%An!}u8$R*q`J3&i!;y~WqAe$ z2Fz1fUoJ&;8nUZZ(5hk)P~(wUS3`;xl3fk1CJ4Lw87Kg|p@T?h0|Ox{4*V@<pu0wY z{QdvGy9L}i#dU9!3TSl`q+1CJTTl>o?@<AXqTbsC>JE3hsMw(0+hhT|x5);4&k`u^ zyQhHrLujU9+}i{)5OQym3HaV73)Fj?Ou*)W%JY{`K0uE4fh7mfunFF=mk<?W?AN`6 zO=u)$;AID@ouE7euXaOJj6m1DLq`~~%`ck4=CHsi6x0!MfDF#EF5rQ!sBuxT0Et<E z$L~NahfWR<1r`8pWN}e(==5L#m3!b}<Aw#`K}qoSsvrV<`9g<}ih79#M2*4!2cQ93 zkYb26-6bjx9-YS_ZUD_dLexR#=OBZWJ}PRZi4b9rV+|2~;Gs#73Gm4<kgc!5ciKV~ zfG=wFfQ=@Cu1@U+6X^Z=5*5`_D^Th9x&!1bh^>f$Lmw5Dk`9Q?a4FbuA!tMxSqkP> zWzZlr$S{v%4FSk*h2F6aa_h?<piJ5go{j~n0Xr9B1I!pD{??U<0rO)G9>_+4CJa0} zJHSS*g&GCXj_h_t{+1H>eYbFFnENBa<E3CHfXv3?1O+rFIN)-E7EUL~^S9XGc7h_p z2_UnvI6)502^P4VupC^}z^1;DLqV2UCxFbx;shBqCm7&zf(lM2NE7P>kl9$AAcf`x z4O~uG2p&_z77CKYIss%h7AHucIY9xJ6Xb9@L7Z48fXv3?1Ti!xNZ@k9Z16H4Y@r}Z ztP?<HV{w8AniB+YIY9!a6NHI%0?2GEP7p$K0tYT9Oa&hnjV%-eiFE?VY%ER?;BP&K zTr@G@a)J;}C-4*N1d!R#WtpHd)uEFE(*1{UU^Ne<aDx?{eEhAjStW4s_5(7R1*tKR zi_RkOfrQwCh?l=b9(TFLf+)8@X5(|;%f&eD;NfqH#%+hgf8-Ur9?fq&K<k4XK<k4n zK<j@j_L;-#Z!p)Rdw)kCXfV14v?vEeK#sz5QQ?QJ4>EvE0=j?}5FxEO;p1<G+$#bK zQ;TB_4`9)0fmj~|HoEzU$6+Kj;FMwE!O8)?X&PL;f(gtzmY2U3G@1jcEiF7)SLlKq z3a#_IL2V4y0!$$ZJq%S3kW{@ifX0FYcs>)k$mij20ZBsagG<9IK2fMXbcb}hfbKX4 z6Am1p=8y!)vl5{7L*Ofpx%pchpxrSS6^Y{x1>m0RaTgT{5ChzRF+2(D(|5Y42!ON; zfKF-#4S&0+2po4{0jUH<mq6z^M{s{1qV1dENswZwi+s94nmdp00(Az!3ZRlGfz%0V zUv+~Ch;ubSsx;uv<>GIF9a!O_qJiXG4Ui+i#-cb^0i<04;amkI=PKZGF33e7=PGz$ zaV|;(5^^ple+%fCB1oL!aPBw5ldv_Ep!k8f_!GY%EG2?0#OWI3v;)#@0P-*B_H%Gt zqXiyENjgO?0a=FWl9wK!F|bP@q6XCSQ3GZFb)Y7?N8=mNvIo%oKj>rwP%f_krIU(% z7Rb50!lQe?KtCv3)PNRdfe2VGSBK^D2uLn(0U!Mi&Kj_CQH{Tq3!Z>0jx}WTLtD}n zh#7vc>5WG~jsfR&s4{Q}S9q}Q0Ijv}1ecgDDit2EgCZb{UBDUQxQj{&D1m`O7rw%Y z9mMlMJ~AD)=wv&17yu*<R?N}-kiFAK#RVkb(aq86qvFu*qvFE9-bclu(?_KMX8Lg# zl?;$A$6Zu%Ky<f@N(rQ5fUQK6=m=2}FO_`F0GXD8g@G7<Yb4l8kO`mx0gwqG8f0UI z2P*^k;wey7(GBt732-UoqGE8|MFqoy&;>Qk4;ew)4Uj!30NQcJ&)*6f2Y@6cuwstp zhm4&*DmoDLAP;JE`>5!EJg9-@!32=Uj=QL&faq=)m7H!Ll@gfuI66X9*!f#Qhe(0f z$z(9Qs1$U%s3gENfClMGKr388-eCQZ0ZKGTBa$y~fLp6bsRX$IW#exF$%EXDssdJq z?gEWRxTq9>LJkxsAo^wczyJTcA<nRYgnFloibc1JijCo=?<Fc0oh~XFpx_4i2XYn* zx(VRrbuKEP`wjntJOVb>2vlC<%paEM`C|sv^2Y}(`2%b^YW@HzgXIqme^59N<NRSU zJoAS}CMe#9WB#y0&mRgCKwbq^9o-;;g8HLk0%rXIHXSv8fRw@VN5XK=9|glRe<Td? z{Gs!@CDPvW9cWb~sP=IH5ey6;KwEqnaMLPKbqY}W0aU#Nln>RP^#jUhfzk|68Y<5a z3E?~RJN#Ms@se*+fc>dA?Gw+kyn~q6aTp@c0JCo<gnyt3Lc`qY(2vST7q2-Ak)Lu9 zLNh#YhWHbm{sFNUrk?@by$MkL0Z<wy4+{r$+6Sr*-5!|#af!psh3S6-b=M0h{RB$G z!u<x6e*sD#fYLDYVfJ}K-2?IcC^;Ge104cxrFqFEnfZANxrr4Dsd*`>DGKG8B^mjp zB?^fOMX4pFMR^LvC5a`exv6<2Tnq^1nR!GgXJANJ$prS|k2MflU=M_@I0&JCtcK76 zyCHPN0SNs9sxIIVgl_@f!o|R_U_XR^VJ(DSu?|9mErD*@KxTs`I$?Ye?cy5c38H;H zLjpjwKZroWgycQkJw1?=V=%-0eK5p80<J|x`9)R=(V=;n#hJMZs>Qm728OW;PL6&q z;hrub9tw#iB}JJ@r6s8fnZ*jlsU->}`3kDVdI}0Ysp*M13T25orK!aVY57G8B^jB; zNXiq7QWg9ILOgvvqg-8d6g)iLJrweb6ny-{^%Okwic1pnl2fe|RC5`yIl(Aa!P&># z&B;GBB;GgFCnVkt$z&vlq!H;5BRvBH1CZ0rOh7CmTwsjkf&dT4U{}f<plfVq0J0h6 z1}GEF5ey8j!9I?lIB^3J3=9mep+O-~CYZvI#}aoYNX`xoc8z!Q^mC052*&PsVxuu6 zDAW}ccW#b8!LDe|#uj^~U`KfR8O8^=26_6sV7HyvxPynAp#hjlgagc=4lo)h2be=0 z5bqY`8W`{E7(rPOSU`LZN<4-JW@ey-L_{c9pgO|Sk1|IXf<qtT1|nTx33h>hXox|) zi)Wapiz}u1*brnf*a=1!ASU5ZFf>4NLug23ymO?p4~3Z-6cC0WR~YD7T3Qm}21A4! z=u(zo$&`kWA{87LbSW4Z7?{DO76Y~d-Vjo}`iF)XLQ@9zw3$L=`3{Z^Ln8|!;sR1a zLTnzW^Z+R!!EP9^^Z+R%!ET^adH|h~14<IuQYWOq^bZX&qIY_Ll#&pe2P!?l%Sog7 zfl3cX@N&{9o=)ijw7v&NdN6{Qn8tKY4@U5E(m38_fYO5zymT}kxby%oCynWo9<Y=O zM(}ddB;MIE*xAtqN5xHSLl)eC1+`>BEn32Lg%P}rG@*AYgqMdV1D6WnC8No}r9ybg zXfj}_&=_7an$kHH7{g0J(*a8b#_;mcbih)9F}yr99k^5gFAvS=oeJQkpxMBs0(ePi zHgKr`UM89iTq=N+i2-gdRO;EAn1VW!;Gro~2$OKNWDF@35ssj7&)&tyA3U}g1!}A@ zFfgF={XFBrLsp?q5CuMtenB9XYe<AEh(_@Jz+;?PYI+k;8SC#G;OZCb7~<)VW2A!E zYCg)t-^WEq!70=&-qTM<!8IbpHOSA=2UPKU`a#%)L)pYIR>8$H%-P4Aiuv8Zj0lU( zV-<p2-QvAGLqc4G46%2NNgg~Sa;U<@0@-pSI$LfTs}LL<?@D3x<7?%C(jy4N#>?Y_ zT)|_key-4|KaeEoL<;D5IxhKef1h}e(jeCmKM;+kodIsXUpROuAGC@Vqz;B*`u)QF zQKYcs4O0VfsQCI*+WK{N1ttD?&`7+F0+i$9AFiX|;ven@76#=IFb8v#o`HcuKpo<G zY;H7!xe@P(E3v~lCeYD(5N$v>$Cw($Dg=i(28DzM#D_b2hG0*%#FieQz5ys8z{!XR z%Z+0d!kqm<<pgyGdku|{MtjX5Y$BXtg60fLD+Q>{pkYoZ6U`AWLCy-`q@ZA>;Nt2B znjgx@OwRz%1?A=!r7DzUB<3j?D!4fNcq6oeW_(a|hP#rW6LgFMI1!>40kJ$aFEJ@6 zHANvQH4S9DOOQ(>bf&PFp!MYGO-)NI%_)J*Ln>tEDYyg$D7XdtqS^)yBGehSScTxs z+|r!HlKditqSWI2oKo;qCt_YJ5i+l)kY1FUSdt2wS_6k<L9w0!Lr6xdLNPY&xuwM= z3Q4K3Njk%VVo)kB&P~k8Ni9NklR|i6QC?<VI`X`qv1zOo#-v+ju|i&c3Cu{)%q!fr z`DqGzhDN3e8meiU3W<3spjb>w1sPhDUkaYEP026M10^4j3KaVl7+?-S2^V8CYP+Dc zfF%3PA@+mE$l>!9^bG<FsyhK^7%=dIa}onQPk|TPBaPdGkEdZ^VBm(TgUPc)`7n9# zu5Shg2GBL~ASpf&!N9-(Q^x`2b3$n@C=D|gCJq}9gqa632j)%zs6Ig`Ed-^7p|l8; z7KPGcP+A;HgSQ<pFfd3$`BG3?8cNGRX;~;O2c<!~3P4QI9fu%V5kxRBFepK3Whkuz zrB$J{8kB}sn+)K61Plxenow~qC=ClAZ75#{O6x*tJt(aYr4698A(V!VtAozJ1qp%9 zS_RRdGw?t(EM7qSS3!KxJ{S-US}6~rK`VkmG-%}`h_(h13=9l5P}&ws+d*kq{6QBJ z!4@Skyns&lyoA!Qc!I?bEIwf24-0Qt_=3*l23cVV)&CB<gaW$cf}sn_FND(eP+AR2 z^Frw_(8U$Eq4Xgry%I`;?y~^dQx4_FL1|Yg4cfyDk_TN`4x&LvzJX|D?CRnf2I70V z#CwBiALlS|-v*^I2a<qdxA+k70*p}KcyN0&)ZYo*XY%$zZFfSIGr0OVM}pYS@sSYq zF7e>#3kmgy+6R(FU@Ypv$%F*;;N(JrdT{b1K|MH`lAs=(oJmj*PWHIe!_p=wX@M{( zszDf(hCvuqR)H|6tN~$AngrnmZ6H;MaE7r!X294W8nc!+iB<5-D<~~dNY2Sm&Q?fH z%u~qE%c%s{(B+vW$r+#}O&O_q3PHgkwuXA3s@Kym*wsfNu|%OHGdER1wL~E~BQY-> zSshYi7}Su0+{FO0ABHjAVH&FdYBC_!@i_Z<BQ4E9G&)q%6!MC}H92UNReqX6Nk*zd zVopwed1{J65y&A55G9}$Kw!0?<v>Z55SK&8Lp=TTeH|lEYI`j6zh+q71YfN&AZ{{; zxG6a?CmGZ<OHBc_>J`$8QVUB{^O7rVRnruFGph6yLO{!$QY(^EQ&Wn;K~#`hl$%*n zg02A?Wcg{JQ1i{G0<}aSW`LVhNtGb;5xoXjz=7f_%-J7dF{oXI<S5W8I8YNC)PA%A z8G)qIRsj?jD66LQ6*N!>F|<HRJpEu{t*NIFkdvBNoT`wRk_Bo&f+HQa=BpUwXpjhA zKN5;<ixCyFutiVQ57|4g1{XB5LmTlZZD>QoSWtli&c*@ZK`!B-u@rcD0_!3q78j=$ zfl_Q@i2^A0OF$_~!BEc>R03!uCYO{Z<|wG@8G~}UrUFX*DllNR)(C1XqU<8eE)=V< z+hiQ8KqZf%T83G28k)qSbZij?vz~%qDyUda%!Gs-xUX59nVy%J1M7a~rB;+E6s0Dn z=$98|mZU1=7o-*?g1dPo8AbV}=^3EjB8m%egsf?-f@4ZbQEG9qf{UYzOOUN<5~xTh z%_}Y~D9DG!BDBUpGmwD+)@FvamC@VCSbPl{0SpcS*^!f9j#L}zffH69$m1pXplS@< z+b;)IVc>cS)b%S!EK1BRNi71EjM#mNJyI=Ul_j`xGEyi=Ey~PK0jFZLiVIe7DS+0O zg8Qb4xv6O0#+E`s>l+~TG1v-2JXXMRFg`0_ZN$^i#<c=?6cCy*85kHsLjA#`;cmDZ zcu=L_@K->|!p4v}29#nvBe7T^DK#}up`a)~y(lp^H#J28DII}|Y1K4^@{G*n3{b@7 zmw^WjQc>z4aJ7vZQb;Kp>K+(n5E2R=w<O?vNZpR+e)v2M(yBkoJ&*$SWD1cUFeK9b zF#j5nsNR@F^(G{$HziTM8Hwt>eVn2F7gz{jr?I6^P_c+IF+#P-MJa7yi^M?<U936U z5T(#C1SS09lKg^#)D#qRf>J^4)XZW9P*X0m2vlx^%B)PJ#yMm|0qQUz_Tm_{JP4^6 zF`~Mq-~dM{4?wGd0)io9oQcULnPsU8$iu4v!OlM3@X`!k|LQ4#^}uUkh(^#leQ+-% zKQC1QStn|?!dgfhnZ&}{isi71FCf^(-_KP6TJ<4{6Id+>X;gx022d+9AOqCU49>_* zD?zi50ah<DRs}IIRtPXk^RRP(`stuC0uTnJPSDNp4DNgjn3!7_`8XW;7BE5TH`q7@ z==gJxI#8Vl-bBH`z<?|U-WQH0Rsqt$z`(E@N`veIU3vlHYJdi&-1!zTGaq9C+sDkn z0FnnC5e$+q0u4~P^BrJj_F)CdgU-hU*?k5iiDo9~)N+t;A*eg)&i8<ksSczCbk-oG zjs}S{FfdF5DFV&4FfcHPpoxL(2A!b}Qt}@(c;?PGfth(FGsvI`P`7zN_27~Ros|aC ze+4v{2M)_vcvynW2i<^;Pabs9DM(%fG+5`(*TBsD6dX1U%nS^maVyYmpCI`*&>)-> zpMWEuggf5>j%MaQCT3qYJ_$!Y0Vj}q4{$)j@(0Ylpw2hgylHUrK;v~1&@mm*<&F$4 zd<9H@LAnbVKyoH{<O1-><=~O)z$3Q=j~sM+5W`%Me~{b>3e!7KeZinX19!d!jLgoE zFlU5>!5^r6AQpL$KV?AT3=9m|!oUKLTnJR|CB#h$kg!Vthg|_4xgMw-A57mWP?$sX zt$@m5bITDtau1+#(C|TV%O5;)5}<U-z<?31pl~sP%3*U$03Nv<s2tQSC~2w#D%T11 zJGiJ}U;u~N5<GGT@W|bP%3T3v3>UrtCUAHLfX)1YM@|IV-@~TQ04m1~N;{zRb`lgv zkbL0-l>;4A&wyrM22>84nJswa7T}TFgGcTL9=R`g<OHDYYHaS*!6WB^M=k{_#|DX8 zlz3=>$_YZ`5}4+L!V{9_=RoCVVv*YcmBXg*3RDhTJbb_-#{()085kI_>C?a?=K_@j zmwhgL1<WhK#Y_PxuOvX_KzCY$)5Hgm{~&3w29MkfsGJnU?*U9m`nKSay8xBLX5Sk; zavU6>Ov1o`t`F2cP=U%Nf|R)MDKN$Gae%~aaEOOM#j9cJ%OL7=aEP}+#cN>d%OUFL zK*iC`*@8p-3>I-vJ@5o7{uAVXP#b_*0$g{48UY}A7EpVGfq{Vqqz)`EjUulCm1l#? z%b>`+5RgwHAm2hjehC5jBT)Hxi2p7yGT&nYrLqgqdhQ7Uc^2rz(npB?2aL=jDE?D{ z%KwAQqlTXg0r?aH@+}19mk^LYLO}irRQ?a#{o*M8V*$147#J9E`A>y_ybA&O6aw-s z1mu?xkUv5|{s{qj7Eqg#fq?;6`cWYu?*f&-3JG6~^p`?Fz6C0e%l;(<<c|=Le*%?n zgS+o1O8#JhPJH8XzX~3CP#Nz6l@EfI)muQh4^qY_K;^*gcTk(a3nB+9cWR(=vy>Pa z7~J_Lh%lvsBqxB%R*?K0sQhElgg2<a!?YSC59;oK`$K!6@)4l62&jF_bP6O7x>lV5 zH0gN<Dxb>4%)kKd07y9TDLC<IIPn=c@>#g^U9fLv?_uj@?PKX@ZewCv&1d1rX8@L0 zaN?5y_aZnP`M`%*fc&Wg>US|PFsOn$TP}P7%-jMX`vO4j^?=H4f|TI_Ol$c#Kz>Mo zicbKww?J-Wo&>HOL5>8;H$dg*gZ$va*TBfU0W9CZ2x<E*fXaielLz-hu7a#AU@&1| zVAund^90S*I)OSk5{`Td?tB}#n_2pp`#~O3a0L4U-1XT2$_5~Re1Ymyh1glZoD8<I z0OTIfJxL%h1VZE*7@4<&B|+{1$s2$sDH#|TdO>YxuzwbT(-O!(AhQFY@;#8W;K0-Z za<2n8zH*>)^FVD7cfJFR%qziq4?z9e1C?KjrWa)H3LJ7EHywe>y#Ot^aOZ1afuxfL zP>_T4K7q>fK>U;daZ>`=O^{A7149YuMlW~13!)Ic7eL)ekl6~LxMpBr=mf1ScIR8b z4v}8~%1R)42dMlPP~d|4wNQDGRUr8UsC+-D4g&d^X(=c`Kwbv7!yBOTrD%Qz>74_W zn+z(y-T5vsG9`iZf~qyJ-aSxx@Kmu2AKYIcKi`1LfzHrlaN#px0_Qseu)Z%)Ib)C` zL2Y=jTmd*d1fYv2CV}D?lpZ001&V&KUIVE7EQsC!h;#s0uMboXoIl+8HZVc_x&fpV z<ewa<d;n-tz@6^`GbF4ofUE+!xd$o_UPs}==KyiD1K8dbP&shF93+R7u8u(Ex*+Ll z0VC5KP&k2-B-mX~pz`lQ3qio{0+%CTcY($ZSU~P!U|>iF-E$1i^`Nl>0npe1(`uxl z15mJm+-U+;Zw`qwlrRAMje&t-0mxI}@M{3M1sr~$FerfP#U(!hD&G%EV4$`b(?XDb zP*V*Y4jZ8I$I$Esx$6ubxff8m_n=GxDu==02Z=Wp=t7woP`}8XZv!JV-auA>%vFKP z>q7j5lBXS@a$<13ks$YO0O<w!HvuaD5Yl$M05uz)h8v*rX=rAH?41LZYX=#I6z3qh z9Z)%JZn^@Mn+2-(-1!=qAo->NmJYu_<)48TV7c>cU}jnlb~DHcAUBJE3Umerh7`~O zD|fyRQ27rqc@wC72PBRzFhbKY$eAFwg+S%e^Ciga0;pUvB&{A`WCDj5xI6&KgDXD< z28KwGI?%Wj#B9(o7bN~c;tUK7+dy`M^87N8(;@xEGf=rZAcvyG@e8P&0!YrCZvrDz zFGwS3s1uw=I6ws+0|Ns#dC>YZ4LtIo`T`f0VPRlkVfY9;&kL?h8k83qSYX93T>KZ< z9u}});ered42PlOAh#fke}kF>TjK_ky9yOIfC)h9GH~3pFu>NJL4_GY!DR#sd`%fl zd>6RxU||4nM1cu1Ffa&$>rfU3*my5YYCqK82$%qro(vTSpA`xf15pA{aquCjAOR?T z5A`qjBvYsuh%$w`A2xmr5(8lqsQW>O(t`LfJQM1E&^fU%F%bP5+%{ri0NwEn;=^zs zD9<pkFo5o_hKYe_1yKFQz{0Qq#6iN3LG>B~3j^pHUnF6ME>M1FU||4Vorf$Q1obcI zzCC1dNIAm70J`rFS^Nn!96&b@B8%^UieEq$WME*>f`$+1-a}-mMNsvy@o<=&JXAgS zm}QtC0|Ubrs5?QoNg@d|bU?*n<NGi<cc?h%>O`0rh@K9$7j!Evh!4ZHQ1t?!LIWnq zz`y`0FIgBsr_mw_Go(Y+E1;<lg}NU$J`dAl4^0mSXzG_h(}M+?xDC{N&<&C>Ge9&e zR2(+G4-y06n^1p&F7*NNVR$W69CV`(ObkT#K*d2<x`6mF91Arc#)r|~P=A4w5lj$t zmOWHGY(4=dwGt{0x-S?e2BHn2;-H(5L3|i)gt`Y@F~bBI7#JQv)x+i^U{Z}x_kasb zm>>fK!zrlx8ED}-4=N6BgdnNUhMEKJ4<U(rLE{TtaUzMYhsM_qH1pY@<qx>xKvF*w zntx&QAuuUzX#NFPyf8rq28JT2J3*KCA_+67LB+uh4<w0m&~ST!=APFgpf!P@MgT-H zEZ*)5LBwHv82y7AA`WU(fpoycmvceHLG>uIco8Q=99I6r)HguQhn4>@@%7>m^&g<_ zgo&?)s)x=0z{D>IK-9w)rNhLT_#xt;`@N9elfnxT7XURX7#J8}>KDS?k0zc2b3dB+ zdv=I9pc`kA&0h+253K%znV$g-X9F~Is-XU|Koh^t2QeR3-@(lBhMEI9C?DBgIjA`v zXy!CS-2)!uMe^@PsQbZva3pa{X!yVmc!b%@54AS|&3sUMmyv;$Ap=ePEHs_K>R*^S z`q20-KvREC7~<awH1SP>5OHuL5Xqh5P=CSZ-(cpqLgNuuzr(}>pz#Q+-(ljHq2U0l z-(li4P<O)WcbIq_G@N1eJ50P2>K<7A4in!EjYnAh4ilG!hBK^whlyuG-4CnZVdAP# z_rvOUnD}<6`(gDvOgs?kepvku6aNeiPgwm96OVwpA6CD^#OFiZ53Ao{;*3!D!|HdK z_$+9=!|HdKxG&USu=*V){)+<=zp(lpCO#GFFIfE!6E}tW3s%3w#GgRZ53GKNiJyS_ z7goQ+#C4(mh1Ksc@e-(iVf8yq{2C9$ov`{HCY}%VFRXrti7P?<3#;E@;^(3Mh1Ksc z@nWcdVf8yqTpsFQSp5zY&w|<utKVVbd{BE~^*c;_HPl{M{SFfcja`EBFRXrtiE~5k zh1J(E@i3^pu=*M%z6@$UtiFbcM?w7stFK|=Y*6!I^)*a<A~YUh^)*bq6dI4P`WhyF z3tG;@>T8%dXzUf_FIasI6L*5TA68$(#D78a7p%U9i7$lu3szsl#6zL}g4NeBaYm@W zVD&Xjya(zpSbYr>Pk{OhR$s%!k3#(gtFK|=pfaBsR@s3#NSK)cQI8`DGcdz<Rv|>U ziZd_>F)%TFkOg%t85m&oK&J>qJOJFGWMBY~!7?x~Y!rfsA5a2yfT3fl3=9m{1R&xM ztRUjx@lH@V%?}ZuU;_~ck99IIFl6yU#2IEn#KGf@3=9l^xgp{P;6*zO4B)Xu1_p*T zToCaGiy-P@;%%G|@d-;H;@~kv=v)}cUk!U8;^47E1_p*1Q2$QY2N4I48!|93Oo94K zU^A#=4jnIKU|`q@_16McNXUc72|?{xK8U>w1R>(!@j(U#24ASZ45T6A;Bi3)28M@F ze{GO~h=a!j85kJ4p#BO_hlqp692giFLZSY8paBsFj{`C=Fx+5=*z2GL5eJU}GB7Zh zLCtsA42fG<J^v1BPQpHjICu_}fq`Kv2gIBUXyT2~@M+M2m=7LDWME)e4NVUYpfNTE z1_tn)CIbV*WoWn^Kobvys#h?8m;)YfWME(jgr)}vXhi~^Gi6|4*bEJ~15k08`EEQA zcRCz|xF05d4jOI;(8MP~{p)ZDq8>bF3c3~<>R*Rb5OMHaDQL_P=6)lHJHcb03=9m5 zq2Um438Ef6cgn!PPz&`}!Yx$s`A~BT9-)dKfu^5^SE%COpy_AACsgqWXgDl@ZXN@b z%ODkRpz+JV1Zfb%#HT{TA%PPj4xR%A^+TcIkN{p_0G%6TU|@I*O+N{e5cS|WLk0$h zKBzlCI6=YzHV)bY4Tl6pRP`~iaL_~*&x3|Tf+4E-OsM$@mZ;*Vq2Z9=2oVR*aWXJ4 z=t9FG!4o15bLT;5I3xt3idVwI0h&=@>OphcpmHD~5mo(hSU6;&iXVWw#~~CF4&bqD z1_p)#SU7+e;vvG*9u^LjsOAX4!l4mWyc`w|;DtiaIZFlxhV@W;4?rtCSo{h?!$ARB z;eqG)7#J8<LBoLoTH(ROW1->jU^&EIm^qTr^x&`_ReUKl92RUt6<31V>ktkJ2k^K( z=xkwVI56yks0YtUg7*GG!(qZvh&aqW&jlg*w*YkYF#`hwOxy<=4j+sl<uG{Oje&t- zGBg}E7(>Lt^KJ|b3}0d8fCWSxJl_UNx6p8SFaaVCo)ZII?F$VDfyoeY@O&5p1H(IL zIZ!YaA`YGhV_;xthK9q0SrBpX92aPu7HWP%BqSWba}*2=40%xVKg2`C!E+M~3=Edg zaDGq*5eLsnfadz3<_DO82izFIa}Nv*45`rYoL~+S2hS@oFfgb<!_&YTRh%7~t}fU? z#6kToQ2CGp4bKEOh&XtDfq{Wx2{b$nJW$2ipz+J#1rZ0&D=;uH%!m5-gC9g3Jg30G zzz_uWZ$Jn{96WB%z`(!<^>0HsL>xSiz`(#T3+mqmF%WU^`~d?4gB8rbsSt7Sya8x! ztuQ2=Fl0i++abw_;SMzYOvr+W!|Zj0`u9LCL>xR<z`(%J0rhV}6+|37N5H_q@CurK z0;WO4!E*zkc!&D;z)pxbcuoLRpThim5F!q%mmfpZ&x1n{aqv6<0|P?^)V~2IA>!ci ze+CAITQGC3LOcYXV+X}AG#obEg{TM52Y|-Oq2W;Q6e13u3t(ViSPl(`fR_+)XtRug z2O16qZ&1Y}q2Vy$J*xOzXnbwB25}FpUF-t&R|2$Q3ZBzvU|=wX`itQ=q(cs#%LldV zpyAmN3`%_r4B&OU3=9n0q5c)fgoyu#G^ZG{MWOKv5oUlk?-(9K)AI&Mwu7&`{sHyZ z2B>~me=Zi<e%JuXUU2pMq3L-8#Jdczb=g5scNT!=U>O(~z-zM^7#KinYC!eE0`Pbq z0|R(XHYl8-;iCXrvw*Y~+YcH(51``EYJlMYG#(kCoA+Vsu%|%t?*gbecwIH99Dw?F z0eBZQ0|QL_A=JMMpqu8w>#P|V7=)qzZ2<2IVqk!Y+e70e0eW}<%-&LHI3&130vf#D znt_1<)SrQk)j?z+q!0^aEj)yWApKaei>KgFFU^cyy*m!|mvN}K;J|JUs658z{<n<S z)q{pnv8mqzUHgylDTL*M!@r<hg3X-0ILx_+Lmadw7n?aQIMk=$5C`Q0Z03N@guo`g z7P=<@;!6Yx>bGN44_XtAO}rF`IZQa*`5H&OI|^ZsFBKf(+i=9oCmiVqwB8t-``6=8 z|CkNCdvtKv8-YU{RJ>!eR|!Y>fXX{;>YZ`8vmA$bq$qaxgZ6b`GrtUnf8BA2cj9pW zb`k9MHsXkP(D)iQ_w2->{v3|<23m)X%^YnU;qV@ZJ6&*;8!9;516q%c&E6?E+zA@z z!loXy=LnlP8?N}p5igoJ#Lwao2c5x)&0Y>1>c8Sh=l^k}2T(HroB5#b6*lpoIP$v| zKlXIh!;4*9o(H=)pCESeVjSjQ#bJI14)cF;VK;v-CwB1^9Q9f`w7nrv2q~LE<u8c! z6<WV<C<5`Idw4+o1!y~P0_Y}b2IzWs&^#uzo$z2AL_K&NJgA=nZHEMuK*V9@w?f+? zA3y~=Y>yDAUkPo8OejZHe+Sy0G^j)sw}ZAfE>uCp!FyvE7#J==+tmfo0Uq!kCI$uu z(ApkQyUPGN!2sSL0BWB={S~kiVh&7P7V4gYT@Z1Yzfz#?IRI_w!Ng^t;sSe7)oVe+ z`9eKJ9K2_Wfq@|m+I}-=hKR$$M-&=v7g|uoe?Z+?&;}6)?~!6)V2FjfGXXl00~7xb zZ8rvhE=mXWmp}~)1_p+g&~|<T=)P{G@IMPRpP?6GKFmEvQ1ch`LBwI<TnY8B19YMY zCVm^*?tL&3RecFGUK%Dt#9`*EL)+~NQ&GjQK;3@;+Q5XFzXj@kfw>U%;QcnB@l~k% zKcI>Kg{IF7^H9}$LEXP$K13Y6FNuMH;W*U&2Npua!FyUj?O~`pH!OmP!|Vn12SDj+ z!eUhM6lgvwSb{1p0FC#6rKsXdq5d*hhAQ3(^_ReMRPlFEe|<m`w}$%b0(9aH=FS~Z ze{EQaYECpXUM8%9h=cbUF)%RfhlYOwbixlhY{c*%nw}S|hNy=Q^D%&iok8L7VJ1Wz zrhXdKUk9L@P{DhW7#J9;p#Dl&2T>0*=RVY54eKG|u<!@1p$3^>0G&95iR(l2OT%o4 zdYHW{q5e(S2oZ;=cZd2n06Opk6Ng@-#sFI82wGPD2Xr&>|NsACd*RT<i^1z6Kx@<( z7(^Kv7+~txA&G;AE@9&Lk;Fkmg)s5`pbi6Q-T<_Q4#}K9NaCP5Gno2I;Po32d&Q8{ z|3wl<Rxbx$2Le$qkE9+{-+@8~<S%6LP0&gcB#s;oA<%W8=;Er-^?@L9<Zwtp5=Rb) zJ|uDEaF_&M9|CbFXf6}x-&089$o}epF7yD|iyRJ{k;IYJUqKQ__U{86;$hIk_CV$% zhXXtGusw8f7wF-8=;8~Z3s2C+B|vLLq4BPY6fcvI#MO|*w;+jgBZ=!l`{5w-k;T74 z`~M(u<ap789`XkgM~;_7Byr?;d4?p8952eyLjpnOAcyl)=z<!MIC8uQKo1cFi6g5w zL=s1i7h4?S(x46}G~AHm<pU0J3D81qEb9N`5Wf#S#1Q08Wb+%KhZ%yzk;8K*k~ngB zzDE*A4$mU!!W@t}$l;(49hU)#BZpf6k~p&ZX-MMgNa^7#k~p$E^}wguLGl-}I}?$_ zk=^+WNgO5K!Kd6o%t3a~Q_#i|XgDLgUjTecAEtUkByp5@$005aK1~o}4syJFz#%RH zUAP1aPh|D~afsiC9x92hz5#l;BuE@NJa;0ABZudEByr^MECO}Fpy7iYZtBo+H<0<r z;TC`-j;wwfk~ng_?*ttR2sIzszn7p3sX*o<i_e4}{D>~T348)RW;ox4j_ZNcBZq?o zbb%E}9621kpyHs>TTqsQmFIy_agcf$q;%`x395}C_9CaZS|oAgaCitlRT82eIox<a z8@Qn1fUI5@NgO%7S>h1i=8JF-a<~NtVi%VIbwn8;XVZetKZW@>4=N7wFKF!!OuQ%* zVGeS5u0;|@4$s?2;>hXh68KacNH`#en@~8yeB^M*0iV(dQI8yM(~-oH)$c$OM-I0m zIK)|E5cVR6+c_k0cBFV;9gAIkSsX$fS-l7N6nlvKk<D*~E-(OvGqQL<62cr-Bzyal z5#q?|qo9YbfXqQw--9F$Y9qtqad9ekb9mFSiyuZ3M>hXGk~p&Y5m^XxkkiRzByr?) zas){nIh}0HMwo+~PQD_EBgeZ_E<!zWI>|*6M^@j5B#xX;X5kQb%14;5j1(_6(1nel z@JAMZfFzD=Zy9v_0;Jvy$($KT;<iZQACSaBYt&%zXb2te0GR_?GY1pTLK62!GG{T8 zxE+$XSTVw1$nLQ~5=VB=1SD}}_gq90M|KYvbUX#*PGt8uBZ(usXB(0@vU_eIi6gtm z06H!MG9TGJg-GJa?pcK-j_jVtNaD!u2`j@MJ{3sf$nLp-B#!JJLFhOU$eqaU@j?<u zc26^sII?@bA&Dc0j|6lfEy#Ri_Y@$BBfDoKk~p$^ULlDiyGI#1o&_==**(*c#F5>z z14$g&Jp$12FOWIN?g>N^M|Mvak~p$^4kL*pyT=T=@Cjr-vU?(s#F5>z0ZAO$Js*+8 zk=>&O9j61CkL(^ps5q$o02=FtRv!#0P;roYd!&5#4oMu@onp0!^oHzC3nXzXBy*-A zi6gr|w+>+rvisK{i6guJ9g;Y5c&b3h0YUDUMY1;>hj<qb@x3_2{h$lILFOZeLm84d zayZOD5=Rb)Z%E?E;c&JQdpPhkA;gixK^Q6yi$`cQG5A2mLGDD3$0j6k<nY;wL;M8} zacStdD#(0fbEYAQBZtopByr^M5rB@vg3Lh<hd?B8<n+*mB#s;ohmpjQ(}P(nBHWPO z6M-a-?4Aus;>hm#h$N2e9xdp2G06SM?ny=xM|RIOBynW-{6G>%c27V%!u`nZS%f4m zhm_8@;t;=qB#!KU7U;M(y8A7V#F5<}fFuq&j}BIkA43vH_SXv}ab$lvbYl0{BqVWU ze=Wr!ega7x*<W9f#F67g13F$0@-MQ#9FWA3{k08A9NAwtki?PwB?le%2bquT9#yC~ zET4kPTu=x^K*d4ok@M*>BynVWUm%Gi+v@;5q!45dayn^55=VB=E+lc}@cE1+jvPK| z(0L1x`N;0+KoUoG&jTcJWcMgS=Q%*;AiF0DNgUZdlaa)c-NV)o3Oz_a1=&3sNaD!u zX+RQ3cF#s6apd%N1xXy)ov@9$AonA?(*!zC0}@Ae=Q1R5WOtrG5=VBY0(4#nWDc@B z1Chj$-C2Spj_l3_NaD!uyn-Z->`u2y*uygeNgUao2av>(-N^`@e*(E5**#WB;>hlC zgo?xR1#~)xp#&-pG6y+dJVO#k4o?nfh61Tac4q>TII=qzB8elr^A?ggvO9&L^JgIQ zk;APGNgUZdE0DyI-2*$&6J!pudmN$ja3FDH_f#Q?BfDoak~p$^)TU#PM-L=%WcMsU z5=VB=LnLuz_eeqKt3d8Vc8?-d92SpTAkJV2fr^9FBgf++B=K;h`s)IcIC8t<F%I!> zIK(-j^JE}<k<F38A#Q^tu7c#AVkB|oe(`)Had9N|+mXbP)!#-EM>gMR79^lR?nDlU z1SD}}_ZQ<3Z^I!z6NmU39O7q?#F5>}44wZ2xf9u6`bgr);oy!Wj;uZ#NgUbyLrCJt z;qU=T9621A=ODrjSzHW<xF!y98yw;>NaD!uoQx!n?63Vu;>h7}9Z4Kn{cj|3Wb@0Q z^Xs5+MlMfgAc-UA-+M^n$oVu3Iv<a2P6d)UvN`*Z#F5Q0gU;Whn-hT~j%?06BynVO z#Go5k(ao_y5=S;C97!Cx-_(mFj$9A^$04o+U1tEY7uh|bNaD!prxl0zRvhAL(De!+ z^O57r14$g&Uv)_0$nIZ=B#!J(G3dGnbn`8c#8J#g5=S=Y8<IG(J0+kMF35ajbK;Q1 zk<Gb=B#vy(4<vD9bL^n&FhJ%bo3jl`9NC;3NaD!m$U)a_pqn!dNgUan9Z2HH=KMnv zM|Mvcbe#vtd}MQGAc-TJa}P-z*_<%wx)5}8Dv-pH&Dn<}j%<z@bR7x0IT1+W$mYyL z5=S;i47%<F-5d)fab$Dqki?PA`GzEp96l1zbt)k9k<F<_5=S;?0+Kj#zWa(Kj%>ax zbX^O&`N2ry$oZ%PNgUbU)i}gOK?|v&^I6FD+9HV~`>Pm99NFGB9O5%^h_AsRz8{D9 zB^=^UaftuGA+8Hu4+IKN<nRf=A-)w!965aMB8el1k1%vy5y*Vx@bN$rN47T`hxjuj zab$ZrpzD)B<|Er{ha`?{Zz7U7vc1=k#F5Safh3M>z8-X)6Ubg<^8=B@k<CAbB#vzU z3nX!5^W~uHrO?fHL=s0fe;blGviUcV#F5SCgYF+jH{TFR9NGM*&;~q6y*pC-_BM2V zDoETKN!$v$J{2U6?4B4Tab))#L=s1~_ZpHoa`~XW9ns!HwzmsO9NFGANaD!$3PRVX zg4~I0uNsm#vc1(v;>h;iLJ~)|_Yaadvb`?Q^{?pm&PEbPHvborII{UN(Dkw)bCAtX zK@vyy*KQ<nWb>t<>uW*gAe(Q4B#vzULL_ly^S2?1BZnLJ9_;azg(Qw_Zx50<vb`UX z#F6b~gRTPxxgXiyXe4oD|L#H(N4ED4k~p%xj?n${AoG#!4MP$~wzmi>4(tCOfq0*x z4NV+&p2`lWILLfAq<r)UDh@LTc6Z1(G;!GZD;m)K`RL|sLJ|jUwT6w;8bSBrgVZC7 zZ^j{R1l@;^u6`2^aU<yde024H4nqP2<}cW~SruqN!Ng(b$Alb(s0W#YT>kt!4H1W_ zhnb@W4Jep6%$)GE5cTNh@Iy7i)I+%p=1>Mq9A-|&RqW=--+_q3)I+s1xTA@~%&E8w zQIGB({U;D{baTSd#9`)iJcX!7H^=@BL>%3mbTo08IWyiu)T5i@4?1@jy50sfBnI<W zIhr`koE2ZOo1^guB988!05ox!IaPll>e1cP!3<eok8aKeG;x?Yw^$(R(an(nE#k!D zF9$Snm^lSJ*v*lKUIvWr9%nRhm^me&Nd;&-6FEIgOGC`ZFb7Q>ZVqTs7dCT1i#f3P z%Nb1^ZVqVB0h>A6INXCK4mSsS@f~_NOB>@b2TdGqjtN9PhB=@@9Gkz;#Np=HU^hp~ z86u7z&Q56JFmsAQ8_BSRk2iL6oYBN#=9EA;uweKr7>7A%;&5|9AnGy9iH3-y`^y<k z9BxhwL_LN%$q;c2bI`=$=A=N>W0;c-5yvnGO&o4c4n#eMImHlh40F)L;pUV;)MJ=a z4H3sM2TdGqP7OpohB?g;aSU_N#Np<&K-8m~^P&qPj&6=XH>x<y94qJnO6cZzOhQ!; zE1wI{#9`*lnha5oZq5qmh7ol4TtE|tne%HFc5?!u8;D@$K&y3zbTn~T`=?_e#2lD< znEN-NiNox@wFsgf-Tj8p1EXN(!0dHL6NlNG0loAcrXFVR1T=A&y}MRnxAz3}fH8D) zKA?%i%#qrJ-5d?j27WB%TL79k%$%y7*v;wK4-rRq&l)swm^oje2cDsar_Ethb70{a zgeDFPPl@BG>S5vOfF=&JHw${88oIp)PobIvv-dihILzK3=TOzd>{U3ADh{*P3wmH1 zy1ff8p{j@3yB$p&W^X2FLpZcv02TSLcJ&;nI4Jx<7$$xShd2ZDoDPtCLXq14ZAjuy zNa8b*#6f2W!puL3B#!JJ$Lk1xflh#fsjtQ%z6mOh?w%h|agd)t?LcU=mVqC7o(M=i zvO6W9;xP5l<|~6fR2*Hs1ymfS9%jBjR2*G>E0Q>}f2D6C{0q_p^KTpu@#Ro)kXq#M zpDzq@31po)$iL9$AHy#+acFaz!AJyEJ+yhukc}n|ZSFGIiJ_{8HlG+~qKU)IzlbId zGoMQw)f|}l$I-;0%?}18=s`~)T_AtK%#T77hnYVaO&n(a4oQ#+knjOb#Y4BzG1y8$ z#6jU1hLmpIpyD7|kiF1u8bbn99HbsO{mhU9sYI9$-Ok3KC=U?_sYf<n3n~thMK<3B zDh^VQZ2kgekV=I4ptJo!Jq}G3h&V_+viU|(agZ#s`94r_ka}eEo1x+`^$eiQ$H2gF z0!{n_NCC)V=)qPXF39{JAO-^iLy{VV0U|--0#I|7qlv@JxsN6eGlvCwfHBA%P<%nR zvoUl+#X;^z_U|O9I7mInURZpsfr^9FBm4J>4oD>;JfYjZ7;<$X;vn_N=9fXmL9)o^ zPk@Sp)FYdJ!VshqVLo&l6hoj9L>#0Z+59M|I7k-R{1T`*NIkOoYs^3@5#~d;5iyvW zL&QPqk<GV*ii2d4&5wYJgVZCNKfxNL5@9}cTM&b!4MZHI9@%^)s5nR#*?b$QI7mIR z`6bYUqCx6G@eAE<!|)d>4pNV7KAR&*CB!|*<|{zOLF$psk8nk(2bmAL(g>7nA40`J z>XFTV1r-PBLN=ep4I~0tuZ3)Wf)_*_M1stRtwVkZ6-PJ!6I2|e9@%^zZ;%M4`OZ*r zkSs_&EI)RjiNo^I0W@(~K2q`pnScm4Sbj`L6Ni~IA59!)&JjO^IUxT+x4kfU`a{Ig z{Tl=o2g!om56h1^P;roY<nY`96^E(c4?Sl~0(wv$OneVioF@n*0`V8fJvpGrWnf^K z2o(pJj~u_VpyD81$nMz!6$hzDcF&tIkV=I4&}|V6rQr~9ka}eE>!9KwS!DBPK*d4o zk<I@S4N{3PAGZFvHU=UNQjctY8&n)5i){V^s5nSHviaAc;xP5F^dl1s5`owYG6xp# zA!y>Tc;6O>sveepexr%Q%rTBfRSz>K0(w9@NEQ@d&}GjIccJ1S_apoF8B`pk9^_6~ z`e8@}iD2dzAE-D;7Nq_>^xU;INgxq~f6qY07bGLZLGFPrA7xMl9dre4CnLK@4=N7Q z1u`Gz9uKHE$o<IS-vB*$9;6;*K1dHJiE?IwL?G@*HeU!T4w40_g_*Ad6-PI}BNwC+ z;xCZ-&}BIcf_V^eka}eErJ&*<S!DA~pyD9)$nMXEio?{y($5Aoaag>+Kof_>yBG98 zeV940^wW<f4m0OCnmEjyH-#V*5dMWO+h8axf{26MkL=$ns5nR#*}qeu;vn_N{(S%y zhpC61%ivG~5`owYGUpETyatm}gg7Ytq0{sXJE7tr^O4<i2r3TJ1u_Q~zjvVGAoa-Z z5vc;HgxCu*A3CkcFc&HgQjcu@GN?F67qa;WpyD9)$mYw`gH$5ShfY^9EQN}L)FYd} z4k`}Pg>3!_s5nSHvibj^;xP5F^y31(FaahGi}xBdaag?HYXqr;xD#YAEd3}qp^C%I ziAEELnbXjWP!9@ESbv$d1tJb|KeB)MpyD7|kiD?@(twJC)Fb;h9x4t~4|C@dG;x?a z@1Tjp+-cVaG6CUVShzK#iNnm<jV2B==RrHd9FTuu?oWkYOaXE~vVZfS;vikf{_TK@ zgVZDY_X<=TrXD)I#bD9}5<$2hHvXW|jSvU92R81p7Ag)hAK5+IpyD81$nLoS6$hzD zb`Qq{kV=TXAoF4U@rh7zka}eEXF<h5x{%G^0u=|TM>bzzDo7>5eAxKFOsF_WJ+k?W zpyD81$mZ{Xii6Z6oBtUq4pR?{cZ+Et5s1AYb71jafF=%$_e;}J)x*-E_zYBWm^uDv z;xKbcW+K#s!V}i-{s|QaxgXiTOwa?>LApThgrzqbs5nSHvVSw6;xP5F^mAf1NCe?u zSo+yB2O$n}53IlMI2R%gG9TGJUQlt6EV6r2pyD9)$nKf55Tp`fFUWk@xPj^-h&V_+ zviW*YagZ#s`5sVlka}eEmn;LRM3@hq=3~%Z4iN{bM>gLKDh`rGHa`F=4pNV7emhhg zrXH4l&Y+3I;+<s$NF~HQAoF4Ip0*NI9F~4oqlv@Jd5k6wGlyd}!W>X|!p5U|q2eI- zBl~w6R2-xW<Q`c1*#H#>sYmwjAE-D?JuLmitObc6`~^!t0qYRrAooD0H5sl##X;sH zyXPKM9Ha}`JwKr0Aoa-ZG1v@J39%PsK6JX1VJB1^q#oJ)Lr`&$E@boXK*d4ok<GW* z0aA%DA36=na1bgEQjcu@DX2I|7qa<JpyD9)$mUD$1gV6W4^j_HKM82!uy~(=CJu}D zU%ODvfu$e&-KgR)bIQ@gVdl))gHR6&PuO^)BIux7EbVhGs5nR$$o;VN;{p{&_iqjK zU@wq*konMQTn5%dAQ8;=IUiIUB#Ugm22>o~{Dfm5m5}fRnGc=bWq1h{2dPJnuTM~M zkS=8Nd5(ibAnrkqFK4JYNEW1i2J}3v4m9x&sQ3Xi@d;3ImQx@TAnpX2vjQsai6#y+ zrx8sYW{%xyRC6HrDKaoLqlv@J*^MR+GpFJVsyQd1?%9hb4m0N~nmEiHsdET(K;aLa z7H60b6-N*M6;N@IE>JkY($68NI7mHm`173ysYHYuto+HlfL%QPB0?PG9@zNy6R0@I zeB|`-7Ag+Xh3p>oOCS-<^k9DtA`T)!=0m658BRdO(apaI6$hzDHvctL9HbuEzsk2k zDk1&_nGc<gXIKLj2dPJP|5m6tNEfpC=b_>t^~mP4-2thDm=979D>uB+#9`$|GnzQ8 z+<1By)f`wkrUg0(8)?20W=;~CILw^(`v`MD{)H|ZVBmQG5eK;+*}tMtagZ#?{jl_- z4;2TgNA_<TR2-(h272DyK{W9gsQ6Db@f4`I(L<04h;Yk+ikCe`6`unYzlbIdGoSMb zs(P6DPH5sV^Z%oX?}3^h^%T_{nE8{?#9`*2MiYmb-|-C9oI6nSAESxG%$I(Ssvc&3 z2%0#|{K^*y^`Q8LF6UtQ2Neg!7jpcvzeK1<j$cKnI7mHm{N_T%LApTddn7@lKMV{P zUV%gq@dXn<@){uyau0M_2!rPvh&af6WcLI?#X+(l^I_>N2PzIykL;esP;r=gSiX3H zCJxIN3U5Iw5$=TLi>7y|;;?*r98DZ%&VMv<m^ms?1t8Nv{)H}IVOR+j2e}{Fznh@q zAoa-pJp&a7sYmuN%U6&}i2Fh2LzlHMv_r)~>XFT#02K%6LN<RDR2-xp+596vK`IgE zLzlZSSpR~EgVZCN?*bJE$s(H{2NegYM>anjDh^T)l7r0)&&MHt4Jr;&i##v<5lI|$ z#|z9HRp|X0Aoa-e(ZYW~HbUwb&=ND4`V1W6dvS;h{l#w1GHAjB$%5i#3uOA6;XG6v z<R0X3dx|8E?0#8h$iyPdoGDOq+@Rv<=ENY0BbyV<ifRt*{NWm?IJ!BLki?PAkpmr= zj#R(E@?#*HIBfm%0(OMG$m!uSnm9~-85ef-3(&-2>K%EptItLghpAu4hpJu#I>Eda zDh>(<<aOq^q2i$M2e}`no}C|J4oJN#(tP?qXh8u}4;z0|5`?ISiNpG9>xB{GpmYMe zzwZ)M9ArLnI(dO4jvOyhA_#Lp?u6OfjwTMX*HH|i9%SztXu3*)ilf_Gg(Qw_?<R4C zImq_jM-zwHyG#;QJuLlff{KIeMGpVFP;pqe!PIj~LCgWEM-Kla(1j>i(q})KI4nJc zLGNFLnGcKKB&ayZd}R0ZLdDV5?}Unj)FZn`R1V=z<nqTGDh@ISbZaE6{Hezwz6~l4 zG9S6zI|da;H|IK39Hbs}cPY$#J9&hAkk={sK*d4kfYQSrXnL3q6$hDvoDLU2#bN4? zK-C|FileJP2^9yaNA~YO9O5d_i<Us<Ap6%INgO#H)+31{hqJUI#J?bWL01vM!XXZa z_;RQ?y1lcMAm)I?^^o%W8mKtPe9)9F%={-%agch@6?-u8iOSf`Sqv3NH|I7~9Nio# z6^J<?^`NOfnEClQ#82Q5msQ1X&H|`7y1mDd#6foj!0eS*gP4P^J|Bnp4IJXnpyD7u zf%+ma^X1eb=A(-zLd8M$8X?6?y#_=*D11Qa`3y9D8frqsLF$py^M0r}O#Kz8`a&)2 z>i<K<Ve0Qd)o;|suHIe;A`Vmk1giccR2<zsJ$exJAaUgMBWr*VM{Y-LHbjUct9LO* zh$E}NYJy#Sr5QpT*&HhiggCM}r!BFICt4%Kk<IyTgI&DC4k3<gzMKO>9NC;tj@ZT7 zoDkxsNa;ZfNgO%dmLQ2Eo4*~0_%9sdHlPcHpyPGO=G5U3e~v@^D^whm&OuB2Vd-Dj z1>!Gs@dBtgD4igue`e@K8z6CH_sk4}n1e2^AA(){Xef5^2T0<eF&>yZdBd=)*FX|S zRv(Haj_l3?BynW*bCJZ6)o(-+M~-)?aP01JL=s0%4>OU(k<EXJL)<a~yZP==aZr3A zmt*xf#1BEmLGgkdk9%XWo9`Eo5J%20PZAK~$nGyqLWm=)XG_5@ek&Crj%-eLIzk-T zoIe@Z#V2JU#F5R>$iXhYGZ!I_Y`%X!LLAu~<wES@=1Ahm=^+|P968>XB8elL{{n}& zb`f@ab8(2T$006JjNKeHs5mJ7AeRGiIK&r1#nID`NdtECPc>o}PiV$2{;dVOxL_+n z966ubA&Dc0XC#t1vide8ab)!ik;IYJpF<KyR{sb|968=!L&ZV$FQ}h#0MuAxU|?Wx zL%1K=96cm)<aFYLByNCIzw{u9Bb##vhqz8Vc6+U$;-Gj(E;ovBh;N08qsRM(9_;2j z_94WP^Vju$ggCPMGbSR$k=6f%UQiCQ7g_x56oh(YbK<5U#F5p%pN?I;btXa_*&ONF z*u~e(L5L%p?=%k~j%<z?^uk||`;o=9ki?PGj~|jaa(W1dio?<stlwP=6-PI}4JwYV zehE|@UHx+$;+hMw`zsrV_<SUB<o?TjByr?$cncK=r9<TMM|BazUUcycs5r>|$o1Fn zbrAI+ab)u+Zon?Cz6rbd-p$y>Zy<>ymk+F4u&Y-<5=U0=izJTh&I}}RWc5>##F5pn zL=s0%KbxT9uz0@!3P1)1hI3GH^ziwLB#xZkgtsF6g<SpwBZ(usXE6@(&p5;xw?WJY zIS;w~vBV)>0~H6kA35I3cSFnpi6gs*V=qD+IiJqkhY&|ruYUj`j;#K`LG0pF4kN^o z%~3sy5Jxs=+cE6oJ|__3$mTpciCw(-G(sHNe9p57ab$Dup2IHw5lI|5{fM4Ns7Fo@ za!_$tx`LHIE>Lmw@b`s^qpQz`ileJvheP}W4soLk2zMg;Hw{S~x%^p%B#x|p3sfAG z4w1{BH#o%gFCy$kPKSr?BE*r+kGPLr{M`fW;w_J`i_b?AM=l@EAc-T}`y5FeS-r?( z?B?qui6g6zK@vwczW_-bIsH^Z#bNRO0J^?+DpVXj-uEDhBd51pNaD!lkJJ<F?$5#@ zz6*!=38*+I-jT~6hNlqo(Z!vi;vn}U$GhDth<cDXa`>EojSxr9r>Sod;>ha1y+ep2 zt8f2+T|63eK{A$cfH$A9tFQfvU0nD(LLAvWD}P`YxB7(;M|S6h-w1JJbC&$YF1`av z969~mK@vw!4^N@uuyh40f4Ki)H(vxQj;`JaDvlmsr8va5;}HLeLtOVi!ad04PcD); za`;q3#X;!}x%}CTL;M?59OQoFblAxQ5`oM!BAYMIhh2OtKX!3%LG0pbNaD!l!(=3J zWP8^ki6g7OjU<k&{u`1wvU+9E1@>6dxjB+Ja{6(Aio@a^Hjfhn6$kka+1_R(apd&2 z5J?=l{CS8Zj%<#hFvw)g@NdK+-VYTA#XEBOa~y{_mk7dq<alS5M2I81XPOj3966ur z$RNa#)$f%>h$E}_lgBQuq=*nlHfOUELLAu~7tqDq(0D`^zom*$k8DnXI(BhJO@uhI zIn%We;>hM?>tGkJM-oR)KZ}vXk<-H(s5mTL!OEXYP;rng$oAfbileLl2^B|IZ=(wm z!Aw7OIK&U&5dVTCj$Hnj=wUbC2`Uashsfnm6%O&eP;roH$muY^8YF`0{%1DW#cS-a ziwikm7uQ7+M=l?tki?P0zZ6LvS^Xj;ab)#-k;IYJzd{m6R{sx4969~4J3`zKi+5Q0 zqYM=X$s(KMg(Qxg-ZGHHk;|VINaD!m{J<gZ;RLb~GhV`=;-Gj(E`R!Ph+l+?gG@t? z_cQJw5lr)AJrUx_`Si0FLLAxsEj|cwWc8wc*u`J^BgB!-sR~4hBb&n=j9q+T2tpj$ z9P=>j;wQop;>hO5MIywJ%`u9`F7AdTj+}n7ki?PGLorkwmabss&rGN|$QERK7eU3* z)gOS0qpN3*0f}J7qbm;ab{yink;IY9pWjI0$nNBfh1d&9hsfoRBM$Kvs5nR$ayk^x z0*PR{e{D8)apzp@;y3fKi+@29M=l=}^RcV9K@vw+pNS-n91bl=;>hYZB8elbKZ+!d zoPN$h#bNOdD}P==#X+t`Hb<}kBmxOH<n*SGB#vDE<RghAo3kH>IDa9+d}Q^qP;pSa zBbPs+IK*c_#X+Va$NS_mkO;*6$mXk5AjFaL>8?tIII?>0YJ@nl`a3n)#W&O;#F5Q$ zY(R)3n{%lVyLd)3LLAwge=XR>``ZxW$mXkdAjFZ)`PqqGoVN=hj+}lBk;IYHgB4U9 zmabssPXbgNWE!%)nNV?b_3cn`boHlji1T!VRAR=j9}e**Byr^O=Ma)OvO6z8#X;#1 zx%}bif!K>K?hO?O$s(u2dow^HnC>i@g<YI$4tDVcbFqu>MiNIZA6_7dBm0Ya9>N@C z^#(}d$m+e3#F5okAc-TZ??DnrPCrwj;;?v!l|LJy;viQcn{xw6967yxLlQ?Wf6V5C zOu!81bR6Q9P;roZI2afhVCx6wLd8L*A-iWaR2&rV$mP;w9O7yVKqf%kgPa}|mm<WG z&EK>PAXJysyZk=5T^i4aFtpS2phxZPTWII=nC*CE7_%}LmRUHscdggCM}J)5zM z%Wg%8Bb)zm8$uk}oTEFii{C^NM^0CNk;IYH3ENJHIiUCj&9}nJB^{_ZNEX>%Q>Zw) z`e3Lyy83B2#Bbmbm)Zp~0g@h&?e#+vM=qE8ki?PI&w+}A(jjuWbOndF_-=%~$mwv^ zafCRs`3@(si(fm1T|DOucJX#3apZDi3z9gpz2}j{k=6e}5=T}qeimUbvU(RJab)!o zNaD!pClM+Ri+9+5^IE7l$QERCcH$5}1Qka&=OI)a-JBOtahQ7O@_Po|b0C$F{SKgX z3*C0XkcuV_+uv~#Dh|?xoWCwW#nH_dJr7ceX}&L-IL!QwP;rnhWb=1G#nH|GizW_p zzv%^#2?%>(=FfzRgJhA-UjP+HH~%S`ILv(6iy#v)&2NN?gJhA-?|_P<n|~Hf9A-Z2 zC6Ec2=4V31L9)o^7eK|)&EJY94m1BPnm8<c0xyG1hLjt~=0`xq(aoQWCJr<IDw;UV ze9J4?&3Ax`qnqD~CJr-yFPb>ae8sES&7XH0yZCabILuyHxqS>O4$_63A0zL8L=f=; zJC7m_P5c35TNs1OT~zfM@(_tYH1Qm$xc)s<^%YQY8#M76sJPU9RP_^};_7JPQ=sCk z4^Y)FfQk#Di7$bQe?Sx802Tj>CcXtKe)}P+`3IolFVMt~K*f(eLREhPDt;MF{0vll z<6~6y7og(%(8RAm#pgUhReu92z7kFR4phATDXRJhQ1K~f;!mLBMbA*xe}IbDqltfk zipM@jRsRDjo`oj<2P*FI0#!YO0wn!}qKUIW#f@L0s^@@;JD`d4K*i-=p{f^viff~Z zi$KLWU!$s*fQpNuiOWF6zo3aLK*br~pqirs6~B)rt^pN)gC?#66+iVB)f@w;_;oaK z6R7yscc|(upyG$n#BHGB3*MuucYunoMH6>{ig$lNRqp{6pMfUs0~Ig(h^jsSD&CAH z9s(6l{Di7L0xF(|CLRM7_xX&fJ^?Boi6))`6*vEasy+iM?t&(s0~J^LimJW<Dz1+v zUIG>8{f4T(0xB+rCSC&-|A8jn02OEbj%rQ|RQxfTcn4Jc1DbdbRQ%izRC6Xk#c!jD zPl1Z>{E4c522}hQn)n>3_>y0!>K8!8H=>Czfr|J4MpeH8Dn18Id<|5*>JO^=4N&oR zH1RD^@zlSl>UTiJi_paPK*a<8p{hRs6^}&|KLQoE{*S8u1XSDuP5ca0T#W&=I|@;s zUVw@lqlsUEiVHHLs=omhmqQc30~P;+CjI~_&dG#o&J(Ekb2RY}Q1LHl;$NWRmzYt_ z`2iKbk0$;HD!!KmRXu|uq&z=`Ce8vCU%`s1o&zer6-}H6Dn6MFRlNXId;yxc2vod| z9aX&qRJ<EaTm~wh$$_d~0V-aGCawY%58*^ruK^WLL=)G6iraIcsyBd&`=E)NK*hDV zQPo>O#m&*gZJ^?!JgDj&pyEns;x15e23}P40Z?&XH1QCq_-i!r2&niEH1Qay_%%LM z^An)rkI}?apyCJlQPpQa#m}LM=Rn2R2%xGjfQs)#6EA^^PZva0UjY?gf+k)A6>kzk zRo?&=??)4Ffr{q}qpI(KidUhD_dvxXL{QaFfQqN0iBExwJBy;KUjP*kKoegA71t9( zRlfo%ZjC0s1}YvZj;bEk{!c;^hqeD5Bv94E+W)?2;;{C=wj`>0So_}sO&r$#7n4F& z4{QG`qlv@X|BTY8>S67FJ~VMy`~MA^IIR8u6HOe}{=Y7RYCf#}{{&4O*8V>vi>e;h z{y&c<4r~9fl|xkzYya;;6Nk0`XUL<fhqeEgqKU)W|IG@h>S68w325T5_J5uts(M)a zzZy*(*8Y!FLRAlI|EHme!`lBY%BbpL?f*bDaaj9bUj<b?to?6;CJt-=OR1u&hqeFJ z(Zpfxe^xbA^|1E85Slow{r>??9M=B-izW_h|KC<eH6Page}N_rYyTh9KvfTG|6fKE zhqeDVYND!#wg2~_iNo6ebF@&^!`lBV(Zpfx|8{Lu^|1E;6f|*I`@cvBRXwczUymjZ zYyZdUqN<0r|Fh7<VeNkpJyi9u_J1gvIIR6|tdFW5*8X=u6Nk0`<qS~O!`lDaXyUN; zKc^w8dRY5k3{4!?{{Mm|4r~828ljp4YyaOz6Nk0`-=K-Z+W)7FQO$w1|F5Ho!`lB_ zO;FXt+W&{p#9{6K1*WL#VeS95XyUN;f43Q`dRY5^2AVjm{a<E|svg$<Z$=Y`wf_?> zP}RfQ|9NQQu=c-?C8~N@`#%y*9M=9fw?b79YyZ2TiNo6eO4g|AVeNl?G;vt_pVtOe zJ*@pNg(ePb|NlS}hqeD%ZBfmEwf`TZiNo6eAJD{M?f-LjsOG@h|F_Y^VeS8&_NeM% z?f+wF;;{Dr5(iZEu=f8(G;vt_zuys6J*@pd2TdH-{;zUERS#?bx1))}+W)D}sOn+u z{~|PTSo=S~1ywz){U3`a4r~8gyP~Rxwf{ZP#9{4!H8)iCu=c+(nmDZeFX)b{9@hSs zLlcL!|No$g!`lCx9;oKP+W*hd#9{6KFKFVh_WvbMRC8eM|NCg-u=f96FI4rg_Wvm~ zaaj9*g*U2tSo?n~nmDZeKiLOWJ*@q|08Jd${;%^zRS#?bccY2J+W(n;sOn+u|1vaj zSo=T3A5}f9{hx>?4r~A02cW8lwf}w4#9{4!tw2=uu=c+>nmDZee;iGGjuNE*{tPM( z8vQ_?FW?M<m=6+{MA|<ag(Qxwz6po;MjYY~aEL#Lii75DW034M4o0{Kbap9h9YPn9 zIP(3%(~-nYkj&YRB#t~!bO0(2n@>3d4WIi^aZorQ`<E#M;U47h*^eZSto}I;ak)^0 zImqfmafru2#X;c{i{!5rP;rnuk;CUdk~nhs@Pr}kMGhYwByr^MF@=i5!sib(d_th& zAbXMBUydY>96k?`#F5oYgd^O8Ebf3q+#M<o3J1^?ov`#U87dBP4{|uXLJ~&~hwn(@ z$l)L!fp8CUIP8Ur!@?m(8Iq6gK*d4!BD?=Tk~nfWWJV&)M^?W8hxiE`;^(2_=;0t8 zg)kpE9Ey;{k;9=LNgO#G<|BzChr<e}I4m4`py6;FDvllwuaLx%!yzOZ;ZEdm=*A(w z8He~Ts5p8!u*D$EM-GQrByr?$$U+iF4u>uzapZ8A3>Alk!yafjY=VlThr?AQapZ8Y zk43l>IULGxh|j|zz7#5s9u6O&;vn}Rhl58P!d~QX2t^V{4u?`CapZ8QgNnn#;SDq# z=0e3m_9FZ10FpRzIB3Nq+=Hw>5r=pu4)F<4arAJw0~H6k2RR&!6A<<yhl2x>IC3~7 zAc-S~Lnc%l77jAdi{d(<;vjpG{k0lN9620B6A|t~R_}vDyab1MHB=lu9F9Z9LGD2g z2e~AKy~yF9jU<j74&F%O$l(wR6$ga_8`8SLMyNQ*USxlDLB&Dp!RvXT^T;cq;^^u( zLB(O}VdG;Lq2lQ3eUl;nf~kj{E7*u84qI<|4l0gr4p$1q9CUFhs5r=c(2*su`Y0YM z4pOg+R9|-?i6e)@F&yGcQnB0HkOmP4*$WCc*m&%EG;!GY?Qf_!$UVsRTBf6#0~<HX zMH7dOv+ab6qnmRCDh_jx4>bKhhKi%B=gNTC3lc|Oml%R1j_l6qIK*$^5SPhBn2&5u z91ij0IK;0)#X<Q9c|9R-7Q}pX@c^he$Y03o3GY@w)WgCDc8=vAs5nSHvO8HTQPn3v z^Mwjj99_K;R2*i00aSe;R2*G>6jU6h9%g<eR2*G>J5(H|z5#0fGN?GZ`t?w8nEDQ= z`g2fmboF<j;xP3ypz41^#nIKXRH23sOuaHx99_L3R2*jh3aI%3P;qqikx+4%dYJhY zP;qqiZBTKT`W;a7mqNwS)vtq!!_>pV=PXnlUHxsSI7~gv{9jOUboI>DsNn<iuM$)o zUA+NR9A-W&J@`Y#(bY#l#bN4U=QWi>#nIKbLd9X~VeVf76-QUU7Ag)?4@+-npyKH2 zZ$ZUj>S6Bx2^B|I&s2jNJ}~nYq2lQ3^`YYE=KDd#(bb1T#bN4SK+{7RR2*G>3sfAY z9u{AVq2lQ3*FeQ#>S6WQX{b25`kPR3n0i?F{D6w1t7oi54Ih~M6`<ni>h+-F=;r%E z#nIJ=LB(O}Vdj@Y#nII_L&ah0VeVf96-QUU8Y&J`4>SK1R2*IX4X8LwJ<R;?P;qqi z40YJUM;<DUu3i@^j&8mWR2*G>C{!G#9+o~!pyKH2o1o$_^)UA@go>l9Uj-G1sfU?= z5-N_a{yJ0~rXF@a$v3Dty88c6ahQ5o`jo4Ogd4hg9jG`=J<NP>s5rX%5U4mzJ<R-K zs5rX%MyNPUJ<R+CP;qqiE1}{r^)T~KK*iD3UxSLn)WgE_D^wg^{XeKUOg+p!vJKe7 zM;j^*Qx7xW3o4FoelS!VrXFT~5mX#qeFIb+rXFVge5g3O`V~-dn0lD`$D!ir>aRk@ zVd`P#e}RgltN#lXhpC5|FVl!Ue6*nAF!iwd$P+4#ZhjC{9Ht)DUMhr&qpPonio?{y z%%2ApM_0cbDh^W*GyfP=99{hts5neL%>2(#adh>6pyDv~F!QCGu!oN(R2-%rX1)hh z9Nqjts5neL%=`kVIJ){es5neL%>21fadh>|pyDv~F!PT>#nIJYhKj?~!_5B#6-QV9 z8!8S{4>Mn?8GHC>K*eF|VdlF-#nH_VfQrM^!_3czileKqg^I(}!_1!p6-QUU6e<o= z4>SJ=R2*IXC8#(|J<R-%P;qqizo6nU^)T}#Td;?ZI#e8{9%jB9R2<!Wf2cT2J<R+( zs5rX%8mKr-J<R;sP;qqiOQ7N~^)T}fL&ee6UxbRo)WgjG02N19{}U<>Qx7v=q7{4i zs6oYH>S5-)LdDU|_k)VV)Wgirg^HuAuZD`l)Wgi51r<kEzZfbGQx7x$5L6sp{ROBv zOg+r}_fT<k^*^BEF!eC=#oMrlk1A9grXFU#3sfB4d|#+IOg+r}9H=<D`YNb6Og*fB zJrgR9u6_|z9H#ySbiM0As5rX%^H6b^dYJj|pyKH2zeB}g>S5-KwPOz-6{t8&J<NP( zs5rX$K2UL(dYJjyP;qqil~8e*dYJh$pyKH27ed8h>S5*|fQqB5KL-_usfU^W7AlUe z{u@*rrXFU#Xb1N2QHF}c)WiDYPEc`l^Sz<sF!iwZTNYFtU3~>q9Ht&-{&c7~y7~oB zahQ6T`TL>b=<3fx#bN4U>G=&*99{ias5neLto<X>i9LLjpyDv~F!LRu;^^jkLB(O} zVdiH-#nIK5L&ah0VdhVRileKa4;6>0hnc?*DvqxH3{)JZ9%lY)s5rX%FHmuqdYJjb zUD(4%5h@N-?*UyO>i`u;H{TN~4pR?{-wdcYy81GxI7~gv{HaiJboKL~;xP3v^Y=o< z(bb=Zio?{y+Rv|`;^^u>L&ah0VeS#?#vVQjP;r=gnECcladh)NpyDv~F!R%);^^v2 zq2e(0F!QHC#nIKzg^I(}!_40U6-QTp3Mvj$4=aCOLdDV5e}am`)Wg!7U=Q~2k%x-I z)WgiTgNmb@?+z7*sfU@L1{FtFUjh|}sfU?A87hvhehyR|rXFVgZm2lA`jb#`n0lD` zFQDS+>OVroVd`P#3-n?SA33Nvx_VovIJ)_6P;r?08PNQg3Kd6JUknw8sfV>6CPBr~ z)z5~C!_>pdhh0!{boD2o;xP3v^PfY-(ba!|io?{y%;)dJ9zL>@AmT9fu=H#L6-PJU z6)KLdJ_Ra{u09tk4pYAY8lF8+adh=lq2e(0JD}>fK*iD3?}duP)WgcFJ5X_S^{=4f zF!eD1a!!VX1G;)4s5rWML#Q~qdMl_nOg+rMkx+4T^+`~1n0lCh8=>Op>bs!gF!eD1 zu7rxCtKSY4hpC6PXRblT(beCFileLl2Ng$G&prhbZZP#Q|EfX7(beli#bN4U{`G^3 zqpJ^xio?{y{96VUM_1nh6^E&Z&0j2rileJv0~JSCe;O)|uKo&C9Ht)T-_KBSboGCr z;xP3v|4L7Vgd4hg6{t8&J<Pw(P;qqiflzUndf5C$0aP4aeI-;JUHuHGIJ)|UP;r=g zn12sI#nIKDgo?w|!~FXKDvqxHBUBuw9_C+xY1qR@4k`{)e*juO*h0n8&3A)}qpMGa zileK~gNnn{!~EL|6-QS;4Jr;(5A*L<s5rX%eNb_jdYFIjLdDV5zlMs#)SrO*muouq z@DYZJqpLT9ilduv4Hbu}hxs=ODvqu`87dA_5A$ymR2*G>H&h&^9_HUwP;qqiJD}n) z^%tQ2y$%&eSN{Mij;{VcR2*GB#|%ig!PLY2s}2=MS8o6nhpC78*B>g5u08@P4pR^F zZ#h&PU41K59H#yT)W1uh;^^wvLdDV5pMi>_tG@~rhpC78_X|`UUHxCEI7~gvzcMo+ z;fAhW6)Fx>5A&}JR2*G>5L6td{sGj#g-~&H^;J-DboDc#;^^uZLB(O}Vg5Y`6-QTp z3Mvj$5A*L!s5rX%Pf&4~dYFF&XJHQ?d8jx{{R^mn?V#f5=DS11(bcCx#nIL0L&ah0 zKS0gzgNmc8pAHp=sfYP@8&n)!{eGx8Og+rM_n_kF>fb=cVd`Q2<(`c_d_<t)=<1E3 z;^^kvK*eF|e?a{k4HZXMp8^$!sfYQu87hvhz6UA}QxEg+YN$B6`khd5n0lChZ$QP- z)jx!aqpN3_gFSpWq2e(04A6DX8c=a`^9`ZmF!eD120+Ep)ki|bVd`Q2t$>Q7t8asf z!_>q4yA&#pu6`X<99{ics5rX%Yfy2RdJd?6ze2^))&GNv!_>q4D?1l^c&b6gVd`Q2 zb%lzfn;#4nhpC78w+JeZuD%*7j;?+dR2*IXVyHMwy#Um|hoIu<>Q6((Vd`Q2eFYUq zSN|C*4pR^Fuh2Z~;iCW*hpC78*B&a4ZoUUp99?}nR2*G>0aP5OUIOaheyBLQ`WaAh zn0lChw?oCz)gOS0!_>q4dmk!}uKq1l9Ht)TU!M8c!$%Y<j;`JWDvoZxEmRz)UIFUg z7^pb9`c$YmOg+rMEl_cE^}SGWn0lCh*FeS5)$f9e!_>q4dlM>-uKp2J99=!*0_@?# z1r>*>*MRz06Dp2wz7bR$rXJ?sK&Uvn`Y5P4Og+rMl~8eX_3cn`n0lChmqEqR)vt$& zqpLp$6-QTp9V!k}Zvgf0H>fze`u|XIn0lCh<rZQOPj#p`Og+rMZcuS_^FyHGF!eD1 z7DL6+)z?79(bdm}ileJv0u_g;w}AThFjO2}{TZk@Og+rMuc6}T>c2q6Vd`Q26<&lr zd=#PLF!eD1IzYwI&G&?gqpQz=ileJ9go?w|J3#$A0V<BJekN2LrXJ?s9Z+#}^#`Hi zF!eD1K7fj&tA7U-hpC78mv=Gt@DYQGqpLTCilduv2Nj2@_kj907AlUeJ`E}kQxEfR zD^wg^eIHaDrXJ?swNP<%^}C_sF!eD1-hzswtA7j?M_13Z1bg^!L&ah01EBuZf{LS? zZwwWOsfYPD2r7=QJ{l?xQxEfR6;vEueFsz=rXJ?s<xp{S^&6n#=<3fy#nIK@fQrM^ zM?n4i9V(8lo?$5@++gZq{*{M{qpR0|io?{y{Ob-CM^_&T6^E&Z`L_frj;_8IDvqvx z4pban{ZgnnOg+rMN1)>9>d!*OVd`Q2eFGIoSN|0%4pR^FugEe;xS^|8f{Me`!~E+A z6-QU^1r<kEp9vL5S6>7bhpA71#_vR^IJ){-P;r=gn16Rd#nIItf{Me`!~FXYDvqxH zJyaZ~9_C-Z<=DeV94d~k-V7>^ZoWNK9Hu@4>fbo1IJ)|Ds5neL%)f0=adh?lP;r=g zn19zn#nIL8fr`V_!~A<2DvqxH2~-?iJ@X3e;ll$JhpC78R~sshZoUar9Ht)T-(aXX zy80NXI7~gvztvE2boHH3ahQ6Te^)@o(baE+ileK)02N19e-kPWQ(pj$-ycwMboGoY zA>js75A&}AR2*HsCR7}z9_C*Us5rX%FsL|8J<PwQP;qqibx?71^>d-(=<1h2#bN4U z{yhp6M^}FiDh^W*^Y2@zIJ)|8P;r=gn14lALBb7Py)slBrXJ>BC#X2OdT*#Wy80}r zIJ){`s5nf01vGvqLB-M4&xVS_)WiI{3o4GT{xDP=rXJ?sM^JHe^&g<(F!eD1@~_4o zJ`zxIboJ&?adh(?pyDv~F#pCw#nIJgK*eF|Vg7B0ileKa02PO+hxvCsR2*IXUZ^-s zJ<Pv%pyKH2pF+ja)w8U@9zMKKahQ6Te|4bZ=;oV3#bN4U{tbbOqpOdFio?{y{96MR zM_1nk6^E&Z`FAB$99{h;s5rX%i%@ZN^|zqnF!c@4^!yVlj;@|*EhOAv>S6v>go>l9 z*Mf?})WiJi2^B|I9}X3VsfYQu3@VPUz8)%$u6`a=99{i#s5neL%)iH=;^^wnL&ah0 zVg7vw6-QV99V!k}5A(0sI!L&ot5<=F!_>q4>kJh~SMLKAM^~Q>6-QTJ0u_g;hxvCh zR2*IX9H=-<J<Pwmq2lQ3k3hv?>S6wU3>8OL{}Cz<QxEg6z<TWABMB8pS8o9oM>pRQ zDh^ZM0Zq>dP;qqinNV?<dYFGZpyKH2Cql(x>S6xf02N19zYi)7QxEg+U8p#^`e#sa zboHzou!j#HR2-%r=3iZ?IJ)^}P;r=gn14f|;^^w*pyDv~F#py<#nIJwL&ah0Vg6kO z6-QUU87hvh{t{FiUHxsSI7~gvzrUd3=<1m_Lc$HE9_C*qs5rWMZKya*J<PveP;qqi z5m0fMdYFI9q2lQ38=&Io>gPkn(bcbjio?{y{CgZKj;{U!R2-%r=HK^Fadh=RpyDv~ zF#n2gf`l8odR3@6Og+rME>LlF^}bMXboDt<adh>iP;r=gn1820#nIKzg^I(}!~DAk zDvqxHC{!G#9_HUCP;qqipP=F}^)UYmZpI!yQc!Vp^_Ea^bn~5{;xP3v|0Y7k(bZ=` z#bN4U{_TW{qpP0;6^E&Z`FA5!99{i>s5neL%)j@b;^^w1L&ee6vu(j1KKxK|n0lCh z^`PSD=9@#sVd`Q24TFlKtB;3@!_>q4TL%?KSKk8_hpC78cQsTTUHulQIJ)}FP;qqi zcc9`h^)UbbhKi%BXW0q~H<)^uf0d!)=<0Q#;xP3v|9V5k(bY#n#bN4U{;hzDqpNR( zileJv02N19zY;1AQxEg+38*-_`ioF;n0lChKS0IN)&GQw!_>q4E3pj{Zs_XOpyDv~ zF#ozj#nILKLB-M4=R(EN)t5oVVd`Q2oeC94S3eIb4pR^F?_Q`ly82^KahQ6Tf1g6d z(ba#3io?{y{42B_d-zC0#nIJULB-L{cZQ0?)WiIn1QkbDpA8j<sfYQu3o4GTelk=X zrXJ?sO;B-k^#`EhF!eD1-iL~#tA7C%M_13j1AF)gK*eF|VgA*Jilduv0TqX-hxs=g zDvqu`0V)nt5A$z5R2*G>FH{_+9_HUQP;qqiTcP6U>aRe>(beCDio?{y{QCzgj;@|{ zCnVfp>S6v>fr_K6*M*A1)WiJi0~JSC9|aYMsfYQu5-N_az6mOhu6`j@99{h?s5neL z%)ck0;^^uxLB(O}VgCIH6-QV93n~s%5A(0&E=ahct5=7L!_>q4>jo7^SMLuMM^~Q* z6-QTJ4i$%~hxvCJR2*IXe5g1~J<PxRpyKH2k3+>_>S6wU1{FtF{{<=zQxEg6@NVqk zBLfvjS8oj!M>pREDh^W*^KUX#99?}5R2-%r=HG6pIJ){NP;r=gn144z#nIItgo?w| z!~FXIDvqxHB~%<;J;xsG;UfqYhpC78*8nPxZoVZ{9Ht)T-w3EUy81+@I7~gvzYS1v zboG5uahQ6Tf7e3A(baE*ileK)3Kd6Je-A1SQxEg+U#K{`dbYigaD%Cb`BxPxj;>x0 zDh^W*^RF*d99?}hR2-%r=HDu)IJ){~s5rX%MNn~c^{b)cF!eD1o`Q;_tG^5thpC78 z_Y+hcUHxyUI7~gvzf$`k;fAhW11b(v5A&}(R2*G>08|`ZeLhqiU3~>q9Ht)T-|0|s zboC3M;xP3v|L%v1qpLpw6^E&Z`S&?g99{ias5neL%)cW0v4@W=R2*Hs4OAT6d{?MA zOg+rMDNu2A^|?@Sn0lChd!XXz>Zd}*Vd`Q2-2xRySAPg94pR^F??b3Ky82g8adh>Z z2e5~a5L6td9_C*|s5rX$R#0)6dYFGBq2lQ3lc3@-^)UZ7LdDV5_d~^D>S6v}2Ng$G zza1)$uKpTS99{i=s5neL%)kGj;^^wx4?@BXrXJ>BHK;hcdVQ!kOg+rMeo%3A^)XO! zn0lChtD)lP>RX`V=;{|k#nIKTfr`V_!~A<1DvqxH3RE1X9_HWAP;qqif1u(p^)UZR zAA*D%x_V8hI7~gvzaCI=boGHyadh<sP;qqil~8e*dYFG_K*iD3FNBK2)WiIH04k2I z{v=c!rXJ?s7f^9@_1~c4F!eD1iXO%uK5|fTboI7Sadh+DpyDv~F#o1P#nIL0LB(O} zVgBufileKa1{H^?hxvCaR2*IXVW>DvJ<Pw4pyKH2Uqi*w)pH%e9zMcQahQ6Te~qBx z=;m8P#bN4U{*8i)qpMGbio?{y{M!T-M^`@qDh^W*^Y417IJ){BP;qqi*P-I*>K{PG zVd`Q2{SOsKSI==25^gZ{F#oDU#nIIpK*eF|VgB`pileKKJ&9_*4)mPl8mKtB`uRxW z$maupK@vwkS2pYv#C&vfdU1$v#UZ{2Dh@i=6#0C0j?)P9k<Sf|M-taSI_EYANgVmy z;2tD#<a2}1L&ag?2|K5k`3%Hfm^dt)1EAvQ{_VjbJ_jleG6(sb-iJ_ekU7ZV$#WKB zFGw8uT-IPDacw03HXw;3tKSC|2iXfcrx$jw*(Wq{*ga@B&tbQB>v@Pc%pBNxzfaJ_ zVdwoCT!5%YcYhvK9A*yeyx&D=;;{36-$BLE&DnGryZIhhv5VikhF!em26pj&Byr^X z>-QjuBZu>KBynW*EH|;6FOMXStlkGn9NGK?Bym%ucyB=xM?S~+9FjP)IfA#ayC(#P z_%s~iH*knM-o|deKU5r)uaVC&ZpR^h3MvkYcjR-7k3PU|e)J>k;vXMl7jJ)xU3?Le zIC8w4M-oR4&sRv|$m+$PVK?6hNgP>yJd!xF`Nc@$$njnS6^G>`Sh+L<Dh>)aWOEE( zBHW{elz!ro#F5qKLB(O_!|r*nMH3H!p0myP2D|zCNaD!mpLmB|{Wm0WWc94?A?nfH zBZ4L#19i{oj}Y~sa6tC&Pb6_<^Cx}5uKoa$II{ZlP;qqkTtgF2fx0L98+P+Mk;IYB zSNe%veE^a;vif+aIJ$e%(8OWxdHoB!`I5g8;>hN2`il@p4$lWj;>haXL&eeE^9@ZL z=AP>R*v(&wB#vyp6BFoke+CBh_$@#ZM^;}C6-ReZ8=5%GJ=`qV&9_7nM>hWw8+P>! z><DpW_54tAboa=iiNo^OB2I)k$l-qhNgUbyOdjm&rz43Yt6v5cM|aOwG;vt|%HhXu z{wySMWb-#d#bM^d{Pjo>yEy_v2ytX{)S=?&=0u1f)FX#a8<IG(IlWMEm^rX`{|*&L zPgkm<2=kH6(SeG?%z=f^OQ<-yIpSj2&5?tOqnmRZDvoXrr#N<V1fb$Db71v?IGQ*t z{N>QZVdoABN+8Tf4o`a|apZ9LizKdzRKK)JLd=1=CkI*{_MnNw+%p+X99HjiOF_&* z4~Lyd;>hk1mqDmUcF%mMILtjIQ1`4u6NkBHn=HZ{<ZyU`B#vzFYp6KPd|3E@LKBDi z>nECc4b(m9a@g&iiX@I~?@c9ydT*rg=T(M?!`$-(a%~iY3RD~vp2+<mU8p#^dKaiT zx_V!zIJ){2s5rX%T&Os@`WC1-y82$IIJ){JP;qqiYoX%k>W@Ih(bb=YileK40u@JB z{}w8auAW5&63*!Ad7<Ly>Q$iP=<0Q$;^^vKpyKH2eWBto^|17o2^9yaM@}b2P;r=g zSbFG$ii6Z6r-w;UahQ5ox?KqsM_0cIDh^W*Gyf!199{h-s5neL%>0*7adh>cpyDv~ zF!MQ8v4_79R2-%rX1*p=9Nl~)s5neL%zRI%IJ){Es5rX%Jg7KGJ#zS$LdDV5PlJl1 ztDg%MM_0cODvqxHC{!F>{WGXIy85?Jadh>3YKZVecE31O99_LJR2<!W8>l!;eG9aF zi-3xwt51ZAqpPolileJ<gNnn{!^R&LLB-M4uZD`Ft3M7EM^}FVDh^ZM19kr!s5rX% zuTXJx^@8fy!&3?>j;`JeDvoZxJyaZBeKb@YU405v99?|_R2*IX3LN4aq2e%eVEN)K zR2<!$Yfy1?^`D^P=<0t%#nIJ^YhVvI1*kZ>dK;)Xy7{h9adh>GP;qqiSx|9w^=(jb zboKpEadh>oq2lQ3w?M_w)n9;$qpQCO6-QV96)KLd{vT8vUA>eh_V84OileKyhl-<{ z?*SD@SDykEM^~Q<6-QU!2^B|IzY&M{O&sEnpyDv|VdeZ!s5r=c<a&)s3la|K>LsD# z=<1cA;xP5Fa=;EM4pNU?PP#+I(bXqI#nIL0K*eF|VeW5+ii6Z6yT1o24pR@ykBgz= z=<3%%#nIIthKi%BKLZs<SN{Sk4pNWo-;YpnboCtCkZ=a6M|Qs;R2*Hs22>nfy&+T_ zUA+fX99?}NR2*G>22>nfeIZmFU3~{s99{iHs5neLEIq7+ii6Z6htD>sI7~e(zRp6$ zLF$pi=NeQTUHvDhI7mIR`M;s!=<3CF5aExkUI8kOuHGCf4l*CvJq}QDn0lChW1!+7 z^~mO@LdDV5H$uhH)ptR~Vd`P&e<4&Hq#oHltDxfO>JLK2(bb=VileK41{DXXM|S^v zs5neLEWX%u5#fpK9)74ex_WJ>IJ)^JP;r=gn12JH;^^ulq2lQ3OQGWE>g%B5=;~)d z#nII-hKi%B-wzc>SAPO3j;{U*R2*IXTc|j?dQLq=cp`_t5L6spy&hB?-F$PXIJ){^ zs5rX%7^pb9`U<Exy81?_IJ)||P;qqi%b?=u>JLH1(bb=ZileK44i!gN{{bqFuAWC9 zdw7aM#nIIpLdDU|w}OhJs}F;UqpOdHileKqhKi%BZ-I)VtDg-O2dPKSUrV6kF!iv0 z?rx|!y80tfahQ5o{<;knM_2y@Dh^W*GygYK99=z&0VJGZ>S5+fL&ee6t3btJ>S5+v zL&ee6yFkTZ>S5+bL&ee6r$EJF>S5+rL&ee6w?M^V>S5;3hKi%BUjh|JSHBx7j;{U) zR2*IXZKyc9`X^9vn0i=w`x`2buAapZHT+@frJ>^J>Q$iP=;m8P#nIKfK*iD3M?=NY z)u%wk(bZQ&#nIKbK*iD3&xVSlt6u^YhpC6f*KVjdy80tfahQ5odbkZ0M_2y@Dh^W* z3(wzBadh=8MmWMBDvqvR1uBkizBN=FUA+rb99?}hR2*G>GE^L-o*U`j=ZQGPk3+>l z=7b`Rm)=Jbw?GnSF-G{82g&@IP;rns9!Tn$Oc3fp>n>p7Z)XY-2dQ^QQr}<!5eK;+ zq#hQ(Z!96==;}?aAmZri?a{<fK*xJmp^3xHKMoZKnIDAYo}WnK$m7Yn)~NQv(uoUH z9Apl1x$OfL2f33MDcq`Xh;M|7gUmsWm;F$2kU606xdZjrHK;g9J+i&`pyD9)$oBHs zAl#2E?hF+NnS*R^AXFS=4#-}Ze>0%sAoa-hRwIccr=ML&;>h6{Xp3+UA5!>C!6AMZ zDh{$2*_}d;2y^(6%rS$CgVZCpuRNgQAb$xUsow$>M^}FXNn9T(oxFmIgUk^`GDpD) z;vRH!jG*Em^+HJM!=U2m>NB9?Aoa-ZyaE+RSN{V^9NC>*&Jg#2%t3ai15_N{oF=F^ zNIfXtVfl9xnmBBo*aKID`5^VM{3YOqDh|t!P9CV@F!e=f;xP4xki<oh!i~ujVh+0d zk3hvi?nhSt28XzS7j|<bq2eHOK>kuug=D;Ts5r=81ElmY6Dkf;j~ri5q2eI*$mvkU z8)7d=y(p5ux_l7g$oXO+R2*bZAd<arq2eHOK<-=s9j6ubMVJE;UjY?&M-yKH6)(jh zz6ecx4pjYl9OBjisP@9*HyceH7Qa)2u&Y0cCJs~oGXzyVEPZN*qKd=PXMH$A963Da zLB-L-b3IfX6rPhA7#Q9#LgL*h0%8tGeHc>sTS3J^>XFUQ$00r&Dh@ISxg6VuByNsm z{x_&Ny7|132=^eThe)V6NIfXtHK6IX5l!3xD!v&_Tn8%t5QjKl6vSSb`LKLpjYE8S z461rqdbo`y4vSyaM2LD&_#l^K_E2$<y~0TOWoHt0^_QXI=<3;0A?iWmp!od)jo<rF zage>p@%tPq4pNUCztU+4bCAW|q2eHOkmENANgO$T7eU3*&EE+X2bqH$zpUv9dy(T; z7fl=%zwv0|u=wr8A-)Su92URNafr+1pt=VZzkX=qu=qWY2T>357jpdi7ho5kUIY;b zg%2pc{y^iapco<!G6y-nDxl&Z^~mwE28Z|!s5r<R<oNoGB#s<k(j^dkLFOaZFZNJz zkU7ZZd>B+5q#oHlTcP6U>Qzb+=8GYv^TTBbaZtR&!ud0rI4qnMD^b<M%E>@9aacLo zSdCDR?9LfbagaNa)8P}SILKch_rS^-o*INXAaPhav_lhzrNbN?;xp02Vd?M~4soM; zRC{6JlY}M?3!kD!RQ0g%S%fAI3!gPD2=$<J$O7^>0|P^AD?}U=KFH~?7b*@?h8&&; zafrW#ii6BSPKO+A2=kHCp$AkP-TZi{ILI92_*xAW2dM|e3oO1aqlv@fi?tnMFHAiw zzKn5*C!mSL;;R>j_|HyM^I`F&+l49)i!ZkxRB>2*m7$5l;;W$_p&k@pJRpxSFfas9 zfQW;_2RXi?q2eHA$nn*WLwqY#9Apl1d|f~iM~*N1NeFw9`@ipy#F6`<zLO#9LGA&? z7tFsUXyP#cMomFg59_a`pozbLG-ntNL&ZVvM|RIws5s1CSby_Bn)m~#IdW66+pCHu z4l~CSDh{$2*}prX;xKz*{(XQZ4)d?!G*o+G>Lbv^Vd`g2M^z6CpT%h6u<+rV0Z|Wf zCvx~qgo?x53G>%%G;vt?>_QWV*?SO89A?f_s5r=8<nWQ4iEs~cKYALHxEE5pQE(PQ zy)%+{98?_L{cTWjkiE$2P3I!aK@JDcg$QwE_v9>sh@+c71uBki{t~D-Og$`o&OpV{ z)&E2iN48gH33hv3pyKG}gh0j7?X7`|qpRPFB#vya<#L3*;z;#%1XLVk4sto%iX@KQ zPUwS*qq}DXR2*au@_38s3WU9&@&Q(!C!mSL%JZpc;;{1k7!L7oXyUN)Tx}()y)bdp z)d+FqaPWbOgWQiC4iRf1>Otbj;jk4d4hshnO-MoW08Ly5DlWPXVh&8b1XSD&hj<y9 zxByiBG92PR(8OW+RA@cKUXc5d<K^KNh&aeS$l<`S6(SB&j~os*NaA`(`P2_84l+jq zDP5HyiG%7j5s(4~1_rKe2=kHawV)jkagZ`(_Y^|K(cQBaNgUZd`=R0>bCBKh6iFQ9 z9vP4V1_p-woe+CL<|Df&VmCw_qzuJ9P;roY<nURGB#z=9s5r<R6!##BgWRJ6QUG$# z9*Dgl^O4;%6G<F7UtipdP>;Nh`VW#gO1j;LP>*a*!eNLw$Qht~3M=0x;1J&p6$iP~ z6)8PjhKi$`a~~=WQg4f-UhoKZdu^fOAoa-RM?l44=EK@!9XP}{L&ed}F+PrJFU*{H z9O7M2addO8A&DdJ!&Nu|F(0HJIsDb3;-GzYQApuX3>62dN8Ues3P~J!|Lk=naT6qS zz9Wev=Xbx85cj~s4OTwX;SgU56$iNoIs9Kj#bM^a+7Gg)u$!L_6$hDv9Pfvb#F5Qs zJPk1iU3~(QII?=bGZ6J4_edg@Ke<qGkU7Ztv<@l`QV+^^Iv@rE0|Vn(h&dqj$oXyw zR2-xX+5Eduagcgs^WQ<mLFz%~n}8S$3=E6UVK@IBR2-xX*?ivf2=&P3%R$9K>Otn) zfEWx63=5&+Aon1<-|r&C9FQ_lzJ}ei%z6n`e1<%v{@Q~k4!ftg_%f>c7f|(DS5U=a z_jEr*6YqekpK%pcJ?vg=|7)n?E1>GxuA_>>?$zCkCY}IQUvdLgy^A))U)ncO#bNi= zK0*`sfvTT*3spVrzSn@;sNx||_3U?0#bco2`_RNMK<`Pky9ZGZiZA4L?A!Ygaaer8 z`U{c|u#3Au#X;sE=ZlR<;>i1se<O(_mrI-vA?Ab1r6i>C#1V&h3sf9rFY^9h`Nt4* zK;p>eZ+L<bM=qD#o*~4M)l0p=F0O|pj=bOY`fG%GWdAC@L5L%puMZUm`8OWPzv(!{ zS3$)={zCSz>pSe`Uwe-bNA~Zkj|g#O_gH^Mh$E{%^98$j(l>-SvN>f);>h81{x?EB za`;I8L5L%pqYf1Zg%5Iih{qwm2r7;qKDPg`n}6;<LLAw@DU6`g7a;pak=<j#j9uIZ zNgUa~U)d1qk^O7Wju1yS-xn$l@^1oCdT7NVegZ0v?%!li?B;*rLWm>#x0MIGcqAV} z9NC?(_z~jB=2Qz}7Z(&ph$EY$iX@I4ZefxL^~mA207)F#oOMufP`DwdlV>=@HKh>d zBj=+d(g<;6^Fw73;>iAeEr$?CR=-~XyZB`!ab*A2s36oM`}Y`<II{UypyD9^CL*O1 z9#x1r=;HoRarAVep$<_G5=S<Frv^eC*}q;|*u@`cBgB!-`GO>l?BBWi2=&PReU2oK zY|dAxILKef@vCb9F$Z0|04fgh7jpb^8$r~A#F5RPV~h|-_OFpCLL6B=n>luIDI{@Z z{~oqNs7LlMuQfs(*?eiJILKef@f(aod>T|7<S%6Z=G$U7pTQm>j_luw4%o%joe<*4 z?zBb{NA~X%SA=?G|LVCR#F5Rhfr^9tiyXftIK;O@#nJs6<bmD%$DRmrWdBxrBgB#2 z<LirEJP}D8*}q(Y2=&PR4M7q|Ha`g}4)QN@{LaE5eitf^?%!>}2z!yucMnB~Bm4J8 z7<Tcz2!uGYIZa67$o|!iL8wReZz+;EvN_FAagcwJ<99a>@qbWpbpOtbL)eRKzE%Q4 z9NE7I5)tCa>Zc@Q7hi!Sj_lvSbcA|j|IS7dM>c;YR2<}A<oJDvLtG^TVLo#H{hNsp zM>fAN8zGMDU-?|@;#>0&;>hNlL=s2#Z&49KJ+goIAc-TJa|$XB@-K4yG8IG2K^J$0 zilfKxgA#~(kT|mWg=GkFWdE{PAjFZ?->$?i{vJsj*}pSt5$cis`v^%K+58Vsage`| z+wB^45OdJQv!UW3e<8;&TLVNrNF3Sx>5T|+WdCM2BgB!_|7k&pBdhOi!!EAefe=SF z#~eu<IeaGcBGe;?&n+ZzWOH6X#X;eKoE{YVAm*TpCqu<S;eZ@I|N0^7LE^~f_fJHK zBl}l%GC~|#{m&`b#d)V9#F72GZ3aRd*}n`k5#q?^^FhTy{z7iIyWtS;go=awh3sFI z+1SnBItL++>|eKe*u|w5AjFZ~xq2Z&9N8S3#n{C!EkTGQoAV4w968)lRwL9Sn|}|7 zxb7N+dgO8A5+reCdyUp2)FX>$uY-ug#w%ds#xrn;ABKv9!XJ6O;vQ5SW)5t8l6yU> z`7m)as5rVgeo%3kIWYG`LB&Dpk;frBq2lQ3w?f51>XF^4z5&%eu<_4OG;x?a=R?Io z<{;bq1xXy){I*S~=EK~-9*6iXs5r=cWOGb6L(Bn*Baid{-vSW_`Bw^Qyi{&0L>#0Z zdAu<eNgR2cYA;kAWDaOt5w_p(7Ml13=sHaHZ4mQe>S5xhIK<P?#HT>bX+{&D0TthX zL;M~N@z1+Z-2)3}`P~q4P&gp__r(c_ILQ6dNZ}@Q5+V*#k9<C)J(4(byw64wM~<&M zP;qqg*-l|M-vUV-+5G89;>hOTfQqA=&vY8Q`9?_M$mUN(5=S=w5>y=B{6A1}^zhL+ zgRmFb{B9(1Wb@BJ#nH|G1{Fs)U+pY*^IMU`k<Fh96$hCQO3$$La1bgEG9NiToQH~| ztN#rZM^`U$4&okk^)5KX^P%D(bCBIx4;4o@XBAW&q#oIwhoR!=>ObQUmpcz}C&(OR zd-b5==;lO1#X;(k?Ja_eqpM$pL;NgM9Apl%y?3GFFmqt#4Ce)eJCW^;y@n7+PFKf} z#F5SCyN+FbIg&WC`u#}a$mVO@K$wH9J`G76S^Wtlab)$kpyD9^BA3q}q2i$MK`x(v z-$K}nY<~D{ggCPK&+kCQLFR+fIV?O`?xKpr%4b6y;_*1dC!mSL%Iπ;?f277lTa zd#LV#sqc7%5JwK5`A~86@R|G!q8=oUoKE6DK*V9;0ISD4(Zpf(_zS2wx;Yx3A?AR@ zk<a^0M-oT2w*e{+vlnLmS{&jxpyD9&k>l|*R2*gwth`eA0&x$zxHnWB-JCS2IJ)}D zIK=ls#nH_<^Aln(NE|sF4*Y?L!_0^I_diq|q#ikZJpN)=UknvTSKs>|yZRkaadh=} z89Bfcg6QsHW5O<O2o(pJgB<?Stf=Z?;qQV&JRd5KZq898apZQ|0yb3hVe1nvpozoQ zohq;+)XN~XPmG}AAbXM9C$UK4$nE)5s5mHmWRc7%hl-<{vj|BX*_^|i5ci;~e~l!L zte$}jyLt^Iab)$Gd=T{@cY@}@T|f*528JzAage>p^WYAGsOo*7>bs%h=<1Imi6h4g zmk7ihkb2~FZjL05?9NiCILJCsc?&z=djXm_?0oMWQH1%(=9q~=#9`*Z&i9T%6NjCz zH6JPtawoDmccJ1ib71FtbBLpw4?Ex68!C=&P6rP05DADm=<4MpA>uH5VeWT96NkCK z9V(7)&QYj1%p92eKcI=j+;1!eu@~JOPicfWa{M~WAjDme=69|li6g5QP=Kh1xd&Db zXhFq6_9FMke<(xLgT#^dmGG!Q#6jlBA?3Sbs5nSHayfZO4Wb?-j%>~~s5rVgR_X}# z$mV1si6i?<K?9*4c|Ds6R2*bJXvxK&{}3=0Dh_fFviU!e#F5RvqKPmcIecWaAmSkN zLHPw1p2kpdkom~!Bay_B&F|2Lm;+Le?EX1Wagg~6Na6e!Dh^VQ?EYLGggMCe&O{PN zw)c-NLcK9k`pMEqh+86wuR;=+MiSo)6$iN!IUF8B#X;^wUJu4@fH2<>$s9{0ab$OX zLJ~)IC#xaC9AxoOBynW-&qNYOcK;%%IJ)~UK*iDB{|!kT+5MbG2=^e{>x(3gY;PD; z9Npd)s5rX4tB}Nz?R|<QjvQ_m%^>c9nGai^^A$}Tww~YF992E69?ye{gWQ9X-Yl`J zpNb|9tM|2SP}RfoOA1sR-TaMCsOn+vzXKIVS1;-eQ4bPF4u=L;ggA2jatJC83m@1% zh0kc>Fn9j+z-~^o4?-NdeCxm=z8WeHvKO?(3RW&1@`IQI5(nj@1v=p7AHxSU@i|a& z^$=9`OF)Gj0|SE{n)m{!co$S06h6q|z#ocg4orO{R2-xpIXt(Ap{j?4&pD_#NIi1- za}O#G^B1gL%@z(Z2c#Z3U5P-&Vd`P#YeU6B>XFTlL=s1Kr$_{L^JSspF!N#V35JS; z%tv<5Rj4>jJ<L7gk=V^|MG{AL&(dg!dYCyr(DA^FP;qp7??c65>S6YB#X!tKS08~x z+%pcM9%jx8X!<Ec6JG)qe~Bgz>u(CiqnZN?w{)mDx_jn9#bNfs)SrZkqpKH9fS3;w zM-I0)P;r<!uzaeJ2vH9chlP(s5=0zi4sv~3jwFuUznG6Cj+`&vAc-TZ7fD8#kF355 zNgUbU3rOO~>djIR<{+z2MiNIZPqre7Bb&pW3NZ&g9JHX~pm0D|FPH{Vk1lSCB#vya zJ5(HGz7kS9<S0}e-JF+5;>hOwhKi$`lar3!J#|oVkb2M+Q?PRKAygdQUfm3YIXXz~ ziYO#;<Z!r%LtHQuVh+e&P&o{1|7)R%!`lC4P;qqk%t8`J4u_ve;>hVrF$-cZx;gPs zahQ9~K+E$AH1QKq@gq=ibaR-pA?Cx(f%!`sO&sR0bf`GGIh&Ehk;C~BR2*hL%$>i{ z#9{7q&w;oH-TcW&;>hM7f{Me;hxJcyqlv@%r|P-b%`Zk0M>c;ER2*hL%>BF3#9{7d z&%<uMC{!F~4y@cWfr_L1_XCo62vWLb%}1Dzd@f1~k~nhu=|K`lPUmlt#F5QsD!^`j zEs{90IsH&^kiU@g<0q&%y1!ft5#}R@a|2WyWDY2QZGhH0E6~K(K*jTl5auAeXBUz< zviV=3;^^kf7DLQ|iNnl44HXBuA32|LmO#{l#F6clhKhsCK@NvHs5rX%s8WbIAoU>s z!t&QVG;vtEdW0qpQ?FKrY7R_&7MeIL{jWn4hpFc%hnNF$CvrWjUjY$^xpNOR{G*`a zAoa-M(1;|Cy#C=dk~p$@-b#r1=;j3A5TArY{3;G{i7M>oN8u2ki$nYY4soSw?B=K7 z5MPc%{3Q-??HcUnTSCP_>qg>`%7;Q6;#;8Npm0WBH*y6@9QnR5jauyXIwOfAtDlY} zjvT+6ki?PIv(;fYUkXVad3{JER2-BJ)sWg79t{w4K;oc$RHF;&9~DEzLH<Q{&nzTy z<n;LjNgP?dS|h}KbaOIsh_A*W{tkz@VH0-qi*bl=!y*0?hq!e!cJpg-h#$Zq&eVe4 z92XqoK~Qn@^x1_&{47))6wb)$)20<-FDQQ1k>dSM2SOawWrDQ>r*=ZbLFOROGwy?m zgUkVqw}*fj3=9lQyAbM;$J>u0i6f_5$!>&t<aA<!B#x}U14$fN{bD3>WcA;X#F5o= z_aN*=&KF)#agcXFM@qrM!Lkpc9wZLR7dCp3e31eb2Zax^`Q1q3$ob+bk~p&Zdr)zh z`LJ=Jzff^>^V#}Q-2+pv0u@JBuL~7NH{S;;j;=lwDvqwc7%Gmgz6L6eu6`C&99{h# z9OCj5ApSyEpM*nv2@dgRIK(w4VmCh<hxl3?;=7^Z==t$84so+d2z!z9<5H+NDBO_q zo$EA+dUWv>P;pTBAm_(}P;roYP=1U7F&G#aj!lP{15%HiAD<zKBj*>n83^^r?OsnL zapZKHf+UV?&JHATWc8Pk#F5pj&qUaZtlk<)966u5K*d4c1m(vZXnxFxii7-%Y|b<! zape5?07)EK{Y$7g%zRk>;+Tcqoq|wtboKgBadh`sK*iD3heO5D)h9s3(bd;M#nIKb zL&ee6FNKPutACC|Tx&LV|K{QlUynolBMxzsIoQoF!y&!{hxjq5IC_5kheO<PF2Y{q z{FsO&j+{PcB8emCiz7(l$m!=kk~p$C#`6&NBCGd95=U0Q2uU1S{Wc_V<b1IoDh`YH zInaFZ3M!5s?}GCY?m^BM(MaOR_NG9^Vdlf~MKe?!-TWS?IJ){(P;qqio1x<9>MudX z(beCEileLl2^B|I&$IyIUv%{fP;qqiNjSup;t+p<LtJYi#9nmsb8v{S$07a^hq&<~ z?B+W{#nJOcB@XdDP;rnuk@LkJByr^QDY6)0FLJ)HM-oR)KT$~H$mXm=5=T~l5=k6c zz3dW%y~yefk;IYng%wmB7Vl@E`63l64)QOuIXy_?$ob+Lk~p&Z`%rP1`LKNP4=Rps zKKoLL`_a{_LdDV5>p{iQ)%!xl(bb1R#nIK5K*iD3*Fwe7)z5~CqpQD*LtJ4Q#9!#@ zlW~YI#UcJ2hq%^q?B?g-5MPHwd=FF{JzspmA#T0`VJ~vN2uBh}PM`fq;>h`87m_$~ z`nifEj%<$RN`$@0>Rph;k=4&Y5=U0Q21y(_Uu=bn!{Yr9G+#V`ilfIP`znNckn=?# zk~p%xQBZN1`LKLZ2^B{-zX>Xiu6_Yj99{iNs5rX%6Hsw<^%tSy=<44?#nILOfQqB5 z7h8=82W0<7;1HjUL;M~NafLP5%}>H1z7&V}a~$HDYq6Ve4i!hw7x_5EH$lZg;f9<q zE+L5{r%$eR2z!z9g(;Faa(D(Hi6fh{1W6oO{eC2IWc9-95%wahS4R>@&KCwyaag?T z=tKJZ(NJ-af04~;LJ~*L7iW;fk=0*?io?u@<%=&+adh+lLdDV5OK-sLFBPabx_W1* zIJ)^hP;qqiSx|9w^~F$eboCRV;^^ux;}93yh~52>IK*e;5WkB<TwxP-^OJChFTo+c z2`Y}BFJ9pg*WHY;7dc<}B8elX&sHRH<b1IKNgO%-oJJByHb;I7!d_(cR!HK=>idwy zk<~9k5=YJ#tD)ksc#nbRi)&DE^mzY^B#xXf+_z$PX8=?jW<D%m<U_^L&98upqpP0= z6-QS;A1aQnejijEUHx&WIJ)|$P;qqi@1Wx7>Up+d_pc~a99_K$R2<#>avb8jaEKp< zildwV2r7<l{%fc>x_Ykd*xm1oL%bh{_(dGz_o3qG`Ad8U#9nmqD5yBd{mA*N3P~I} zoo_-CN6ue&k;IYH{|_W_WOICWLfiwg7g>Eek~p&Z-ALld>dzyIBj+!jJqYuW^Gg|$ zII=lAaftuHA#S%9VLq}s4LHPK;t>B16$iyjGSYmR{yvEL=;B3CaZtD+$JgaU5cQz+ zhCKesa~L8HQja{&DGL<`sRxa7rhpg>3=FzQ5bBY~IZqr#h$H(q?l^YwFDI~zcb&p6 zz7$CuIo>ZJi6h(l7D*ggz0_&!=9?mkBdbqB5=S<_3`rb0UClxgM^0Byki?PAQ9py- zJy|%!*WeI;heJI4EOzr#q2lQ2XD$x$2T*ZPIzdiXw=ZEgzwionarSH2#phnfF1`av z964T|B8el1C&LYdImqgDk;IYJdmxD;t1m?oM^@j7B#s>KYmvl}<9!=c9F%=P<L9t? z_6}4W6rRZDKZS~;t7pE6@E5XrHyq*}IK)rk5dViHj=X-)?iO}?eW2p#>8AyU_;IK> z$iK+x=g@uZ=0`llF8=NjcJY=c*v01~i6h7F86<J!@O+LWj;vnfDR%Sqk;IYJ#~_I# zn_qw=j+}m`Ac-TVpSe(R^z?8TDh>)yWb@BJ#nIKjf{LT7*L{ZFU->x1H{uX~iX@Jl zepH`hH{Td4j-Gz9afq*jii6yboPJim!EV0&JM7|D-(wfg{)k<?4M`k1em5hDBZvPv zBynW*zmdd|)r);X*o&;*8A%*jeK?Xha{8%85=TxyEl_dv^sovl4hm0X^EX4q(bZps zileI+`HXNsvN>Tm#Ao0TKZ7KWoPKz|U^ib1Dvq9hf^djWg^GjRkDPuc{KRg)+HdUQ zd;VY-5Bi5)JQqnEIeup$i6e*GRwQv`^^cImk=6f25=U0A^&h)??2yEf(@zqTICA>Q zhKi%7hlx;eP<SG{XBJc(UHuNIIJ)}ZIK*ukKrxGX9%(%e@y$r$$m!=Zk~p$E85tqw zgGl6dwIvSm8mKtP{mAL3oDF0GraO5#u!}F|#4c{hja@thNgO$T8<E73{WS+k99jKI zBynW*Pmsis)eG`qcaJKPICA>&L=s0%KS5A&^z={x6$gbUvU?h#;^^w<L&ee6Kf)od z!i(MgX*k4ZA&DcWpIb=c$nJar6$jaZoPHGeKq3s_REI2{3>62tA36QR2}9I_#F5Sa zB7$AKOANcXf&_MPYb0^x_{~5PN4B>aNgP@I1|)H0^{0`<k=6e|5=U0gBZ+V)a{4hq z5=TxymQZo@^bijfM-R6Qs5rX%HmEqd`ja@sxup>9M0UR~4)I1Lapd%K5J?=_obym| zkS)mRgk2gWf|-82pyD9+Bc~r%1&Df(II{V-6|svKDq|OCSH&)_gd~m}zy3(#$o6I- zi6g6@jwFt(eiM>7vikc-;>hYhBZ(uYA8|E=`;pU+0#qD5J-9)|(ZkIjDvqu`7b=df zegh8iPdLO))DiAP_E!dyICA<~fh3Nsej8LAWEyh%d51&XPy?hAQcoeLA01tUII{VN z^stLZ7+@EFXNX;#(+DAs9KU8r;>h*}B8elbuR{_?RzDL-99jJ_BynW*w~)k<(@&-u z!kx(b2p&PjLFqvQX&tYMIYK@1IHwzuII_Jfk;IYBzhZ$f2RYugEwPJRLB&Dt0bNZ5 zTQ^$=6-Q5>=aIyb%~!L+ZoVm09Nqj%s5rX$7jTHHTO-Uz4hK&papZ6)u|cRucIRmv z;<upUAa`mZ#fzLR!W?9K^O3}n%|C`i{3=u&-F!(q?B*BX5I>GX{40_;ayVExK+Ff( z3mPwi?GH^r6Nl{&J&i-$&k14<D877=#*Jf<#F6(0<wC_l_JXd^fTgQ;s5r<R<aE9s zNgO$x8J!XKBAXwHB#!K!3?y-6^%YQYbob1Gile*d2$DFmd(7P+?g5zt3Qt(Lg+awZ z<{+!DL=s1i7a4bmIUx1O?lgdkgUknQF@lBL5vVvwJ@R=bo*oEukj;OCB#vx8gC|5i z$b8TgAk6$JP;qqgCA}c(LE^~f--L>T%mIZ1Y#$$+H$*+UxE@p-WDfHAOZ%bXF!iwT ze1St;zz1SJx;cuz5OH*Kyl{vYLB-L{vGK!hPAU%ZUZ^;_Iimg$b3o$A;r0zG4s$0g z+>`?#>e0phpyD8Nki+d9R2-z<0I9rs4iyKfN1lKA0Tl<S2hG2ffEb{A$^s$wg483= zznq7PgOq{d7Zxu+aEL1hA<RK`=R>GCx;cEo5cTNdmQZn!dyvgp0Tl<SNA~YQs5nSH zvVYG*#X;&p{>=d~7#J9wLm>8o)Fb;h6G<F7zBEH2>OtB;;Q%{lBMgUl9aJ1-KC=7y z!%)qEjoVw`5Kn-LqnmRIDh_iG%$(0S#HGU__M)4!6iFP}za0?>^~mR99gl>F!|a8n zhlfyckom~xP87$Ws)wcXUZ^;_`lCqV$nLR;M>Pj#Zz>M)2~cs6`N-k_G!fMtn0pkH zAmSkP$mOIdR2<}AL!|sQ6Dkf;k9-b>Rx-pKka|!$fw?mcDh^VQY<?9~9HbuE{CiMw zka}eEpF_n#>Otni+%J*>u@|Hs*?bMCI7mIR`8`l^ka}eEr$WU+>Otni+<yov4pNV7 z{xzsLNIkOoR;dX0Ae+AuNgO$TGt(gILFRz$h52h54)J|ZagckE<JU7C)f|}ni*Sg~ zf{LS?<D7xroE#kDQ=sDL=168j%mIlb`<EvRA&wkAkx+41IKbTB2o(pJgRFifk~p&Y znb{EYVdlWY6NmUds5r=c<nZ**K{W^Fo(de|3!viY=5Xa=H^&%<cr;WT-JGXL;>iA0 zD}tC0Qjc8zR6xaH;Q(|0B&ayLdgC&LImqeU9V!ko#|Wt$SOFCWnS&fJV&xEXK<Yv1 z8P?u*K@*3$=T0R=J;)qndp|(MLFORa8(4)<k8E!%k~nhsEUkv92blwM&l$*aNQV7T zage>p;r0PZ9Jw9)6Dkff2j+f>8i@HIbCA{7*FwZ$>S5>PY(^7@rBB5=RP``%U8p$7 zeB^U+<Dud(^I__{q2eI*$mv<K9%4R7966k`k;IYRe;0>1V*_?`1fb#|_ZTCklL=69 zkiE$Fwm*f6gVclK1?K+WO%U^8;;?YjZ$=e|sh^8Od?i#IWG`~K-G++8%!ir7-U2Zn zq#ilkT%h9U>XV`3Aoa-M*4c_M2ie}INaD!m2ex5XzZOXxS-p8XLOpUkb|Q%*yXQ9! zarqAH=IBAiLH<RK$8}I~kbjZm@gr0mq#hKHu=rB#gqRN#hlO(?R2*auvO8Bm#bN4U z?YcuyagciCeCpK&F&`w391e4k#F5SC>qe+Y7B@l?M>fY1Dh_fFayaaRii7M$4u>;P zagcgYIKax8XHapFdSv&v^+4PMQx6NDrBHE@dSrL*>4m5Vi6f^g(LRJYayn^55=T~l z3rQSVeM&#V9OQ7=h9r*c9*qeI^~mBrNaD!mL_@_v{zVSYGf;7mzmUU|e<H+uka|#f z!qSy3nm8=nI-%kqbCBJ$7b*@j2bQj`L&ZVrk>e$P62xARII{aUB8elLuRIx{9$DNS zNgUanFsL}lJ;>p394Zd77dafRLd8MqLE!*PSMQ<XAoa-ZPniO74@^DGzjaV?boF<T z#F5AGdZ$9n0jWoJ{~V||$b4k?FF_JFK`Q?(rXkEh_E#yAIC6b`aXLgj$b680VfWoj z&VY!6+=F~hksFdYa`<N<i6gszIg&WCe}!iv%ts!VvqKU`Hh;=&gnDH0xlnPK`(f#G z4^$lFeq{BGb0Frx)WhOy4OARm{Trw_Og*f9r7#!O99aA0;sS^`$eduLam!PS5aP)0 zxdRo4nGXwpmBk44$l)J|B#vxODUvvH_-{fIM-G3vB?$A8!`~B09NGL^P;r<$Vd22H z6k-lc92O3<pyHr#K(?2C8ALru9C;j721y**{W?%_kol%a={yoD4l)PX{Vho1$nL)k z6$hCEO6Rb2@)9ZzGRF@ozBVmKxD(kNu@wk$WcO<$i6gt;8Y&L57uo&MP;rpG$nI}L z5=XYTbPdE_kU7Zip8^#JnS-o;*E)!LbaC1B5OH*KyrAMB^~m8+gd~n^{)~+fb3p2m z#YHwj#9`*c(t|Nn99_K)R2-%rcCSP<R2*IXKOEwHn^EnBnX?*A9Ckm&4IJXkTTsn` zsn<gjhuu%%jV2DeZ=wQ+_+lL5r?#Qm3v>TVs5p8!`0RwJM;CvJB#vCp-`oXJ4-02l zx%VDT9Cki%%x+ZmuzIivO&oS!@l7;w5zu7<3=9nK(ZnU7;$eGG%|8ISj)5TuP5cN{ z{4$z&0rY&umuTW8Q1O7hsOC37#naHlTcF}+(Zpf*-9JVX_W>Oe%)r3lwGY+&8BlW) z(8T9J#Z~u1)T76jB~%;~FE&W!TP#!@U402u9HibFN&O6{IJ)}vP;roYD<t)oq2lQ3 zUqQt|>MfDf^ButMepRSANWBG;dQYf0y7>uEagcg*B=s#&adh>wq2eI*W=QJyL&ee6 zXC6ej6FL1W972dA*GDE$agh18Najz4ii6BY9`|59j4%h;{LUi~agaHn@)=g{EryDN z%t3B9#veyj56kamXyUN)XF3k?{W!#*qlv@HtAA+Xu<}a&1gd*r;_f)a&q2k}{bhR+ zVh*}^DUvvHxGA4Ts7DTGL#Q}<c*a7-LFOZ?&xVSltDgoHM_0cdDvqxHHV$#NGYEGg z_v5Oe;^^iqgNmcuJMkRE9GH4oJ7f=399{jh^9c2JNa>UH0z@379(f*03@Q#XA2bhD z17a{RFwBICgVZC>LoI`fgOnkge-tVXQjcu@HK;g9J;?kP5QBk%A@U-^J;>(Ifr^8a zf$~KRwEVn)CLRG5H@gHe2c{mDkCLF`Aon20`y!|~Og+r}YiQyy^KC9;H$MX^j&6Q4 zR2*hLEWS455Wfc%2bqJMPW-N*+6(Jn*P)5S%)bj22bqKH-{h;<&6$iQ4m0NyR2<!$ z&}*pXz|?0$#X;(k+kx8GA?iWm$n8B3s5pA~#6iVD>XFTnyMf)D7^pbR99TSdp^3xd z@j03}ES(77M3|2pZoyD-bn_e0#9`*&LlcLY&vgsC`KnNHnE9~q48kE^3l#^24{|!u zxs5O%c|J57NgUZ<kC4QX)hpgXn1ifd`z}Nr=1!QuLU4%JL&eeEc@jw+xja9IB#wN) zM$kQoy&!Xt>%oF25OJ7$VC~FWG;vrvQ{XA8dRRMF7EK)1j_rhsgX~3ir`t1#IUsT5 z`${5li09xCug4*N9!VVe{t@Bl2z!ykM+Pbm3kMBodFTZd2e}jZ-j-}6ape0)>X5{d z?LCAfj%@E~s5rX4KcV92_Da1#xF6YGT_ka2dkc}ok?pO8ii7O6N2=FWK*d4!BAdS( zNgUby-$>%f=Ci+qxD(xcBd9pK`T0oV$mwL-D}*_q`s)qo@*f5UhP7zoFQDRkUqjS` z%ttOKmES<bLGA&W15@7*6$hzDws+fGRP``>&!UOL%(?pxq8?-pvc1mlA>!!vu7`?) z)Faz_?E|WMn7wb	{XS{RmMHG6&h-<WCTBbbF!Gfeefc3=E)ffbk)_eHh^4ptWKk zIROyCfDq3BX=GqvkU$fE4Hbt*1KgZkkOLSP7(i=ZL0Uk{K=!IIgLn)KptU_97EF94 zR2<ZoK^E5nd60pD!2u+Q<et?~aSt?c16GJY0EB|OXA@LB0!`eE4I+>Lq2TIwLB%uB z#O<K{;sP{r=(V;C6=>pa&;V{g6NhdyXXro^hb}W?n1Ch@tyUOjpoxcZK?D{+D7gD? zLB&^~iN|q61U5h@xcWCx@f~R5X*>{t0}u+X{uNXlR^G$nF^?Cb5LR!%#6LmBZ$PBs z<`nTk1YqqlnEE$RaoBh#OuT>}qW%Mff}8UND*gjayaG8PBc-2DP;m~B!ARm|f)E1) z(8Pa1#U;?h>x3Zc70|?gK*d3I2S^1-8kGKPgh4z82GCswAQnuVK?KBOV6XrQAi1Xn zD(-+L&M68}?|~+M2Pz(bCY~<_Q6GUO{sJnVfF_<Q4p9%w_aJGI`yWBY3qS%$?)(8Q z7%I@j=SV`#X+RT~0$m2nz`)RfCO#c1J^@W!4s?k(0|UbhH1Wky@daq&ccJ1d(8Lv> z<6s-m#ABf1JJ7^uK*bNBiJyXspFk6r0$sw%z`$?;O*{@NegjSXK2-bxns_|uQb7g= zh8Jk!kD%fo(8M=@4(DKCVEBP1ZUH(Z8x#f5@)#ryipMihaSk-`1khpg3=9kcXyUs- zhmnKIe>8Cw&}IS#1_lK*@!3#u4K#69(4u<=1_lE(@gk_W1)BI*sJH`~cm`;(IRgWO z2b%aTsCWRHxGiXrH3I`f1e*8@sCWXJ_zLK``Wa~A9ncE008N}9TA@{-iMK$-8_>jm zLd84K#Opze>_FiOiZccV29We5C>un<>Oq+J253DM0+K{hzX4iL!Rjx#dT4!AgRUOZ zY=GB0F!cq{dIVNqz{D3o%Q09v4-;1aU5>=SzyRytz{DRw#aTcJ5Xqeh&~ytcS7GWI zpy?BIrwPcZF!2RYanPO}6me*{<)E3r05rJBz`)RhCf?u#(#^oI22DJ{9U^`PO}rr( zBK`+WTp$x7E(3BPlD`BBA>y#~43Y-L<Ax%LIP5%rn0P=5L>!i`VB#N2A>utC0VMM$ zltaW<potq)Ld1`tiC?IKh(ACRFMuTkX!{E!4RYs&dWd=jG;xDwh`0@!_=OgTcm$ew zK^sK81Wh~v+VGixCLYiQQNIRFJfRyRegaLLp%)_l1WkNFA4Hr1+D?S|%K_T3l0g%H zFcG5O0!_RDG}y+#zz~8at}qp%z5q@9z%+<>51M!awBfb_P5i@5i25UF;tjJQ;t$Zo z1?ED;|DcI~fQm~%+qp3RUYG|_Z-OSiVLn7W08RYBLWp<{n)rrA5b+K)@d=9|;!DuP z3zk5{51@$$EQN^QK@&Gv1`+>(CN8iXA}#_g=V1Q&02Mbt6Tbj$@cN*MZ&(R2Cj(7< z!YYV(3!3<X)e!LoXyOOfK*aZ;i6^Xsh~GdHZ&(iz|AHo704-1jpyf2oUkMu_>UGe> z12#d#J<!A*HbcZy(8MQfg@`wxi9gr|5ubx5ZUAlg??4j|*a=a81x>tQ7exF6n)rd; z5OE%8Jp=QXz+Q+r^m<`<y_T>KBJO~u{sL4SdhH}!y~BQpdgygqaPb3Bap*NEaB&A{ z#|3)qH(dMxR2+JY99-PtAjJF&Xzn=x6@P&y?r;dA9(rs8!kkkOap?A0xOl)Nh&XiH z7F;~x7DODn%p5LW@CYIfU494`Z+Ha}hb~)yi%<9j5r<9_!NnK+f`~(hZQ$YzOppqV z16t3+;wym@BCdfhE(j6#Ko^&Uh-aXSD?-FO(8V<&;w#X_L4!{W3=AjG#X*Blp!P7j zIB4*Lfq{Vo+JA++-xFfK2D&(CQU%m*L>G^QsLwzbPlSkfpo?ch#8;q;7ed5Opo>>R z#9yF`H$ucYp#5pM`#T}x8ffANpdBL*G;sxJ$0!3$oB`S~>Od2JupDCk3N&$t^$_tB zXyOaDLBwC6i8Jhjh;u;u_b~TQI0_NhKoc)G3laA~6A!ow5l=xA-*62g-hd{a0PRRE zKoe*94RQek!vQq$53-O3!UHt%0B8fp06N|P(hX|=98iK3*gk0DAB-U4DQMywj3MGJ zXyOhQ5b-5w;t#AK;s?;gC)hy5f1rs!m;ezMfsS*)+$k^_B5r~vUN99R9)c#$FcTsU z8%KeeGhr4)d;*$!gM|?BHE7}w7D2>Mpovdd0ug_KCf)$8Fj=7EE^vGILDZ|Di3@Co zR4guN;tN<I1#k+wxFAHl1x?&Q8X~>`O?-n4MEn4ncz`-Y{0^G<18Bwd15MlkdY`=r zbbJWruLkIS^CoEG3ebDp1JJ||K<_UvKokD}z2|!Znz#e>Ug|aI;?R4bPoRk>K<{II zfhPU|dJi!Nbi4}Y{s+)|6D`oh1EA*`grJE}fSyxOf+lVN-M2plP5c6MANv+G@dW5T z>I-P%2G9!g4VpLubbm4jv_A@S{|D$mss@^P0CfMS3z~QXbf07jn)m|fe#I6v@d?m< zZm@nZ%w7iQey~00>Y@9%ZlH-DfbMttfhL{+-RC0#9sh%w9{^oXY=I_z0J{Dx0!=&s zx-O>#P5c3Loy`<9@c`(0ku7NA8=&)x7tq8Dp!0lh(8L3v^Ey1x@kp5a3!w8ZI%wh( zpz|UOnc11~#Ra9s8C4Kwaz<)OX-;Z<K~a8kYH@LVK~ZLYQD#XcShg%DKRrGvvm`z_ zzW_<3xTGjGFTErKBAlC=n~W@5npd2eo|l>upIe$!l39?0q%k=^uec;0r!-Cpm=od+ z;wu>Ric)hD^-5AJN)T==hIyl;C^4@%F}Wl&KM!nAVorQoVlh-@MoLb6QEEYc5tI+| z9af!r`FZh4Ir+)inR)5)DXBS$l`zBd3y}4umc%EQ78RxDmB7O<CBG;)F|ULnH#N67 z6-jM!eqM4S5+CZ<(!9i?%J|&OywYNXZ%~5?E(h{fSz<|QJk$=Dod}I-nR%JT87Sg# z|3M=dp*T4|uPn8wB)%v$F(<wxKfWw6r!*DG73rxEU&SZq=as}4mlTyIm&6y86hTY? zg=K0P$mbxRB<92yBo-y+rk124$>$^%mq3h#1s|FsoDl#I{IW!ddBp|!1qh3iGg6bY z<BJmW(o^H})8aGpQc^48@hB+H$xKcKDFCI_T&O`{%b_Vb87i2bnwMGx3gXnFqWq%x zwEUv@l+3csVo-8Qs*JBnEy{<u0;D-3F)t-26{ILRHNGe{CpED+H9j#fB|fDnvn;hJ zz9_XgKc^Iw6cKtd^UCtGQ{&T0^T25oAyH72Uyzs%vKlD~BcxMOb5ctX`r<+6Bqzen z&dkd!$xO`2tV)e9Ni5Drmj>tK<f2rt@mS;&b28KO7)tUBa&pRY5tbIGmc*B26s0Dn zc&3278DE-Ll$e*24^e_-C(JwX$%#2RVErkTd5O81$?-^@i7(4Yfk=X#15cR9N^oX8 zu%SfigCt0V4p>4j$p@!(2EDxel2ko+zfj%clEma}h(bhq2KgZgE)I%lNIFLm2N$7W zohhKg6wCrU50V8De5~GtxDZ@2#pi)sl@6j3)6)_9Qc@F(LCWHbQjtWVaR`-yQYb1w zdJ=Qup=lGGr{RXCW#**DmltK0q{4;b%M&w8z=;+V*?FlIpq!qFtN>n|Lki*Cq|7`d z?V!S=Br_LD1k8h!(Wx2nX`n&@VH?&|4y!02hCm9=_>}z8q#TF{I9x%c5jdq}f)agv zYDzkiB;1X;`6&p?pn0aaG^wB{KOHGg#Al|(L*gklg+Z^lq^Kk@i9xTpG8ar2<)<W; zBtokhFf+9xHMz7THQtgTGc`3ez92ruz|z#jG9@X#xHKu=k|8hM0z{aD2s29turoo0 zb$(vF8A#03k^yD}64TfeBxeLBO%N)On8qex6=2dBp#qs{3|4`VGeR(pjlgn7s17nj zC_rKw8=|VRFtB9Mg9L<La&d_TI8bvFb8_;NE#Q8!fCYzTqIqhXVKO8*EEr$`o*Qpy zmSmA+nr3KhX<?p}Y-$v5WSV4<Vwh|hZ^5AF;~d~=Xk;FbFwn@-0u+`Y!W=}HS)hg` zD%ac$q{b9g&=g@B64Tfeq|^jesR=?U64TfOq|_KysWCz+GSe8O)CeJm#56Vn%YjKl zRKpAr8jzUANNjVg0c%cFz?vfh)*K#FMwaHFfHg-6PIHi$8LF5WNX!&f%oJe`64Tfe zq|^i<hr~2C0m&I7<dB)hAUPw191_#m2rLIC4G}5~;o)JDXpokcnrsQqcStIXk=SNf z1J8`8z%xSx9*k*j6mNzUj%J{6G(!nT3y_#Os+c)Q%nU@BqDq(|3`1fXn}U>@Amosk z#>i}h3S(oC79&*CjS%ukOk*REQbUBCAv}yw1C+QxLNdr0VG>FiU`kYIn<7FR#xysI zHwA^TDQXC#a?Me>W*{A=sA8t3@Hj(a8k>TYnjqwmn8qd`Ib(zzGSe6&XM}2n5ke^v z)7S{C6iLL;6qX=UlaozN%u@^@sh7AgGDO&7j4%l$uuX^xY!gIa!<gnq@i3;5VY~@S zkQ-T|@-0xg=BQjV6L@f&p~@JUnK0y~o1zMuB9tRBjZHyHO%QTOOk)#}oH0TUnQ07? zGeWh(2%!{-X>0^miX>ua0*f7#I^B@CKs7|zVvH~eC3cL7iXCG_?7*0&Ch;()xe=Uc zWEgMEke6<0j1pUhmLNe3R6#VZIjXFgF(S%9nvKj*<w5+sbW>D8Q-mfYrm-o=025TD zCJ3cSOk)#}QX?>Fj8K8X1gk(b%m|?riD_&ER*EEIXpB8J;le0UV?<Qc7$Kqt#xymF zhcV5K;7lXKcq35M7@<WCNYDaR5RGe&Dr;thh#HV)BQsQa5I-;76jjg^p$Um;Yzi{K z1XZaCLMal{*aW21$ixWT)&sY>(K=4?rN($9i;Y40jS*%eGr@935E9ifMhFc^Ok*RE zVMa(IhDO+<7NOnP0HntdrVJ&j4T*|sLugbRTgJng7I3DKIh<*13TGm*Ob~*`aHhEt zoM~hTXPO(t8-mgbh_En3Nib+!b5vO~LqysDX*M!Ll?U<j(oInXO%a-qn8v1H1JKl( zAk><mnqq+@Wo!c0i!6fBXKW0XG)C2Kgroq8Z3vGr)B+euwSgfbNn-6zBaarv7pInh zdhZ4ddFciO^g;W|#X0%q@x=wH$(d=D(9yn>6jJn}sD=zN#T#HvhX&9TW@Z@=W11qE zCJ3f6f@x|2S8I%58X}nH=5Ph32&OTDX@p=JBABLTaBW5irXhl9ZVFdmhG3c^m?j9O zF@lN2GJzXlZVYFdBA6x!rV)Z^ZUk3rhG3c?m_`VuxglJw5rS!M02ehwFpWUWymU)Y z(y#y#<_0J^&m1IXW`M|GAij|qh%`lrA~B6kL2@RjrkEg<A~B6kKuV1fa>z_1wh@vF zBajv&BoRY+qC(9p(6x?`6$s>!LhK9-kQrQ5{T|SX@axcZ$uL>StTBwozyMmO4ibaR zHX_7f>nS%t_f5cLpwoOXF2i94$QkHx0Vu;D6|x|q;1tMo1_sddK4`83GK+}VFQLr= z*-HVPzJlszVE6#F7q*@kCIQnAqxIP#`q9JS!57HF%?Th&VVXhfA&}T0rWQMP_b<@r z0ZB8!){BDN4_bl+vlqIon?VU`KbkcR1>Yg|zlW~B1RDlgKMZ3)X%<$9`=MMo6|V^i zKezyt0SQeghk=11hYhSBwmcFpkpR{20i92RNx<9><EOJ>_kVyn#Q(5)U6B7lK7#3o e`Cp11vKAL+9*kaL2XTJ~blo(_-Jqp`==uRlNm_{j literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..fee43213b076ca3f22e867a4acd5e282001fb01c GIT binary patch literal 204200 zcmb<-^>JfjWMqH=Mg}_u1P><4!0<wf8P0J4vm6+>85kKH!-4}mIzM}M{@Z8Gz`)?q zdH)4S)}wj%iU|x14E!zA85kIjH8V^ENp^3JP-kH9=-xfUpMjy<pQZUB`;Pzr|NrOq zW7!F!9l^X(zHa{JhwS|8`3}Bhb~QZU(Y^VE9!T5d6~YV*-Bm2j&l$PvSoVW-lyY@5 zH9uhFU(aUvpYep@Nf*xJ{8J9@0!i_^T=;*oQ$(fHM}@_sclV4;kn5fqUfL<oz`y`@ zq-VFAhDYmx5&@5H2L}*?{kVe!6WqOw9=)ti|NsB@=xhf0#iRKMN3>(y;Rz@J$^SMW zFCzSJ0P-@-{~aKX2i*S`$n*aL3jBY8B>#ili{yWhUkLi&7S;bdKwgIVUtkhQ67GMF z0J7p=LWKPI=g1-@{z2|V@;}Hg1pRLZ@**Ps9Y9`&`F{q8gNT0z^86n_f&U#y@;}JE zNd5=;g`ofKQT=}c<Yk!u6()lu;ql+#M^^lIP~iUxlKc;HFOvU3e!=1YaL2G<kLEW5 z&_WPYHX;>*0U&?#x5P1n3c(d1jz@R1g+2pAw+GAji>(~|EuG+i*$pY-HZy>v{8+%{ zAh$mYxF7=;jiqee;@V<{Cq24%gUZn6dKQL#pi-`sx7)n)!@(Ewj2|xkF#P7xyZc8P z1B2zEIuXuur2@xUR5(E9_nLwV<=1?ue8WqICmnb2GcYi?8lD7~h_IrzclQrH1_tD^ z(xZE~MLGk6PxtO084L`D-*zf6FfjOZ{sL=jJy6Q)(cNs2&cM)Ik-|`-=Fz(w#DQ7| zH3x2$Pv<|dHjnPz1rRe0GI5!ifovv-L)gp}5HmAynz;bkOb~~#nGYalF2HH#4P-Mx z9KvQwWPro-22L{tGLXU(#35{E0K`mzEL`E~fovv-L)gp?h?yQZ&1^t66T~5G<^hPA z4LHr*fovv-L%>WtbvY>8f%0<oA8=g`%90+<M+BlB;~e7;hdPEkhB<~hhJZ4$hG*wj zP)o)UoVMSC6RBtO-W#BN%ir>knSsIaSgXMlP>wq8q9OsxQ$D>eDl(qky&7^14Bd__ zork_(QWpGnh_Qs-qt``6;x&Kkff7NGVo;;Xr}MyZaO<i&L`9<8M@6Rd9OtzXS<mjh zFF+MSJWJ<|?-!KWS1^`H8UEi3((zgvWQ<7jBgW1U6@li5jIVVK-?rX%;GcT5^S$CL zkRa#JP9GJK5^<110nSsL?-jo*f`t^XcmC|;=yp*N`F^3*MMa>**t2^tC=++HbGx&Y zn0kPm1@ePucP|5|Wr6H`Ly*TLUYmLL?p<KUz~BKZL7;rk?yU@ppb`QcMlT;TF)*|q zDB%a02y(U~7H@`mcDFL5GcdSxd$724p8bARS;O#v;|`E=hi^w2_kvtf%Ij))z_s<H zBmdL`E}chR6c2%Y_!8_xRnAi`oJSSEDuTI+S37f51YYwYf(E3h^F-&t=8uf6J}Lqw zoI62g8y<Mg)Opac^Q0r^!4em!ySwGO!&sUhF@C??dG-5M#k>1JDnYJr+yQn5t7GSd z&TEPvT{sVv@Nph=;XLM|cuw(y;>Xuah6lc1Xg$c^a*Kh1!Lz#;Ty7tCQ2`Z)4Bdgy zk~<tya+k7ovuiUNUg~sFQRoa&QSs=lQBeSg*e8AgQIJh({2C`d@yDF{<iW3V&~pz+ zh=GBj!N>5yCm(*D6KVW<hkW>*PxwM&0h$M(kzx22QGok&{s)_j6sJ=`Q4O+DVjrkY z3ofw1YM`#}4rJjDU@6H3X%PTf46bzcf-05Qd0>T~_yu@D5^4Mz7e4XFTm(7tkjGAt z5Xg}ph6iDeJm|ykd=fQjK;4RP;!BXmZUJpUxP_e;K=syzi$6MDR0KM6R760o6#!ce z2^UBh!NUhVRG?PCf&*Tg!2$!C+^K0Gjt&E~SOAqWw|@Ws@7dW3Dl<Hqk7z_Y#yG}; zN}5<m#{p}3<Ahq?B!Eg7czLq}!~=yHHOm`?Kn8|xdXzVy3LZ;&V}Yl<xq(*RfcVgS zYj_D9nWN<m?aLcbsH0^t0_6=TszEuJj^z!=k<g?8b?X3^H=r;8Cs8UDV7SX0P_cki z-hj#sqRSg+)bi#9sDy!+Hx5&2(7uVFS9t?!{9`F^3h<OS0_Ldg8xS9ws7A{hy0vdW zp$^T%unL_(c>{`SI+r&fM?#YZ)U5+t-hje@KIILlSU@UoKxGEe<&6t!c~bx?Vc_M> z2@sFk?VAboDsMmo16ax%@JKa|{*(t=c?04@6V+&WL$~q<6zb4COk{ZjifTHSHy}qs zlLpkS16<yK!hk;I4X9W^DsMn#2GQk>D{6W3160EBw}dc)$8Q3r(V%^Ef*$3Kg=cr` z4R!{GZjNpTmhTt8Ur;>Q{E)HpRP!gs)|24D4t|#ttrtraJbU*xn1hBVwtkRhV0ax4 z<wMi?Yj@A?y`aIzZhw}}6W=eivX}6H25vz8dcy;+`3(=Wp5&i;03-w&7U^_RkpT}N zNt6Ub4T2^~XlaFW=*{t1D=4r*vDoqt+$#pf1X?V@OMcJpUhw=Da;eD<8HM5*9b2O5 z*b*qQK+6|s8X+{c1WG=H%fcd1<f4x)6@$wU(#MuSj)W#Ws9O<bA=t>5#ee_*r}qF8 zC?LSLQ>DZAa>Jkh|54_bv5ptHp_XqApb`z<p1J|zfdZbI<3$WXbQ&)LO<-VYPl0EC zkxK@o@#!6C?I{o+noyu6)aZB-?Z=Bip$^TLM3y0-s3u&7P<4D7<Va}JfVve?h78E~ zG$;(HSA5{Bi9y8zs3wMvPlL(~w3--P?qDr%+)>LL4$zt&czKfm;!(T2v7lFZ1Df2# zQr>`9THq*eKA@F1AU-rvjg~ib>%W0Q9h!%UEN?(jP3Q6k<Va}JfVy>n%NtM_(5Jis z6$?n^4XDf@y1el~EpH}(N*H)~^8&=9c6qabUi0sug%4QD8}P~|9Ocan3)Jyx5FeVT zM#~$zl{cVJhvs1-%NtNs)499>ITD&Qpl%)D@&*(J^eJyZ#R5`!11dAHl{Wz%-E9T7 zpw+~E0X_^2-Im>+EXEex9xTld?K@9>zohuf@Idn;d;TflL6CzA2l=<1^f=C<0va6g z=-vlf=A+Es$)dvT&jMOlTw?BOc%b!?Bma~GoF_V2R9qAff`mP~r-4*<SFvzgDW31F zQDJdWJkZIa((T2<&82v-lSieSMWwUt!*vFRZWk4n&bBYtL3w<igCzrlN8_;@*BKZ* zJ0F1J4jgz9khKG#1{%oyD<JOg7;yJ5xB+wjk{e+6S3um~aRaaWA3)rHV8GoUa1-YK zkegulZ-BVp;wE1AOW1+a&yN9jKf^7U`&n**-TwmO{ulV&9{_Q`f$u=4{|#{WZ-Ka9 z!3v!IXWYUY{v8naXAHRe6K=!8Kjk(!{39Ul_qdJM{RbfKUohbA7q|m+zsMc1`zJu$ z{{z4KUxb6xzr=v2{{wLMAAz|40;s9fcx=TToZ$~ytt|mvt?db#3P3E`mzcr8z)<3N zthoTh@aS${AOl~iU6SC@yF0^{fdN{v!WsdWcCs=sFu>O~gUf52{cwoMplWIcWMc`) zMvvwr5}=JG9^K90N&~VoykwV0cQdG_0uSu(`uG3;|8EW~_NB@m-J3x**Ecs7`%<xP zf#yf{-Db_t><v#^e&U}5+4|CYk-wz^WC6s*pvE$I6c)Uayt#sf0lcofl&zcN|3Sk` zojxi8ogpeBaF3O!2)tDJ4;hF=4KFX$@LB*0CjJ%`M$qc^A0Q5Ln0fT>USP|>(8^IF z3^y9Q4*lglQ2V~S8B~usg1zSm@)~$4D41KS?a@6MRK0z3VzEaO7IExmXntVdE!6x7 z<lhVYlMWgl=sec^jnVK@>&H^o&O?r!2VKC6YMr2=>Czp*;?jBX`$c6AutDDrGJ@72 zGL&)|o^)-!#6R_5=Ltu}gPf;I_#8Pea-LB9pm@kp@!)GF!%N>UIksLb@q&jQczvWJ zDEPqPSjz6$&G7$#;Ysl7MIY4gGbAxtfC3McEVlmp587`83RqaO0M+^kj2a)_sPUmO z6TIaL5+4m94qANNz#AW+dKq_ofU4^e6Ca?!Ly8Yjz!DQ5KB)1r0TczW{J}8`B#Bg} zc=YZTu)~)>K<y0N@d0XnjF|WU1s+m-fC847`0xb<Iih^G07U^TJ|=)TX!*kfZ+w8- zO}OI&)SMbI@c{}vr1$^@EHUxnhZ-LTKv4jyZzX1fB#`n(D+jo~ZLp)Xz6F^KawxRE z1=$F5D71!v?!*Gux1g3KxCTP4Z$T|hBI{d_i{Ui}xSjx2806Ns{-9t%q+btEFoD8r z0f>Q|cA??5gW~W4nT!-(ARF<77pNtRCA>f_TOz{?<YMZDR{&~wT>u3WD7-Z0fFv-} z*Mo3M(-+8Or0@dSh$p;2EoUs@1!_qX8D1b4QxslE+no%+t>FLr0zrWTOJ&{75px(A zJX#O%x2$4eVDRbPY%qs`;r|1V-rWI0piTm~2?rf`^yr>kp$qB^bRW=UU;w!bwB3-Q zyAZk$ricZyNs+CaNt@B|Tc?W(M`wu&4`@`31Kd*f>1B0cVPJT9jR|BlHXC|(YZ!uU zFaS}<UBDX}8Nh9^=1N3Mmht}q@ID)k&Jd9KB`O@yU58+6K${bhwS)2`e~T9*1A_;+ zRR~(=;sJ8qOJ|T6Hm~~h?(P8ZLT{d+$H4H3UjVd^9pd@s7rG#k2!>Dmf-Wi=U>m_q z1)tu<0botLBlMt~&Oh-B_^4=n;*arBQTW6kbK(=fppOcNPj3#~#Xg<?eLCNPP4Vc4 z+NQ$*a@}zk6&}z)6x3@zozD>pyCH6w46+Cj5k=65C`3dAGp>lJ`S<_-OJ8tXegina zzyjN&yBpjQLK>guZ?R)!U;rrq1>H**Mh1pv3yxY2m`Jya3J-YE5C?zDb5Ls^Tj*^7 zC!J;maClM>dJ-PJB`Ol|K!NUr!X9)WrLd&o)A<}4%H0sBP!M=0{{H{}TG*p|H^?Ng zsXo1|C;t5Z58hg~3lvH|o!@;rKZ506)-pmi%%LT}ZXXp67f{%>o-7e`Z9P!R)BF&$ zf9%}LZcrWtEy)7KzejiT4GRW_ZU>gmLy-M%G9KNV!9r%=uXSGkeqHf9=dtEzjGZMa z5}?EY?)^D@I}Y8JDDYaa^-_s2Xvd()aTgT<kn5m3_G(lFx<gb%I{$H=>%0Kk{wB<M z?E6JW&J&J`2fknD{N<u}2+}udy;fr7(YsqB0!s<Xj?p7VEj?cQcyu=_cp>`M^)Pu* z`hof|LI~nR@ESKC6&{c7Zm<yN_lulRF%D>Kg2U9a+a1?w4xr==Dx{VFf_qos0<QUp z0eo-PVNe)>OD>P@<`d3fcXghEge(tePc%r#?EAIvmlQv7o`Zxd2WXRLokw?fMl_`4 z124A$`745fp`n6>p_B_$KJm9ifJzi_p$`gXk4_&I323;1c7T4r*y^JqQ4$Ms0XUY3 zZ!^3$18D*~yW4{WG<dBn@a+&IWJ@_HAVK!M>}Mb@g1Va%Vj&&@o9NNqtpM^!CD<bs zEDZcD%RxyHwYUT&)$bRek=N>?!olAn3F^T@c8k7D`uG2T>&X&+EU6r%v-1$=sS<6F zC!k@sdw~ULf2cc)OXsog*Obj2z8zyM@dl-OkXK%Zf>r~HfR;S^s0cJaV0@j=zfHhe zkiU7&zyJSXs$S0j_y0ftHco2}{^r>KU~vwR?w0}o|NnOdEhO{+XGxHl2Pl7Zm#9c| z*Qm%SegqAKaDp}?ij+uztP<cn$oXCIx*}Le@qFhG$4=02J#;%Qe@hoAIYH_QpI*@E z7Yv4%;CtIa5dcof(2}ngy-Moc4Xzp>K?N<mzzNv{QsqH~d^-QVwD<`bjF~WD0`hic zSp6LYiWo%w{Q#~0-T|w>C9u`s8am+Gl{)n|78`nZ3mAZHkN{DzP$9kkM$t~N{>F5O zPw#FENPXh~uC2lKH^lSJGjwp*-wa?)yE$~ptiK_)5mA3b+%g$t5hzQ5b741`^|!@b zP?CTJHg)Q6%+N~!C!OXCl!hLz`Wsu&K}&q_AwEd;H^eCv1RlKp2AKrThP17}JuD%$ z8&drZ7NU3k9YA#bjg+Op^>+kX{SB5!B)Mh<%=#NFL`MA$O3q02H@JYqS${LQVAS7W zAzbzM0<`)&0#xl0tiM6wHpuGl8EEx4*ds*N-=N5&LjAqMYzWrhAiv|SzriIRmiil9 zHDIm3K~)}}`Wt-Mh=gb77tmoN!JzIDv?t=(yhkMiRCe>XG%$g7tF)*b0CC|Jf=_Ra z3Xf-Zj|zH+407HG2mHJdaGS@c^9Qt9AELt1?W4ledZ{F|^<-&a^CL!Z$Gr1G>j(ap zwV<OcdiSVw5LXs>_U=)+AOq^JgNFiMo(DB>LEQ*YbH}s0MWq2$wneaX-h;H1MLfIr zK*a37U+?_z{et2T&il>J89QrK1XeJXa6!%hG5q$L*|GD3OXmU33!UFN&zIzL{`h{0 z^P=KU$L|+hIFBiw`+lwU1AlAq|Ns9%mP|k@euCjnf|WlY6*oYWcMdF_(9Qxl*uY{K zCD2PAMh3`nN+26P{`>#`^&C(j-+&ek;JO%O<OH;`K?120?9=)4IQSqvkYhkW2?}s< z^PU58)(WZ3dyLbXc4GFcK#sbAobN%-2D=g|<F|vl04^%vB;k0R9n|s#HNU@K0J#(t zlaQRRgJ`)!hj(z!V>%v#Bur4D9R3%ywWkG~ZXrWoF`)A<4ny1K@4%+|sBpZz2WyxY zH-eHe$IF8M|Nn#Avncr?1T8;&0A&C~e(;!w+_V811ddo*<cA-`=LZg1Jo#Y<mizz~ zqh@|Mfu0}0PD0KP0<w@M2XcM@ixHh41Y(eSh@hm$5Q*B?#hD*KK}oOtz!8Vy${rQ4 zD~ZhypkN~_KY$V@a()1%TWaKoP_+C|0m=Y~{BQxprBD5k5lPfg0;p7Rz?UCBV95_) zF>2-q25)dP5Ol~MXy4)<6|j?#^MePL`~VgsIzM=z=Z6Af^8+X->6ITG(DMV>mBi); zP_U7eA3zBcIX{5XEj98(7+QW{m=9j`0BbKrfVlL@4>yR<4;A?GgN79YLpNG`2`om< z{9u8eAHYsR&JPV(@&j0m=={)to*y0%n;$?yNw54+fu0}0t|T@;fP#&z`~XUr$oT=3 zZmE$U!qM_W2Pgv|>W2p)E`9QYM-<WZ!v=i$ApuK%0E<yGKNO(n2e6Zn^TQ4-`2j3O zbbi=@o*x3Dh-@!`f|6eOVFP-80K1ad`~V6zvho8cVIt=TP`af?euzNJ4+0BN>W2&v zmp=Jn2l4sg1-|?+1517Yi%~N_tU%8XU?(Bx2hdp(peaY>{sUNy==|^jJwF^EHa~!Z zl3w}Y1$uq}yOP-a017s;@&hPgBIgHCx+Nn&AkO2D1a*{Q(?ZR=XMhquf6Ef^`Su?` z9MGx=Wkf64(xZ1b0~ctnW;1A@7CHui*!+S%qRRrBBNSp_cx{f*xIh_xC@hjjkSJ2h z0civa!4nRTibwD66P(Z!+QGx`IL982HG>=v3Mo_266ellkjLPMb0eL{ABF0Fg@xd9 z0OJ1&5C@C@3n2an4HIGdA0&$A4UiDn|4@w}Z((W#iK1x)3!(bo0%UjRGpKev{s%c8 z$^RgaVe@}9s{dDjybOzfhD9LB?q&nD_<sO$L-%ITumIE@NYMxqMe_zo2<(5TMv%8K zHG)LZG=hat{l5ZaH!=PPIUdRXAdg}5e+<Zri1cp&@-oc-9Uu;t_z&O)r+-j45YrnV zQ8aIWguwoXY6N);QzJ+eO(R$c)&CM8yNU5X$ni-22YC#e|6@`8zXRmu5}RYq0*gTs zsBtgg(Yw0?WPkT&P?P^PAA%2ZDb!1N(j>@GkelX0@+8PIxSJ5G*C$Ls%Aau{XCUIo z0pu)@`(}U`XmJB_-vNmGKus{P`=ET{+y^og$$cQp2)QpF)qN*G&H}kl0aS!wao-P! z`#@D9*nLnwaqa^disU|!WrW<9fa<;gkh5Uvdj*Jt=0;HYt^g`yyElUt(m>-BDSv}R z(UK`h2%Ns58j(DMq!A>FrV%WJn!aCf5Lv#191jW$X!#EE7(6VH(oZ6)|8Ic2ToQk* z*<dM10x5liZhui`0BuC!gznmTZAhZ)iOH5AdqK{wf@A@Zd*IH7X93jx8%ZFSBC<dN z$mJzQ$C`J57~oZspZEnp`->R(HBNx5MUURy7HXh6b|>VzjbmU@JQ)*Y6v$P}A+7>h z0cll0Zn8lN&tz1`ya2hOB=%Ud!!p=~IUc>cIaI)XJkVSQG%O7-ft`Z71InX!cY-ol zC1~yht`hye2|Vrw*$Q%Z4aD6b*I<SwWIYV%=zhprn1B0HP(4uqayx%Z6nItR2@uDl zyEy|?>4DEsyYT&@;=ykR8Tnf*K$BSAn;p~`7`nq)KuhSr3$eh<om%Zm6+OClgXCPg z-Fd)^lUmC;N_h+qIC5U#pL(G4;P;C@5FfW5EHMW~oWf`RI&j3L@#jNhuDcs#0_50r z?f@R{K%Nq}H2$&!Y5esrDgv+bh!0)V?NqO`K!;v|4$AK~fI8FK9K=2Dq9OvClk0Zi zXuiRq?a0yTq9SqJMMVZAh;CbniU8J_M70aFB^e&mh;!C)98-L(85HE8SgZ%l0(CZn zqQe6`a}T<E0hB3_(qAfS)cpX(R*CVk<^a&bGjK8ot&CCzSJ0p+gt`pHNnmAoQWeN7 zkb9OvQWeM!)KmpI{{}oEr2w6vO+)KYHh|p2-%<%aPOwGg28auq49Nh^H-eH?^8?23 z7eO~cD4qf>667>|3r<F_nL3YlzT`YuV(-~KMFrgQs$%K<_x+l(5qNzlXtmouP}G!i z8GdX1@4!FxSm!6j3!Gm|csO5heo{Q8cvbPjYbL|DoiD#%Y&}@Qxf?W-XZZFtQ|If> z8=Nmn96%GT0xAp)`#`tQ>;j$o4-JnVl^e>SB~EeRBx!gcjbD$EfBFH%!>w;iI5=M` z9#uRHGWh$|*4O+k;I2V$jfw!Q>`8+aKAxS&aai$*A7V6k*)s<yG(Z-5bTfE#vZ#3W zu2Bid1r`2}eR@MwI6Qj6*9z<hIUnrdT_9gO?f^LseE))P=ff<8;H;u72ge{V8J<;^ z6%d+L2KJ6e^N|FcQ4E@y1jPs_lH<UK4z{R(BFv-thyrN6XPjevEMhnPgbARsL&2l- z^S*S{)W@*`+*<&h90@8ha78V6T_@~{i4%^T2TIgDx;L*-WMJsFgI+Olz4O}lYl{Cs zv0EYx_Os!o*F2p*DxmDh^IEy{I{3N{4$cdmE-E}F!kh;=ue&H72d_Hh0UsW~0oqBa z2%2C7uOWm40%*M>DA+u@Cx6fe?V3LPU^z%hjSBkt>!8JQC8D4q4^XKMSvn6c++M4D zBr|wq9taB!4fW_e=yC9+g2%xZ_HdQGEsVby7#I*c96<$}0N8q;Ue>4okQX<5bl&&q zya|>A2Q@5=LE#I!8);t#YWQ|Q!WVQ$9O>aJp@buRg<#>!-N~cU>7v5&S`i$)JSyPO zWhoIt4qX<-^PM)Jo~M8kC~?AiULgO2YApt6&_dQKR<SUE1Fe*;n~CuN=pv@c3i04@ zPgudgz`w1Ch4WkqH&`$D3Jn?lZCw28PwZH|YSk(a{`Chv4!%%i1qCN)AwMV};Ts_S z;0Z{9Xjnjk3QN%XPelJd6E#FRR)RJ!*B@(605Lqen;(FZ3^;*;GMa}nhez{IM({;V zHx!{43#ohb?gnw7MJdjDnt(FLouFzL9QimiCd6H!Y#9Y9dqM6+Eqg&;M5+(6Q2jRn z<gJqWW6du>43F;S08p%9^`D0llK(&)BK(I>8FBstxeLjEAopVNAKHCk*~p&kZkAXD z-FpM-tp9&-thrzn0|Ucr>0`|mAO<X}K(>hUw`>LJ?%k~+3U6w`7cwC=wcu-#yLU%O z;<^|rKoS~eTA=0Jl5hjzX#%|D5?=R1Bim2Iqn9-v6rrsrLH8(nI(W36EMY(H2);*g zC&<yqShyKIIw3xTU%LcNp0K@l&Y-S}D10c2zeOFq$_H%v4^Y#g^(24GBammi!8Y)> zTm`LZf!YEJqt`P$x|?5^AQ=I66~xCL-Q6FI!1pt8yR-1OfI4#E8hIyZSAFXV=+buB zSSKXE*CX;fJ7|};Pw#F}5P@=UCulbUs3L=2g9Z+Z)&r%Sp55%=`_EM1=?z?9qlO%; z)dXtHAmR-g%&$#%f_(Gx_`m=EyTuI;SPSycIRGwqT2Jt|MuGwwCAy*7Fxx;c6aInM zjDyzKz{+6IYO@?rAR>AkD?q7=zom}}w1b~vHAu3%8{CkAZT5kz&T=(832Mr)gO|>~ z&UZDu)Ox^?f9fG{c?YS6x<UC7bOjb@>AoSjx&z;V#qnCO^#EkE3Fw$%@G2zesx%kK zs<h5yE}Yjo&%1D5FA?SZ=hAuU`z067ONzg~U*J5bc+s)*qzmYnV#N>OU6d;rOM?u* zxwf7JwU0cyyE#B{?#R-4{QGrfOYjyKPDmwi@-?U7$<_m)9scJXJAXKGe&{^G`JqJA zk@G<3r|*|IKPeviex38D;>GV5J5M>bUf^$O0eQc7_XKfx;wO-sCSc7?f*#$?9njpw z-(n2vSoH1&3BXeYc-<UyeIRO%ddUJ(j&cwLf6E7uySjISZ1d>u)-Xn-WYGR{)RYWb zECr7goGBUP8mgvbq%eaf$=BML={FgYelZnere8eu2q>9?%2{vF_KVJDP!h&*pBwC$ zk6cgyA`-m;D4mz+9Bb|XF;L6Yk|5B?mno<v1&t+e?#AOBkRc%FtOa?lvl(PKq=OB= z_{pQYdjm9;!mo$pG&}&Vx)6!<1b91)y+`-v8RFpPO&&}0Q^xPtJKuqtkKi;7%2eP6 zb16&b`_6ZYKRG{?@NgdF{HJ&gb~zcS+tGRo?d~&h!_>i}d$R$kjMalSOs|4^xr*<> zSsIjaAUCkFbY2DBe8%~rgqQOm=Q|h0V=$v3_nzJT_y507_u><vAnKmXV8+1U*zKdj z0#1e9phgh*-a4>zOZgnTO;im3TN^p>&p&SXw)0){H%3>(x2@kxSsgpycD``zJm|{# zvLwc%d-Dfv28M1uM`IloZa<dJbKkFlO#mIP^%d+cuq#Ws4Bvt?{?X2Noi9Q6yqyD? z^rG{<;tyBOqa^|^oG)BBkGm+IcU1hV_~SLRtKnOh&bQyMf`fYB|NsC0w;tthEeGW> zSZNPhLCCQWbbTB6hBR2|44P~L`^k~pM}-Bfzm(sxn?=R&q%~-t)0~5b2jKav^AP9= z_KT&Uf=&cvAiSW1Ew}Vh0bRt$1CHVVMDT%p4KfAXFb8QAz~T}Y6@hLa6%j{c78S5# zKs&u!FO&#^9c6gnwP5o@M$m4k1n9x-od@AYVmJ)G-4E(8&}Ku9?h+NAZrH}ZpP(bm zE^vM*;RoM)$N5X~li~%%i=d0|Kxqrwr3D9#;icD{po)Nh>Y>gHod;bwUv^$|;e1yj z%K6ce^R1)e*Y6iN54kA5`hKbN+G|#D@Iy0K>qq{U*`VtfA*-ifPJ<tN4Ql^+c7F5d zZqoqe0*>wgmhTrqJMk`jzo2-+)$nBV14c)F*Ne>`7(vMwQaiOC2j>cKfRu)McDI3Z zkO8Q5aSgOx?8NsAiXRNWfi8-6JqOZx`uk-^aG$&N@NQ7;Rm$3V+OhMLOXo$-i=8(( zFO-0`e*IEB<Or&XE-PN{yw?d@w|rs+V`-w{rPgo!QxAD|w@okwpT%DQa^W+^&hOu^ zE1n0r@HxnZADh23c7FVR5gbyjKOu+CuylUx{NUIL8a4u-QX>MYm9Brk&Usz&JGi#{ z39Ic~6c2#bMuUbaL9O@Krmn3gOEf%sMM2K>=>>I_89X|lBa(|FIQfDLtJfSLZ6Jl+ zEGnSz>TD~x3pwct!YjGUz~I@t&%%U(!L#vL1c(PYZO*6j8`uOyyLB`^AgvEbd~kvj zjp2dUiJ;SiPV!GZ=-J&C0ZIl2-4!g~uYp#z|N4GO@e}9}K}LSpAI+Z_K_fiSWO@yj z*nT^9{%`>m2A%gf&y|R9egZXcK!*>3*Sa58JluH$G^VI{@!LVh5-w0|f)jyB>j4VV zfWkfGG@x=1oCX*mX@CR7!<7a={W2K#>2CXw1uF9yI@>PX12G&}I@_+?167#&Hh@O> z8;|X{2O6L@Joyro1YsJXG^jlayKWUcE|7;@H}~#NU<aK!0IhoA$+3600|&@VB!LQa zfgeI>Dh!0t1TxSC7N85<Ko<}YK{LYxU7!J7U<bNDf+(69GtdPtpbKz_fkFYgr4-zK zfcN2gcRPrI%tIHg0E;38&<1pY7w7^C;vj>Nv@l4Z30R;D6rc;NKo>XwY7<{VGPFS& zls2F-3U)Q5i0R#(AcJPz4+S&<4e)XTB*Q?H3ZPB4&|<20_Y8Cupa}|S6BIh04YO{8 zHYiY4L5ULD_V(#~29tcD4YC^SJS0)j)wd|tfi4_G5dhr?h9UsEvIj)~bZ-TU0O$~U z6ammF(I^6-V_cC0U`-X!G7Be=A5xIcih%gQ6WmB*V0d8f)0qPrVcl)vgyA8W)Cx=~ z&;XA&SOchLK?-mIA8eu?SVbGKitfNF`T?t`hA%eT60nNSz$#i0016;oNF>8g$LQU? zA^>DElE4FW0f|5~6#?i19q0lF&;@>=3m62U7z!TUW?=YW4?b)JwB{uYB#)l#B;vuM zpzS_LE;dL2i-KwbBvFTCkSJ1&Z9o@zfi9qsf~I8xssK`YI{}tKcOOG4$N;D)^e*?_ z-4<X`B$pI`1<;kPz$*FxEQ+LAA`N5*l0X2uKnJ?O0k8nL6^Il-Kft0$22EHE-O3H= zHA1^-&~aAC{15a#@Cc92&mP@v4?q>CM?iB8BL_o?l}GpP7vSm++!QnX2DT4ejI<so zarEfk4N{IMfk4p(mVM3c0co~%b2L9>^yr=pGNqHN+kvI|0i#EEH<;_l0-8Am9aRHq z8AA&ykIowCxiTJ|4?t-rz^50S#z9ATNPq+!JU}PNcyz|7SiIJR*0mrCb~qu-pzazK z4bUEH=rDeFa|XyV*zP^>>4qiJATG$=Ak#%&i-XL8VaH|*HjuDDJsW%w2Yw*JBPIrh z?rxp?;3+zV`wR@7Cl3CQKlnnL@kHnGga26%K4%8CWk8v;TgR^X!2`{Mm)~~ksC38J zv0Q%L8Kc6|{8O?{rPrOKTZC-~s86POqVwS8N1U%ZMO2!P^K^^YU4F!Qt20D}rCCL# zzBxsOr5^0$QXbIBkU!Y?+c-c634z<(U=M(9-uCE@QL*UuQBeUkvK+x3Nms-Ftu87G z;Oq!)(v@<;I!}in7l@xKWpnI2?$~+EweyQB=O6wSW=4eHCZqZ7*uj6S2cI#6x?SLj zgKirmi09sS)~IlF=NPeEe%qO&!UOW1R<9dJw+s`)caJ%LcgCo2fL+;qjHg?~2<+hy z6`tl46%LRmdFnx96o#PjSr&#;?rw%=kWbw~y;u~VN`TV<_-^?U6&X;|^ZWPfU=@ZZ zTYrHk*}%Q(Qc$lA)Q9Q3-g&M08)NJDQkKq(ofjMxk2!LlFVS)3{Kt91Me%{7;&DgC zW3T05aSx)wR=nl`F~Qi?@W12t>#nW;N|Hchy7xc>u#lYM)A^zVG#?F0*U((z)A<UV z6<QCJm_Rd%;U#eX@qr$AL?CZ;Pew_uknt_p%nW}kKj;D|CeUc@OP0U?|92kxeyjBW zzv~51#DG#01K2Lm<cop_wCaC(4_zVnEE2dvNSJy+od-T#1Y{bx!wxM8y4_hojdf*y zP-7iD<Ow=o=Rk=tN;ZPz7MJc26@hLS6%kN(`67SICI$wEP9GJYP8Ssu7f9Ce>D~=W zUM`&{5B`)t_)^-1@uXwt8OMWvSRD^Of#)CRZWi_ChYuVzPr7uTy!@h*Ma8*0M4jdG zD^TWe1SK?0$6g;54##d2esIswMf2q4XPn16O;j9vT~v4+yII&TKY+}Nb@Qlno@jnh z-^ro^&Qc%`f`h9>3H9U_1#n_|t>oDJ2sGmGayJVDL-WHr!voDf*@{489txmL*X^RB z0?X#$fbmgL_;!%7RJ8R#i43UhU;!0a0moTD=ae#dbi1eoKmy0JH%5h}+eD>1M1{q% z^MWJi2T*yYQ4;3a`P#Xg#RlwCr*0kV%WuIxREPRd2I0fUoHrbMV?f@Mk-z+y^DZb? zX*hg4T3@Qv{Gh)1hj_7+;Yo-uWPCshAzPF=njbN~)-pT^QVAL`KB0K5vqXi1^AzYb zu@Vu^YoPpaK=BZWtM~zQ%&agdkiba=w3Qa>O%9MZ#aa)P$b!5n1M;Q=Xr2}nDj<J4 zK>X>_9it-Q(p{n=<Jx+=MA)_UTPdGo^J7NC11_C6Uve=rFgSOcfPMSUsawYS@@tT9 zJMVUWs8a&P9S11x;BoqZ^Mhk=2*}4e;+LOt-gW5=QE>qIqg1H*K}GWq@iH#MOOU~i z*F1)oKr;MO4>@*Tas`F(g^~o2;n)p62C@y7QVxGRTwf~K{H(tDpL8*N^peZ)z_-Ko z;3Y7Qil;aamGC=qUgA9HqIgj8l%wJ)&{0vH7r$Qwj|mzcaA~~=9;hj0>Aco?wezJ5 z=grPfE}Wl0xx%4Dg!7`~_sg!F_Z$_keZLACdi>V;0dx?M;<-(Xu7=;>qn{fYOG{c0 zfX4rwyJf)P^cobp8cy9h7SN=DHJ}b7`{!u$<ND^O_069(I$wOhpm>9S%E8vR{8JAr zoOa;&=)m#0gx`VVBj-{6sRtBp@NfI@n#u4R|F(mm5uMh9CG6mI^}4Y28^jeNU{|~X ziy|dZtgbkO>WW8TSA6mVyW#@>ltUm_98|dI!12j}<6{Y*1IH(bD^9rZZ~MT%?T`z| z4WIxy(0UL&s<eTzwA!`vvTN%%(2R_8w+`4bZ=JeLtS`UA9lJ-7-Ej;Q6wm6Lzxsnr zS9}S&|KJ-aG!DCPTyWvIT*B|dae?z3|I~vDH~F`ne9dHd(zWvz*uVVS4uHmMTaT5n zb;qcfyv_%Y&>nN{h6V~!cqoFbL{Gkl9Gj2ufQqxr4>*s4OlXD%NeNLwa)5u^CGd(Y zaFK0zp!FKKqAO){={)4pc@UH;FP8{7a^B*+sO+QSqj=g;@l@vxkjpK;UvO+ags8Q; zT^Kn^v|A69#6gGIptTmP$|{9cS<trKYt7aJB{tZVdZ8+XR&rp2UJF2*fkd_k4G(xU zABg}hU5N#4t4CS9GGW35l=iBJXXjPV&M)14ArC=Kur`l}3=Ey84*rrq_)41bROdB} zW{N@MqX!HO44M}&Ls}{>1}v9ffCjN@R5+R+FoG7Oe813oyu{N3+*E?~Tm(Q{d?!2t z_3I(a#^m8E1fl94KzcYJbqtRo>NsASi-5+9dQ?gtfbJ%3QOS5f9p~}4fJT~NPJ~zu zb|T1X@Tj`0;Wual7&eaL*xjSj^AO~YDJl)1U_!K1iEqtG)v4lW&7n5rK*#!lstM2? zBR-&zwFiYPs0IPIBS7Qotrz)QKQn+11%$O4_*+27q=VM*fvOKkyY=M-1_lP#?l~$Z zj~EzyyL(hL9x*Vubl!xu6mB|po^m|+i`DVqBSdS|xtm20+*CO2(s}dp3(&lub9aa! zq^-c?*!)|nPQ$U+3)EELgjOpz!A*q{6%NN<Cr|~z2yH6xbVCmkX?|qi8KS}g@emKf zC+EIjGd$4xiNDp6je(){B*HJcY~bS}ML>Q5*S|02*+6H-l&FY+bc37CE}%hnWf#b) zAfQetNbzeC*Vb<(=tszbY7CC<8WkSL&L6Iv7a%JkKyiBHAtYdSpvLK;gTGjzVFIqS z9lLb|Ax(5p!(76#yF`%X^1Dt@v-ziNoqDemhhw)4BXT1h)IE`CKE&hLEh2dN5$C7Q z5EU74Q`<*Hruh+jXNZbK^F#Jd9~Bvpf56QrP_YOKjZzJ8NPrthC7=bE;D!%46khX! z+fvUNUx!1QO)e^6_dy#JpmtLU7pw{KS{QtM9atkMXh7`?iEbYiiEbAa8BjrY98~@O zg0xkRJAzMY{Qv#BWA_x5Goa4cMMyGXyx4i};6GNEUY@U@o|t3nFVLdC1NRvi_**~& z)FAg8fMi@c&vvuuAAH8D`Kj|FIN=z0G@oVZHqi$Ml}Yn2**Z1HUQdp09Y_mI^Wx>F zoJTuzR16%O5Ary5v+!Sj!1)2xw(WLNG3fSDF=>9l-sz%Z00}9RP8Su6P9GH;(0W0M zCy1^Ce@i?gC~NLfQFsC>JVI0~P?uWpx5%+V6o9mW`m+`<H!w3WfJV49K<)>pC)dtX zPTefx%?}@Fo`QOS!?*LYXY*+mr*0E@uqSvxo>0Z=2@c0zM;=Hs8RQ3^?if&CPKBrW zF*~Tk*7*YB86MZ}Jt~l7*P;SSb}pSiApz~e_|viTtmDDItd0ktg7YIdyE}KAXn^zM zd6&+gU`GhJcb90eI9`6|(pjP+;@JFOwocQr*A-M#GC*_WPiROAIQF`NawNm$2QJ{C z73ua-5$G;a5rKrM0O!}{XY3$jK*0b`OAg<TvX@Asw&W#Vi-DS`7BBZOGcZ`5E&?6g z3Oc-l!Lv7(1(ck=gF8*&V07#@vFf}Cb|{BqH>ma9>Y^e6>d*4Gfbuga1l1gS9XYyL z*uk#Vya;tH2U0Qsl{=8)qeg`Xl3_TSpR;$?s33|D=k6R<22h}YGNpi1w+S<-0rOuL z<Q-5Mg%KhkLj@e0kAm6{8c<6`x}g)MkmwPBgo}vgA;SZlKl!IXR@3mioce#F(?><2 z(?vxEGx?RGB|in!<mc0!qoM(>Som9}GNC3!g_r7}!*C&4gQzfpu7YWPz~20jz4<4j z<fZSII1e?S;`n}3@;_)DcV`V!A&C-394KMLgENdc(87qP8(}G^K7fP~PiKvaLZ^?4 z3ihy)0Nn(R8CKb7VI_e(tTLfWVVM?mW-q9u1UHOc8iEEidR<f$Udn>TT3nDk4J{4@ zzJaF0;57r5;*i6o8#Lkqs~CRpw;TYSMcdt@k^`<Wx2PmMLTPkdIQWD0-~({x1ZNh< zZXLGfM-MbFf=6sX6$2Z*Vvwp+?+pM|3}U-Lt_Bw>k2xU~!vRpHWP>I_q>2GlO-ewj zNz96Yzx6q+ngmx4{4JLu)g+>VczKkKfx)r)Ib-v4#+N+opwiGqMFNokyE#CM$w9>= zcqkEc{1cet$O4Kf5EE1mfb%hU9k3v3Il%Fnx7mWF7L@(L3$sA26v(;FAngT@!DTYI zVGKSI9CQjXsIsU4x9PA9fbzG1&YJ}(0qKRdlu??$L9GWM`!PU@@R$<^O)EIec_{}@ zEuh&72JoN}C>TJ-frFv>0V60Rz<fs*P`khe+~%C3VgPD$lGE0cCAO`HJ!iS72z2_W zh=4-X0uri_;bdD-FgSpNGekuIHS6%VL_z}rqy<#VfwpLXk{&q2cy=Cl>}Ch~6QsYB z(Xrc|<)zFZ4i-p(%+Y*;!|-HtiV6=q{}jl5Mf@%o{+|Swbl8H(0#6W?Sb-$52G46& zPz@&l$sphm0*$r9gNx3|0yLJ(4)!!?_>p5DGXnzyVu!{j{z!0225+Ab040(Y$cY3T z0voU=5=aPulw%|iln?-E2j>k?2!J_|A_5dLgo_ByIz$msqQdk28YoYJid3W#{-X!~ zvi6F+1+^SoOH?>KyCH=wf6I2z6hEjd0Fvsw&~0OI@F}b2OK_i4z@zyDOSg^zxVRN* z{t4<*IdOE$7$CR3K?CFh&4-Z2#*r#{P+v*_Qo@RWHaLNr;GhtEfE0rKEk8hK9Cq(f zc>xVYv|5V4<u6nLNE;|5C0@du4K6%8uXfj%GC;Zv;Jz}rC7ff*0CqSJ9*1+FI2>H_ zHa`G4ngcXY4N4OBIP(F>G2O74Cwov*hq)iEs3>s+NrIGv+>TOAyygMr0|}JG05S!h z7{DA*Vt|AWxNHF@L=BLcpfVIZXM#wrpwI=SAlOv~5hp+KN1XV?AHe~+%mCEuhm8(! zymVv%FFR2IB{Fao3$4sa>f4;>1ov(J!}~VJUo#nk`ZlfqOB7)}{{#n6kH`SodV`nj zObiUZy-qA3>%lSU+%3Wd>Ij2I$~m07SvW4g0QG@EJ#tX{38No;4Kxz$*c$}v`GE(4 zyP*sEAnA?+5}}BOETl(YD$;rYl<Yx$wGs|kPaZV-|NR0i|G;ud=h=gQ<qy7<W<1;Z z9yU`3z3ZY|#IE`21I?G<v3-v296OfFZ(Bk2NsbCniGK4>DgHjt_y=g#Oa^Jz>@nwM zP&cI+Hf{Fm<$eYR21r76=jg_(6g19Fi5E*$M803c7vilYDgvMo=Wp?50kv;%j6p!c zzWET3Go;rI4tr2hY7fpgkfM|gttgE^6r~c#X#v#Qgb!4~B)|*kph+IG)Akd89ONPo z@HVypq;6>mxEzjvc1bx<D{DwO3{notfuJiU;1x9_>v2G8B9L}?)&p}OH4$h;2h@fE zjp!g1s?;BhA{2arE-D(J)F}fV9cxh$0F9(z9Yh58X1i@r2N4Cjkp>Y#r}TG%8uGn0 zDgsza5s?4UOOZ%ODFTi<&;TANI0;7`1ELBQ0PimR#2*K}TLn~Hbznr}1bop5QVy~g zcQk^u!=n+*0hORFDj+84au`Sf3JOQMjWQAuu?`>yfyOF8DGfAMfjy-`BNk~ATL3<Z zEz<lGXH!@JW!O`sIYmVP)aDUk2W3<Nj5d#ekBY-5{zzzafoc!|7tqx>kq5z{C*j$6 z<OFn(8I}NGN4`5AYX$9nU<8kjdmxRDgNkxI#W;yY2`n#wS~{R019u*=_8tfX8K_x< zb>1IKkb%lJ5qn6Gp_grtyMsVMCFr9f@ly8p|Nr1=csPMFA0Xk`dCjx)Yj<DBGthj% zG>>N}OEQQrTLg&g{P1rF-S7ljAHUs2g$Go?gDL@V*no#iz<FvHHv<F1PEZ3Fw%8t# zP&|A0et5#b;A;59@X}7u24=)~eJ{upp50S%djf0^%GwK*WQ)@iXmJ1=KjPnh0n{fs z_<{>G6>{p}E3W28jExWf{|8UmgW8%Lj{GiHKy{^O?_Q0kpuRbHzn9@jaE0R7P1woc z772=zIp8^sr}-yNCv%|WG#<=w?{-n)Y<|Gp?W4lm{E!*83dpl}Zvxbv0<MPtkvrzZ zxRzD{%nl7;c5DHB9U8zhpbqB%Z5ARrfNAAqHmH-?njbM5enU+a{4Up^ZoWX4o2is2 zU}Jocbj-oO{UXR!%@6EBjaE?m5!BgkJjwwo8+sx0c%YV(Pv?6`=pJiB6tNOWMJ&9m z0Wq+bH!d&0<&DJ)T;&awq6L)hLG4HZ5CfDW5tB)v@&<fAl)x^~ooVRh4F{-V;$ipf z-Miu$j`9ZN3D54SxIICecslrk8=AJbk+TD+G!=lBHzJ<BdmkX84N=|<n3Iu<NTlol zb~GeAfZQzc98yLKAZKBMZl+mU>2^^Ogp`q@kTQ~^(?^BJvv+R*)SVodWh6n@(kfA4 zEhE9<>&Wi{DkDJw+yQksX#q?tC*vq1!Hz~GiUVZ1nM#QQt*(cZks|Oi5|SVqkN$wV z5!4?bt&D_}kKprU1i-DaFWr4TFTtf_!%OU?BZ~@XoD8W^V50(W6R?2V1S;4T9muGl zGznPvx3j2pvZ#OxMi$UX7~L)^jF806ijvs(sLTKr)}ZT6Fj9Mu3dpIThA%F+!iFet zE_fxj1%p;u9ejZ!y|bu5lRFEj2Y&(NbkNyc@FH*^os2WRv#3ClJJ`(}px7tT&D2Q} z-7G4gt-7H0fQSktsk5Lb^&<`-=YdiK^a^`Kj|-_eAOLC(fRECFq;KeYd3b;0$}4aR zKk*8C3a47C0i|xxF*+O|2B=&?48eiwe*s8;1JuDpum7PPQ&2_v5=Z?H@`PviRNS7R zO&N6X1rN0T=RvOjL9H9){)Pl7h#~O=PyD?EolK>o9u(Y!!yVLl-3cO)`+1PwEvS-x z1=`@T6H=T)Hjy}X)6EBH<qae^@W68eWU{XDXa_WuK_luAml9|kqvQt-NQv*!yU*bb z0|W9<BkFyCp1pHaPP~P*jX+flXq3{U^FE{*-rb{8@*1?pphYF)HOkyI+3WfETe6v; z?txhY@2Bqt?UDyg6@yP50Ux<h%G=G*dGO#%dB%eme;Qr_U6a`vq9Ot+fM2|UxEd5b zpqK_b8SGJHgTbi|ZYJ2cqX*y0GakM8*YG50zZ#_7wMRwaEok>vjS46bK*qs)|9e4$ zsVL@xMza3A0foyHl@D(i7&=cM{4Ia*wKU`D&U+Z^9F3YEKhV4ho^aymjxmC4HUV$P zQ0mR&=+@D4)I96b`SS8p&c7h%z-v;_RudlZKzNA?PxHh2PSEPTXZ7GatU)9D;EK9} zrL#sw0<?C_5gcZm{~W;^6G}N<x;Y#*Pr8EEb^E9YxPV4NLC!XL3v%`z6^*wj#Up6` z47yGT9AMot`jGi1&<X>N?h<{-LIkk0ReN1Ix<%L#lVp%>F&xcDdAddPq3hGYb4{Rw z3_xr9T|le#Kpx<6)I4Z-z=iW5Y%Po<zspI~#V`!-!1Mm#8EXC(Zs;U5$2(A5hp3<} zhT(7Fgem}O1C_W6hL^zWfWV32<wfuUj24wA;6yw{<pwAbAB3!sVmt_5iiW;-=HUbI zz8~;B8@%bm)BHoKPPx~Qqg#dxY`o^d%h0_uy>&d@HddFPbAoh$jxylr2JN<aZV%di z)BMyPv{nh8z`>{Ioi7z>eG7^~w7D4{6%p{2T>|_q(^x<or1z*mk~nz(>dS8|pdfHj zQGkr)gF*y82n^;x27y6LkbU521f}~Deo%D;+93g6W$~J|*@B^t9eM@>==cT5gsKfF zXb{7Tpag+7p=$6Nv`P<rY8uG(p!7#zLKQSvOYDRyWP)CxIYmW;9prxSbRB=oH_(~_ z&>}=o(Et($SA5_!4o>hdKSC9N{Y!AB6sL=@%#?yIZv!o=<hb~v`2jQDWdpr?R4R~4 zBhdIFI01rk94KHx%~(+IVIT4aI~}Rb4O&Hnv~LUKW~}44XajLB;CiJ*g~ze^0Xrze zb3j((@qk>>^9HguwE<LIp+++_--83zv0FwHk?{o_yF)Y~Yjs7Mf6COUgVzPxAgxV( z&Uqhnm0t5v9>;DQ&CAa@uY(qh!Fo2GhngSpgT^tNAM%4%pn&2J9Iu@vki}k{ANgBU z*ccc*cJPCaJOs_vgQn46)`6C>odDJHpe3u2Geco%?&1r?p@5)jVBiBD9PU0UJlIyz zxk?@Y1pp{IM2L$AaB4t}2M)*X5>0psj5{7cMKClTzIWEBAeF)Vkd=0zSl|J<MFF<d z4yi1LtxJTgwQD@G0h*#g^B<rT{W20<0@Wd=v%7V~IzhWAb5uCGZNx9XZ~h?#vKF(X z1lJ;<nvKQi@&oWS*GO&yuSWol3;KYE?IF#dURdD=85{&v$j}_sq9OyWdIdmfnY_|m z64Q;Kg&rKZO7nV1X<ko8X<i}-N~NII1$0T;>jaNpyn!f5bRdGJ-5|R(5q5y50l-aP zXn^&optMyGnV<MM7)c`MV8BI?3#i(6Y<^G=N@yI8%@6BcKy55g>L=X#0GC22tq+0j z5Pf(V1agQgN4E)5>*E>cz0ML90Z{A1ME~+LPSCtP(h{9|(EJ0W`2-%I1(!cAoF_}< zz@-taS^?)eM78o-#)a_!s3?LTSqxhF399ly=Wl{C6Ua-QK8Q1o|DS*_pZ$IllIcNh zE>OHgK%3W~hAOCeP5*cSHzPs2dLPv{Kdfgw+5C%9^1$~CoF~5DlDq^8AW)kc6hUji z4W%tA3qY-b^9TRSAAB#(c)s)R!N07~Y62Wk-7<QO&%wKQeuDQ-b99&Jv0Q%F{Fo8E z?Bf|DsFlii*zf@7HCRiJ-{my8p$98nCO`uPRJwoy1#4el1Ju`t?mcqUJOGOf$L0t8 zpu{EdG8B0d5~cr>;n8{3qw}**?=pvv(EbL@ickEgT_DiujUN%M&Jr_^?%f|gf?8W4 zD(EXM%t0G(!E%s%2I?Dt;}gEn0(5fgM+OFP+YEI0BZ%$D0`5N`93TK$<{<&K2`mfV z3=TF6yevWD6MqbJ6@f>0v%)7d2Wou6?Ld%XP-7U>mBH#jkY<<z!E8qskM3p=3$%W~ zqqkY$Jp)4;fB4BXe!YXBg-sS^@Z;;*p~V<z9NnYyIM~5p_oMm_wh{)mxKIa_oj?nb zKr<o?oh~X4p#0|o%2LN&RD3{m>w!v;0uOMW?5$C802_-OEHJ|aT~q`-x|<`=10?|( zC<18fV?eP6QVa?dP%cCZ6oGCIkM7AJ&9FcLv%!G^Vhu!sfu;<P?q-M!U?~P8Oay#X z=$%wLb5ta{yH(zU2HKh>-cxH}zzWoq@7)~mpMhcjhSN+8yFlkOgVUF1=MkUo)sQ^7 zy8@P<!BU_E3tN8!Iv@aYik$~^BGIFF_XeEGLH(itaCpK7i4d6pG~fbS*Tdk`TcVP% zj|+Sb`**O79=*G7`~;65p&gPU@Cz&oD$QV<_C0!cdmuz%Lkb?fyBi>)oM3YyCkh>h zjI?@mgV(nE^tz}-xPs1l&QX!@=zQ<f`3!c*bsB&8fi!+i7ZnAM?#U~DGBCUZo$c(= zySd;e1H*m}^pNu)BIH26gq%d^(+T$_{*Z$NG(6;bcSrmHdmj`iNZy|S5d{Sb(m5z6 zkVPQ_aG2f?Aj10^KR}~4IiP_7(5b^};P8ZulflZPuGU!$3=CYYvq5K6bM2VHz`*d* z3)GbDZk~W#fPe~#8PEa*G|m7r3moCC2TC$Lx_5&Vg9;GP5CdG!v-7B9w=083cQ;5Y zXd%2S3ycFUKS09W9vsaN4>)#*ax_1(=RDOJz~Rx|43Xf0Nbo=<cxaHVv_aVl)_VdS z@%af9WF;yByA%<NVIYx?SPbLQyF23@I6fgOVIWBq?rhL8GN1veZU^vyv5N{1=$!a> zAcMgNL>ZohpJWAVI6-aR1?tT~N5r3lgBR3@h0KV9au%|skn^QrmU0|?DGfSN5w!dj zbhSE!+j@ZC1+<%r2V5U@*Qi*)ZH9(1$HA9UsQN%$xIR!8eGl>}*v+p~p+5J4AA*At z0KK~xfL#bu3=Nas-8aBO&^1F4Q6$$u1W>Gj3L%09ni`n99oU;6GB-b91_ds74O8## zhWDUgg$zuBhK%5)2*Q8jsQv?Sk^Q#=?mtLYLkdwbRGlC$vd$0aIx(Eg)a}65{Lmh> z3K@E>0O)Y(51;@=8D9t0_TaW7EFEB*8G){h0G&ewF#!_xP*?Zvp78<H=mC$1fdUwu zd@u|K^%cSWA6VH0N?@R&e;#{}-rW}<27}6XctE0i3Ve|Us-GGUb9{vR$)oc=Oz#fR zI+bHCtPGIlfEh>)R@D9R5}uvkeR|g!e1<nhA*GaO=P}>j<rxTJXr`!OVJH>q=GXzM zAv8}k|B&Zzs{&<YlpVMN-8m{E->-JZsK82NxS_4DOAMiP8^lC-IDrQRi@;n^OA9>A z4(|E9uJ`P2|AA5@dUo$;_>6RH`zLUagSJuK0q<GZ4^j>ta)lM|o}I@WyV*Ru`$0NE zC88V4OVIsDJ3*DGHfUNK)XD=l1HqoT{J`*1^G|txcf^U_;GsQ7?l2ax<4V~bnb{04 zbshv~iVzhZbZ44Do#}yjFnWo(XE)f9ppqMVd)TvkI@qO<EjkcaJF<XRcOox60=EG) z&w-nxnxC5gG4lI^_o;$=tl$-s;8P%Aq3|*hatQha1VHJZNO*Q$_w4-Q)4R>#3p`0c zN@3UmHsFX7Mcy>Wq5=-F*ZhVjn=KglryT6$QR#M3L5#?N_c!pUfc7eaPXoe#0D9|z z5+P7$z4c@%Xc3L!$(NlB7;bS<0s9c_7%^nWxTpv~TqA6Fve9CG8zTe%bWjvQ&KLrn zHXQ<8cnv<l9da}V==i4tphJ7F!L~Ga-r)Su`JVH7i2&!1@0U66e!s@~N%589FVNX7 zttU!ipoth7G@VC1n&05O#RXJcfKP$&?fjXgfOKh#g2%pB{0t1Bwh8zcD(D4dsAfZ3 zAl)1XA98^L)`8{V11`||g@Z3yJu*)ojjG}DIQUY%`3Gd1?5XcJ!2NvCFeMLYp?Ad> zq&^yWy?(<NP<e&fiv;f+11ZOsDyOJ`w1ayjpnX$d4rIN)%oork&J-1aFDR2Z@bMf- zLlS938FdwhL>+OfIJWVqbn>Y1Z*x%rui^lg7@&Sy^G8OMO(x)Zg%WV42Gvi9eViqc zpkUd66fFELpd<s@+p_~4G~lML;kTWjh=CU^r=fE?AdT?OCPK-}8jyVN7L^5`85s5p zoJ8#?90d(y&?|sgz{^~=m8h_EmLTOq&~QH}y1>O4cM%Kt_~}x1{`E|T-=L*f323p` z9u-h^0B(E3j|hP@4M4+2pgIBJeNY1$975pq59uR#^v0-gxPqD@|9v{&`E)+??7Wf1 z09AEF02E>1ybE#<sE7mij|{(o8p@y^FszdVUv=5l4r(boa<zk+%KR<om>3uwkF|pu z%#1HLfDX5V93luxjiBi37Hodh&~4ECte*2)ry*Q4kOd?RI$E%v^Fk+NR!ZS3Qr55m z#lOZ^P}YFOKPcUR-Q9Yi#04Y`(tz+hTpIP@8j!z0=794Ebl)wQ53%$GQo<_%TlxW4 zqALjoSqjpCuoRvq;g*8D2r>fG<6u#29*@BAcmjTpgES!AfXCw?bC5j_<~y=@bUp^f zJGiL@%6(v$VQJ97Is_m!;K)Q#16tTB;@jP#0t!x0vf%*To+Yr4547J2MC@b%O|61! zJaB>Q(Rn|MEi{YGF(`}C@&6VTkZZsZ>d}0}0A$C7&qyi26cpMwJ~J?QbUrftwi9F^ zSaIut5^s<cNU;ZKof1OIqw_Gx7?7r&3<v)I?-o!zweP<@14Fm9;&;yLofa@zWe*nR zViwMGoduY6I7rO|<QTC8*){_lBN$ttK~0A}Dj?;cQW|C0$fNUUw<`lEIe_$bGIqPN zz&VaAu=*RczYZk73)JudmtU}j3GfpnK@I{HbMTFp;E(~u2P9<RX|VM`i6_XdAjOc7 zflEO{2BZnJ8@-`hxcN~%=c!KcUS6=M2TSwAhVEb%h<E^adp^iv-5dvBayCC?gj9B& z2O&II`yZ?fln>#KGXc2(oFc%{22Y%z!>B+~AjJ^J!KGd^dvrbkIg1fbW<><p9u=^I z-C01(1`d9|2s+rXzErsN0QAH@a4iG6r-T92?D>A7l|_ZW<s-N$+M)sq8-!aCr(?lG zs`UUQpMw-4@)%qiC7*-cF^CH|$L<ytP&Eh2q~LY{d?L)T^Q7a!pRA4tA0nODXu|<I zisnS;!OQPEZB(4Qb2uR9g0eLKkboSd<Jc|20bASfi1Sych>BxxAZX*M0Q5{y7XEEI zDxEqi{M%wwSU?A+B9$}HcoXj~2PHDYSD-rK9_To?)>EY-t=~W$EKrgFM;{M}1!{JJ zS37X<x5R<#^FVmMaqgC3>^yk+b*GGqQ@0M&<+sg0Ku5l?U~}g!kUN_Xf(~Kfxcq<< zbSMi8|2Am7?4!cc>7&AeYigz&Ey);w`VXL?V|a>aJy7BgO4yJD1DT41OF~Bc1wa{p z@F$iYaAE=FL2zQhG6_hXMq@XN3jekf;ASH<^x>(b(?>-B<N$DB1%c8DXx54IREeO+ zj{gh{3?K}eYv6b-2M<%u=7;r~Cyu+QfV+#J<NjPgyZc*IKvgw7uY*f*P(=rdK+ud0 zJdRoql=y-o5TqPbii3K9a7oY3W1#XEq!Cm?H8^&QK}tw)$q5z)mz<8^OKU(yDx~NH zRnc&}%|XV4YCA-E14`e}>Wsf7=g0s5ASED8&@$(x@{j-jp(pXZ)cFD5Mu*xz^Z=iy z=hM3{;~TtB_8)AlN9SR9ph9i+0iD1M?#+O+FswxfQU-1Tg4*QV&MYO^J8&Z1%%F>9 zz(=tNfLssSCk|?sf|s;{n-buTrH=~e6r56i(4AVaEbZI*)$zD115_6W=#(%}-flfv z3R*>Nc<FTx*jcc#7;uXL)RzQz!@%2eOZg1HHCi~-u`)RD&p&4P-?Q^Y=a1$OjE0w5 zkHL=Y{MY%jJ4c11J4S`4^9ARL&ikC-!TrUnoUgxM<UFT%Pw`*pC!fxv-!HXZfOi=Y zp+!uW(X;a<=;F_ENDwz4QSjJjAb_*W2sIlN3g9kL1q(xoAczMF2*=}WAnG+IsFU7t z2y|Rn=R1(wzh4IV0wq9N@0Q5IqY2z$0EJG8zu^J!*vD&skR&(?ApKIv=qIFO%HxrI z(j)WaW#1$LkIqvb2VdEH9Q>)qcoDsaD)8EH7btw01vW4qe8lCEe90s85=7TEkAv^* zJr4d-WBk-|fZz2L|F#3*X<Bdt5R^M^d_!utfjhMizJXfqn9U_{rxv6fl+jR{OVE-M zvTzNg9o%jOWpXeF(y4X%2I<sVe8b+UCAe@~g2+V*pbjml&(6WWEkuO}v@Z=5#NccK za}swT3uJwO0BD2^Bn^%M@F*ELj$Ui<uLm7bDF8ZI0h9pXY7V|&_Q*USo^w#l<KPP= zP~Yq;Y`Fj^I2T|9=L%?Wg32vWuMC|2S`R?>^n;Xxf)iA3!6hNV3Ek5V(hd(!FvpR_ z6KCfb)UO2fIYCWRkYZ@J6Kp3)j&Pq7G-)OPnwaT)59xFIcK*QM=ZpcJErjTELY5Z8 zN+^`c8J=UUkj`cu_+YaZl^x%a5+AsfKkyxU;xhx4u^{E3&_YRk9-W7~nL!Z?(%Q+` z?F??JgE^q4Iy6~<0t6(s3sgHmrzaqJ95i|inVtZ}Ujv4VI-o8B#UE&y6gVtl^$tim z$VH&|gG+jXt}<>>0cix)J3kz|#hV|o!&>ZMVNkvE!?8P@1tJl^0`BU=6B*pK;GP9& zz#HDPNKxT{jCF%t>w)3g03_Ff^ub&U?skEc!(0oOgmy1L8bPi-0P0?VCb7VkBv=&W z*aP711xP%A1yplzK<|m#2ikCr*mdm)o+JVH7=1dwXR(30iXbzxm_aO%*TD_~UE>RK z{|}758Us>p0T~Te4r``?l*8N)mxR{xAdNd2e!x18?4aXd?GQajkhHP~i=%QGj;<rv zQ!kZ%!E0-@^KUI+>+QFl_{zYre+SyI)N#<TRL&1b5tr}-dl5&SCK$Z=3mvxu<!jJ< zE4bPLhnGj^QIH@9Xfy=e%LXNSunb5TG#(8t7vS@_pb8W+<^-CT2IYUB&hI{*|9m<h zqGf*Y`YKQ}57bi!jT}fo2An{Be8hkgBx^Z#wLu1)+Q0)&t%pDzf$kO+hM(x!p5rGZ z+k=iy0+|GMZ0ms%KTx&@DF;P6Xekj~66DA378Q_2wDE#k21K-jq(K7)rI1($6`UWw zGcfE=IE6Z@NL2JeixQ-9SG1M9WYkd5qYOat0-8z3h(iN#et@h+LWxCCs}XlBf+9u% zJaPz)MbFL`C=m%silBG|<qXfxr;u0#<tkXw4QtY&#$t)2<FPhyDGr`Nu|S#-+wq^B zfuWm6MG-tppbSZ#kYnkPBitGkrw-5v2X)5;VJAGd9w>?O=zI;5w*bpSdSr0<*Bl<5 zuR$kHfjea&BX%(`{QKW+sCaGv|No2(-5QF2Ilp#lf@%Yhta2cWas><L_0CEbkIuWG z9F91^6;%9yQpe8!{0t1B!6s!7md+3r(DW6!RSZq%TU0;>?E;mS;93Kg#G6x8BtW%> z40tg+v~ke_R@{v_(uNoS*#b_nkZt3j*$h}<H>;>HgDyw_pB4#f2{3@_0Fd1tov%T4 zvcfLVOd+^<g+)wrii!eAlL|AmFoulbfz~d750L~9NjZY2O5r6k4=7~_gk~{124pb@ zgU9oj97D619K*p`&ZGHA0w`n@ejw#g@Hv8@g&3gjmxkfDogf>)%0Ux_U;jcnKp-uk zT&iJs384TsSJ<Nh(hr#|1jP=R2N}f$F<}cR!Z@JoB*5n*L1Z8+D8k??C|<Vwg_hAs z{i((`ARmH{DF5!$y9~5a2b`5)Yec|ZDoC1#_NY8MpMq6@`%|!3g;&6!(I+hZDGt!= z2E%LNZf0v16>xV7JU0UBeSuOJe1rqqn*!h2z}I@9L=ZZ><<t2U)|cW@X+2pY4zj29 zKq)_Hkj_U%qWJ;i%e9CpI>f{-IDvsX4mf&vkPa63Bt3W!59AwgQi3`M)VV7GPa7&A z?oC!X?xF%dAQW<c1n7zk9~GAF92Eu7q0ybMz^745bROqC-&vy~QzFLs3v?Xy_iLOV z6@Pxe%K1X^1nB5i$g#elvwPvaGpOT<p3r*<n$Sb;ovp&sJ9F&rpYjV-$xiS1MOGav zM%>)k&i~8|49ej7vBne?77z~<nuuNkxbs#jo_SG~je+6dD*?@m{M!#+e8G6(;0w@H zHt47t$V9foAEcZFn#lI}1F7oJ+mk+?-TOg`L3s$JISK6!cy{-Lw1Va)et;%r*ddcL z5JAw?#E)*!oD4g7PR6mj|IJTuI6e4DRyYwohX@^tgB12Gp55&n$R0NG?A|W`@-TQn z85Dfba-+o9vwJ^CDP%kuE(0D<_U!HlY3dT_5QH%uSUNzhc}N!a>~06igA3Y=FPk6M zgLd%Lck-xox~PDhP=W4*2Aoa+DaGLgkS3@Tz)Y|cJiDiZIG)|@8;~tA@$BBe;}3Wt z1>7sG2TDvmySGD>?*}P2ytET8>(P10v%4Lnr;DqD1IF}X>F|J;A~0_{u)qh#`a!03 zd$RCvI|*8#&T+6r0C{kYMdh^uRF`KrL@k3h<8c=i&}<F^$P^avS&87{1XT8bgj`e< zJiGfrE`b}Y!f~(!ZPZTSwRAU&3REH3Oc7AO7-E2cXLtJx<nRC`^bepw?}dybz`fmi z05t8gAEX$R&_O*pxEv&*3wU<-gS0|}9?S#>J)#ob4iW@+)*I?r7{DENNPgt)<~#V1 zx$)r-cF<ZLP=W|SnYP^y%0cjDCv41ohL<`)<rfENl)$sQUEwcUX4UwM$gFU8Lj3?z z4Dtggv%=*de&F!z?gwdw`T@*D^FupGumLoz!~?G!KD_4N`Hz8tL7AiZCucdQN9O}b zp$sp2JiFV$2>_NNK$kZ|(}D<QlySV4hG}5XW&suSsH3dyJAR>g_rNdQ-UTVf<6V$e zsCU6kqP)w^zm1{!2L}$Xf|DdEUIlBw?$r<IUj2c`t02XAyb96^^(vSN_Np&vf_{lg z189%iacED$v%4LXQo-d^^TT@3oELlz9r%hp!`mL6&wYARR5<o=f@WSp1h|Rk2yVN9 z+B6Kloe#2@Lczr*=u$yYS^!t-p55To3>q0$054hsYlOEa;cY|k(j|!Tpi~N0h14hn zbqtssQOyUpeL-Wy+%76CB|N+TGcquMv)gNS(AGa@78O;H5NQ8QXN-!BXLox9dd5kB zW*kt54rDFZ{m_g9QVa@SP=5|C2gx`Rp56T*t<c~FGr_@&l=(p!r@4-W!KbrCrJ@AK z`l$oWc8vVf4|KAC*H3{OXW&*n$T|jaa|pbM3Vo>iiz6&A_NJ(S4zxhrtgZ?QcyPH1 zvb#jh13b138V2?NZBGL8UMnKnaiCVw>ukgS&30T4{F9D@c2R<EQUI9(jy}+p-pVy9 z0uJAfGnVqVegj|W25yM?c0PrVxYwvCbeE{8DE<U(MFQW+AX6g3d64s;;x$Fk#St=! z=Q>MNBtSQ)gG|-{70F=l`KU<17l~;gT6;RjT~stcj)oL0-99QB-7YFRttU%_5zWvD zP&2d*v>5TYi;4xvNYC!+ppvuOs5^+I`5AljH%8Dc3)jD2Z~a%o)*Yf^^IEd?5=b$~ z=#qEGT~us9EO4>}xyu7&NawZh*OW_CEI@Z{ftsNqDju%|TQ8LegSr(y$6ZuBKzfcd zfynL>6_4&36(7gWpN^a#OTgW>0FWV`-P1u;KmRtZ=C4fr+oD)HZ+*YidbLEnJ4Yqt zwH9df!US^fnnCk(#@Cgtw~xE11b~bzxqIA2B?QC*-xvmRmIKJd&R5?rDTk;8d^^Ml zah1bs!Pd7BSGgQ_QE>oifx0S3#i2Vy#YGWxn~MQ>FRV$4B*;z!&VQV*Tog|!g7(Ln zD1Pd^?$~M3U7}*r`3Y>g0myVtu*J}u?ZI~>UhRCx35vjo&g-0@Bob31#`&+aL?z<; zMa~n7KfYh(e5H7y(?uo5v%6j6H(FtB@EcNCM;M~s6Kd<(y&t3)l*J;DkKu!4u?Wy8 zAERgYbdY}V$TE0kO+T26+{W4t%V>D=7z5~fHb@==1t?nfDq#m@JdW2qpjjhOp$G~} z=;R4_%Nl6(;}GYm612>vk2P~?fjlIG$YDO+B`Ou3oljnufpQrqaxMc)fgFU9)j&>4 zQBio!)B3FhG`J7Waj;wu?)B8Ds3^V!XSW}qJnY%|q(mHKvjpcs&i9Jf6~Us4=R1FN zvUzs5C!ohl1~gVc1s}+}u!0X1D<H*SqcCGd0v0PE{YbF_=7J_r=+mC>W>M+#QDHgw zfLRsPhH8M_81n5VWTP_&Xj>i+v=`>v-H+A_1Dz@W-bV;&r#m9;HkVOBY;tC4{wY?c zhIO;KjS6C~IcSeF%RW%wOW8!F(?kWiCk8Hw!F3Y2`L`c5k5ek1`48M3doQ5*kAM5Q zi!T{}9(>7W_#ZSg-C3g|!g$K?q$B4K{wW9fT@L*}*~y~P=>zUC6#PTVTcDmv#Xs2E zB~S{2#i6NZ_kNI45AdKWT*kBWh$EzJ4AKS~<N$X=`oTOzjsg!i_;jxUw-Mm=G^hp0 z1*+XZK6uU1&Cq;+s}s^n2j?cw?skwl-5xC5J}NAbxkL_-T96)4`Gp9C*M{8;{Oegj zy&&*nO^_^f%`2=6aPS42N9KX@S$YhR*(*d4tUwL|P`7OZ)*t`{4oE3l5P-XFp!vV) zAZ_6E>)G88W`hC%(H;T`@ozKW-xdH`Qpo{ocZ2dNI66D8f4^>cvh`o7Jh(Cek7JbZ zgJ$4B83{bI{F<fP2XuD}Bz<+B0vE0Vpu&~aqx0cwf!32HLeMJQqt{1;<v1fqYqO0C zLy1_oj|xlc$x=R$ec+&d`4qXa#=nh&f13knK?!K`5Zqb-w}U*pw}TuFnxK)`1)Adl zZ+k$RkCBjXPEnDO2eq>l_JIuE1tOsBEYLm#a5E0Hbd%Wwa<g(MI6=W$bKpuFG=v1{ zOM$NwhV<`jI1ci+oZ<kTp8#4s0m7gK6dKJnDl82AEtfztz2GI5Aj>&G6_Ezm1>kO` zBbWqR<=NfNfE>S|?imLtexVEMK@J8hgtpK?ia`Yxj;;+zE3}0MW`bL2kmL>Bm)GsV z(#ZqraezCXttU%(()h~`r196gs3g4B?B-}b$kpkil3;kL+2Skz^g|%_aTk>oP>Ssg zQOP*&qLKq*`$7`GNADgL(2z4I4iff(YVDmM!Vx-lcMI%v=ol!b^nH)cL&z#Xrh#@I zVyc8F1J5k9pFnQWf>Qm3zu@jY$YKw~UBjSJ8<0}NOJFC#Csd#d2>U_0pz8-AY)6)E zS5Ws5EaAw}?aJfX4VB@6Wogguc91kIMZ(85CLp^Blt*WPTG?2KRzON2c@!SE;5_Qt z-4D_PjXf|E9DDFg0UE*i2e}TqM9c%6=|EY?1GF~-%zG^W+MK`+*$cn{S_=YmHZ(hO zfU=_iG#7UBfUn$rEz^3k<TJ8yJSt$*z)i%?Yn<mw>_AQcmjnFU3P6Q}!f_WB6;LVw zmvF7$AgiqTp$-J~91r|3{083LUZNt>da{J2^-_rgT-pGfn@d!{8`4`Zm8gO8hz6*w zDFDhS65#X-PC<}#1WqEaMO#mTZeVp$5qQn&(d`1-n(3mV;o03j1HGbO0Ildj1LE*7 zhUOoTVo?48jfKPIAk8lg&+dMZR%i@>na~)36l%~O5Y!*t0W6@!3OqYOnI9Ywui3g8 zm>CUEf=UZ`1!jSqyFn?#0hGJ3rVNl$94P~&3F<B|6T7>ngYtZL5es-x7k44cK9JK& zIlGyd8Tq#zF#HCpQ=qHm!O`j2y&Ys4DDw(nEkFf81*izP0ObG|UnCZwpo$p0UKA80 zE-IjF3wa;~s0`+yh{9{JZWa}77Zp$y2~J)RU7&HZ3+Qot0~*Jm?V|8_gvK#QF({5f z+eP7WkT?d7r-8IW!xGE{hoxulcC53<M+R)Xws${h|1vl)fHMGSH9+rvtTV^^Q6`S> zL6qZ|IDY2S`4eTn5xUFBvwJ#h-dF%K;|QA2g-yS}W^2%99C=|gjxQNOORc)wA0Vf8 z&<3y<pwtc>Y6KYwb{k}|`F@aMP&|Q#8sTz~G9IK2=j3raNET`C*theGXYY2bv&YBC zi$2(4aV*V0p~LMuOglh{8GJ$+=)5=`#KEhe<Khr2U!lY8;CO>IB_UA<o-hWtPm!jJ z_oK`fV~M&P708_bW1r6FKAqouI)D12O&B905Hw*78nc2%AY{rIGTQ^&T;A0Tn)l~w zp3T6(P~v{98B}>QzI0{=-`2yS?V<uI+jT%?J0$Z#TQseD-~ayyHP-lB!@vFi4=R5( zKn)&_m)76^|K9<gUiMKD0M9QgD7&bD&aG7O>~5F%kJNkzwQUssL%PPGd<&mFhAb!8 z4^j+D51@PtmqX-RkXBr?%Kaco<Vj^{>)Nxs9W>?%9p|$L?UjYp*`O*7R5mbxb~J)U zAW^1<;q6QTP)K-ow}UDJs3Gj2^U{347ukT1wE-mykSU-V8>$3s5A>QzkVUZ8Dk$B8 z`rQ)9v&@j~b)Z>m(2TJRc$yj9@bv874)PUfT37=y#SF`l%_%AxAPqWT4bZWmcJNpb z#4AvDT6DUo*nk`X9_jJyZU>clP<KL=fFjZdG()Z6(JKq`FsMFG*aw<R-UTASTS1W5 z4?#8~WwH5yc0oA?XR$d#r>z}Bp!3#YSxg|I|Jy;m<SfSEEM|}1H7cNcj6uQypq(n) zLBkplaZrB(+VXBZ0#XFo?5GOr1a!8jbTBY59B)wpoqq>$9YhG)WcTO=?Pq2Hxj6uo zdK^Fv2?IxPc69`|WU?5un6enN7y_~wvlO8AG#_#3c2RNRc2No8c2O}Y1s$Da06Ih| z!14b97j4iXDZO)`P1_Ka1jBEzZiQ##@dcnY6Co-N-99P-niqXK|9f;oGOpnPkH#aQ zJ_XX!3-BgXr1|jXHyIwCAu1N&6LR)}YHDav0a=&>iYaiq1WzerySLE+Je3TY-Of?r z`F_=<yF>+cf&|16$JTcx@}P#kj|ylc1w0+pda^_x-qH_v?cFWV?ZMLgiLvv<_Y19u z_**72fv;)?+iiH@C5Q_?|MRDOZ@_`(9}b-%DgmHjI#3KW-(=|YQHeP2q7nn*f`cAh zu&41~b5Vh`-(X82U<cK}PS%0m&!Yg^3D3aD0AI}wI{Ie;10w?{_CSRLC|iNe1Xb!D z-MeRi<w{gQg#%m;S~!3YkpXE2cW*tqyTNSu(Lazt@#s7V4_t6_t+|qgp@bbgNby>% z+pzT;m|4PYcyb4*D`9x)`#;$FQBWS_faN^!H8r3^a4w*`{|4BNka;z@8=>w8DF!7^ zP-O>~gSa1Z2o6Xy%>7_ChWjBW{(Ry`zv{@NyP1O#DJVh5X$UYvf)X^B4Y#iKK#7S* z_im74kW)Z?NVptiW0gmDH%KSAUj$==57PjJs7E&gsHgxPp;3WspOr`V?gs4k@wc31 z2Ax&28>9ilPKft8z|jXX0OoxV`{hJt1_r|etta`Xf=-_Tr+3h?43K+DO9DV~2`-({ z6B6ja5U^{Ztq!;kTMv|EA|)i$h%iUZh1k*xe+#JU1SgW0%Rog_FX+l)(D_9m>tJpN zvynztJi41feut-Xyn%HDG_>DMMqqV-?#@L?!Y@F<hn$4Fn-kE}YX&sEq9+ni0s<+< z8(|>LFi(Topmeeu!~$pAPyBJ95f;d4TNp_Ol-ohE49e};YzGAyUfV&MVYY+Wq~sP* zSpts`kW)aBhRZ1+#dw_p(hPG7nC-~ou@_V>GBA9w_W+$NjGjS3R)Vq_E-SHSP~^-4 z(hRc_%m(c~%~1gbGpL+F@f)Zt!DSIhF<!rcG{Y<cvmIG{dKZ8A58oF9X<dSjg7WBY z206tLbaEN=JOWTh4m`09&#Yx2Ay9P>>J<vK9w^}jjT*L|EM;we!1&q=nh7C>dUSV# zV)hfi0HfihG=4n>&~Q`;xPc1p9fBr2IWIu;m+*6*_<oV|V(W=gp_d0hhwFd_Vp~t} zw`>OI)ZH2kj12o0!j?07b{<2s3S6=|cyu>|Vh~!;X?S!ugFFr8CV2F&4#;I-0Br*Y zwU#nK%^w5MEUyQ&4FcI&1B!a^kqeGHK#LPW?Ewa#ZXXqgECt7)tfDLh$6zoSnpKnm za*<<LR#{d6{K$mHBjB0p?&b~XW$X@c84Dg&M4MQ0^yuCVQV!kbgffZ24&Cnrp2qO# zo(wVt9Hq?<7(KeX!CX=@j{~x^Kq=V+>@3Wq7C@y4NHN|72GR_6jYoGkm<>u`t7jO3 zdI`|eW_E%$;KRF8pzT)B6M|kVfg4v7CQN|U)6iwNKArF3!+qVm7Z@@?cIJaCZnV}3 zXzmLXVc=pN)aHULS7GbsU_1#v^c*q}4jnv&rw_1OKk*B2eBzJe{KOw~5S&6?R3sp2 z6yECMZ(GjDzyQh~5X(V{8O$>9KzEo2N{al%FW{o$@rgguMaAF~e}oJ8q&Wt|18Mwv z;9?atgAVDef%5`C_?iZ&^AOf~bT@+n6)r%8tv+B|eZaOt&bQ<E#1FY66MQ5gXx<eR zVBnk#o`LOlQE>p>UErdkaoj}(+;@B}4vQHG4a)!EJ`HF}8(f2Hlq7keCUKw64<#V? z?O<eNfF^IBPS~k8;C2frg+r4z?5r33iQ1!kGAQyvwu9TXkY-;MbYT*I>(Rge|93O} zKVW$B<*vW~|HIXJbT@-s0q!MzzX<Z%q3@RzPk}~Hxj+d9H0=5s+dcH4xdO2FIQUyY z>o7nEG7(eo2%r@$61WN!(4akffr70NX+9EwC=0OUpA;1ZSSFGk-1!I8>w~9X30(OH zIhzQAj^}HB!vQXh4Zj&)a@+x0&kYH-&X16y*ugO*s|egs0quAplu+*cgC~@W|3EuP z!Cg+U1>k*>(BdAHE({NVEC5{}25R><Hy{syfZBc?-~kX^g*Zq#BH}>if!zq-9}i3K zAXC7_CZrGtbD<|Ep&Wz-$$m)ZVFh?}il}&Ye)H+w7r?{_xt#*hRXgn4yX*i$7*Y>+ z2e5#<(cHl-;AIlviGfn?Zezns)&~4@4jI1fe9`=YaW}ZeJyco(Eyp0eE>F;gmwi8A zW`cdw?ZE;z!;#w;VlsG%PAQ*bw=aX?H){^?X4I3N=bOJX8Xjo<SIW|PqVrVeMb4L< z$2i}Wh;V-FeE<DA=X=HP-!E}qQ2g=zLg(SuoBS=HNbv04*8#E%RIh^V^6Wf{=APqF zKE!jLoku)-(cA|fu3HDI>TzX7l)9Y*yn!`K!7(JOJWIhbJgXd%D?_nW?fdiuF?Y9m zcDG3|A$8)cJiGTPFo8O8SksDU_dbwvf@#IGdm6|TSX%My?gMk7X@vuQpaPm+9K#*M z9HH0yD0p^W0}oa#o52iEFp$85=1Ue8pWf9REO1H4NoXL+G=4o6m2xwb5C@5W;umC5 z@#x*{0Mi7Ht55s_EGpoJUfT^wtL*~FMx?kzjXP+&3|6B<tc3~+V3-b-;PC0442xP& z15UuFH$_DP)QVFe7}LI;AK|UH5Y#qYI4GhWgR;uNKJkDy;`Z5NiD}TmvdqXa?a{qE zgBfQ`dvxzk0L#LfL%2%?kSVa3_UP^gbD=S<0FUXz6DCXu==Lk|>2}NLMBMOv9d`dX zWUkA#o5!N@5%{3#i!Pm~F24eu_Rw8p!P5ET@(UNv^UaSKJ4IA3zXw&0pds%z7tqB4 z&lx-Sf!YKfy>%KMjmJRi**rTRzz+<J0ND*nVjjoa4sd~55uj$?z6God3?7ZgR&aq% zTLiam3@?HA#(*w3XMn0N0F7pYQ^mdvi24X_Wc8qH4B+Y!<81-1oyQzI&w6%#_vrlX z)4RN&mx-Zye?u=514D@d=upBQCI)cn=A!x1h4G_L=RcRuSKv7G>^$z$`PD`9?f;`b zozGoBi%5TUz647(|6nY20Btaa3Ojbb0E<J-^JqMru!xBPVwOwiN6*e19?eIP@*3Ek zs6w!i0r?hre<Qm4HTppAx9EepzoVDB?gyFY(RkQmF^$~+0POw`aQ8d(QP=$-^E?_4 zzgR*e_y0&|U})aYkpY@M0i~aabOy50Pl=pI?{1K0!;`LtCn2c|mW)~tlw>#T{vpP~ zz*q`8-L*oD1=4}RlH`1P7lTZ3H9UZxNIe=4A6N<sh3BLvMBMp@BNOC*iA<RPGcqXf zKS(p>{s)<Y-Tw=gQQiL%Ss?#wWWoGjkx7C7L7FM|Kgbm9{%=@Lb^pJ}2KoO-Hq8GX z*%bI6q#3*a!>}iHkOu5t6#!>=GLkyDz(q;##~nM*cyxaD==|Gx!lM(^xLITIVFf58 z-@8E9D}i=bo&i@zdj*uCnbV_puZJpv{Q-&nLLDJKK?A|I&_u8wAh8eVAjAdq5bPZ= zHfT#j@7e^2qdYqAxpY2q>HG<)gE|j;bb}gKdo(y!f*k$Lr}GzB20SW)tkefoDc*xg zdo&&e8R!8TJAtY~t)jrS7C5*jIEDoWcyxaD+&2ewzBdDC`4wz}8Fa!rBS-*V>$e=> zZ&?XCD6F@R(GYUTqDOc0573BpHv<y`Ll+B2N3#bPs41}f0{E=M%?4c1Asf%$Iwpjk z8%zug-Q5phdNLq-I6yNDy_*BzdYX?h8-9bkr@Pqztjz+ZtplRX0i><*Z~=(dc@N^% zIItg~Y@{j~)E*A@XnX@|4}tvM`OjnjVz}4Aq3hebPvATg18BqrG@0HVzzp%3i;4$m z7!m9Qn9EyKAnn^J;0Z>^etxha9WE-K;IUd{G04m(Xm}NDumsfL7L|$M6d0lsfULUN zfEyf=8zA130P&#HlOBynKpu#8jB|`f^4x?86R`OYbZ{`JjRp;VQv64fFK`6~F8|#C z`KWg{Xnq4!#esN){Rd00pw)#CPr=hi@9qZ7^a`4C_C!gq37nv`m%+)v&|t&CTq^F_ ze2fW^%8oTRfCjo>7kKv8F+)QPG>Qa{u>;IVnpn^^F}=3-?5$%(Xu7}xvgrm314FY7 z2SW)5EIE2MA7ew;%;?d(TLE;Ue&gW`9?-&Ql!Oe)tf2UX`F|@s(c<_2b&UM#0rm<g zRX5mhFqNpGBx<mbFsNgL8cJ5Ep>(`igO`D!7MyH%pMW?UG?}>zG_2);nh8+@62*4r zQfqYEnM*Z1n~x!dtw(n=A{@I}IR2mL1Y7>P6&9TEz?m>%LNKVj-+vGuIN;2Pl9fR| zER_MxHL`(XX8{{DN;!`;U*Kh6V1CUGVK900?k)iLPY&<k1xH9UxJ*H|4QAhQ{Pr=I z>Z5y;3G9RfuoE(noKV3BbpnV1a>5FT6B78aI{{vPpNBgEe|i8d6GF`o8Q>DFfC(H9 z45eBg-OUHUMfwRwun-ew7E$15U|@P}j2g2jnwU$CP^0l!Ge{FNsIANi>LecK;0OB% z8j0Ybg|d-LG`Ron66L>=a#X)@u!7<kH0TY^fr%d7&8Xfj@k8}Q1z1A^E7a$hX%S%} zG%cRsXJB}(0CPHeHUY_kMr}QMcQZg@asxjD1Ga1eNmp?Hz9!1Q{4E<X^0x!n3m&Y{ zQU{co(R_uGnF|CM7!($=GkEmwwt%=YLIArf@q`w(oQrS^G_*iAy`BLJEm(ck{6+y> z8UOd(_nSz6w}Z~PK`GaNfHM>5d~#5^UIOyB0qC%lZVPsBY%-UMgQjo6p#w`1{4Jgk zbGQ(twg(3&%>-~jH1W5}f~WGE5fKkcO8l*$<LNwm>$ssB_k)%KLWYn*!>8a;4_Ctj zkSk@ur4VSB3CQvdJi-hN-4i5)85lsTx<R9l9y>s~LHc0JGG2gGc7I?64UG73FrpM6 zF5My=o}G_Di**FRBOZ=B5*Zj6z{BEMsg6Ne$&SHb8zBSY3?7}$5`y5S!~;;|_HJen zWMBZV{{^e^Y(B<=sEt6^_kfFj0}u%*ZY?0X6(AW`0i+vR&VvmASp#W`pf>UZz!4$= zx|_0_g@ceQ5Y_GRW{`!T5CPSRAWM5U!z~5dh+55}Mm)H*LaAOO1VN>hsAuyr4un6C zHG_mf<<Se!7LUfm4j@m0Yc2(_XTT*Av_1hHw+d-&fUWoFZbnO4{NM>YP`UxNOJ4JO zbT^-10CB-<;2^$d5CX>m2Pixm55E9~B4{qlqw^k8#R93n_!t=&Q0+sc2XG^M1;nfh zh|?#4cqqm|3KMvJ2%4wIWu6DbbpfE{*t;9#KhUU=Flci>cyo)Z;Q=Hk!pkQKxD)Z! zHzjGP<uO{Yd7u`TD1iud*AIxh3?QNQKp2{G(b^dB0tFI&@^Ht2`~zyrTY%G|0~-TF zmkS4bNAm&^aAa(N1Yie<hcy5p{mBqemtg^@r0x9f+4;|7w>sPc_-ex5{a9L`U%)M- zYFKZ{v%4Ks{Wx~_!>U{UmWL1}u(l>>PXa6GV6}dD8P&QDq@?*U3u5h}V|V)vHU<Vy z=JpGqfa!Em$pFoH7Jx>SJ@<en$v}mPPiKls#qk#KW;l=xs6!&**}F~R3aC2?S*{8? z+Al{X0W`HA0Wt!-JPV{z093wkfTwrBfylp|InA-N-9r>~t@8d8Aln;{8;CM6>;hQ_ zyUPVOz20~P6kMR8>Nv;v*ux&phnbLE&%eFx?|Bvm{N{O}ngPj=@bqT{j{$t?kG~}n zQoh4t0F=bQ?VS~{PUHtsa7svk^jB|)BKoVaC;=6|;ELn{JESMrEFcEf69EeQ-pxOd z^sqpy15hUqT#ra_K=l|v^h|*0kq|@JgVbZl0NYalvnK$e2b6t1dN*5$fiop2CS45= zfFs4Tw~h^A;{vem6)@ce5Z$0H-yXf2Be3XhKE{qH5kXC2aEE093)IOIAO=K0+V>S8 z9=L$yh<3!)zlP)&@Pt$28?eotpFKPOdx9quAVm;7zPg(c?O$-IW+4u$?p9x52Ziox z1rQH3hVc&ORisFq0nX2$#yePN1W0Y~Vh&Jt?%nJF;&ndv=rmD*X@!kF9BZx+XJ7y| ztP{YtY)%jdHA8Du1VBd&aKMzqqW)O(45;!M5akU}<pv<-8ZhNZv7P`9!VFjt?f|Lp z-8}&mguR;=fOwrXDheRo5-{Dc_&?Ts18SKA#2*Ks$^$^kJz&aV5q_-s2UNKR#BC3t z$`e4!BVfweq3PpTvxEf1=N}+RkU;|Ca|e)e$TYWSZyhI8IeJT(k-wD@l9iB}N}$50 zyBkyzIr49x{vVX3twlIWl0iJi3oHz2)*_50`5+!Ml*iu!S`!5lVTFmbLWh(@p*A0D z2BiZg@E{jF>c!xapr~ego#WYC#{(AwX<&wEcpd54TgQ)01{NR!uN6Ie>x590pxGu2 zmjv0y?9=(&qZ^Tyq@l7XLDa><VZ&O&VZ+7W3YosHlR+p$4JUSp&pAr$LE*p&;W3tY zgLvFfUTKAAZ=DL%1W1TLJqYuLDtNR(4n+yn8?Vhgd+QXj$-p!#!6mVIPzx%H?m-?F z8#eydMWA}Hw@w?O2-SPM5HE0)*nvF658*MEc!79=FrE{LCk*A426^_@nM2Jx)(mnI z(`zHo-a1{l7;+5Dd-m2DzGm_4tuukkgY=-slMPfB#T%f$0e>sB*JO)Of$9fQh|8dU z5Qp#>OCmw8mW1&FK|E<FuQbuKx6Tu49?TDpp1pPUP%)40<_2($&;hFvKz@QIT9B8V z!CrEMDu)<>?j=8{EV`H2;nCucP=V?tS%^cSUXq9KpwXfT<AI|^8Oj4kOElCxh<hN> z5(pOqc>$K_Kz;}X`ym1@k1bjfpt2}_=wjjEVYK0ddm|B{2-O>^5XV8ip$_3ey`c%? zfxV#(<$=AC3pEef8_94nkT;;&>ov$5>0obU!R4`eqX;UC;*ACy4mPaaOjQ<8v#G8Y zhbAWe)}!ETwH?$p>uv@WsUFPDAg_CLwy1zQ6&}Z1R5ma$fKQ3{0I!1rHSs{QppKts zZ@c~l76uR(v}o1?BnDC1*`fmKia@l0+72K!;BHB8h)M=*#gGkSsXIpRxYPutHwfzY zmV(O8I;bxol_@jm6d3^z(B2hj@v^TGJdptAp$89hX+3DUAh>(G12W9QT$<zAyRQkM z2dBBs$Z9|}GuUneklnDlcwY;$8c_Ai2y&kSNDZvU-Pev#gW*2@R?u~X7-7NR3UZ)l zF9i`~NLd8&w}7_#p|}^6YrtjlQap(V)Kdc`9?&r?;P^*LJm8fbz3s+Ow;6y2J5Umj z1y}^s#s_QeYyqFv<Iy_>JoN!fKP?AJR6Kk4f$qM8Ck{|+1{^^FpumT<U-tFj4t&N^ zkRiPY1&}rl*pLK}A+T1>KG0%9s6{9t0?L5=t)So_FB6$U!-1qs1Wgr4VWIBXcnmbD zgJ@ktnqOd_IDmWtX>fTo9sxCyLH(py&}2^RVW?T;xn7qX*CU+G-xB%z|9{Wkx?)7o zB4+A9HD_stXK!5zR2Ek@tt*8qz@A6z%HRq>8I%DngTB1{3+#$=s49={<`3YS^9N*1 zj)}i@BSb|dR0VdIyzE9kX&f>ng3><$6;R-D$G@I?ZXxw2A#37L(p47=hez+~0}>1j z9nB!pr+4=ZCQxr>^9l(D2A|IVuyxFyy)`PZ&IXDJ{M%SKJeq%S^0!|BcQwHaPd$=Z z8a)_K{C@z_hUi5&XLNfPfWn)<buy@J-`%VM?p7GEfCnWR`CDrs!YE_zO#H2>><kQ^ z%|}>4=?>zHW3CL0FF|9MARAzfd(YmjSn3(j1SjkyFGzI(sbO4HB0PIr&B1BQMI`~b zZUK!ufQu7I+2Ep502*W9fUWVjVdQVU1nO;cV+^0}1`QxIAK^lADf7#jAl02ZDj?UI zLSxsncP+`TwI|KBuuTRa2P=Y{fazc^h_D7|ShO27INEH(!Oq|M8MIx#w?@Sb>R9xt zGbaAl4WLoiZlpM!57h%}@_KYT8+3bHfFiu4q`Mh3O69@a3>vZm)zzTV7*u|PiX`x$ z=nl}ZAtY)bb$fR=xPidj4H|Rt=<ES+&jo1#&B%habhfB~CX7LmQvqpmg3>+%XhJ^^ z5)l@NhyblRIL5&Fk{9YsK7`jmUg_?J)!Y27r$LuYG#}xA3Cawf%|}9C_Jc%vYg7!N zn#f6NwxmTK*ejrzO9%C`F=H+O)b?sVVu<kJF;@nLmwF)8(4+?Idy<pXtVwe%a#Gs{ zn%c*7@O)-aW3fgB*6Br0Q_Lk29^K7|A>oD^4t8e#)+&&;<|E<=e?nrC6?`fHC`UO_ z5GjtNc@rKfmq4W*rXP2M(n<3XXM|&qxiT=loCy-{tx-Yh=%A-LP<zI+`H25(b&!|b zp<cphRPwjZ1dUfTAMrr30$NskG#&xD5K-}hhZjKx3P24cC*fL>8Aj_sZ7j?%nhp_0 zDRLP2TS4Q@p3O%DQT)aBG7jY3-Wrtvs0YxR5co@XR}x$f4H@J@{w-*P8q)*UK+V$T zBY`L`Wqr8?B-~r00_!eA4J66I&LlV(;Zm%f9M9$>UMMbPd@btPe8d(Z2FXVpNYy+> z`Z)|rJCe|#M{lt(ms)|Or4Z7fGQ7L{0Hi^~4DJ0jACX44lj(IANT)npCsH-C8XAlW z2uXM~5{Fiez+xX<8-OflfK(tZDiLV4hmT4EXdVDG5)Ix;39r#%1y{>~l5o%FBZ}xw zWqb+RT;th%L>66!`Q@R1|Nnb7AJKZ50BT@1AF)DL!v1nTNJ(#viZa|c%^-Io0;!bK zv-yb1%Ud9I%}3N;&jhvTAoD$DOz87vh>>Mbty;=?tT{uHfq@0IxC6uh4FG=t<*vrV z0g}k0>PS;vAmgF)f1nj-kilzEp#hptLX1Dd%omV?nh#=t%+~-Fe2s^H;58q#Udu5g z*rWN4glFd$&(43I`;8bF7(j;<@5^TZwJagcIM3!iDiWX-_53X>LHF()Yf%9$PJyf@ zc5K*p9VA!6?b%xo8zlGaZ378{t{(yUw419thy`>A7=OzZMh1q?bIsovIj`}zJce?Z zK%7rd4l{_u$OKWw0^-O(IjkU#Ih4Z&;silC>>y4ql*0kybU-<rAkJbahYQ5n2jy^s zI5(jj9uVgXl*0?+@H0bPzz5=JK{@;&jw_TS0OBM-If5WgHIyR+;!J~bgh8B*P>u+Q za|X&01#zB3Ibt9V6AQ#raS%rq%8>wZET9}o5GNSQkpgk@pd4usrxVJN0dbZ<IkF(m zekex{#JLXT$b&d<pd1Ad2Xq1xD1H<{95K*3j*m*57a{G)1`7soEUQ6ti4Wv#!k28I zp%kbbtos3zdj;w>STL0Md-m4DCN^Ml=b&=Yp1t+3{s&BM6I3qUv$q~LM*)+Y#scd6 zfHyR~>_Sopo1B2D1BDEXorI(gHY)*>b3-!E3P~LYnm^@`)CnP}gY|4+=6z#ExbGEc z)t&`I3CJI?$qSgeb4cnAA*q8c>wu|ShNNy5k~&^A_thb(D?(BSYeU1#3qw-pg`^HP za{*JQhonvkNgb?}0#nC^r0y4JDHqhgf@to$2kx{&;tkX?1EnWWxWJ}EVCHQ@Qnw1p zJlM<#OdV+Z2aMf>q)r6Q-ZUihqL9?V8s0E7?2yzMA*q8kg<<N%kkoM@sgppn_Z=f5 z9XtZ9XM=_dtfq#UcMM4#XwDlJF0d*arfwdRx=Bdp!RCZu>dKJRWg)49O$x!(`5~!u zLQ*G-<_|R_by7&`VDmpP^FUV{z}TM{7#LvwfHfdsa-fzOjC~5KF442M9@dtC$$^$0 zz}TRPACP&VvH~`{1e0q6)m4zP=yfefQG{o2J#3Z<s_1nJj1dh|0jjKEl|4+t3C6I5 zsesLa!6X!53~`tWRn(w@o>2-q3Xx-<BIv|_(77?tqhdkB6rlbz1L&@GdB-3~b>4hL z0CXP~Xe7??+fI-kk50&er>zG{`9OCyfwsamSEMkM=!0$%1Mxs-M}QVh2!Lk$7$9d{ z?f`9Fb2U7fB>*=UUNwUUI-omgyWKQAS`U;6cyv2BfEet@9V9>ltd7uHozbJ0RqDt8 z|DK&K;7Z@4`G^Flr-HHC8?yeiVLzy%XDAW$*bmyx18O@!gT&)_vjGPKgEgpi-n)AR zsJGd87_=e*8c3j&&H!GjhTiT0H-4b&hmgz%?G`~b{{d()0>%6j9H1-*YL760b`GGL z3~ld#_Z)y4JD?@RAOrUmfXwKGTx{vlyc@KWkfEgVSo05%LGVxnr5RWQ$D_L$v|zg1 zgXR0hRu0E5aC3*h1vE0;asXUO!YV@0GCR;7MW5cqps@gt?#<vO4V}-y{s+g^F3=)P z&^&MFF)$x)BQfy?aV03$eE$Fc@6p)|ay?{8QVeKR_b}8wunN|rcQ@D_z2J?YV5?Cq zE$x0i85#kw@PaiCTVajELQsb5L^KXHK<fzkTR_VqLCL5A#6>X_93rr=1Ep!us*-L2 z(1k{i_?tg5H9z3*Jof#XtKosxPyAC3wjSW0dRXB!n78e635(&k*RGBoCqNgAfwpOM zN3wL@`+iN?5WICBblt#CPzaZD8lG&u%|G>E=lRZmE{exEPnGa;UgJFPqIg{Km*T(I zOoo?0Cs2b|Ew+9yW$C=oc@cEiI_JTXXi!TPw3VaVsJn{g`?cohjGe!}UsC)8-c#;s zc;MS1MsQwp1jkw_Yv(V=&R?C^o4+$Uw*D$*b?m&*c}?-73+I6nKF)(KoX1=g&nbRT z{0O?Y;roTwgC+U!I0dC5SlR&D3fijzDgZz`5e&b9SG|FQ4wm~LFoO>3O<^d}0p)%W z4|H)HNY`-|6;S#&yac{W#1U2ifOW&IBPI#K;tZ6CY(PDn&K4C=lp?1hP?A6zzixh` z0Uo^m=eZxW?i8GhicoXW22d*CZwcaIU^v#y0qXnt_O1gJ7I3$Lg5n&swCMIO@#uC& z-b#G!;6K)b&zND`hr2}#x=RdLF2B0`?)x>xYmQy644|0jZ&}a4zyM9-=b?sq^zH^N zC4&YKVs|^}bZ_)<s%3yQYA+!4N`MCEAo;`a5;Qnr$}S?5y<lWuz_0@3X>=<f9VGtN zKyC(xmr>ks=UzdWH34*P9wa^y&IN@T+$@jI8WqSKqGJQhF;@`=L|`!hbZ0NLRsiW% zK=aBqxbD>ogmgo8#UpIK0oCi%ySM_>m4$^C{DfDy8*f5Ydh|Af;smtDSO9z`90Nl) zW49*@?9iRgQ=EtRTi8LJz(b$|1)*0pa-QOENr4J7!R~Ja2_A$BG9wGhfqHO<nm_R) z3$lXxH;0-(!LNS=X=i0+VCX#5{D~D=<uXo)ARDrvHyZ;(^9OdAJ2_AAx7KkoFd)JL zz8Ix<HA>2Xo%DxLsRIj(?q*OW06JUo)b~rR0{ktFoG9leg1886w-MUF#UG^7;cp3L zLUzU-geq`J22lmdC;Tm67~zTTE>sz6%6<8s5!tL~P(`4bXwZ%wh*|tC$;`;Ao+DIY z*vP~TxA6s38JdlMQC$B4stDaiInaOuJTZMlsKT((9bw}qs4_GgK?i9eLKW7lM76Ob z5upOspY-T%#;{EuVH>PFiE5kSCGdTsi2U1KqXKD~AuND(KtN+&prRZ!xC3ek!VZRq zUM2-MxI_hX*dl7N(2Y_o@VD#*O{w(Oi@jXS29JUJSi^%D7e0jQ#B`wtGygURcF?Ku zpz{<-a3Z)Z@Ca%yTpzeK0&23M1Q>de^_U24&{e>&T86*%7Aph8%g3N~&G2*t>w%%h zJ%0<Rw~2@zSpN%K{ChINLl@TlLiNi_7leveIGjy5g}j04#1j5Y{M#JZ$OwP_mRX=V zsowgx;K38HclldDI|Dp>>y=-E+Pu)}^b1bQ1^BliZqtRG{d$uTx{2HHz{_ih0tYra z;?cW%19DY?RvW<vM?m}KKs&%ZI3PCxadbQIK#m9D0k@=-!43u|BDfaZwGV74g;4l4 ze`Ml32q`O1BAgBz5CQ8}0yS^Co5ACmkh78Nn?EWwKd9$C0nsRhh;Z1523nGM@%#UO zu=Dv_W<cUV<7Ed6JR!mQ-Ke^V$)>O#HrSz{wlFjl`L~gsP5E1jnLsmv^$IVOnc!!r zb{+&p0?5B5O3+mD6`D#=UH#G<5scrVDhQ;2pD<;h!_f$a_Blke?+;WXnhQ=MRKQm2 zdi3rFwc3z^X)-82QBQO$WrLjN2CMPm#zKl;Y%M%kgA6tz2iF2F)?R-2`TsvOv|wXr zXe!PjRQ$r~8$zi7shtmvd+?phjGzJnEeDx^lVAyw4phgWmcsDj6y`@*Hx4Nfn<15! z2P6MB2Ud`coh~Y%TQ5O%8fct?P^|^d&9F`pKF4&|sKBZONTa%h&$IdXS<r+If#D5E z7X;M9$^Q)QCWE>s9?eHIKw}%w<xjZJ37!B6GEj#Fwk#OlOvh~I=|N)<v~?R?lz|5c z_**71Ah(|MVX8<;lODaRL4_z8NfWjv0*||mpe{m>0W8(jOU2Ls|HH-yz&*kLp8G&o zUV?jq#h{`RI-cSP8^Hmmx9{LmAKE{II|Xb3SO%_|+q1V0X~+VUYkhh_N4YaVPj&L? ze1@un&$Ia$cp(YYj_zhqYaD)vt+hutWRE*!pb&aCB-n`7110tz-Mc}`5l#Y))`4ZA zw|_y7$O8@fKraA85^!YkXgn+cS{2oKAF0y}p4JC-oS_)C{|p;d0iC=l47wA%1Z`9W zG=;^$-vX*iK|OTPu@4N`y3nu;>DcX~QsUX|qJpIt4a%$npo*c}#sJo%zWg41X{Cz+ z%jFlK0goCL0Z2tF^8G^VaZnl0-?A1_io^0JXcz+2lfy_QprZ@mtv7JcA#x3D>IbA5 zG=fQzW>`7^X$JK+Nzx2!=7ThYvJOd_VLbqlW>6A@CJ*q%3ur!s^#VXjK`Wdw>;dgs zMGqcmAqLJG1PU-%5&#upZ~sEZXF(|htqg-!Gq9$SV|S0r8qidI_Z}6{0<F#y2Y<*P zd?C$vqVw><->e58GdDkYpn0%cM83O39z3|x{8PG4w%3`XTZbKd`2qB<l2^@#dAe=n zFF)t}*ZHCOfqdshNb^jf`59yD0e+Vcoh2$Fp1mz9prHg%Ctm?H%m<o=?&dH&1yL&j z8t;3+2)cEV^TYQGiibeQzg=wp$k=)bJgmm=a-sDUB;6fC)ZNHUIB*gM4FiJ44|n{R zXJF_SR6Nx9X#qb2gR&zF=w{T`Q>7xUptIgVN*GE&Cq;{Z@-pbUTkxT(0uUSg5aTeg z)&auB81BW0)e;p6lzI}88u(kEGcYi`1g%PeMh0x&3S<b$IR`eGMQlu($ajaxvs`|6 z`4x_sd<T!oU!514AIL*)Qx#}_1dhiGogpeB@U&Z^B7ovc@M2knSHbl*Y<7%T2a=K0 zKzG1{S~3C`-=QS8?iLkT3cL97;wwa~bshw30VT{6ojxiuoFBhmR6GSrZWo$AAd=g~ z)<clQ0Lrk?$P$NEg76X(l-xk=8_JSf7$>}$51S@LBsZ{QL06J?JFuWT9h7K5&O?e5 z32<&f8r?(02!HE4P~rn^{e}7m)-?c`<A80%5?`?mn~@_nZb?pj;0OiXuYB<pt^|ng zS!`)g2^wj{x|57Fc<?0?Bz*}Se8+?<6&`%abnq2a9jLVHJP6T7bV9s@DEDB~lPC!h zwET{;gy_N!Pl&MTO+-S3xE7ugAzZlQK}iTBDT3w>aU{hLprrT>;Um~UDaag>>v7oF zDX~#Za#DmuD=003q7X-E2;Ogw<Xvou5!U=C)}>@6MrL?oWX7EsnGe2#s)Hp)5EmYw zgi1xE#0c-K9EaZ%)}yimd~G}>l`!ZOdT2QX>z^PeMv!Zf5+jHUcRVN&VI)S-1P@Z| zAeW2ii4nH`9b^v4?LrF@%NsHhBPd!SiIEv+$%y7%Y>Cl|M3<747+K(nkp*{RWI6Z> zst%SIL0ouz5=xAqDPCw&v4;8yrDTMR<4}?qK}X_2ZGi3GMNW($*CHiG5Et%vP$I%e zjGzX;;mMaAU*Lm3&QOPgloRMqxImQ>TZoX6!a#8aNntEFQy9b#@NNro3WM}r_*)%5 z|NsBe^)uX)ut8IhCqb=Uq*$7w0&0}w9BmWm^g(ZX?!?hL;cspF`v3pSj<0ZQVWaRM zYeDTC6l)>vxBvhDH^Z)NKpNyD$=d$!|Np<7`W<eqIy9a@)`IFZ6l)>1H8reV`t$$) zm#YzjgvLnLZUBv{Bg*9|&~lj?*6#lO|NqN_zu~@x?I#EM7My^Qt%c-3eAa@-0tJve z&#*M~=fnU1FBw1n{|_B0fl#pVCGbeef6sk#3=9n5k&;rRkrMcb5x5f#8T$ccTTs%2 z5B}JIR#<@2o(FvN2ebtaTtBoPC<z5ggET<<_lB2t!lmJtCV=_@AR~4$Jox{=+feb^ zesKR&Lh(1}=T1qOEYj_fxLgKGov1DYB~Lsq18E@SGLR9tT?UdR!eyWYjOsE_3dZ9y zkOo370~vwaWguB1Tn0+xs4fFFKJd5<q=AsjKt|wp8AukJ%XmHi9|H|IBa#|u$OF}J zpk67$aiCRXu%s3YN@^et2*<&tQIZ<Sh;G5=M-RFUnxDyYUh6ajB{8sQAPY#?fu;F@ zJm-Z@M;6b<BQHQpQahjH8GHnt-x=;07VO#i&9n2r$L?wd1_scTj)sUkDSPWQKo^}D zo&-A<v_G#4G?3eibc9qJC<i!p_ks37Id)HXzrey^Ey7Wf?btot8_Z@bsden0?hj$} zw{(Msyt}6d!}!x6{BRf_bSr~n_w;BOA2e3w*gZWS#@`NdK=WbFm(v*-7{EuPp&#dT zylnyp1L!yzhL@nTbUm66Gb6m@2$2O18@&XrUh_ab$O<A0s$yPec{Cqp#n1?vz<BNN z(R`Q<Lkctv^xDLu`7k?%6sXdCE$PvGm;*x!)LZiG-M0a>RkraM=m<6Nag08l-@u+j z9We%#f1uqNkOhnXJ@@s&;|zX|QExSRoSA^PVj&%p4Bxc_J|+Y<jC;J<0yI_+3g6z{ zpycDxyBT!I9@stL1mKBs0`jru2u{cmJ{_PfrHzLjK!@Xjk7No!xE*=azPlN8vS4@j z0no`%EF7S|usq|zi$DLL1Z`0Q9fURc2NMH>C654qD`@XN(!qK}IS%QZJ&*3@3E)#5 zX0Sqzj{<Eu>J3rh@a)|VI!_t4&AbEb36I|0sD1!@*Q5Ce#3P4M59@<Dwj1PF&`FX9 zI>A8)noET2vVjMi2YPTo>M<Az*)Ie->>85sAl4EeOr=gJ{seFS0Uz!PKJ5>*#}*_6 zK70~1)(hEN1rh>nK?0rW2R>gG!~ks(IssXc`vMY;NXG!eoD1E5G#8%eD0eRt<S<%d z+zYzL1MFT91LR%?(5k$~!xJDUZR2(?Xr(x6_+9`lVd}mCJ@L9Z0Cd=M@9qeQ|1Cf~ ztjF&`{SP|N0o6PX@P2p!*fCo_KqmC=o&YiL0f>jsJkWM&RP#VPrMtWF#UE(PEouU9 zUcke^0NPOoqCqD~azN5z2M_KYWf<iNXz3)xDR{~g8)C~74^W#4Ql2P)!lHMx0Wb6* zS8&QfFHbUfA>|2Z#feAb;Q+`%$M9?jE(=l16VPTW(1kSMg9w=UTS2u4QmFwtFa{je z2B0;wHXQQsas@VzbG(@dN1`4}2P){hyFtriK!?5IELT9D@#x)+>KCxL;T{5&E1Zay z1Bz>*<qF7kpmRq-!Pj^g9(*{;6-arqA0vOFW`1yafSSZgLFozAW6c75kn{wiLD|g# zlAeC>;!aNx`$0zoKoSweyWP!bChq_pAKknA0HoSj0ODcKAE@)A;92EAp8G)u2tmw; zmEs<ll^$pY8EO9^XelxL6boZ;0<MQue8-v-Kqp9h^zH_q>)B}ox_8QhAK9Beov^dt z;Ct3Qx>th^xOC~>3~KZ42d&r#EqrF!1=`BG6Vx+t1l4v7NN4VPb-S1fcyu-w@G~%g z*bH9XKBgQVo!uGW)2G4C01s~O1l{ZoI_k7rN5!M_J>2%@<KUynArpgOD-FLv>;pAE zP!ITZ>}pZTyuiZH(VWK5z~IQgy+tJ*bOu#ta|(#x-J+6wfrX(HVyPtPYE#fMLXf3k z3sGkgp;-^U@eU=af=*)MZ*>NBI=h=^fb-h|(B?234rcyV9Y|Qi@*C(VT5!F50klOB ztOB$|*R!`CHeE_uY`}Nqb#I;lT3NAr0V4wgIAjP#hG(~n9xO6EyIJ%+yM6RXiwkhp zB|0t&P~##GH7>F)urR#L2Q9Vlt%r@5fDT#!hh_#mG#`UzZkvw_fC>#Ho6-D=nh-#J zU+|IJC`UcJsBnPNsK*Xa!UZK+&=f7`_HocbAKl%cQ$KuqL1#UKE=C0%zA6FUCgF&D zxN{a$Rx<qFdj${BecJ(`AOvM6kIu>9RNd_%;L+I)O7R}u4iX-n%@v@u4$W$fhoRXF zv?&Ul^dJ}Bqb9z?p1t+3IbYIJ2W%eKr+YIfuXe8{I;&EiI(X6I2!Bp3M@=22sHvk6 zlsW?cppAw=_FH-Ezek|F2N&*$Xa&_;%?bjL!W~3|3ik>~;m#p|T<W22mV)&cJz(df z|MT4c6mB)1qSy{}5(r`|I7(5>0x2F~MX_gh+XV1Y3e67$7#KXe+d3e;8xUT_1r`R+ z?!E@FVD|+9(2=3dCqPv1Zs_s18$d_JgOU@t?m^mP?AhJ60<5oDKoD&742WS1z=GWz z-~+dtL5Gopu9^WIuhYBv1;{W^Sqw1@rTF#iZaV<h+6+3Y+q1iE1H`-?V8L$Cq2V5# z%?2Q2dv~t@nb&w2bny8uka>{$8|i3oq)p9O%2v=6E|$^&a+^jyp0f2UBzR$ED|*2Q z%KMI>a}AJdDUe0r^K3ykL3nhFaCmf=aBz5ZP6mff=Vow7b-QqY3Qtf_;t4MB3FnY< z%Co!e0XSq}F?$2TgU0L$NZ?%nC3MhD51zgCu%(lrlYqg+Afi%v11Sdi(6ShTVz3Rf z6l77sECfNfog)rxfE0q=&7gCoy1NfBF))yL;5~;&H@Hv)C)3W!;6w{46hU5x7K)(M z4!Vp2l1$)f*aLP0P4f{*S^*V>u$4TNMJ705c5VhIQ)py@(y<3Lt@dt)r&U<m=SGCK zXLlRJMbP<<u*m#@5}7X`k@*2Eh%K8o9)^4I<wvAq4bp!60WVa*R(o_ecYxC)_^@iE z^awh%-lKE#2T&G+df^9%-Mbq!Qs>cl_<|s~Gy`QgNacdwM}*k-6T?2xQqb;h(C!fA z^uGXnu>EH6Y4=e3Hh_eBcRv8NeHssU2obOkeqOj40|Nu7%>@cl$gm+&saR@^GN5>@ z8I<rrw_$+xgn2X`?qCL411Un_hhHOKc7WL$2HoF*+H(ROk=5P3fQf+tdK4TBfBRW* zUk%)8Lpll$<xFpIM;+8*1&t!`w@wCUMC5b3A+BY7>H8miY98#Q0nn-CV7;(TZE3V; z^D%ye{~`LAUy6eCH6Ig3mtlGN8{9gogRL&YG?CM@`Iz|2!=Q_l!PjEOf%;d{FE@eo zH6H^fUr1O(>|%Pk@*i4_3Xh*?Eb-HfEy(U*4>H*5#$(MMERa4eWHMnh=*&Fm7DA-> zIMxh0q8n5XLq_&DgEr(Ml)+Xk9%}~e4FD+vwPQSbHy5ygQUW-aAf3H_ta%00QplM1 zX3%-x2-9Gd!Ler0RY@Szz!NvUn>Qet)_jcPC1_N`6LGXM0l&bOV-WBQY_&82zrdDE z5bz6Z6#@aj7`(Irw?W|dqd@!u9wh-~-CneKfwdbUegP@N8ZWRKihy5Wc>v-UkZD-s z1=j2*;1_|HpxYWed+T6}2qAs}#Us`<23sx&@e4>9R=>b@o<aNqawOI?2FraAzkp1` z>KE9WIf!3CreRHE5-*p48z^<Kxmp5#ft^=Dz%Q_EGZ4Rk9EsI0a?mMLh+jaC#OfE= zdN~4qQ9v%SUa&AQFuw$iv3T~@!PadN@EmOM6#>t|4wxX|IoOdr5YK_a0ITO<v(*sK zfs|p5C=GPafmDJgtr`!5?n5)YgjO9vhKyn5Dd=89HwFd<Da2X2p1s>RKuw6=-3M40 z7<Pfq;RlyvpnJ|BeGyP0W_W2QNCvCn6Hx9m_U!x$zS$uPZVhY%)3e((!?D{Je1d6d z7)sUZ*?7F;A`63O?_SVdL|{k2t`70+-3z*u!?W?|306=c3w8(ij?j0oIW@>85$Mne z{60y~-dgktm{3R}hgAxm-L0S@EXVF%&<YVqMGCq=1gS#s>}~~(**SLig6dk(<ujh0 ztspnToYlJ(>MYQN6u5C?qvF~51ssIP1EAnD06<&5Jv&=L<I|4ETS2=TK<l49K&>S3 zsob8Ot>Ci0`4}4{-e4pm)*ZXof|T_7{x|%;4`d#AoC<7Rugu#Y|NsAY?cNJ&BSRH> zGSA%r+9Zag7<$R|!Kcig-7NA@|A3D-0J*>0M#ZP|8T5{9$8NBL9J_l#Zniv8BId{p zcDEzMrIsi7`w~EhTY&5N7)MA<97fz93OacNlGtFW0J(i7iIND8H-m2J0&RtOorJFy zRRTI~7#4>f-OZq*sJpvCg()ZsOTAEUm;*IWz`YjG0S(Z>T#!AWySyQTxi8qj=?XMA zh?c$~2`ug~biM&}i~__n;P6H<x!Hz;A2gW*x@HA3Il>NUZwNp#(FAsoB^VufXas}u zr)TFkkNqX^Tm!bkv%3v6IpWye2X?&-2Xdr$-UO>T_?X$TyA2fOp3L(=aqZE~BH`KD z2D+-uvv(h8bBbr<F$)fm{oq*k>HG%u7&yy-oB+2Uw0;B0i^SXC0NRqsJP$m40kI!+ zEVF0tKG42+&&Fe*17Qp=;j%x}F${E<5@-q=Jh=SNV}BWHNOU)U00ks-Gk8L@6LjG4 zaTgWHafINGGi>Orpt~EiT+D;HTL2WjojxiRpfhhlr59*i6?Aki=<-j{nYRL-z1uvl zBQ8Di>^#<;qY?pH3lji390ruVJa&Mls9}b4cp#q+<JryT+3g3q!fXL}s;+wli0a)9 zn&j|kJlw$v4swuwSpN~aWzVDW2*|6^j&ZS&TMZ%O>)`vOK;vVe+bTQ%dh9Pp4FjLv zy&&g8_Pm3R0tR~!blCE77ZuRR9s{atVV69C#^^!*l>iT6{{yEQm+lgk1W;gr+bZ2I zDiI#tpfesjdsILx2tYC*Cw4c3C(oFhL46&NAn3SwP<Vn4hzG6s@!SJ49TYx3ol8`} z=fw9;Q2`yMgk+gV=TVpLJu09w&ZBpW3aDQRT8+g4G6379QXbu|3?ALSppXp!Pbzjt za4|4+y9#tRCxG~fDMBuA5QCfm3u2c}NZ-Px6Efc6(Rc(Dq@c}&;5(;4$sKwhF7yr} z(0U6<n#Wc~X`z%+j@|7Nmq4TSpnG>gmvUVK4TSXzTw-B(or$l|;%~VO>Z0``-yREc zS9dq4NdzvqB2f!2$L@9=WUFK#R;gS9_4m64z>}HH93ZN9cLOLTG#>td5*#RV|FEu$ zM|blI(D-~es1*XX)(-XFS<t8xxV!`nb|d$?!B%x{23ZX1bays`g5Y%%*cM1C1_v#e z0H<eAjs_(JPw4Gvplk;TXZY=C-R>EV-Tsgkfvd;=!-!QGp51K*AP;x8AK(UUcHR#< zrpmMN_zG@tjDu=hpU!_CjYmK>fZY%aF3mi8tKnmqz4hn~0MH>O9=+AD%EPm}9W-L# z*xe6mY=J_9zx4^IBZ;yYWj`pHA`NMQxQ;tOD}0frlpMR;K>_B;+zy%w1&!fnc<cbF z1gQgY3p{oRGBCi;SB2$GkVn9I6Rj-5xPT2@c%hVZ=vT3MbTfP64=!-dN0dQ`phJ&8 z$aoCsssc!afi;58QU<3Ckl#T~A&<^x@TJ7PyFEZ<bK~Iv$P_o&Pbd?K;Pl`Kz5ff; zcLpyU{O7S7bOssd*g=opYS><M-`;(oQVw(~CIeEagTfJWJ_S^)gBId~(w0ZB3kM^p zi~^mC4=STTeSDBMkG-I}9aKhnbgoeWo!1Gvrvh}W6Vk=n%|H10+wXBPFmxX9=tUiR z@n}B$1CcWKi-Wd=f|@Ci89xx$5nM|kB?r)EPXo}lPYX~$y_^jywVDtABxDLGg`k*% zIaCV18UdsZ<WtZ{2=XnVEh?aaB-d^q6$@Wx9~A?S-Zd&<HNAUOKn;nPr$9?Jnh*aX z<PagyYOtN4AOlCeXE)d(pmxM17KU!*2`sRCK*~Yx0dIQ*4c9@_d5a2os0@_QK!rc} zA{uZL0n}W9i-6h=NN#R^!vS(PNYtZu4#b4sJu0BJA}_l^SD-Z?{!PgJpqz&pke-dl ztgyNtq#Wda&^3%6pmXfu?g#bpJ;3e<Ef52#0iEaM0m=e!_k%78#B@JM6y$!e3B7w% zK(~ayJPq24+<f>CA@_r7G_3Bo#p-^La**4=`LJ`1N&qN7f?W+x|D7!=ptFiSc7XB$ zxXS^$uZ4+$0n^ps#Ra`{ASU$gQ2|{u|56m<>c50s4a&(_UG0d~)ga{{S8IS>3vwwa z!GS~!z#^bL268b-1auN2BxYdl2laX}-47B4g*w=T-aRUyA={UspyB-H!~Y1mUkq2O zcf;y_kaCdQzzcl9DH`T}aHAg_{-7nKAT>Wg!&~5-0dqeC*6;_3g4_=_p?8l8sQLAB zDrkYm|I-NPBZ?Q#?r9aE3mF=Z`GDNGi3fCx@FWRg(DVoo2PEZ!)+B)30IK95r3K6l zpoQU}yatx(-J?>$%)sz+3)nW4y4XbpQkXe(<!~Uas>EItf(j?3RN&C?mx;f1A?WZU zNGXC@e1LR-9C!m9NT8Yy7LcIo87Y!`*QkKi^zKms)wcL%!e4TNyn%ATC?eEA{y<td zirpWeLJh+os}cV2Y&;eW3Ui#LA*f&P0giK+cR&}jK;i@z1E9tj<W?1!2&iF(<Yj1S z2oeRQ3$O{jdsG5IF;xp{_Mt4RMfD=mvRUk21eJRjUObHA#c-@%1Zf1t7^v|I$(ArL zf|iX#ya+2WGr+M5%HA+9f*QV<UIdAPya+a-caI9F8})J)s3bsXjv>70(v`!3bo(uK zFM^6jBriIGQ{!zEFUDf^B1j|1i=g2JP`d#%zyR?W$SWWjP#*}jOa=9SFg*qm1$hi? zLhl|GP{;Ho=#)IvmCXo`fs!ZET50Sa1C^dg9)o1F&nO;C#p*GTMv(Kt-7s)P28%mT zjRx@|tk?zB{*d^E#T{t39;O#Tq98AVP3YaD0_v>41g(caU7?NYMWnlbv3n6zz+!lj z8?-_jQj&N!9?Qq-MUY027ePfZBzM8Q2wD>d@gl5b1`q6kb1BS=p!@VNy$BKoc@b;^ zs6E02JygpPc_~h)V^~%q{GN3Kk8XyS^B_G6q;eAx42a^p8srf?#kY$}1!~z1YWjNg z=BQM7^zKms4Us}i!(_yz?_kG(qL2Y}8M{X}!)sC0weOzYZB5`_R*Q-Scw%Oc3aA0# z*?0uBP82>~13Aydqwxr+=?>~iL&y2BRY;(;i{w@85epg<L5f(%ZWk2`Pi7Yt18^#C zIR=_K>;#QTfLoU!)u1j^f(J_fCj&I<0gf}!dUB+ue0PjWfJZk2=$vH2zJeZx^HT2r z|NjVgfUJw~0NW0!oInFk;AMo6gyf=v({_)}!!F%5Dgi#dAu1lwhBHc)*Skgqw89x0 zU5T*h^5}f;*?BW76||TOaRjx3N9SQsUk0=m15`002SJO<1yIr2yGI2i3myoD-Nxb3 z&HVBu3+TddSjZyz2vo6wxCzLW6J8%3#qg15<FQasibbjXKn*r<gmkv3fK~xQvK~wX zv?366KDJNq92GFTca93^^hV5pxbNBdGAq?F7?hU~L1Ez0c@z{B9gGa10bB;upqKy+ z3Xtr}yP(rB;fV_5S7>BH>Md|&g7QBRk%`soQCPhWtMDQ~P5~FJFs~<oM4I1lfV>W7 z_s&rP<uFpc4%#h-nF<0xruXhq0m;661UkGEo7W-r8OZCMIrvg9Ru3m)^)Rd=1dkY^ zbS}WdgisHI*}ZdA7??2&Opi|daSa-s#Pl#|WD->Bfn;BP1f7qH&BKs-4&-5QT#y^r zSy;Ues~|yBi>MVOXki5`nS<HAb5uZs@TA5yXle@6>!6t`kk>)7FF_|bU@fyC^&i;l zphQWo*GsW_9h7lEmFo;py@pb8f~Lw~UI(*#=cs^IYLV)7&}a^(*Fi_PgS-xsg%)<u zRjS>tL>Bh2LE)DMKT%ekK=xmBe)iZO#=yV;N;uFdY0&k|;N>zPOF;vKr2?Qetl-sW zyFnuvulansH-oy}F5SC9y>6oFJMV55JCDw0(43A(Z*_o2<6+R2A82(8nuP1T2OiP{ zk7$AhR6+AIu!)gyR2QOK2%5A&A17<;0#)+eeUl(#$Dm~>9-Ymg${cDvX#L7A(6HT3 zP+bdg0rDIXXs?@qN9SkQiE)A+`$6X?g3=Z=pt`$zK&4Q3GpP06dFtRV`Gc>d8BcX$ zIV&7=tl6vYmoC5HJOnw_j0bf559n0s$qoVx44us`kcFh+<MJC1YY2cY4sZb-uxoe{ zHp=hOd_*7`F=`2#K5Bkrf$BmV1_n@XngQlQZyV5!ikNN#O+{#csxI^s*fhF9PKBPp zrU7xQPIotGC!|MrGbn*$Hw?7ul>yx_1!Th%Acm=QcY}wUyPHA92zJ9ji{>y4lR!31 z0%Dj9&V$-P9t6cJhA{%j#=wr4>+Y6;#3ZPc#qK6hO2IIU1KBVRh?{sojUWx5-WU}H zpWYJCc%+XChflAIihxhAkBY=TP*JiAM1UIV3_hLT9fKU<jc0*w7Zrof<_`i44Baj& zCY{Y+An8JYje)_V@i1uBrs1WXkb?N0Z|4VCL)RkOF%FS5K-mX6-_!gCl!n2#X@R!- zfl}{2&@>}#I>MuQ_Xg0&FMkW@?vrE99DJbhAZ)j2b$8c*Mi;u93qXgJW2AOafD<1^ z9=*FQxR4I42OnmNc~%N!M?UyqFW3wRXpIJFWVw5@1Hu55W5K{-0y}US`Cw5`T(^xt zybU_b4z$kCqq7+lB;f4kh`4A3OL+j=;tL8<Xm0c9?ydmM*?|s{|9*k<MDs&N(6Nl5 z17ty2%>&}(ZZX9}pcCD>{a8AERCr3%IZuJOFxfg5Zac+;ia$Ye-F__Ge2Rw@!3w%v zR5&`D7YKq=22W@663BAX2cW=cJlr8jsKfx3_l}VJ)gZ;H=l-?O(qbQI(iJI1Sb!1% ze~T9*=(2<fAPzh@L5GgQ&V&U;IXI7j?jPZ1VCV)NMEw0C==zL9-!Cbi`gVvP`{{Q+ zy^BE;X~>7*L9Z;)M4a&W8y2d)yFr^S;U|uP3SsbdDvsdwC9cp7;wUbJoxuy;*1ikW zXhU2E08LfkBO0I+rrpgiKrsh7S-vC$ZWyu}*y$1;-IEo#85r;%90Hn97r<^W=#bc- zpw%r9yYZw-P|$-?WjVNS*bIsm<O^`1$HV+5FQE8a|NH};bMYVADge!YA=P!;plJhY zxKDR;0_dKBZide003lE(%Ymh{IRvr<8N7$J@vsGC2{LGM2+N`mH1k1w>5=k|Pj~YS znE9Y%H5@^Q&o#3MGl13}cYtPm8xOxAU_PX*L-GTtghjHWyZaBMlm`s~Q(DS*?%p5_ zs<^j6oM^xUE}&-!<IILw$`8;fLMZMt0ge21H*0_{j-arF?cALp0&-u92sj9#?(-0V zx)0o##M!n0RUla03%Ya$#l1NY_kyN<C=X8oQILB@M3LS51MXh1y|~&!INZA%H4S%n zFM+rhG(AYUdk=u!dj!S3D@0-83o3F*4c|TJ?!5zXFX(<9%H3Na1`6L2G34-#5QDiF z)TSWSy`Zbp!13}8T<3apH~#=_mf+|PU^)1bsq@0Y7fj6$7+Wv#yBq@DZo=4lszeO5 z)&g{KI0xutZcrw7QQ>*5(|VvJ#iM&NsEG0DZax9Z@4YoD9Q!~mLGXrDM=%Mt22v4D zkb+f&Q>4H?dI6dyZ9H5d1@)2PrJc}{2&@ZSogW5m0p(_3c-;WnzlKp>fc7+z;U7@J z)s59ZAQ_+TW(H8<K)^o^(lGzHNQ3<YI>*7I@vw$80snvu2l)q7zr*q@xV?8Dnws`i zfI6+vF+Y#y-3LGwI)4i%xOSHS^_{>01h4ZzRXpqzXOHe?1JHpPkcyGN<qCT15Z)~7 z-kkxOF@?mT;WtEdwH_$t1w}eL^yVlnkKWx0V5OTu(G9KFp|ORhPKPaE@#x;Y0IYp7 zD0H#dGy~Zt5XYl?Ge{{>HX&8`cq(R4sDUcxJ&=kS6r$kth16V};Bma|gERwZa)803 zci#<A5@<Yj100*6)<3uj1Mlokm;h40K!$+<B<In)4|I@%N8_;$8Bpwl#6TyFgUS@R z7LVg?0kWWWB`CG*120NxJZ2$_q~7o(TrqV2BzQRrY_+l-EPYgh53qt=ssz144;&DX zWo4e-tsbC!?Geyi!^pu<V&&PrHvrTjfgfK58hZgJqSgZ?L7v@vLCT?RFT+ba;j&0a z3iW~v*u}tb0D5@Ue|v^*_~BJxNo=PbfzDx%hPFsNd-sC65O8b2_QOxP0;!Bas4U<D zg$wk=cC3fBr-52zaQ}jvr!fCodv@;ywfGQcD1iz~uzjruO8h*#_kxte9Rlv2fn_~A zk9c;sg0yyXG(Y^{*e#;c{D`0PRHpz;*n<Tu9-_hmkqBV%Y&@#K54!F6J$%vu5{un^ zD!dE~KHY5+ybKITwZVmhKUfbwU~Yc&K=Yzww+(}9cL)Q^<@b(|BbSwX12`PJMFbon z_cCgpbm=^K`4Q*!<^w#A-7E~3A2@OzYJM*7*!)Pob032o1A|NFG!{8<k^&$9(0J^H zEO_-9$jcCifGS%^dJW)$r*H5)U*j=QjDR|0C@LWu(As|%3=E*bQP@a@Pj@q@mU9HP zFB!OD?MoJLMNqBb(RditJ_nf$Z&{+5XNh4RXcaElybW;kwm{5F@Mt_d1H7mXT;oA@ z=AoHqg<&3O+#YOR0uRi6DWF{4yBj<}*?8Ckyc7({NQlRwB%1l4RbZg}3{9gx-OZqd z2w?LCcwy#?fKDNSTp#Drc=!ii^FigmV@NRcc&2?-NQJ&n?`F_Ejz{zE2cRKF$F6qJ z&37e5EeAaJfJy<7J4$#!x2wYo+1}kJKy44$T{ht449{GAp3O(Vhe1FtUG!)?44QL- zX8>4F(x>w~WIzpk^&>b*px6j5VxUIgwGZqxc$I|1HWb$}fpRm%=ui9t;PTU_mzC%L z|Nr1S6v3@u@a)+~bQeNnYXW%u1Svd0D`w#y>)i}mTkg@kI{`H00Six;mIEcC9{WL? zO+X>)(R>_UJ2oB$C3a#%2xbCg@B-ou4;)6oz0K?S{|Lg@KE14Y|NsBz4?jSxhtbkc z4O;pEwXV9GK}*^}_q6)_e*m{w)MLL7G_!a#gANG+Cl-&!!v&zF8n6@u4?E06;kgH< zA8sac(!gO5TrH}{k)j*alzSbI#dL6bLW&!#4uzW!O6|;ukVUfK=RXqC6I%WUO-+GP z2_pZ4rn12K{{TGyAA#h5@QRbh!z;k2-{2}=K=B8%1H2PS4;mr+K)1@mf*$T8aOgtn zI?#p=(3vQ(y3Wd@dpBsW52CIE&F6t*wDmxVqeu7d8=z4@Sge9)Il!{;x*b&2F?w`Q z2AR^y1-WFwqq`f-b!36N0aQW5vvhYeXn+%bY9YuG5-1G}bVq=c!*dfJM}SO0as-%* z<_LH?=xzozTTmPUYNX%}36OGP904)~$q`^Knj_%lZg(^2zFHJVfHt7xb_7T{F^&M4 zg5(G=7tIk!>*YaHTquq>fHyio%879V$P^?;fVpUnKsr$314^UQqkA`~cZoYBK+1`6 z1jrO5M}WC#j(}}b1|5e1?*719z8>AXLH!}zj?e&gO^C=HAXAVW0p=n(0(5;4_y7xd zE(LX&P+|n!-GaBk(K850IWd6&G6l&UU@rIqA<%h@9-a3Qb8?Wb#sg={=nwV~{ZQJW z-vNcsZ~!VF02O}#<tsq=4P_9TVFRi-Odrg=tRE0{3Q%)k;tWuG86MdGS^4pjZ&JX1 zC=D?Wrmy2LL_frFgMtLbFgAza5)V%-%FE14w^E1>&C4v#%vDe=)-^JXRS3yQRY*%s zO-V{j&Q?fC%}K0ONGwq($;?evP%Tl&ELKoWQ^+gUQ}6_H^2<_-6iPBu6><|RGIL9F z70MEGN>kx#@`_6m^O92)RCB=-fS?Ku<VH}<3!-6sQ0D=}U(g0|EogNvhz|>7*PtN( zAS;EG(vr&f<jUlnR4WD56p&jo^U4x)GE=~6>%h%82B_MCjKtzph5R%H4b>D)kkY*T z5{0DHlJeBlJOy22GXnzy1BJxA6a@%VPr(!6Rt8Y34P+(^J3!5b@xdKM1_lOfVQ6d+ ztDss8vavX|M4==f6c!+RGK&>JPRPsy2UlWFPJVf6ib7FhUOG6qzzR`Zp@7|ZL%hZ( z<|)982FHY+f+N%>Ft=DCu_)DwK{bs5*}n=5u0=)pMJP$Z*a(so97{@yGLuS6Qqeq> zqNkwXlbW8G1Bvlsg|vK7LdYyuNQCQ1EJ_8%6Ub{RXkG(bsDNstF@77<h_x{d66$C+ zGBAollam;f7KhT1vH+F_8H6Rl;*it?YA0!d`3%gOU>ejz0;dDUPhdVn{Z9zZ{0mA$ z%OXZ42%l3CLO)Z5(rREDG_VFXhgluWXJ8b7&<q+7`k6A6R)NrrTo9U-8$vVkKxkH8 z2+hm~rTHPWq6~y)kcH5WauAw99zrvIhR_UOAT&P%n671D0@I9&preKv7(g9SkUI~= zf=C7iU0q$!)p>9lBnry4pav_LT@}Q@SRueD&BM+CT7U++F-`^~!N9=q2}C>b2{`ge zIPxhpGxsquy#g@>ocK6E>RdqbM5xOlQr#3H)qw`qK}zwt&wzn}0kkp!qz<%ig~5qW zzzO7d1s6VpW|ltYekSIP%zO%td=g+8P#NOEz`y`n2nN!V4N72+U_DNJ3Ql|)PJ9NA zd=@Tz3C-+1Y`v^~Ed9)FOw2(nd=`#;24HywC$L$Ld@!>>cZ*ZYEYRwCYMBL^H=~wW zpoK#qvn~@CUMm=}g_k2=0x0aKKr0$*ggt1z8pvJLFiQto@lk3PD9?e0g+T5CO_(w` z@(D0)<>LT}gLcVa69;V?#U>6;(+ms@=;na-$YE3e0qO^I^`N5|u&D=KMu{#CT4Ds+ zdk7K-9mWaDQ;vKRpbP~n8zz9V7pQD-<TGFZsZ9Z;2?hp+CQyL`DMz4cnaderiWwNd zYC$WyK;{yob`J^Wf{sri)?5*2B|?nf97s@`L!??zK@K`(9OT}epo$AzGD$$nA4fg| zP~pSY!`cfez5cU+N+b=iEVK-B<VygVaR+K2xn_U{a}}VCI?zrJP&|XnHU&pM4HrI# zX4W2v6RtDyX*hyPN4WhCAiXgZ=$%1<-YXR76##`d0|Ns&{`DbKFQ^Xffa?7ZigZXG zfz`F&VOa#E4s@zNNC_czH;6P3)@UYVo(8BgV_;wa9nS_z2S{y!Ze;g?&guZUkB~ah zwFJbdTL86}ko!(R)qxhcpt)}yvim+j)%AcZhlDe<EMjUzRwn_yxL^xH9V|Z9z|?`- z7N8pnKuY#N%QjfsA^_U9Sj&jowgAOp3Dj)R%@=5HZGxE%YAelws(T7D8@UYvE&~FZ z*?M5jk2*%a2uHpIC%z0Pz5*w{3Mak>Mkl@wMkl@rj81$r7@hbQFgo(BV07Z!!05>r zP>Nb&fLbb`jvfye$R`X8kx=_U0mH-`#0YBnfr1UB#sI3u39d$=nTgpNt_I}45U3i^ zLIO1ZorC!gq^^btb)Ya_0#%m_Y8ODtLPtJC`;F-bQV@gc9FU$HP(7gSTOc>U;sw^` zd&q>O2{b6qz`(%64e>vCffsUk!`gfS$ZbBRYV1vz0B}7P0X3@+6i$$I22DTAZRmAg z3sfx=)PIP)#rz9dEofC9Xx0nlN<$FAzyNOiAA#zh1uFL-;RCJ>G{EV^0hCUlbwx89 zYRo&q+o&9nZUe(2P`F|9`vgX0&og&&Ve>r$18B@Y1Zox&Xq^W(vl>v%`YwjeEJr@j z0&Gxwa0%4BWKeyN%{-KNVfMn;7XJV>OB_@;5;p4}F0(-Os|{$0Edv7sxQ&Ty79!lC z-GkFisNoLEaSRL~zcoP30M|FjW?)To%-@-?1r;>?o`IV69pp!BW})_3j`CnL3)%*k z04*nBU|{ISl^zzL#$g$b^Z@c>3e+rc`o`ui)cD(qqi+xZn!MToHA@mi6E@3+kuM^R zFToL9FnaO@FrQ=Mi-_e*05J=hPzy~Dz6Ma?$W(_tSOOS8M_L&`2LP6X>Q}<{`=i^> z&kVO;pNTKR16*i(@D+IS1u(VXuo%=IUIDdtHm-DAfSf&<9`IE}fxT0}yqJ-%A{N4C z^2TmM0rOHuz6v+AO2d(F0izSN(s1J2!RW+yfYFKX1fvt*1x8Q40tN;bMg|7ZJ_k@y z#0@_98Ka-<&i4T|oy=n7`@xvT*TCe+*TLk(H-X8M?*k(<A3NU<Mn}E|Fpnve?+2q3 zl*1g$$@hcN1I9C8Mdmew42y!v%>eNdV7wY8z8{S4d<{%aP>Y=SW-vMNEnsruTfyYS zw}HutZwHeT-vK5kz7tGNd>5FU_--&c@;zX3;(Nj5&i8@IiSGxKBVPlv6JH0jC*K5S zC%zfXPJ9cPo%mKTyYp>ecH-N??8$e4*@y1~BU1p>$uP?tkt}oM+W_%bG`7%ZU|;|( zGytvi28Ck<u5^u--ww0$tzazY+rWq(GfsRr7@hbYFgo$QV07a9!03v@Wj=fh7@2=D z^Q~aaMKZ+|8ow}89HFLof>bjy@~vQuLsAVAWjc%1S1k+-3^AbXnG6gJDxi7|Gp{)E zIUuK5W<y3kj|{#5N4^LraIU~?%D6(CGO$$X2u+nfd=5-KSe?NDDl?uy-EkLM7eo3m zPM|)EfeRnF9R;Z$nQrk#l;W@(){Jt+kt2Ng0+@PGOL<W33hL9Rfc9!KFff2tWP$to z<$R!1@fm8M;yR#+2lY7^7#Jp?iN!E5Ff4(JZ3d|$7>-O`*h0dU&%p(oILLe!kRurw z7-(faXt6TL>9jKc2-N+5K$Z{=pUc?70bls2fVR;wFfh={{1zIU{{(9ObK=5hA1UDj zs^48eO92@e7_>n7i(vRO3t+9^85kHq?e+;!vz~$6iqdXox&-SxxPlu05@3rsKzfcq z^?=T$L$vilWj~}7#&i(1tq;=kgA6@7pq0lA3=H2f-L@CzHb*{%EEwB_fq@|bsz(GA zHmLqt4pZmKr@#~o<ABDV85kI5K=mbo>~iE2Xl7!H0X5b^P3kRBInWW8pz@@di76i> z2lCeisN7YMK2-Y-!mL0KKNgUo3=9mfF!ii}>2c&!D1xy;{<47T0o@}33UfrCg=q>* z9mro^Fb)F)LjVH<LjhFZd{CVL>8pVIat@HFV)kU@^Kjw|Z~|8Xo_r2W=}2+s$mhUx z9ElGNt0Pb|vqA2MgjFgiJV3$^Km-E=gA-h?1|$W(%<&IY&JQlf<N=Zdg`))MEPVzB zhWQ{l)Nq84cOZu&$S5DE9`Iy3*d4VX;}}917#K33a{Zum08YAy@jQ3F3yh#n8nm;Z z&xl%$IP!5g@?Bu8#t;R?!vUzd(?I5;xRbdF-giWHC}>qM0|UbksJ@BV`wH%S4a_K& zvnn^XQUTOHVqnMs^*=zz>oG7eoB@qlAo~x}k8$T)z=UGnKUQq!x$-SwVm8Fu$8qEX z9S8@Gw=Gb+B0+Hj4riudP(Xv?-~tXgP&xGmDrX29%z&glCs1la8OtnU2PZ&JK8I31 zk0`zXP#W|EH5H)KI!=5XAbTvNL6$Qx1cE4t8@yp|2!YCZBjj8`a-cEM0;n8lOB^WQ zK-waXAPWp&<Ec!~KwN}<pfL@Q-ZfCYJczslala!UTrcw<MpVt9xM5&mcmdT5x_S*% zW<cx(&sSla&pM8)ZwPXS33Osm6`JNCW}*6lSrEHB3ZQzGL5GoGrW4T6HuAihH;%NB z0CLA3s98>+ISu6aL=F!<W{mIv<(nT+y~`1P0FBRp$FrICfG6;rK>1FA=?07g9@{X0 zF3vxMqR)k|08)N2EdV7b=rFh|Ujfs8B)%hG0h0p~AC!(7pyq*Ylmx{~GZWJsP+9@W z&A}tL11g8DT)Tot?gLZ~wD1*bUn$5w(6|i`=;j&*28L5a`VF}##^$#esCnpif&5kh zl|y$wsE<7bDkq4muZud4QHZrY;mVi5EXV}z_dD@bfCiI1`4X7?v6VWGd<o!kg$LA! z1(nr^e47Lc8&LVJ0hNmYjX^=u8cMz8jy%rm%ICm*61^hxLW+4u@T5N|92%hJrXlQ1 z0NDwWn}b6Rl=i`wtbxYkp>c&&|N6tKTvt8?P&S0NlpOg$<F}ysxGzw1y+GlMT4&g? z!Zd^WZ43+y3Ls6OH8UXhp{Ql@hAB+|l`&9t{GhT4Ghd_i^_cRp#RDkVs<B2Uq;0qc zYR7p@x9o<w1-YsNh2aCJ9`te=H2(YtDhKK#g34l~Ff4$X2U4e^2(pj?+?T|q4%BAw zfvWorQVt0NM?M92z70&E!l8|c=`}0~K*s+-V~7n<J=vgmgy?~f-MaHFU`A>e1Y@=f z7#Kih*%7E2fgm&BX%l2;KNHhKSn&mFjm5$^;IixwRNqFBKDgbEd>Zb24;Y)Fa|=vK zNad#^ABQX714d>8<iZ{78BkdJD1kiAz<^%wfzH#&fXYn;^~K?K!RsG)z5|>n?E`rx zz7w3Wd<Qt07Q+}bVGOtkjKQqN$ajL%iSGiZ6W<L^N4^J~ULc*vU>e|zJs2V&sVu$= zoQ`}qIGy+&a60k5;B@5s!08DxppNeZrz6x<kR({p9b3|M<U7F09E$7;xcW<cCpa^Z z3<25T1he4~KFJ;UB=PFRCkdHn|Dg<tE70)~pfnAcAAzQsK6o-=0H=Qt!N9-(I;Rb! z7Ac>U!14*GI`x671Kpzzs#{$66q=csCV;1pK=~sFDlY^oBOql7X!wP>kBRvRBecOE zPysU$lIIsd^?*(-0ND+3Cun90TvdZ+o>12AfZTBfst2?Y8{v*kV0VDZ&M#1TX{bBk z?HA-Z?fu9}9NZLe0HtvQ=psVU={g{@o0*sbVCA(B4mpsUGN5vxvsOU*AYn5T>?V+W z2UH$(_yj_J4qSc(0r?XI<X_;C2Ni=HYLN7B4n#Tf2|(Pp4{SfE<^ZYDA)p2n#{p0^ zouD!WUKSzZs|vZEgpPT&K=o*X?1qZXfQliHjje%-!PJ4`@&Ht9Kf*qE`3WjJAp?8N zD;Ys6w-8Ng2GCsEAE;i?iAW%;L1F^xAQl4yw73Gd3sj(Dp#Cc;Zkm~xykK$efJZI{ zDi;hg64FQTh3TunAqVQGOo7U|qxcE6ea$oxsaSRb70U)p(@=%L^Ggq)W`TEeK;i?V z&%l(7s?n9tfGHJK2yB)PsPO=53*d8C8mdN+Sr<`-z+qYeH48m#K=C~VDwlxL)@p`i z_#hTU;RFg-9QY|S0|N`gNAR)%WF?m1u~ZfYP<lodSA~j0t%gepLd8L88!pJez`za_ z2c<z|@vETvje&&$bY2>=_&TUKI3AEB9zexGZ2=@<hMiDxP<lWXmxkI4PK!tqCZPTl z0}De0k{F2j7itcujRxYwuohH3sP7IF1JQioc_tQy0uToYKLXD!vM_*log)b|>;jD+ zFt9-C1|*4BP<xStz)XFp`(b$wEXcsXU<Y*%=x8*U7>EY#$p*zF=!iBDABNvR-2*O9 zVS)?{3`d~u0iA`4B+T#`>K@S9r^w<8P<ug#iXe-#K*IraMk=!S4XC}KQ|pk$H$d$L z&E+7AXM^YFSr|aaVj+v4fw~7Y6oD*$7%C1bi;%^aLd8LK5VAOk%?v&q48%gk%;0V3 zsAA?K3=BdHObiC#)%Vc#JfL>I5Jda}ns~kdM7$ssVh$`rUE+g^gF7w^4B&M)3=9m* zcp>5j=@9kcbvB?r6%R!G08|{ju7-hu!IB#y-T+OA;B_<%3=BG45OIerh&kZ3G7Jn1 zR-6#=3s7<J+871~27L~Q_yN$u83yPY76t|ed8mIC@*w7b*R6oY@&zI43-Tf2;I%3Y z3=G~-{{}!a08D%r)V~IW5cS}-D4;csQ2#C{hKPgLn=mjim_YqI0dy=E0|Ub@&=>^+ z1H)dZy#{p<_24xd3=9mvpzd$*1r@Fg4B#;;ko%$LGpIqt!Q<i#3=GGh;r0P44j%hv zU|?7b4Yv#G5cM$elhAP606OX!Hipf>z;GIB?*{OI6axczoEkKa#|{af3*bS01_tmr zGy?-er!Yj^K^tN|cnq3>fuRrTuLDqV@c1)m4v-(BegSwfC3K7#G}aFd&k4E^bHL-s z3=9k$Q2#dQLBzr1#0(4!>!JQtFocMM$9fqU7<!=ood6XFk0&rNFl>VQ_W@KKJjTnw zz_11CUjxwfXt42J1_p+$F#kfu!DF}#3=C;d|0)<m>;;eCGB7Y?L;btK1R@R|e+8vy zsDA^@AmZThRR#tIUZ{URKocN%oRooq;VCqo9Pojt2ajoj`~~wbG(Et?Gok)12!p7H zMjJyj)V~YDA>!aMLIwtgR;YhBfERT!Fo4JZ7#J8PLH&Cm9ikpQmIu1E7FrH`0G)Nt zz`y_=r(<AXNQUMMg(`@8@R%C|1H&w6d==C}#KGffpm>M+OQ9Yj4jwOKU|`UN`s)K| zaSsCn19;pERQ^EywV)BA9wx2_^;ZCRK`?Y2ALK5mzZRg03q$k!2dFrB?1_Pa0d%D= zsN5@PhL{f?<6>Z7u!s7~p%o$yQ@;)xUk9M#uyj=h^{)VQ&l7lz3bb|^>R*Fyh&j;W zjDZ#E-vv-{@c0pE{2l5q2GC{;P&$JY2Mlab@d7k)cBp?ZfR5s4U|<0Ehd^rwpy~4g zc=J001GrDaz`$?`8lC}*AnpP8yBQc5enaE^0o44-5NU=ZP;(k4K?J~KL!fjH4TlTU zA>yDjJ3&r$hK7Ry=yYTT1_toB4+8_kSEzp-W<k`0$7(?371TWfvmxT(@fZdMhBq+( zLdC&jF$@e0@1XwufF}MP>i&W`5Ocs|Eue#^q3$o33lRs8r!X)uY=q|12lF7};4u;g z28McQK6L<{-waX*k!Fa8`j-J3Zs4&D1_lOmsDD3ffhYv`n;94wUPAplVJk!&+(!o0 zgHV4RfF^u!e~5vBVFlD*4~{_8gZnKE3=C0F_a6WqfX%=FY5OxUFvLUMF91!ju=b-a z)cp*nA?84{Jp&`u{RhrK#KCQ71_p+k(DW&=4I&Qiql5bNQ1@?uii7*+3=9lwpzhfK zYLLVB7cej|EQPwK0KA(By6=F2fuR`c9s|%GbtLt{Q1^U56W4}@=LUUHYC@QE7wVn@ zXoDNPPXSbaLeq}{bm1dR`~ftaKcI;}f`)Sd=)??A{RHtg!((Xrc>vAm;5BIs3=9jP z{`~;jS`WHY6yhufcc}Xh$U+PNui;=|V5o(vcaVdKLxYK-2^KzR;sMa`IRLu3lL0z! z1R7(7ny&yY&td894>Z0Mp!pg+mI12Yq2a@@7h*4X90C-N(C|q>6Q2bQp94^FSbKR6 zG<*`k2T3q6fX5ve7#LPU!$$y`Fk#|;Q2!o46Ay;@7j&Hm$bHaoD~H;v0J<H5fq?-$ zt^isC1GV=9ns^)3-T-L6fc5dUq2+l4R2&x09MF1d15_NQJ{($}FE|DXA6P%*7&M#> zjzh#@{rf&>I!u6y!}?XA3&242#RfESMOgSl^C`Ih3aU3@;g2S+1PzA-XnusLzXUS} z8eZT%Y@l`y)Ew{$Q?NaC3=9lcq2|Dv`Y`p^q2UQShy-L5OdPcSALM=pP#+q}oT<=w zgmn#I`-f&h<M9BrLjvC81ZuZK)2#ux-^0KF6SskeC+Or-ki9T*5omY{K-X8m#CJpe z+W?(|1@99Bt#g3-Hvu{)0aL#Z>fZ~{aY~pt$bBGxIY2w=F!6)X^s~VT<QfJBXmgXH z2<qPl#t?C6vw)!->fZuWRB>0Re;LeC#X;*$LH167cKBiDe1zpw(8)reehVadG0cGa zHvqa36Xu>$sDB%5Am+ow`=S2bU<(lk@7ZBsV3-Jv-vU=u@p(}H8u&uQ!Rv<^7#N(P z{&j#RWSF=I)V~4H1PBvXg8DZBT9ClRzd_@%0NU_`iJyb|w*j<p3^Y~;?bnn-{W~EZ z;vQH(F%IhA3D5xun0OA<zY{>WMu2V;glJ>PgZg&@w8H^YUk&x|1kj}*pm{H-`X>Sm z;ITX?7fzM%U>Emg!Y;1Fj9pxp1-rNeJ9hCeY}my?{T1{+J;<@!S+T3%j>Dax+l#T8 z(}Y94G7j-t9RBjcAzqEcU$=0$UmHhw`rr@;HDj>(%N~dM$8fmkA1F<N0u~MH2w@NB z)i}~mH4gD*ILvp);T}+NfX!c^aV~7)w;8d!lN(34vEzte(0o2N^Vi`pe>x6x#BhZF zYkutR?8YH}5QqE2ahRWvLp%$IJ3DchKN&~7FT$Z7w3h>0xW(fzXAO@0@&t!CXdOQ` z^Mi223uw3ooBDbj;REVtU{n7Vhkw7}Fy}Uobp9HLJ3+&`*z7IAVed*D`K23&IOv`; zZ03WS{n*6SaF`>ELp&FUJGF3x!!Kw%^8#q0J7^pOv`>bCf#D9c{@nl_2nMwqKt<6> zX#INubd?wb0|R*f2xz<uYEA=mU=O^%gMope9ooK9cmvYSzyRJ~!N9=a53QFCxIrZg z0|R)!00RR9BeY)LAPEr%ueoPnU;y0%3u?DKkcNnZ*T93sq2@RAL(B*7)dG#1LCv4A z93l?hbH%{G&;>Q00d!{s0|Nti{}clQgDbS&SJ((q56V9v7U;-Gki87hfnHeq!3|pP z3qU(?;Jr$q^&`-FU*HHxH+0_-0|P@SwBBC;?Lff#YYtHNEP(d2z-!AH7#IYh?n#h` z_!lP50&RyVfbQ^tt#xN$U=V`3=K)k4yvCb>fk7DRo(GB$b711k(Ei8+B~)>JXgoep zhKPgLS~D;(ltaV$f(k?&I-CkR3?1xIQ1cwLp7;;wW}E;2|HI}XK;ob=QJA<eL_4@S zkD?w)97R27Zkqu#a{^hf3^NCOxhGT{MLo0~2eKE%d?axc^&o{%b5PVHi6g6*0IfB` zqJ9Nb9OPWkaunEn0r=`#=ux&HanK$pP=K9+ss~vEx~dQ6uO~?2$o4XV_C!MM1!X0e zdJ81+kD&PgX!wIJSOwVwG9Oue4-WO9atxdL2RPLKMG{9g-vqRu4C+o~_XHw|Bddq) z7YDf$S^ZKR>hB<lBdh<3B#vyp0kj{8ZoV&)II{W{BynW(?;?pKr;}Hpb;4NUml0Zy zg6w^d6fef$c{E6Reu^Y+4HXBaAJ9}DEPl(8#F5iaH&h&CK63g2%`d>>@gb7=H;~jL z+xq}2j&5%-cpebbo$*j{boFgW;vjdz(*F#oIJ!GwdtpK1$nJs6KSHhvLJo)PP;)@` zg3O2IqnA)|kU7ZV;0x{NgT#^JD+;tP4NH6#Ld8Mqk>hJIc>WYK99BccLGA%9MTN!J zb0l$Ocm9TogUm;Er#!UM2Z<xcmj{wKa`*&5#nJ6O3>62NgRK5MR2*IXHzaY8J7Mv~ z0Sa_z{zZ1D2$DFm`H*>MNPHoOgF93`$X<~7u=t9Eii6yVY(8i{5teR|<LeZ7z8GRY zvN;c-;vjR7;|nxz0y78Mozl>~RUr3(mgU0YD;!B2+5Bv%ILLftclINRBgfYcBynVW z4?xAy?KOt(WdfOxtll0fj;=lpNgU)(SbSAL#X;sEyA!q-3nY$g{sgFcbn~|(iG$3C z#n(xwILI7i^L3%)Vjywk__BiT+X0!6Y)&9l9HbsOzM7#60YKu&?(Bw&gUkU9slnpw zIFdNB`M06sAaju2`5#FfIleTY`$j<Ok?l2rilf`R7%GmgemztiUHvsAagaM<@$~{K z4l)PXonMf|k=?@p9cM&0UmZytWIimuETQ7)=FdeEM~<)c(ESu3^O4Q@4;2TQgB)M| zpiB-eACTQS9V!ko2ZUkqbs0$<+5G2Fagh1Q;lK^boKW+T<I4m|9NAtQs5r<@5Qf>? z4i!f?e+!a0vN;E!;vh3X7-r6MByo`YVe$AADvoYGD=4u--H&X(AXFS=HVDJaw?GmH znGcIcFQ_=W`L#&m$nn?(T?hs;8`+$NP;rns$nkg?qzH>UZ$rgF=75^aFn5YWCmKNF zASEz&YD2|A<|D_WKax0dJXRoyBZp4|R2*bJvb~?7;vn;p)&GZzqpMegj<bWrLGFab zmjzTDWDc@BU690)%@2TzgUms8PdSn}$b48hbV9|^&3}s|jvQaVplf$Q<|CUU2wmR_ zG6y-noS|zxLE^~n^oELq%mK;4;;S7=9NGNYP;ro2<Z#%JB#s<kFObBM?fn21N4GZ_ zx=s^hKC=3Js5rX%X-MKAcf#Up1ymel4zfG9Ac-TJe*h|uZvJy5agh12`1%PIM>jtf zIv)cPM~<&7=)@ezd}MPPq2eI*$nmuqG~j|If9-~fgUkVS^<eS!9Z4M7d~R(}YX;K( zL=FdiByr^Uia-)a4xa?5IJ&);q2eI-Bdfm;6-QUk2A#MBiG$n;i!TYNILI7icd8(X zBb#pk6$hDv91h_~;vn;3@s$Y`M>jtaI)4um|BBS#QH0LZql-VpA-)hge~+#{5jua5 zF0Kd~u!F`gvb_&+h%bcB=b@W(3`rb0z5RiTgTe<n90Z~Be;{*^!}%MMII=kgpp7+H z`a2rX`9gGa+K|MN%{c%SM|aN-GwkV83_9-!G9THT0H`>+IUdmYNRWDDe=S22M>gjH zR2<!$8=#w3pz(riju~`b6J$QJIXO^qbaNV^;vn_N=A=RAK|$ik=FEYLqnoo4Dh^VQ zY|b?3yeUW=*_<;_addMYLd8Mqk<B>+oo5A!Bb)OXDh@LTw$A4lR2-xpxxBIl-LwJ? zH{^U83KfT$vjh^|3^7n~baNIVi6f6+-Ghq5%z?S*DO4QY91+Ng=iqTdWcTRe5RXC< zN4B>ahxidBab)v@pbOYR?m-sM#vwixNgUbU131JP9U%dTZoU`}aU&#gMn(n(Sbf0< zUC0LuPgpsr3>62NkDN{nq2e(0u<&n#ii6Z6r-${>g`+U_F!PT<#X;(k&6j{4o(xkD zOD9~=`F?b95vVxG9AtY<k;IY1(-|rbGY95=f2cUf9Ax!9NaD!(Vlz}6-JD%eagaI4 z?)i-*j+`DuLAT%_m8&rS%0R`@%}GKMM|S5ts5rWNmO{nR&AEakj_jVdIK-vg5$O%t zUS}NQElA?X<_keLaDd!{EUt}1JQPVB+1>^m;s=q$k<Gt`L;MqxIC8!?=LzvIEIeW9 z_Ayi(<bLFI`w=P*Qx8j5Ze9>`K<bgxLq2q28%#aS{1&J<NIkOoH=yD$^{{ku3N*2e zCH-81ii6BSw)ZQNIC6M0L)Xo~%!j$3A1V$q2U)!rk~ng{xCIr5nFI6Jd#E_b9Atm} zgNnn{!|YA-hlCqU9A-{6R2<!$OHgr`dRX|}go=aIBZos2bUhGA9NGP^pyDudVD|op zii6Z6yPprba2KW?=KiuE?C$S|ildwJ2r3RU2j>2lP;roYWcO!5*DZm>k=_3bDh@LT z=6-(ArhRC=jO>0ns5neL%>8Yl*xf%HDh{$2*_=;MahN$U_y2^7gVZCtzY4m(3M7u~ zelF;OYM41N_sc`YLF$q1)q{$|)Wh6A4Z86QCJr-aHB=nk946?xE|_|l`#GWFAoa-Z z??MtscE3~<_HfgOii6BScE24|9A+=f{mY`Uo3k4#j&6<+bp0939GLqhq2eI*$nKwo zB#!KUE$ICSFmqtxW)BqynS<<pKd3lNJ<R>v;;_5_G*lej93|*FIhZ*x_iIAMLF$p+ zzZgjz+5LBs#F5pDLD$=%n-hvdd@+(Ziup+5DCR@g?O~XYLwqrkIEwj5;wa`r*Z*Od zk3)Phk~oU_Na85wL)Q^vn2$qzF_Jj4`7e>gk<E98?xO>lkF35ENgP@IV<d58^_tLq zcOY|+)i)!FBdgzwB#x~98xC<(=>9zn^O3}n&EEtShoxIset82GM>od}y5A2Zj%-c} zR2*auXo?utKF@)QgVg^;8kcB75=X9=FC&Q~oBt9?{2P)v|DfU^dqLv^(B&))!q5#n zAbXMXy9QJorXH5xt)SxQ>SrK{BfCd76Hz{ZroLhRRfCFy%t7{V3{)IuFU*~VP;roY zWOvp>#bN4U?z{^XM_2zEDh^W*D}NZE`zq1Z2P26i`zsSk966jPAc-Tp^EHw<vN;Pt z3uK}7HR!$;Somy!ii6w<S{eWoe}E(onu>>szl4f|+>acd%+P(IAaP{#xuN3d=9?pl zgVG7?u9<wOILI6(q<-Z^BynVamE=O=9i$#PKh8%IM;?d#j3kcSp67?|Uj><i+-^5S z5=XZ8Jd!xFy*AMOt{`(jOU_{77J(#=Y<@G6II{T{pyHr#1BDMP{XBw-gUm->2l5>% z4pR?HpFGfgwjlM$=_DCR9NFFtP;r<!FnbR{#X;sE+j|`<4pR@a_YG7Wq#oH`59t10 zkT|lvlcD11=B$8<gVZCNvkyred7aD?s5rVgKcV6vbCBK73f)%>5=S=23`rbWy*E@G z-TWpraag!bfr^97M|S@XByr?$cnCV63#tDF3(s#*addNdpc{li;wbKiile*J8!8S` zkL<7WNaD!mFhKWLqpKH%ildwFgeDFPhY+YZ$Q<NwSc@c%91eG&;^^i-hKhsCLC$wq zpa&;_#D5{xM{&>%W$5CL(0yw#^I`5RgzZ~nU|>MbcNJ*juy~mO6$hCQS{o0`@0XCo zk;manq5I#^?X5u*hnYVGDvoacH6(Fl^JSs?-a+b-#XXV4k;6G0Dh_iGti6#46$hDv z9L_~J#G7%5Pr)I+6o>dW9OB1uh~K~={t}1yFC60B_1NnX864ueIK=I6i2LIZkA;fE z!XFmzIZ$y>_#?N+nsA6uMiNJkuO&Fdx8e{#hC}>14)GT_#DC%t=YnqF1^ElvozghO zHKF3L@Bv--42mgJs5r<R<nVDr5=S;a9EW%Y4)IDH;$1kzXF$ba?u4}`mq5kQ-MI-W z4pSchU1xj{DvqxHIu7v{NaD!;{fR@Is}U4>ka=5V_0l-Rb#RE=;t==4As&xIyctOx zIUZNw5I>AV{3Z_Z_c+8^n-JlEY_Bv9aYG#9?l{C_aflb=5bwkxJ{>9!ODC{-?S)Wr zP&z@5$4xlI591KOf<yc%4)Jd|#Mzq>;fd@X2^`{@IK=&s#F67A1&4SQ4)Jazab)+* z!6CjDhxk4m;^%RQze5s7c0UVr9}6hHki|uj#F5>pjU<k&-Vuj*5Ry2uImtN0OK^y{ z;t-#WB#!K!HAv#f_U=Fu2c3HkYZv{2ile7zj#fnaL2iFaLB-M4t3ky<;R!mk0cO5F zR2*G>G@3ZPo`s5o%t7{7HB=mC4y;|Y3o4GT-VnMG9wd(Jes3gkWOwdC62FVoUVVi_ z{6-%nd|>W@l><+p;^_8%gNmc8XNK)Zg0^pA{^Ey<qno1$6^EGvQ?CmZ2dPK)ml;$X zrXJ=_do*#FIozO)n$U3x<nZ5uL%aa05o9lNIKQ6;2_JNEY3RXb=;Cfj;>hm*I}>6K z%>7X77&vD^#L>-BfQqB5*MW+I)FYek3KfT`hlNidR2-xp*<Tq@adh=1P;roYWb+%K z;xP3v_lM2K?*4Klab$C*L&ag{z}#60%{U-?k=;2BNgUZ6r@07wk;jF+pyD9&L0ctY z`L`M>j&A-8BynW(56(lFkL;dC@Wld<b`G-mJS1^sb1ox^BaaJxKoUoGe=qa^C6GIj z#iv5WLH+`5^?><n3sfAW9yy&KLlXaiR1Tbjii6AnZ2^Iq!wfwz1Y{1fzZ8(fk^OZB zNgUaoX3!2V$Q)$xd?ayXcQzu4BfGN~Dh_fdXe$ZKol}v-L0dXt;-{eEAbXMB{~Spi z+5JnGBH|a>oo1ko;?QyfS=<FGj_#gfs5r=8WPja25=S;C6S_YWq#jw^5qj_;NF2G` zPC*h!HeY-t!u`nNM{$T(tirBdXEk>5YdFL=LOUSn?!1B|j_jWHwb;$KUWZ-$B@Xd9 z>#?g3fF2A9au2e-zi^0OhwgVpSKqY>yZLs|ji~7ApW+bT2;DaeQjZ*uSCGV!-Pyhs zyS<v*5aP(@cp`}-oAVimxFK}EF33GUk;;KsBynVOjv$F6=SKnP23L?d$m(U0#F5n} zA&DcaFGUhZR{sZjP!h;|<oqkU3lR>;`S&GM9F!kH<7o-de(pTzfIr9_WP8Q;A<RJ* z|F9ps_~ru;adh()4?@Jz&EI(lyZFV!*u_nt`=vqVAjeBCk~nfWbRvl(hr?DRab$C@ zB8elHGkix8?m;$R3rQT={6eTWEF56<brqU8tUb^M6$kkXIh-dWi6gsnK2#iJK4^;@ ztUO<iBn~>G04DwhDh@Iq*<W9v;vjQCThL(Ym5*WfuRW4DvVZ3xi6e*4E+lbe^$f=m z<|Dhu5=k7{oKPfjWOJS&iJwBsr;N}8YeD`+4mVz?ILN=CEo(6U%0tCL>K`MSV}K-% zY>o|79Apk?iyO=wKd3mmIk8Z2ka}c$i=pD^>N}Cdk<-I`9O7GXh@ZwGeiJGVnw#ZB zn%DaR6$iQJHBvYzoJ7PIayVNfi6e)PJ5(IqzmZUJkom~roP{KgY)%<e9Apl1ICntB z(ao8MB#s<zTX2Y<!XbVGDh>)C4y5q;3>632iyS`Epg@7Pf9@cKn=+C(=!^tdI!Qqi zM^5JrNaD!p-0w6Z{E_RmJS1^s|1QKKei%s{*__8n;>hMmpF!A*ES>-r2gM7h9)Xo( z`A~6C_#mrq!XZ8bhxkey;(Kt2|HdJ1dKSC;^Kpo;#36nfNgO%cUL%Pk`}ZeQ92P#X z@}CKMt_OPfs33_Wo9}`oj%<Dd4)KFX;>h{v1`csC=z*mm_aLj+!XaLYB#xYJCnJd? zr&~GbxiBE}k=0uvi6g6@i6o934p)%Gk=>ba5fQ)0;_q>Y|AmUf;stu_FN4Y@ggMCT z9PE(9Un1peZzOSKdp9GABm4IPk~p$|k3bKshPelpPA)*j(ZlUIR2*IXXQ((xJ+k@y zR}lUJ6)mvx=Q@%&a=Lm36^EG*?FKS@f{KI8M^^tADh?_qL1$^h%$I;3hz(MYTu!<m zi6fVjK1kxo<zxv|9OfQa_#8nKhm}7UpyD8Vk;DHnR2*gwO#OSPI7mHmxcz{NqpP=s z9*_<bhq=cUDh@IS+1?1KIJ){2s5nSHvc0)badh>2afqLUii6BSw)YNH9NnB3P;roY zWP3kC#nII-ypBjeprf2%@p}$Q9NFHNNaD!mM?x<I0NIPIz6?nmS^YL7apZE~1(G<j zIm|Z^?nE}H6-gXf{Q@L$Wc7--Am*TlgDzAY-Te+wadh=QP;roYWb;Fz;^^v^qKU)O z=SHYF$Q)$%AAyR)%z>%D02K$RN4EDSR2*G>94He)+bziES0jldn{x>&4l@Uq{_jD> zLG~h>^AReJuKq7n9HbuEd^S*IBdxcAnJ*7Yd|2FRgCve@&P1p<%wAad%!P`B>_v9x z7N|J7`U5z`zd*%7<{+2<?Dx^q543+R2NegYM_v!J0ZAO$93|+5Dj@a9;s#K0xI3Zd zheO3d>XGZOLL_nI`l}8}9NGMXIK(?Zi}tXD|57Az<nX`#2oeq;_aKM!7bJ0H^*W%= z0MvZsddeF~9J$_!f{Me!!2lW#X;5*Hy~yedq2lQER1Z`fq#n7R+JPhvN~*AO?*NiG zay`Wg>cm3bkL+J1BynW_CLxJ~l03}(=}6+p=4?R{M>a<QG&l~m7ug(FBynVOl90ra z%~=2yhlM9Bzg&ZggTe=t<YDe)1udq8+KX(y5|TKw`OA>Rk>l4C6o^oBkkg?*k~nfa zrr{7Tgo=aWmkp`E)(I5{xgR-xw<3un$M0SwaS#*c-#;J@)Sbxwl>~VbDh^`8)Te?t zP;q4c{zMW-_OB=?b3)Z4`&S2txH(iD<X?6q{{}(D(fwPEB#!LgW+ZWt7h&#yizJTh zUtZAUG1Q$PufWvLKoUnzhc9r5%YY&SY7Vk`U8p#EI?RWPgZzb@4(B0>BfE1yk~p$E z-9d_=_9BOWBvc$`FX#?OPzja?6$jaiTy8VJ1<69@vyja>fJ6KoR2*jh6li(%6)Fxg zAGzG-2PFciJ3&za3+LxZ;vlEN#F;^p{ZRGD{=J4Ij;#Izk~p&ZNYG+Xs5!{$i;={U z)$c|UM^=9mNgP@I1<)c_EatyK5=T})1$w|cD14C3-+&~JtiJg(B3_Wy&qoqRR-g9; zyZSC9ab)%PpyKd&glK2r1ugD``U^RIS|Eudr}JDSab)#pk;IYR{}M?Y**ylJ#0Rw( zS-lUEII{X<phS#C{UaoCWc6LpgFw;4e+iN}vib)|;vgr$(q}Jda{?B7mm`TIo3jA4 zco(W3Ioz&7#nHq6HdGwsPUP@ZhaRYouHFqQ4pI-&3Ug;Zk~ngBb|Z-+yK_5|II@4W zpcfi|%m*=H<{N@INbTDj&~m^BDh^T)G7_df7%C2P{|l)4VyHN}`ngEr$nM{cB#!KU zL(t|2XgDLQ_eK&&R-X?lq@e1N!)-m1II=mXk;IYB@n(j21mu2X^{GhW$m$;=i6f^6 zF&2n9u<(JkTV$Z(AoG#a!(=3JWP8^mi6h(l1W6pZ9xq^p*b6crIo>;w#6d+hEFS0M z5MKoq2i4=q?UqAOagh0-stjh%TO@Jhdi*PrIC4F14KfrOU&#IqM-oT&?_wlzWdCY| z8W~V?kp1g|B#!LgC>-LcP;rodK}?vxnn4_>`N;lVha`^d-)%_Z$o};P4c0@=LH2Jt zk~p$|{Xml+Q1!^^svd{<ZKyaby}|mYj9ifP027C$!%(O=C?1j1VFy$krXIRnhoK)$ z{0GEXhP_a6kU5|(6fE4nBZ(u2k1+JSY>;~7cnL!iM~=tYIK)MGAPxYT1L_LE>{Uk+ zM>a<vNgP>y29h{(ytE*RBge}IBynW(e<Fz^o3Fu(a3`|)E=c0Y<`*D|Bb#4=B#vx; z15_LoU!Wr@Vd1bDDh>)CWP8sei6h&q%!l2b&Pd|O>Ng^ZBb$E~NgP@I9VBsNe+BSk zx3>UE9NC;3NaD!$enApPRxdArFdsSG)S=>_a04B&2@AI{s5pAK6(NZun?Dap9NAyX zki?PgT?Z8h*$X-{6K3y2s5rX4f04wI?F|t`_zO843XsH+)$c_TM^=9mNgP={j}XFq zWPc^%5Z{AC{0t6pVdz2Xpl|@q)4}dj3Wth=!U0r8!@{Q)Dh^W*E0;Q<;vn_N{+bOH zM_0cbDh^VQ?B5Mgadh>!q2eI*$l>r9NgO$TUqi(~=75eYg}Gl2GzkGM&yn3}g(Qyb zo^+@<$Q)4k!2DYV6$iNox%_N`io?{y=0}!6#nIJoLJ~)I|4}4yWdD9a5=U0=Es97d z$l`HG;>hOILB&Dt1Rc=|3!j5fagaNa&AEakj%>~+s5r<R(7o+2bF#${?m-UcRwQxc za5fc3s7E&66^D2VR2*b4C|=@03<d^<IZ$zs`;pV_a;P{+8Av@W9=AcoLF$p?@i<f* zrXF@rz%!^gy82H@;>h8~DuLZ!CP?DQ>br4>pFk2v_7@ZMehZj8cY_$9`#_=MAa^3G zcY=z8l!3wl);{rrii6Z6$5#SW99?}5R2-xpIUY-);^^uxLB&Dpk>mFz4skUp?BQmG zLwp$y@ohN7kKqu%g+u&3R2=57lOP5I1A`It9ubhgki*#@NgR26CJ!nO(vBSdRZww| zImqGP0~JSCKLaWbQjZ+|3!&oZ>X~J*ho=G#aSI&cK{&*VaELeI5TA}id;<>gn^19> zzpOxq=`t`d$UyI*1cd`~_*){0BZq$kR2*gwtR0>L6$hDv9R6icadh=fP;roY<nZr? zileJHhTh8pQV$xcft8anP;qqi8BlR_^*vB=boDc!;^^uhAc-Tp^EZ+>vVVo4_r!qA zLGCX&Ac=#9Bw_AffFzD={%$03Wb-d0i6fiO0KIPpWG}M$2}t6|=2s($Bbz@NNgUby z14!b?<|{z&vjN$QY`!&;II{UsNaCQOELb=cBZ-5Stir_Gki?PQvj9mPbZ0M2{YfNo z6n8@J*THZnk~oSxk;GBli6oBVP9$*@cOr?SxD!bn#huXmelXmLB#z=vBykjXB8j88 z6G<G!ok-#+?nDwtaVPZtAq;mSiKDm^NgUaog-GJa?&(GnM|RH^BynW-{6P{24Q0X7 zg8=j*a*#VgOYmUgGC0IFaEP1W5O=^K?t?=-0*81C4)FpU;x#zLrz44jmJY%EyAeqo zG~@;oKZGO>TAB?Lzkno;to|F4II{WTYKZy~v{VgdjwX^gXvq&u+y+S;S$!&!IA|#f zOnm{8II{YgNaD!qmm`TItG|ULj;x+v9lQHOki<bt>|yT7KoSQn$%lzg!yNgUbU z_ekQ%?$iTa)CcWnA)6nMB#!K!G9+<i^^=gqk?lQ>B#vzFO(b#T_<DmRj;x+n6MMKR z;t+SjAs&W9ydH=6VkB`Ce<6t@yYnWJIEufJ#F5n-LoZeXl^e+7@krvJrC6|d&q5MM zRzDAi_(L4xdfJHaMD|x8k~p&Y8<E73)n7*vM^^t5NgO#G{ve4Xt2cmND2yHsE;z(f zafo*!i6gsnJCZoEy(f{xk==O%NgP={r!FGgkj1rdh)3ZNZ$lDCj^E`-;>hOjL=s1K z=NTk%Wc3Vs*xjjvB#vyaIg&WCIT1L-=i?CHh$N2e&Lc?T$ma9tV|S-34)Js(anMp+ zSiZQ4Bo10a3lsm3B#!J~Ug$z2^z`O{B#x{;6iFP}{jEsi$m%B`i6g7ugd~ovelL<Z zvif^C#J}PYmo`L%Cvy5XL=s0fza2>&**y!9#F5pXLlQ?e|09w(vU)8egnK}Dv%=!V z9Z4KneI$}Na{9?Y5=U0wi9`G_k~p%z9wLb&o5OF6a3`|AT#>|))rTXABfB#TNgP>y zFAnj|IK=-ViG#L8z`{+<1mRB5QhJ!U50W^te}j?4L0j=)>I;#?k=?l(NgUan<4EGj z>Te>6BZtEqBynW*qNWJ<BZspBk~p$@Pb6_<^&v>&$m(m6#F5o^Ac-TZ-;E@WoIZ~s zi6g83i6oA!p4|-LFJ$#rNaD!uDMu1VR=*5M99jKFBynW*50S)?)xSX!M^-Ojj&LWk zdTAtaWcBt);>hZ~ki?PI*CL4{tM5P(M^?WENgP@Ib|i6R^|z74k<~v#5=U0gWr5wl zqDbP%>dkS8_u~*>h$N2eo;66~$mSowA$|*o_!}f~Wb^+bi6fiOZ;9Q%Qb^*+>UELC zk<GV25=T}ak3)PR4)M)M;>hM7K@vwc{~iwU4@lz3=KMwyM>dDg3VS$6B8elbx5FXc zheLc34)H@c#IGWWBfI|rk~p$^n5+@*M-~slA)baqd@2s{6-eU9?%9kaj%@E$9O9}r z*xhM~Lp&0Pcn*>{vU|#r#F5=I2Z#6@9O4YN*xjjsL);ii9N9f~NaD!uDaIkrVu!F7 zw3QH6|5_r6Bb(!oB#vxvERr~~`iVHimm`TIo3j;39NC=HNaD!qZz72!tACFqj;#JK zk~p&ZrS{mv!Nmc)co>p6vN<_O;>h+^A&DcapN&I&Ba%3>Is1{sk<AHk#O}^iBynW* z)kxyV=CeCtH%AId9N8QT9O8aR;>hMlB8elLlaC~htiB3K99jJYBynW*3y{Q-)gQtk zegjDy*&K0aL^vRu<BKGYtUeM+99exeR2(+X0?S_$pyKHLySq5VKj9FMazVHgIsdjJ zi6gsb0S<9)SM28aB8elL(}+X-7m_$=D=^Hz0&WQNk<HP-As&lE{3wz*vVT7zi6fiO z?T+2vG9+<ia~2|rBb##zhxjKP;!)58OF{9AY<@eEII{V3aEKqpA^r-7IF~2FzsTuR z3`rc>-Z~_4WOp7y5=T~l9f!ER7s6g-b8?Wxk<DquA^s9c9N8Q`Z-n{C<|yG1H$f6d z4u>Elab$A}afnaDA-)=i_z4{1`aTHvBj*c8BynW-MB@;z!y!HmhxkPt;-bC?_aOHZ z4Uxo=-BXGrj;wwOk~s4D(g%^mk?$|Kh9r(`{vLmXdyvHsL&ag`=N(XnVqjpn0Tl;v zk=5Uaio?{efzI!Lfr_K6{|yy~sow%sF91E57hSzLR2-&$4^+JlR2*HsF;pC;{s>gP zJ(@Vo-Vmrbx;fEMahN$*pys5biNoAe0~JR%rx_{^Gv^7^oPIQMm^+t1#nH`K4Hbu( z^9E|pb~JIAJI_GH(apIG6^EJg2WrlJG;x?azd*&&&G`)#hnd3yQoz8#z#a%mS1@sy zJ7u8aAZ5tuM;R&(Q_llZz`($uk0uUtrwddZqzu^{Z>TuT91)NL1_p+3G;x?abD-iN zWyt0fL&ag{$bb|uFfi1kiNoAE1u70whHTDks5s0V6_5f328QKm;xKpafr^8aA)9j; zDh@M82c&?3f#E!wILw_-pyD89$mYC;io?t?0V!Z$VEB$E4s$0@5F{Q!%8<<whKj?? zaRDh{U|^6(6NkCe1S$?vhHQ>CR2*iG4@dz61A{x7ILw_fP;rnlWOI_C;xKbUKnfTb z81m7?VeV{!ii4CPo6`*yhnW)tQoz8#Fda=C=FT-xagZ`(b2dZ8VdkWO6fiI_>_-!a zx$_EC9Hb1{oZC=wm^nEh1q=)f&(XwT?)(E42Ps1~hdCG$k1%seKnfTb82Hh|VeV9c zii4CPo1+aChnZ6YQoz8#V2&mZbEgkf9Hb1{oM5Op%$ydG0tN<#cr<aCJ4>MAAZ5tr zR71sK=JbFRFfcH*qlv@ZIR`2ZQig2KVyHOGoGBm$3=9nG(ZpfyJOUL5DML2rG*ldB z&K!^e1_p-fXyPz;zJZE^lp&k*87dAlX9-9F0|Uc<G;x?aMM5C)3sQz`jx<ypX3iRr z0tN;Kbu@99J8huiAZ5trI77u@=4=5eU|?YIM-zv+GZ88dQig0!22>no&K{5g1_p*g zG;x?ao1x+$Wyt1qLB(O_904g{U|^VxCJuAwQm8mc8L~NRpyDud&VUp!FfeRI6NkC; zI8+>@4B4D>P;r<!S3n9F7#OaiiNn?_GK3=H3whs=G?F;-`lSdYanM#;SpTj7NgUZd zw{VF6f{Mf3`2^}t-Y|rFkk>a_A&DcK9|aYMnFCvooemWT8H;SrL?m(K_Ud*dab)u! z;t*#EN4OJolm#ptM3BTmTZdud8c5>E<`?1+uZN1m{PhOp5e5c^PN+DDi){WuBynVa z-9r*bZijzH5=VB=-w1@iki{h<5#q?{Ask5@IXz?}i6fhH9Z4M7og7gJ^O4nu;t<b* zio?PO>THI3s5mHmKwHCM;Xe^c9NGN&P;r<!u=KMGDh@ISIh=POi6fhH7AlTz&LgNe z$Q)$%uta0`uOw6)X3iIoMg|53W2iWYiyY3bNaD!uiGqs5%z^o<5GsytPBW4?vN<!L z;^^kAg^Ht_vmZ$u*_^XbaddO8L&ZVnAcylyBynVOPRC#mx0_ILnE9~%GLNC+=;nV# z5=S;)Cl<T;K{&)Kafr7=#bNF_1MSz$gNlRPgKX~+9OAEWi2sC&qnj@fhu!^VIK*Rd zh-X8^(ampyile)K2@dhoIK*#4#nH|G3>62NkDOmv<FWh83rQR~U*sZ*BZqS}R2*h6 zY~RXMs5rX4%aO#9&3TI?j%@FLBynW*ywC%XVfMn(rwUXYWG}KgW=P`5=D0w`(anj3 zilduTha`?{&JrBrr*Vkigo?xLg{9}WP;rpG$maisio?`Hr-K<p6A|%<9KY&F;>hOY zB8el1&k`hYWc9Cbi2s0!!`uT4|Nl^Nko%F#AHgJqJCV(I!6EJq6-PHe6e^Bxemask zviVDKh~L8@{st-zv-b<MoDoUJ?tUexI7~e({o6prLGDD37e6F%WOq(M5=V}gQ%K^- z>Tf{BVfI3&u^8S!#X<HWtN#TRhpC6f7h?*-U&!$#j3kb1jt>s;D5yBhd|0^ULB-MC za|B5oIee}mi6h(l1S$?Q-v(qL0|Ubks5pp=te!0u;xCx`JJ5KPf{LT7*MN$nt9OEm zgVZCtGZ;x6+1^$h;*+7`F!N#IzXB=_G9NkKcOi)*o6nF2@fW&!AtZ5R^$JjNbbHOA z;^^l1BZ(uMvlEB-0jN04d|12a6jU5!K61LcgCve@K2tivU&!L|NaD!hUx*}*tiA#& zj_#f&s5rWNrXYzUo3jWi4l@VVzk3K32bqK1-u{Xtj%*Gy^q^XpIk0v$KU5rK4)Xq1 z6(n(FbBv(kFmqt;afFJan-hs7j%-c^R2<!$7N|JL9OVAUG^jYb`bAK2kb30(Sr3uK zK}U$e`U~Qji1<YgH*X|yWOr`DA$|fX4s#DIz1@Y1gWQ9hPTnJlBb(2f1#u6$IZ{w@ zbaQl&#F5Q$f{LS?6Al$eHzyNG9NC<Ds5rVgQ=sDL<}5=JM>c0GR2<!${ZMg`Imr3( zB9b_=InSZu=;r)^ii6An9nA%cUx92$c!I={&56e$u9bsbeGd-tvq<8|_P#+9N4A$K z7h*oT`^BN+Aa^3C6HO#>WOH^Pi6fWKr;x;v)z8d>*b6crS^PH+aj$%YdSriPB8elL zQx6qK_tzAtILKaPe=S22M>fZ%0AVk(zx<HIk<}{|BGe;`x8M-JiX@KguP;dA$mVbr zLCi<@mpoJ)-Cu@C;>hNlL=s2#*KH(mWcBNdA@+jIM-~?>!7iSJB#!K_MkH}$bEZSZ z(fzdsDh{$2IllHGi6fg6R*G;BvcEEr#F5q8lp)k3i_gO${uPHfOF6_GbocW?#X;^z zE>9GY#F6dYfh3OX{#!`m$m)$MAohaHM-HDrBynW*E0M&J)t^8TM^>*;iQRmABynW* z(~-oH&EJM3j;#I<k~nhsI94I-MXm=!k;IYBDT9i`!XH-eH$lZg;e%XXPlbx3t6vBe z2dPJ{uUA3E(bd0)ii6Z6yGNiJ;(m1XGEi}ldSv(LL&ee6TSLV`>XF^!0u@JB-w725 zsYiCtDyTTR`fX5gka}eIoP>&_tG@~r2dPJP&poI(x_adrNH~DhBfG~1Dvqu`04fer zkL;dgs5rX%e5g1`J+gZ$pyKH24?x91>XF^^5GszY{w-7-q#oHl47Ct{p{wVCii6Z6 zyGIl%j;=lqDh^VQ+z#wU5=VCDEF^K{eI;v=#F5oI)Ir<{G9Oty4u^OR4)N(Y#5ds( zKZ`^B1rBlHdW1WX_t)4Xi6gt;4@n$391@Ylk=0k?5TA`Cj%@ErBynVO&LfE<ukX5r zB#x|JtO4OKWN`~5ab$blki?PI=OKwB?>p{75=VB=A|!ESbM7IDBiqZ^h~1riNaD!m zZ$uJDHs7uZVGgqTL?m%!^?gX<$m%yDi6g7Oha`@yp0OEWFS7b{Byr^RWBo|t$m-`I zi6f`0jY#6i>QCYje~l!L9L_(H#F5RBZ$Y>p*<V^n;>hZKaERyO5TAe~j_jU!NaD!m zA43vHPH&Hp#F5?k3rQT=9J5x0zmV+>L=s0<za2>&Ile9;i6g83ha`^d9+NhNy~ygF zk;IYXHw;M}S$z=>@wrIipsOcf{ks)N;>hOQMiNKfpDW#ta3``knn>cv=6E29Bbze| zNgUanrAXq)=G?;}&d`By53+h~BynW(&5*>A)n_1yBfE1sk~p$CTam<(&AEakj%*HB zCw6!0;}8!-5=S;?E0Q?!{%Dpig!#zsltU6ncBdzjII{W>BynW*ZAjwC?m3Dij%?0l zBynVOJ|T%Co8#Dxa6hv8DM;eT`D;ItII{Y4NaD!(<sp(dviiR`#C@R$&V$+^$mKu; zk~p$CvyjA*)vrJjM^^t0NgP={V=wk_u)-l8gG0O#NgO$xPa=sU+j|{J9NC?Mec0V& zhC@68NgUbUQ%K^-=~kp4VLq~ZG?B!S-Q$NOj%@xkBynVOmLQ2En<F>@VK1_H5|TJ_ zdTT@yM^=9cNgP@IcO-FS^@0<z+iQ*_4!Q~nRz5o+i6fg6h$N1j&Qp-Yk=3_B#bNDv z73ewnv!LRjbdIckKMwJ0IK;o>5Eq<;-M<<*#FKG|Psbs?6Dkh#mj%>cXQATg{(6Zd zjvUTkki?P0L0~e%{mAO2k;IYJn<I%Mt9L>YM^+z)B#x{;6G<FdeJheUvig}w;>hZ^ zB8elbKY%2Tto{y?II{ZZNaD!qnV}bFfWjGBJs*-dvU)8fab)$TNaD!q1Chj$)u$qf zBdc#j5=U0wgCvfueg%>^vii+P;>haHBZ(uczl9`@to|F4II?=isfcuitX>vL99g{~ zk~p$@UnFs4^$|$o$m&aw#F5q4BZ(ucpN%ArtbQ4iII{XfNaD!q&mxH<tAB|kj;#JK zk~p$@$!UmiLsqYXB#x}!0ZANLy*H9Lvifu+ab)#HNaD!q`;f$u)z3r{M^?WTNgP@I zVI*;6^|x?{e?t;SHivOKB7Bg|kwp?mR<D61j;!7VNgP?dKax1I`fMa|Wc6i8;>hYJ zA&DcaUw|Zzto|61II{ZZNaD!q|09VbpGT)W0}(!;D;8n(Y#@?2vN<_8#M_a?k<H(V zB#zu3K7b^SZ2oy9apZdZ5t2Bvde)f;_alotA&Dc~>x(3gtbQ5}@r_90psQJ7;qw58 zILj=Adywr7M-oT2w-AST2M+P+NaD!hvkXZb+5F=;#F=L!+=(3Tib&$f=ENe2Bd50( zBynW*E0DyI!|er<II_Jga}e%9Hb(<V9NC-<BynVO`jEtt&H02R4!V*Z7LQ7E5%waV zI~0N>j%<E3k~s3YLz9rik?p;QBo4Z|9A>ZgJcPZVEAC<9)kxyV?%9qcj_jWONaD!u zIgUg8H<CEAJ4NRs+=DD`i$mN8NgO#H#v_R%o6~?p{4|m{vcIk&i6fiy9fx@G0_^Uu z!yNgUbUgGl1Y_Fg~|NA~YyBynW*oC~qLM-NFHxxZG2B#xX;HX?~5r-vIz;>hm5 zk0g$q9t0O*caJ-gII=kvNaD!;T8Jc$?Ed#i;-D+&Vd<H1F~nY2f5ZpcACZ8HgWAc+ z=G!8PBby(GB#x}U8A%*j{c|L7Wc55tAnpO#i>!V*k~p&ZGf3jd>eH7Z%t2P)gCvfu zUUnIF^-f6Q$m;JRi6fiOv>ag$vidnl;>hZ`Rv^?Pi!0+0_dpUyHh({oIP!SKB_wfV z^Izi-7g&jK53>1Nk;IYBIfq01Gm<#6IWtxv%ttn7BM$LvNaD!m6t2c@P8SaG^+@8# z=7g-lZcZ)^@kvPH$mTe%MVNye{?SO{$o@TxB#x~936eOndck!F^O4H|T_ka2^=>%C zvysG+-M<S-{20<b_0N&Sk?nnlB#vB;S*%C66WN?*BynW_?nM$uE<d?8U^k}^NgUZ6 z){WTJcOr=+tN(^1j_l5=O$c+4)qg}1M^<0D8N2#7NaD!q3$|cazY0klIUKGai6e*q z9VBt&@MqnMFdsQRL?ekK$9o5oIP!SlawKtNb50_OBb&ps4Ph^`IeJLq$mYyN5=Y+0 zcL7NpS$*9Og!!N=uVMAqHY9Omb3P%7e@9BsGCL9GAe-NZB#ykUW-*dDvieg<;>han zA&Dcax7&r?-t$P}$nIy^jZly5emx{{Wb>!s5MK!uht=<}_1?Ro;-GpKc^%XRBynW( zuS3OQ=D^mwK7opZ%t2mX^aDv8*&LZY2!A1q#~_I#n^S@$j;ww!4)IG+aSV4t#X;^w z_OH}l?CuFd5=V}gDkO1a_iREEM^=9iNgP>y*gk~4$m;8m#F5qiL=s0<ueTpz4zl`{ zNaD!q?;(jJtB*W@Fb7$EACfq-dd`E`)!QM7BdcGEB#wOV=WQf$WPAAzA<RcMrxZyX z*__o#;>hMaLJ~*LM}LsSk@wFE9>#936%O$bByr^ROZ`aV$mwkfk~p%z_92NQoAVP% z9N8S+BiP-U0~Lp*e^`Dmg^GjHKXUlYfr`V_!}h1FfQqB5KLZtqsfU#VSE1tQ>Y0ur z+=(3iVo2i1;b00ChnWvsXXpqO2bqr?KEY6NboKF2agciCbz&J%adh=-pyD9)$nMz% z6-QTp5GoE*54s8)Rv%qM5=S=Y2~-^2oOe)hbaU8_A;JyW995_|x;chWagaI4;o}Sy zM_2C;6$hzD4xiab;>hdeRE{Iu1G>T*=3hS?;#ElE$nIZ*B#xX8Pa%mTtN()}j;vnl z1j0SY>iv<#k=0iri6g6Dk0g$){yLI4a=PU_iQQgts5p8!%R$9K;f$P4%#g&9-Qxlk zM>oe0DvoYWB9b_Ad{rWeBb(n26^EG*+b7ru6-PIJ36eOnIh&y3=;rK!ilduz1xXy) zoI6l)kU4Bf?KI|7h;Rm7B@Ih&>PX_q@e+X~j%<D!R2*bJ=sq=Ac~SrsM|Wp4k~ngB z&PEbPHh(2l9A-W&JU2td(ak@FB#vy(7bJ0H|B9bRgafjFy^+L`&54AH!`uV&Z!%OI z-92SU;>iA;f+UV?{vxP2y7_CM;^^idMiNIh=QLCtWDYx0ynlg;gUmrz&vXV74j}cQ zc!Y&B4^$ka9yx!hAc-TJqXQKOnS*R^FjO354s!TcA&DcKvmQwtx!kyiB#s<kpOD0n z%@I6{>MvM)$wI|J?m;fk^`YV*_k+R#rrsJV4pNU?p1VNB(bbnj#X;(k-7^g;j;?+Y zR2-xp**#mJ;^^uRK*d4ok==6=DvqxH4^$ka9@#z8=dg#5I#e8_9@#yXP;qqgU7_M2 z^~mn=gNmc8Z-k12)FZoR0aP4a{Tiq^NIkN9_Cdwb)t`cjgVZCt=Q30rT|L`*NH~Dh zBfCcxDh^Z6!U`F{HH3<T)FZpc87hvh-XAIsQjhGO2&g!^`fjK=NIkN9Rzbzl)o+7} zgVZCt=LA$7UHuiPI7mIRd+tKT(bWrFz#cyOP;qqi)=+Vf`N;0^g^HuAkA#YY)FZnm z2`Y}Reg;$=q#ikZwnN3y)gOk6gVZCt=PFbjUHwC-I7mIRdtO1s(bdabM1&7=IS)xK z4B&e+6&M&8I*`sI2FZcU0g1nZigSPj84&8{K+hfKK@-=3p4%&cCLRM77eN!xgo=ai z_y$SA>=lQe!wb4Q7+IVRdQL9LZOGzVpyIIgVlZ<aLd7*eijmwu8M+Qk2TeQ{y1vQ) zP5e4ky$PDQFjPHkJr~SgL#Vh7n)+i<aR)T<B<T7Z7c_Ar==u^5G;t>Ax(e954b0xh zQ1JjX^?cBImk>1Z2&i}jn)p+wcnq5OO6a^x0-AU(bbciTO<Wy1Uy^|){u(NtgC@Qn zI$u(NChiU$cP>E_SB1`JRG^7}fQr|kiC>4#Pc)#3?}N@aw4jO4hRz>!poup@=L2Bv z5m@;5LdWsZ(<f9r1A06%K(Z$Tx_`kLlYxO@22>-=d<N(_5}>>AKvHmVP=Ua}zyK-} zP{g720`!_(u(2Sw9e`$N=yrLyxB)LnHv<E7m>Dj<KoBAh7C_2J0%8zxACN<k#2Y}D ztApH+CY~S<QU3%@{DLw>+yLr7kTl4h4$zESgC@QKnsKL~i5KWX%z>Q~3^T_-4<Zgb z2Nx#(0V)m~|A2{a(1)mpog)GhFMt*du=81A;s%Bg^|13zVd5X4;;{2VVB#B$AnG%q z@dgurU<?t5oxcbZFEE9OA3#&jU=9(7ohJuVKLJ`GNkHQtCaz!wQSX8#9$*a-FF+G- zfEHkL(8M>`LexJ%6EARuh^v4)bx7&Kz!xH(fF|w$EvV+8i3bEj)Zai8PY8pEi+~#O zNahzrLc{~m#2cUmSPz=`gm{ShE$HIVg6$5vcp^kS3pC%r!gE3rL|g}5JQ*S$f+lVN zEg);q#4kX_m!OFkq(aO&gD##15&wcFZjcTUm*IraFn1n+io2kRH$V&K3N&$tEQtOE zXyO;3;sRU{dARw|g4_g6Tp<slE&@%wARi*$fF>RQEeKbji5nC`)Zal9Ur-DY7vP4_ zF!xL-gYaX}!~?1z;vHz>52_*JThPQm)I!8hpotsQLBx5W4Ir4k7oZhL1e$n5KSccs zH1P?`A>v=q#2MB=#2ujJG0c30jS%q`G;xM45b+CW;sV<t;xc>?8fK0F=u%t;28IkY z@dcp6>=_sswxEe`08LIZFff?#qndL8bjT<J1H&3L@rGv*buQ3`Ak1C`P$QRtfuRRl zpTNWoxFHo`f)GR>OnieRMEnJs_yg#D_8G#c>JLEgS#LlScYxk&J_Sv@04lx$O?&}V zoCDfmg}EmIdQW)<nz#b=9`O@s;t!zW8ltG~d;q=Ay8~SudVlr{baCi?)gI9L7UrG{ z(EFjMpoud;?*l%8CjJ2`{s&F`0`$IK194P$Zh+p8n}RM5y<c_?n)n6i{jWRF#2ujb zuwFqEKL8cyfOY_2{#pRN57h=ud;;|T(GoQA2IzgCC(y(dp!Y;RK@*<<6=#q{_3s0y zxD1-O0rVcn05tInQ1KizaRumoibv4IH$d+x{DUSQ0KGrY2ik6eg~JEveKtGL#1BC4 zfw+Put^mD{;RBj@1615b8r8oCpy&2RpouF$&&e%86EA>@Z$J}Y06l;94Vw4{==rb~ z(2g9;Uk9M)Rh6KLe}Fbf51@%FK+l8tgC<@8-JfqFi|Q{0=)Un5H1QA6ecB7q#1}yK zUGG5?4}k8AzJVsb04n|kP5c8?TtE)hJq6JH$q8uU4$ytJHE7}opyD&o#08-HT?L@+ zXjpg}K=%jgpouSlinpMNGeGx!EkF}5fQs)y6Mp~||A8jn0PWAJfEw#a?Xe5cb?rWA z;s((5;v3M!9iZ!>pP-2gK-VQRfCfF0%rAh7YoLjLfQkp8i5EcE8MmN|L)QVHKofre zU60G4gc^?y&~>E&XyOdeb(T43;sH?cKhXXSEWSQKJ6Iaf0SlP;1n4@O05ov{==zuf zH1Q2k@g->D7oh84ZlH-PK-Zo8Kofre6*o~u^)CZ-9YX+`cmh;B2Tl9{RJ;dGJOR3n zVGWwN0CZi#88q<&Q1Lft;swxk2|Q}3?o@!TCon)0{{R&aK@&d!T?f#CCLREt&z^%O z-T)QffF`~HDt-h_`~g&)K^@hd3!w9?B52|U(D_acH1PzexC@&22B>%fnm7Y={;~#5 zJOL^`15Nw@RQw5=xBzrs$^hE`hNVvi=sZmgns@?qo?`}@_yMRmLuPhnd|6I@dVEr5 zNqlmCK_x<@xTGjGFTErKBAlC=n~W@5npd2eo|l>upIe$!l39?0q%k=^uec;0n{;Ms zYHEC5X>Mv!W^#OSNeWg)D9(vDh_8S+DI+B(zBoA}HKjBsHNK=MF|Rl=xg;|`4{Sza zPJD4remR3)QEE=2UP)?22}BdfXCQ|p=EP?t=B4DM#+N1Ll%~dK<`tAel@KyFEwLD? z5^NYA7Z9N`FF!9nDJMTUJ2NjG=J102B4i6vOX8DDi;7b7O5zKO@{?1Gi{n%Bi*gh5 zkQ627=ar=vmBbe$7L~*or{<(4LnT3;NzTtpPK5G7PDba0!Xq^$zBDhfs4_k`Gq1E5 z5mu;C2bTjyRZf0-W^#ODQD#X-JUsF<^GZ_FQ;XsYa-gPw;-oCGBo*dnm>&>Uq-Ex1 z7H6P{!=n}IVT59sM~hMubK*<#A+ZN>FGgB|JE|l<9_lWHL2xUOQw}^P$`XqZN+IDD zU!0tn0~Jmw$S;7%gTpr?H90%JC^0WRH9kKrJ~J;RwIUvmg5sRa<W!IXP!`IC8U%_M zSO!Z*7R<~m%g;`YPb<v>r*4R~Ak_s$`2~sTprA$a0zx_^H7B(Mp)Vd}PI4kBgD{lj z7v$uW<s#G;r<TN*WE7<)rg)~rr{x#Lm*y2E=B4CAlw{^*mSiU8WL6=WmYkTA1J<8X znU|QGnT(PF%Q8|Rk~otIJZdtF<MZ-M;!_Jt6G_m5P!28|u*M}y&PqwmNvwqCFR-(T z)CbBMP=|qaz>;4{emp1zGU(;ym!#^s`-SQjmn0@<LyQKciPSPseg%b65?mY<X}H88 znE;|6qz<c>Ag+lA6)W+1AQz;AsKoSius)3R4^p0*5?_>BoLQ9$RsxEc_{5^3#LD>O z{M>@XqSSbV9N5~-^gOhp5u!0CKRqooCpEsjD6<4=7D#=0VrEHvVqQu-C<W!ER+PjS zmn6azfWiTvKR^X^d}4ZfQ7R}EgY79U$t{V`&&$coL$U;(SRhqWZW4-YNoH;;w3tuL zh)*j@%!O(NSznY{3@TVtQ_`V=AV<T^OUW$DOo2$?3cSp`lK8}w6tLrPsR7CB6_*s1 zBqlNF6<6khX>h$$l%JAVlE_e8np9AfpB|qZZ)lcekz|@?Xl!X=o|J5A6mMjjWRPN* zY#DFKkXn(NTw0PEZ;4<UBe4yU*cM1ikl2PuY;%MXLnO8tLf9CIZDfKFH!?<I8(K0T zl`iq-21s&9Y(oP}20e&x^^%KAEQs>51;Wb+rm-c0ZHUCSKnNQnv5k<}hDdC4gmOb9 zwi!a$7>R9Uf)F<}MzD<xEzrD=kTWqru#J$|h6cp>-W=h31k>0O!8Sx<TOfpuk=RB^ zY(pfrIYPN1659+RY>dP<GC_zN8JeT{7$Ik3fM6RTu?-E(iHdJCgpUzSLrVnP0>Ly! zVjCi{%@Ilrk=SMkVPhn=kqJWF$k>c1R~jN|F*HL941}DC0fKFW#5Ob_&Kgq&Js;-) zPjj<)Q$(;Jm`0WewjmPR0wHXS#5P1?n<JDMBC*X7!p2B!BU6O9k%=jBL4>5m5WzMy zG(`(8gq(>1f^CGvHZ(A00GF!;@s^3^scDAEN%6&{N%1B`Im84Wh)|Z9Nj#KgZV+#R z2v`Ku$P&ReL}FVYgpHBdhDdC4gc3s}wy_yP+{hG(Z9<$U43V@Tu?>;fCI(1qkl2O> z#93hs4<{(g%p@MlGB=1fMuZcBX=I6D8zQkS5W>btY(pfrIg%1&wi!a)$P|ffVvL$> zjEsqjd_yE7kl2PuY!d^78bbr(j5UIX6_jOW5)WmW8^jwS!V1AOvP7^Ak=PapVPhn= zArjjhNr@SPZDeW$ZgOC2bjFt&BS~UyV4<{qLCwI#oOp1{6VxD$&q*yVMm7SiQ5z4E zB&Nw?XoMPXhDh#5VjCi{O$?CKAh8V%pk4ws+OT>F>I-n&7u04ogoF=q>QhTVt#AWy zW7iOCd1FXil7puWLkP>vBp%8#HH5Lu4dM+EsRY3^wnVUvkl2PuYzu@EV<fgA65AX} zi5Y@zWQt%L8WZUqgcc)11ltgaZDN2BH$q|?8juqKkT?Ui!Li0Ma`zk5qX0)5LJy?7 z9B%*#dP^9?&>YS*fwL@NvgR;`p*fss0%w`QWX<6$GZUDYp)s6g1Y?;R!dQlImbn33 z48(%B;|<`cz}OPOHbP<>BC#zHN{o@%hDdC4BqhjfGlaO2DH7WRiEU&+TnaZp%hO0k z7$Vq)NNf`WByj`ix*N!7D*2=ZI|FP96^bDX*dPmuoT2l#FiFVlGmOW;06Jp=BnDlU z0+)cT=Tm^Lw}eSRr@vubh6@Y~4A3P!a4`_$h7@Rom4P7wbSNML0|RK814snAyn_Kc zeaT?W!2n(|2AvKEX$9j1sJ);)sbB#p0n-noec2)UL1sghfT@5>AYU;s2te2KfCaI* z)q@?o`wc!pOiX|TBiQ{QqhR*J+^^3Hu^(nWj83=?u^+~V(deRk*ueS=Ahy9&F)+aN zAApWO!(?Fg!}#0Tu)BZ4SBQxM&~*u*@CWGyVUS*!`yJRBU`yaY!f>nr6@|^yg4_+7 I3PRTp05HbtjsO4v literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.c new file mode 100644 index 0000000..c240053 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.c @@ -0,0 +1,472 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_219(char*, char *); +IKI_DLLESPEC extern void execute_220(char*, char *); +IKI_DLLESPEC extern void execute_287(char*, char *); +IKI_DLLESPEC extern void execute_288(char*, char *); +IKI_DLLESPEC extern void execute_294(char*, char *); +IKI_DLLESPEC extern void execute_295(char*, char *); +IKI_DLLESPEC extern void execute_384(char*, char *); +IKI_DLLESPEC extern void execute_385(char*, char *); +IKI_DLLESPEC extern void execute_386(char*, char *); +IKI_DLLESPEC extern void execute_387(char*, char *); +IKI_DLLESPEC extern void execute_388(char*, char *); +IKI_DLLESPEC extern void execute_389(char*, char *); +IKI_DLLESPEC extern void execute_390(char*, char *); +IKI_DLLESPEC extern void execute_391(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_397(char*, char *); +IKI_DLLESPEC extern void execute_398(char*, char *); +IKI_DLLESPEC extern void execute_399(char*, char *); +IKI_DLLESPEC extern void execute_400(char*, char *); +IKI_DLLESPEC extern void execute_401(char*, char *); +IKI_DLLESPEC extern void execute_402(char*, char *); +IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_380(char*, char *); +IKI_DLLESPEC extern void execute_381(char*, char *); +IKI_DLLESPEC extern void execute_296(char*, char *); +IKI_DLLESPEC extern void execute_6(char*, char *); +IKI_DLLESPEC extern void execute_7(char*, char *); +IKI_DLLESPEC extern void execute_8(char*, char *); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_12(char*, char *); +IKI_DLLESPEC extern void execute_13(char*, char *); +IKI_DLLESPEC extern void execute_14(char*, char *); +IKI_DLLESPEC extern void execute_15(char*, char *); +IKI_DLLESPEC extern void execute_16(char*, char *); +IKI_DLLESPEC extern void execute_17(char*, char *); +IKI_DLLESPEC extern void execute_18(char*, char *); +IKI_DLLESPEC extern void execute_19(char*, char *); +IKI_DLLESPEC extern void execute_20(char*, char *); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_25(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_31(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_56(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_58(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_60(char*, char *); +IKI_DLLESPEC extern void execute_61(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_64(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_66(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_75(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_78(char*, char *); +IKI_DLLESPEC extern void execute_79(char*, char *); +IKI_DLLESPEC extern void execute_80(char*, char *); +IKI_DLLESPEC extern void execute_81(char*, char *); +IKI_DLLESPEC extern void execute_82(char*, char *); +IKI_DLLESPEC extern void execute_83(char*, char *); +IKI_DLLESPEC extern void execute_84(char*, char *); +IKI_DLLESPEC extern void execute_85(char*, char *); +IKI_DLLESPEC extern void execute_86(char*, char *); +IKI_DLLESPEC extern void execute_87(char*, char *); +IKI_DLLESPEC extern void execute_88(char*, char *); +IKI_DLLESPEC extern void execute_89(char*, char *); +IKI_DLLESPEC extern void execute_90(char*, char *); +IKI_DLLESPEC extern void execute_91(char*, char *); +IKI_DLLESPEC extern void execute_92(char*, char *); +IKI_DLLESPEC extern void execute_93(char*, char *); +IKI_DLLESPEC extern void execute_94(char*, char *); +IKI_DLLESPEC extern void execute_95(char*, char *); +IKI_DLLESPEC extern void execute_96(char*, char *); +IKI_DLLESPEC extern void execute_97(char*, char *); +IKI_DLLESPEC extern void execute_98(char*, char *); +IKI_DLLESPEC extern void execute_99(char*, char *); +IKI_DLLESPEC extern void execute_100(char*, char *); +IKI_DLLESPEC extern void execute_101(char*, char *); +IKI_DLLESPEC extern void execute_102(char*, char *); +IKI_DLLESPEC extern void execute_103(char*, char *); +IKI_DLLESPEC extern void execute_104(char*, char *); +IKI_DLLESPEC extern void execute_105(char*, char *); +IKI_DLLESPEC extern void execute_106(char*, char *); +IKI_DLLESPEC extern void execute_107(char*, char *); +IKI_DLLESPEC extern void execute_108(char*, char *); +IKI_DLLESPEC extern void execute_109(char*, char *); +IKI_DLLESPEC extern void execute_110(char*, char *); +IKI_DLLESPEC extern void execute_111(char*, char *); +IKI_DLLESPEC extern void execute_112(char*, char *); +IKI_DLLESPEC extern void execute_113(char*, char *); +IKI_DLLESPEC extern void execute_114(char*, char *); +IKI_DLLESPEC extern void execute_115(char*, char *); +IKI_DLLESPEC extern void execute_131(char*, char *); +IKI_DLLESPEC extern void execute_297(char*, char *); +IKI_DLLESPEC extern void execute_298(char*, char *); +IKI_DLLESPEC extern void execute_301(char*, char *); +IKI_DLLESPEC extern void execute_302(char*, char *); +IKI_DLLESPEC extern void execute_314(char*, char *); +IKI_DLLESPEC extern void execute_315(char*, char *); +IKI_DLLESPEC extern void execute_316(char*, char *); +IKI_DLLESPEC extern void execute_317(char*, char *); +IKI_DLLESPEC extern void execute_318(char*, char *); +IKI_DLLESPEC extern void execute_319(char*, char *); +IKI_DLLESPEC extern void execute_320(char*, char *); +IKI_DLLESPEC extern void execute_321(char*, char *); +IKI_DLLESPEC extern void execute_322(char*, char *); +IKI_DLLESPEC extern void execute_323(char*, char *); +IKI_DLLESPEC extern void execute_324(char*, char *); +IKI_DLLESPEC extern void execute_325(char*, char *); +IKI_DLLESPEC extern void execute_326(char*, char *); +IKI_DLLESPEC extern void execute_327(char*, char *); +IKI_DLLESPEC extern void execute_328(char*, char *); +IKI_DLLESPEC extern void execute_329(char*, char *); +IKI_DLLESPEC extern void execute_330(char*, char *); +IKI_DLLESPEC extern void execute_331(char*, char *); +IKI_DLLESPEC extern void execute_332(char*, char *); +IKI_DLLESPEC extern void execute_333(char*, char *); +IKI_DLLESPEC extern void execute_334(char*, char *); +IKI_DLLESPEC extern void execute_335(char*, char *); +IKI_DLLESPEC extern void execute_336(char*, char *); +IKI_DLLESPEC extern void execute_337(char*, char *); +IKI_DLLESPEC extern void execute_338(char*, char *); +IKI_DLLESPEC extern void execute_339(char*, char *); +IKI_DLLESPEC extern void execute_340(char*, char *); +IKI_DLLESPEC extern void execute_341(char*, char *); +IKI_DLLESPEC extern void execute_342(char*, char *); +IKI_DLLESPEC extern void execute_343(char*, char *); +IKI_DLLESPEC extern void execute_344(char*, char *); +IKI_DLLESPEC extern void execute_345(char*, char *); +IKI_DLLESPEC extern void execute_346(char*, char *); +IKI_DLLESPEC extern void execute_347(char*, char *); +IKI_DLLESPEC extern void execute_348(char*, char *); +IKI_DLLESPEC extern void execute_349(char*, char *); +IKI_DLLESPEC extern void execute_350(char*, char *); +IKI_DLLESPEC extern void execute_351(char*, char *); +IKI_DLLESPEC extern void execute_352(char*, char *); +IKI_DLLESPEC extern void execute_353(char*, char *); +IKI_DLLESPEC extern void execute_354(char*, char *); +IKI_DLLESPEC extern void execute_355(char*, char *); +IKI_DLLESPEC extern void execute_356(char*, char *); +IKI_DLLESPEC extern void execute_357(char*, char *); +IKI_DLLESPEC extern void execute_358(char*, char *); +IKI_DLLESPEC extern void execute_359(char*, char *); +IKI_DLLESPEC extern void execute_360(char*, char *); +IKI_DLLESPEC extern void execute_361(char*, char *); +IKI_DLLESPEC extern void execute_362(char*, char *); +IKI_DLLESPEC extern void execute_363(char*, char *); +IKI_DLLESPEC extern void execute_364(char*, char *); +IKI_DLLESPEC extern void execute_365(char*, char *); +IKI_DLLESPEC extern void execute_366(char*, char *); +IKI_DLLESPEC extern void execute_367(char*, char *); +IKI_DLLESPEC extern void execute_368(char*, char *); +IKI_DLLESPEC extern void execute_369(char*, char *); +IKI_DLLESPEC extern void execute_370(char*, char *); +IKI_DLLESPEC extern void execute_373(char*, char *); +IKI_DLLESPEC extern void execute_138(char*, char *); +IKI_DLLESPEC extern void execute_196(char*, char *); +IKI_DLLESPEC extern void execute_197(char*, char *); +IKI_DLLESPEC extern void execute_198(char*, char *); +IKI_DLLESPEC extern void execute_199(char*, char *); +IKI_DLLESPEC extern void execute_382(char*, char *); +IKI_DLLESPEC extern void execute_383(char*, char *); +IKI_DLLESPEC extern void execute_174(char*, char *); +IKI_DLLESPEC extern void execute_175(char*, char *); +IKI_DLLESPEC extern void execute_176(char*, char *); +IKI_DLLESPEC extern void execute_177(char*, char *); +IKI_DLLESPEC extern void execute_178(char*, char *); +IKI_DLLESPEC extern void execute_179(char*, char *); +IKI_DLLESPEC extern void execute_180(char*, char *); +IKI_DLLESPEC extern void execute_181(char*, char *); +IKI_DLLESPEC extern void execute_182(char*, char *); +IKI_DLLESPEC extern void execute_183(char*, char *); +IKI_DLLESPEC extern void execute_184(char*, char *); +IKI_DLLESPEC extern void execute_185(char*, char *); +IKI_DLLESPEC extern void execute_186(char*, char *); +IKI_DLLESPEC extern void execute_187(char*, char *); +IKI_DLLESPEC extern void execute_188(char*, char *); +IKI_DLLESPEC extern void execute_189(char*, char *); +IKI_DLLESPEC extern void execute_190(char*, char *); +IKI_DLLESPEC extern void execute_191(char*, char *); +IKI_DLLESPEC extern void execute_192(char*, char *); +IKI_DLLESPEC extern void execute_193(char*, char *); +IKI_DLLESPEC extern void execute_194(char*, char *); +IKI_DLLESPEC extern void execute_195(char*, char *); +IKI_DLLESPEC extern void execute_201(char*, char *); +IKI_DLLESPEC extern void execute_202(char*, char *); +IKI_DLLESPEC extern void execute_204(char*, char *); +IKI_DLLESPEC extern void execute_205(char*, char *); +IKI_DLLESPEC extern void execute_206(char*, char *); +IKI_DLLESPEC extern void execute_207(char*, char *); +IKI_DLLESPEC extern void execute_208(char*, char *); +IKI_DLLESPEC extern void execute_209(char*, char *); +IKI_DLLESPEC extern void execute_210(char*, char *); +IKI_DLLESPEC extern void execute_211(char*, char *); +IKI_DLLESPEC extern void execute_212(char*, char *); +IKI_DLLESPEC extern void execute_213(char*, char *); +IKI_DLLESPEC extern void execute_214(char*, char *); +IKI_DLLESPEC extern void execute_215(char*, char *); +IKI_DLLESPEC extern void execute_216(char*, char *); +IKI_DLLESPEC extern void execute_217(char*, char *); +IKI_DLLESPEC extern void execute_218(char*, char *); +IKI_DLLESPEC extern void execute_236(char*, char *); +IKI_DLLESPEC extern void execute_254(char*, char *); +IKI_DLLESPEC extern void execute_255(char*, char *); +IKI_DLLESPEC extern void execute_239(char*, char *); +IKI_DLLESPEC extern void execute_240(char*, char *); +IKI_DLLESPEC extern void execute_243(char*, char *); +IKI_DLLESPEC extern void execute_244(char*, char *); +IKI_DLLESPEC extern void execute_245(char*, char *); +IKI_DLLESPEC extern void execute_246(char*, char *); +IKI_DLLESPEC extern void execute_247(char*, char *); +IKI_DLLESPEC extern void execute_248(char*, char *); +IKI_DLLESPEC extern void execute_249(char*, char *); +IKI_DLLESPEC extern void execute_250(char*, char *); +IKI_DLLESPEC extern void execute_251(char*, char *); +IKI_DLLESPEC extern void execute_252(char*, char *); +IKI_DLLESPEC extern void execute_253(char*, char *); +IKI_DLLESPEC extern void execute_290(char*, char *); +IKI_DLLESPEC extern void execute_291(char*, char *); +IKI_DLLESPEC extern void execute_292(char*, char *); +IKI_DLLESPEC extern void execute_293(char*, char *); +IKI_DLLESPEC extern void execute_403(char*, char *); +IKI_DLLESPEC extern void execute_404(char*, char *); +IKI_DLLESPEC extern void execute_405(char*, char *); +IKI_DLLESPEC extern void execute_406(char*, char *); +IKI_DLLESPEC extern void execute_407(char*, char *); +IKI_DLLESPEC extern void execute_408(char*, char *); +IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_18(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_19(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_21(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_22(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_23(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_24(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_25(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_26(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_63(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_64(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_65(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_67(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_68(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_69(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_70(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_71(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_74(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_76(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_81(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_82(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_708(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_709(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_710(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_712(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_723(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_768(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_809(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_841(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_48(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_261(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_262(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_263(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_705(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_706(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_707(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[333] = {(funcp)execute_219, (funcp)execute_220, (funcp)execute_287, (funcp)execute_288, (funcp)execute_294, (funcp)execute_295, (funcp)execute_384, (funcp)execute_385, (funcp)execute_386, (funcp)execute_387, (funcp)execute_388, (funcp)execute_389, (funcp)execute_390, (funcp)execute_391, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_397, (funcp)execute_398, (funcp)execute_399, (funcp)execute_400, (funcp)execute_401, (funcp)execute_402, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_380, (funcp)execute_381, (funcp)execute_296, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_131, (funcp)execute_297, (funcp)execute_298, (funcp)execute_301, (funcp)execute_302, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_329, (funcp)execute_330, (funcp)execute_331, (funcp)execute_332, (funcp)execute_333, (funcp)execute_334, (funcp)execute_335, (funcp)execute_336, (funcp)execute_337, (funcp)execute_338, (funcp)execute_339, (funcp)execute_340, (funcp)execute_341, (funcp)execute_342, (funcp)execute_343, (funcp)execute_344, (funcp)execute_345, (funcp)execute_346, (funcp)execute_347, (funcp)execute_348, (funcp)execute_349, (funcp)execute_350, (funcp)execute_351, (funcp)execute_352, (funcp)execute_353, (funcp)execute_354, (funcp)execute_355, (funcp)execute_356, (funcp)execute_357, (funcp)execute_358, (funcp)execute_359, (funcp)execute_360, (funcp)execute_361, (funcp)execute_362, (funcp)execute_363, (funcp)execute_364, (funcp)execute_365, (funcp)execute_366, (funcp)execute_367, (funcp)execute_368, (funcp)execute_369, (funcp)execute_370, (funcp)execute_373, (funcp)execute_138, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_199, (funcp)execute_382, (funcp)execute_383, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_188, (funcp)execute_189, (funcp)execute_190, (funcp)execute_191, (funcp)execute_192, (funcp)execute_193, (funcp)execute_194, (funcp)execute_195, (funcp)execute_201, (funcp)execute_202, (funcp)execute_204, (funcp)execute_205, (funcp)execute_206, (funcp)execute_207, (funcp)execute_208, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_236, (funcp)execute_254, (funcp)execute_255, (funcp)execute_239, (funcp)execute_240, (funcp)execute_243, (funcp)execute_244, (funcp)execute_245, (funcp)execute_246, (funcp)execute_247, (funcp)execute_248, (funcp)execute_249, (funcp)execute_250, (funcp)execute_251, (funcp)execute_252, (funcp)execute_253, (funcp)execute_290, (funcp)execute_291, (funcp)execute_292, (funcp)execute_293, (funcp)execute_403, (funcp)execute_404, (funcp)execute_405, (funcp)execute_406, (funcp)execute_407, (funcp)execute_408, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_18, (funcp)transaction_19, (funcp)transaction_21, (funcp)transaction_22, (funcp)transaction_23, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_38, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_63, (funcp)transaction_64, (funcp)transaction_65, (funcp)transaction_67, (funcp)transaction_68, (funcp)transaction_69, (funcp)transaction_70, (funcp)transaction_71, (funcp)transaction_72, (funcp)transaction_73, (funcp)transaction_74, (funcp)transaction_75, (funcp)transaction_76, (funcp)transaction_77, (funcp)transaction_81, (funcp)transaction_82, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_708, (funcp)transaction_709, (funcp)transaction_710, (funcp)transaction_712, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_723, (funcp)transaction_768, (funcp)transaction_809, (funcp)transaction_841, (funcp)transaction_48, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_261, (funcp)transaction_262, (funcp)transaction_263, (funcp)transaction_264, (funcp)transaction_294, (funcp)transaction_705, (funcp)transaction_706, (funcp)transaction_707}; +const int NumRelocateId= 333; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/audioProc_behav/xsim.reloc", (void **)funcTab, 333); + iki_vhdl_file_variable_register(dp + 183968); + iki_vhdl_file_variable_register(dp + 184024); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/audioProc_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 269200, dp + 187520, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 269144, dp + 187576, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 276840, dp + 187744, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 276728, dp + 187800, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 276784, dp + 187856, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 276648, dp + 188864, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 276688, dp + 188920, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 281080, dp + 189480, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 288400, dp + 189536, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 268880, dp + 267072, 0, 7, 0, 7, 8, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 268920, dp + 267128, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 268976, dp + 267184, 0, 0, 0, 0, 1, 1); + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/audioProc_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/audioProc_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/audioProc_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/audioProc_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..98d764bf807336599ef98ebcf371241a5e1aaf64 GIT binary patch literal 27960 zcmb<-^>JfjWMqH=Mg}_u1P><4z_23&!FB*M9T<cd1Q|Z_%l!)W=={CYmyv<Nqgxat z<<V;jqF#UqkKWx2&M`5-*f(J8=))kL9?fq!P*gV`;Q&j3jP&T;-f)MB!J~Ko1ZftA zEXFKG$DJS}9e038Fmo4(1vPu~4zS|g5kx3%KBC~!yE)-5$n@PAmc*Do1ETnc1!2Vy z(=R|Y7!YOpfrntHtbmv<;kZ+rfq?<)Q4kZ!qY%@7Kr~z+LPPInjVEB!e|Uo3PK4<R z5DgR32y5uw>>$j-;L*D~Knkpw!*M4&!tEd?hTAJ38Y&<f2*m0Jh~f=IC~iKY07+Jm z)Ps}=JMV)N2{_?^SrB!h!Jq`#`P-xOD=0ZNfL#hrj~>0Yh{V<T4r&65D3S_<$)Ukn z3<1aq)uWpiWDqQGbc3CRVoviBh5acE43JEMFv+9&2uF16VUT79hKl0MT)mXcBK^eD zl+65qqWt9eq|}VWGJUW_QEE<pGFTy)i&I^3SxIF<Do7!Ib;(6##VMt^1%&L)P0eM< zEY8f$&1J|fW&jldAhSpDXb6mkz-S1JhQMeDjE2By2#kinXb8|e1l*mStrRpulS=bS zN)-%^^^Ellbj?b^T%$T86FmbHO{g%~DJ%>O3<3-c46F<c4DNoR3``6R2S8%XU|9wR z5h%@A6~w?;A;2ij!_F~*k%2*ifq_8=DrW_vUHJr>nM(LL7$ABqKw=CG43Z$)gHIrU zkAtCrfq@|a#Aje&uw!CiU;yh0=96&ZQ^@AiaN;wF2Pvc)*O89{?9L-lJ2gNw*v=%d zJ3(%I01{(hU|0#FUHJssm>l^enweer6qx+^G#vR1ocJsp`5X%Q6e9Q}9Qg!5W`dOB z!k{q&7KV@ivB@)I8ByQ@D+Gl;m;opF!7Mf~iA+G^gN*^yp+pu3hcg2!1K5v95^`WR zD?Hr6Tm}XPWiW%4p#n@J2rmW(1|cMOg5#Ef0c<WPogh>~Sau8y41x@xScmX4ARc0H zgQhEpD1r>eAzlu(7iJ&CKMV}TIMg>n)q~6fnGQ}*3=9ktaHu~6RX-7w?x6kw>AQ+U z{ZAa?{EU$B*$FiVoNhpAo)MCdKxQIi4IJX2J|ed8%)z0)7l-	OBz?h@ZnD{)iD2 zkBC$SDg!|N_<%$G9~|NwOxVLg1c$f+4sjhE;ubi>U2up8;1G|&A)bLlyab1M0}k;X z9O5%@h@ZqEeieuKLmc97aftuKA<oK-J-!5Sh`Ztt55yrJi$gpUhj=j#@md_>?Ks3I z;t-#MLwq?7@l80y_u>#gfkXT<4)J?9#9!hN|AIsOKMrv&7VPmWibGrhhqyKlaWfp^ zjyS}9aEOQF5KqD(o{K}g0*81r4)H!5;xloGFTo+c9*6iY9O6fDh+n`VejA7QGaTX{ zaftuHA<oW<J)H>Q5SPUvu7N|`7>BqW4slN$;vqQ1<8g>*;SevyA>M#Pyc>u3G#ug! zafq+MA-)}l_#qtPXK{$%z#;w^hxj`j;y-bSv#?=LC;T|XrErL=;t)5$A#ROB+zp3# zAP(^u9OCIX#EWo<*WwWGz#%>vhxj}k;wy28Z^0qHABXrU9O74Th(Ev~{u+n)Hyq-O z?AX%@4-Rp09O6ni#C36qTi_6P#v$&9Lp&0PcnS{j0vzHsIK(?}h)=;Gz5s{#8XV#~ zaEKqlA$|de_#GVLFK~!|!66RnB4X?B@Ni&HClWZsRd9$K;1IXLA?|@gJOqb$0uJ#U z9O4x?#9MHPPrxBQ2Z#6y9O7GWh#$Zqeg=p54IJW6aEO1vA^r!4I0q;8co)GTu7E>a z2Zy)?4sjP8;sH3sV{nLP;1DmtA>M#Pya$K)3>@N1aENcfA-)HP_z4{1S8#|wz#;wy zhxiX1;w)U)<6QuUxC{<)4IJVoIK&-ri2L9WkH8_Gf<wFjhj<MR@eUl~Q*ekcz#+Z{ zhxiU0;zw|ZU%(-L2Z#6z9O7Sah%<0wk9Qs%;u1K-Rd9$K;1IXLA?|@gJOqb$0uJ#U z9O4x?#9MHPPrxBQ2Z#6y9O7GWh#$Zqeg=p54IJW6aEO1vA^r!4I0p~*^dN#mTmgr; z4i0e(9O5oG!~<}M$KVjpz#(3OL%acpcn=Qo892n3;1J({LwpYo@e?@2uiy}WfJ6KZ z4)Gs2#94T;$GZRyaTy%q8aTvFaELqL5ck0$9)UwV1&4S64)Gcs;vG1|r{EA@fJ1x@ z4)Gm0#E;+*zkoyh4i51bIK;o;5NF`S9`8Ij#3gWutKbkfz#(pfL)-(0cnA*h1RUZy zIK(S(h)=~Kz66K(W*p*&aEM>TA^re|_<J1U|8R)&@?(!j864u;IK-`Rh<oA?kH8_G zjzhc*hj=Rv@hLdO7vm7$ghTuw4)F^(#P8z}e}_Z-FAi}Y0qpT2jYC`uhqxsUaSt5g z;W)(8aEO=U5O2XDJ{gDjA{^oyaflzlA$}f*_&prrZ*hqK!6D8qh&^7UaENQ+5Vyi1 z?uA1<3Ws<(4)Jyz;?r@6FUKLi9f$Za9OBn-h(E(2{tbsXn-KPJ7Q-Q~hC|#8hqxOK z@h}|X;Dze(MtaE%dOpquAi@wt7=Z|55Mcr$Oc~<cef*sqed7Jy+=5+0;zJyrd|cxh z(n|A^LlTo1GP5(|!E4$ROH#p{vW%3R__WNN)cCT*qRhmkoYeTD)bz~alGGxwd~s@C zab`(oRVqVyQDQ+sYEeAMsCWagWLZvrdVFzmMrulFPHKEfQDR<kVsc4leqMZWW_n&? zPJCKoaY;Pbin7F<($x5p%-qy?1BT+v+|nGF3*h<-it>|Fi;Gi>;}c6DDyve9^1&9R zR-`7EmZZkRl`!NcX6AvVGEx%@;xqFyOEMF4K+XjDusF3O9<&ZWC9^0#CqFq6?4-=J z_@dNYkRMXO8bOMR%i=-n_8|dKkXVutpO=^m2^f$xXtjPkXt_Ryg5u0vr=rZ1^wjwD z)ROR&r0}9lScoMTr6!i7#;2r$!T@elQF44qQD$OJd~$wiUI|1P8VWF_ex<oVpzQ{U zC8?e%3@|Sn8CoLPMg|DBg*g)20>QR4L1LT2*~S(KabpW)aWf<}2s4c>5M~-%AlzVV ziEx9lr6B_(4P@pP<iMjR9_DrfNCL>q2c@a_y!`mY^mK$}mPnRaB3WjMu*}2&VVQ}6 zAzZJCff2;w<ovwilK7&GVp!53(ryccxyBX<bB!z!fq`Hl+=*}{!i|OqqYM!y85+SI zYiNvMBI3Xh5e0?_s|*o#86qr0P67zqj1X2BA*?V$SYd>)!U$o75yA>1gcU{z4;dq@ zFh+RD7-5?+!Zu@sZN>=Oj1jgOBWyE9*k+8d%>-ea3BooLgl#4W+e{F)nILR4LD*)3 zu+0Qvn+d`;Q-p1%2-{2%wwWSqGey{Dim=TTVVfz!HdBOcrU={25Vn~iY%@dHW`?lM z3}KrY!ZtI6ZDt7D%n-JjA#5{8*k+Ef%^YEyIl?w`gl*;s+sqNRnImj7N7!bLunj2( zA!Q&7gl!fG+mMPLq>{%1VH;9ehE(hzl{!d;jwQlxmI&J{5w;-}fR+fqA?0kOf(NPG zK`M3(k%}Edq+-X=0AZn_0m4Q@14M8d8X)X6G(dRK&;Svlh6acrH8enksUb>Pg7Bvy za%qBGoERe6i(H@}<y#{p-x?u#*BHrP#z_7$Ml#bF$xLIUQpy;qm@-Bxr;L#bDr2O& z%Ge0u7h|Mi%NVKLGDa%6jFCz%W2B<X7^&<sMk>6FkxMV+;tMGpj8O_OMBEu87h%X{ z7;+(oT#6wVV@TzgF;YQhj8u{tBNb)FNM)HZQekF{RGJwh6=%js<(V;3fo6<Uq8TF< zX~sxpnlVzLW{gy-86y>I#z^IwF;c;1j8w82BNc7NNM)NbQsHKdRJs`>6>r8!<(n~5 z0cVU<!WknKamGkxoH0@%XN*+J86y>Q#z-ZaAyT{;B4u_%r1Woyl>QBo^1Crov1N=@ zZW$sKlZHs;q#;s4X^2!(8X^^yhDc?lAyQ#!h*Vk{BH3$*Y%fxQX^2!}8X^^$hDc?m zAyT1fh*WACA{Cp4Nady>Qo(76RB{?36`h7iWv3BRSz&}!Rv00bdqzm*o)J>HXM|Ml z86lN>Mo8tJ5mLElgw%*KLMr!+kjgzHq%z6~sf;p0Dx-{$$|xhGGRg=kUmGFi1tX-q zV1$&fjga!S5mNp&Ldw5JNcq<YDgPQF<zFMD{A+}ie~pmxuMtxIHA2e2Mo9VB2q{jD zkmA$`DNc=$;?xAGo-#p-FB7ErGC_(j6QuYuF|dF%v%y_EaK|sTEH$qrIWZ?EDKR;l z0W1#f@)=s7axGD~Muw<dBUG+2D%S*+Yl_M>L*W{u+G}EfB5Q(buL-KXCaCtBqAE5; zRcwl;7}b%csE#y6b)=~|imOaf-E4+xuNkVnW~la>q1tPPYOfipMP_Igp+<^1YFL@0 zI>Q{*8Rn?YFh_NUIjS?vQN3@D>M9FVi!4wrvOu-S0@Wf5REsRoEHXg#u(<)6j}6hi zY{UQ_p8%(10x8_w2-RigXrXI?W|;+g;zM;Cs1ycU3(G*3sKy(iB?}|8bYX;+FpSVr zhLI&|$eA0U2A#P9df1sG?NQNUU;s_AGyM4v0ieS&K>Ig9+f+b%xnSb3JzJpt9Xt#S zaP_c#Lm+X`ISnxNCLlu?7#PsSU7_OW=7aVRfuum{L3@~B=CptWq2>r8*}D;k_#+(R zp#3)>DUkWd<~V>hUSTmm7l-&f9O9>Oh=caKV6#^f6aZN4jlv<`jzfG7R2)Ph$JauT z0MvY8q;Ok_Bo10v2Mg!TNaCQqVlZ*gJ`#}oLDHbGgNfe(2{15#_WgnP0K&vU`%6IT zL2AX2?0pSY4-yBZF_`*KP;n3i+O-A~{|*v>x*xP>5GMW)Dh{GRyN+Pu%plK0)r0nc z!Nhry#HEnjqY4!VrGFtLaUCRaJ|uBtBymn8aVw}e$UUIlY%qK6q2eI_N+YTFMG^<) zDVX|jBym|J^`Ni>VNmjt2Qi=+WEY4D5?25*pcoXVASOs$5yXIEP#A)kAaNxS1BzjK zLE@0TeGmy)z6Ob_K!m`g0hnX}@AOlJvOyGRPb7#2saFFrpcr!25k$Q@R18FUfCvVN zILt1P5Nw|($Q(_O02D_+X^=Q5&Y)a|1SkU}t_@{_DA;jJAaNa#02G7vl7g5Zaa|At ziedX1LE?H)F%Z=NBB1Wnhq6Ib2Z(@*!@>k41lmUkqCw^uf*4Rd14@I$ji780wE#pw z%`t|uLDUKm0TqXpr68dVAOb25J_!fP2OoO_6*q&5fv5u@0xAwF_n};d6Ho@oJ+Qa~ z30(jYQ1zBjHi)_bBB0{1y_O&$*gja0Io2QnC<dPr1XXVX6$4QpKm=4Ad_WMC{{u>c z%mM8Ug>oSY1ghR1F37;Z0a6GRhov!)v;dO0BS-*>C6L6Opkg3O0ZH5$Bml*r{g)sn zNZJL&fMNrX0MuSsT?Ue}KoSS-VFihSFsyz7NxOptpx6T>05u1e7eG=0NaCI#0Vs|@ z5{K25AgKf-ac__S6lWlb`#{A&Q~{E>FGv82E0Dzfpkg4Z0ZH5+Bml)7NaC<O3z7ou z@dnW#=|B(zif4cXp#BYlih-yFNaC<PxghZsNa7(N0Vv*pBpwPC15rDW#KS-WP<#MM zJRB+pqD~-*!^#$r_yr{KNRR*&-#`+Nf{KBt2T0=4AOR?Tfg~OS6$4SAeX$@KBpnN4 zK=BWd05p8!pkiPORIxzC<G}(D0<>Qi!~$u9m4P6>0FwGdkN^}*Ac-eI#XuBjA1#Om znFBci3&dk!&_GfTI$H}O%D`ZNBo00Z3n~HHUkhS_%t-?=px6OPeL7SOM0p^I!^&!q zIB4H3hz6OH31UET1d{qJs2GS!KoZXe2|zJuKQ4#~GA9SbfZ_rq^|??n5LJOBo(B?u zV$eQa5EEohK8OLu9Z2e7bqYvo0+M(kNC1jK`*%T1kU2#l1{5zqQV-g*4i#otfh1l6 z6J%gu*nlKniX^@RNxTe6`~Z?TXs<j>>I9NFtnLHJT|g4A1PMU#4J7d@s2GTPfFxcG z5`f|tNa8h6F%b0uNxT*$0L4F$#Ot79U<%qU0)<;WSO7wBAc@23XpoEmk~nCuKS&IO zC6L6MKmt&#fF#}w6$4QkNaC<Q2uR!jNxT�L2za;%!hd5aoa*-VPFgVh<#7SX%-l z6@Vn(2@-(f2qf_?s2GS!Koai;2|#fMl6VhP3`7+miT8p8ptu4_ybme{q8gCI`#}Ow z+<_zxYx97lCLoDV1PMU#3?%VMP%#j-07-l@NC1jgAc;?bih-yNNa9mL0#LjINqibq z3`8A35}ytdfMU>oYY-EZu3&955dQ*_`k5dBD87LtJ_{-aq8=cL&jtxV@e3sJIZ!bW z^#MtIE=T~1e;|p^gNlJEPzM59{?7*sKnM;baabD?BqM+%z7Qk;#S%#3i=bj43bbz< zM1#U%F^B=h8c6DwK*d0m0h0JqkN^~0Ac-%7ih(ExB=O}S0VwuB5{I>QK~e!o;;=I^ zK;jWd;;TRcP@I4yz8We9qB4-g*MJ0|xBy9fEmRCdf%bocXizw;12Leu0ZILOs2GUq zKoW<w$wA^1ki=nQ6d>^#NaCA70#LjFNqjR@3`DI!65j$6fZ`2E;#;9&AZiDa_%@IL z6oU`tfu^7BP%#j70!clr?*I}9?IQ=#pl|@4=?P+k@C_vO;A1{Pf(#4{50J!nL&ZSU z3ncM9AOR@;fF!;bDh8r{Ac^k-3BWM)h#Qc5_QS*&7#KK^#9@6LkhB1j_(6~W6iXn9 zAA*X3C<P>O=ux2{VFu8CbPxk%FYFv35Z?ev{ZWtr6k8yPAA^d4C<i3*;~)Vj_COMc z^~FF^0Z8H}K>|=5fh2wkDh8qwki<`e1fVzrN&E~{3`7+miJt`tKyd|<_&KN;hyv|v z2hpH#I1ge#aR-umSf3FjH33QdB1iy=XCR4Rf{KBt1xVtTK>|>`0!jP|R18FIKoSR? z!2=Ql;T=fg*FXYLd;m%OI#diqoj?+Y^=(1o7m&nnf&`!#v_Bri1ck#b5Ce)IAgR9% z6$4Q(ki_qR1fci>lK5Sy7>N3TBz_Mh0K=d`F=+n14-*5We<X2O9~>ktfF%A9Bml({ zNaC<DQjnAalK5kg02FH=i9dmgfhf>Edk_r@ho>M06k8yve+Cr;Q4UDr&p`rE?13Z> z8!G@w1t5vP1PMTK1d{kGs2GS!KoWlq5`f|iB=I*;F%VUNB>om80L2wZ;_skhAgTdL z{5?njib4DJK}=9Mz{WH{{0T_vKY|3Hcm|UAC#V>RT7V?}86*J3E0Dy$K*d1R1|;#X zAOR@efh7J7Dh8qsAc=nm2|)1)Byrf-3rOk$k~rurE07on-#`-o1rmT_(7t~V6BG`= zK@2E<fu#NqR18FYKob885`f|#NaFvXVqgk1$O}#X|G@$ff&)n$JQxWPW)MIUM>>|B zK>|sf3FKI)dIcnLW+ZV9BykobaRVfARwQxI`2ip!K|*XG0>oipU~oWE&yFPSfg}za z%K~W$KoaLfQXhdN&V?kNfF#b1B%XmJ&VwWlI&T1E4@ig?M1VL93=9=W>iLkw8<50d zb0{D!pmPX7G)O6I%nih!fTUg!Bnc8^U|^VmBrb#`4mzIzqy!`+3?e`r1_p)|Na{t9 z#5W*`iz11G&Mg3G1qq3P2oQ&Xf#Cp>dT}K26G-B)u|bd)(0K+R8l+SbM1VL93=B7r z)Jq|WKR^<fMiK{|a{$r`5|RNCAPxfq!v`ewvPj}Tki_MX#6jmDfV6^y<Us_811kTa z696C~*cc{AN&rb*5hMu`WME(bor?fsf`pVn1c<}Hz@UJnUKvST14NgQ-u0!S-J zNEJkYI1CI77D(#Vki;F3#MP0+LFXudw1R|SW33>50Fru5kR(Wufq@|cNn8s_9CW?{ zNC`+t8$^IO3=9kzNa}Tv#0!wbb&<qD=PrP>f`s%y1c<}Hz|erCULQ%k14$e<CJfR7 zI*$QFgOnPA2oML9{*lxhA&D<Q5;sN?2c6RZ(h3qX0TCb$X#59By(yCT4kU3iB=G}C z;^s)=Cy>NpW7i-nE+C0pBB=+R>j07m30Z*%5C_!$M^bN%B>n<P+y+S;blw9<D@e!| zM1VL93=BVz)Y~D6Gk_-Bpyj_kk~rub2#{8g5NwPc#1}wP?+B6v34+o;lDHF+IOu!` zkP?uPGl&3jK<7LnsdqsVH$W11MG^;{8v)V^5^@6(AP%VfM^f*OB<_JE4x0-AX#t%l z0ir=lJwXJB14{o$>b;P}6OhEck;FmgOn|h4gnU2*h{M3ZP=KT!be1Gkn4tnm+z&}T z===$g8jz4bhyZaI7#KQ`)Wha1KvENs!~>DkgU+P@$%BM~Km>@xz`(EoNqsPq_zEQP z5F~NXc@-e7AfZqY0pc()Fzi55ABH4;07*O?NgQ;J1xPDM2sXC@;$J{g9|@8K34+Rh zB=IODanSh|ASEE7Xb=J7fbOC|QXhjP{sBom7D*g*?gdCINGJ|OfH)uwJr@-u6b~Xm zVxaOLNgOr@1d;-shXJBNN)tf@hyzOhNa~Z2#1)Xllaa(h=VXAif`n2)1c<}Hz+ix+ zJ{3va0!cg#NgQ;321qMNC>=zAI1CI79!Tn8b5$Uz03`8DB=w+kH9+zpp)3#q;xI5U zBp|8JMiPh3YlDWBK^Ur>0X7#25(8n_TqbOe2_y!>u(>E$-y9?c!mx8-VSOTy7zo48 zb!E^iuFNe-Ok&V0E-8Z088B8+YEGhFNoqw2gI-EvNg{(@QgJbZUQs@X15$3NXMxH! zgmD@4z<R+7i&AqK^pf*)b5rw581(Y;OH%dR{X%t%OF%32ApG>aQoVwr{DRb?l1ix7 z)QtGFBG9HMSU^xngTfaS+|Xt_+%(u+Y!Y-l6D9#t3uA+5(3%L)kPl2fNDPE`Kqp&a z<G-M_Kp?%)>H&N%Ge{k1jR8m;%^HS9=mH?c(E0<)1XG|j0U$Hcl@qHUG`A13A9*ex zWH8L#$YaDHagbdg8l(qAgZvF*qw9A91quTL12kEKl!7rxEr<c5L36PnHYn|Z#9<h8 zP9}&AH3q~4V{|@fP7<Ua-T$!hRM>gUV9lU*B8&m0L361fGeQ1<3W2Ev=w!A9bg>F3 z{y=^QVUPmYIuy_xA;^B@wG$xu6QD&m3=9mQ_yO@@7^D`&hS8w8I2aow4#Qud`eA$+ zjjk3nmjr5Zqle!QsD4oThUo>-F!zJlAPkyI0GWxdUjpP|P<acI1Sy1Kbp4<?5NzQ$ z13F0xo09_>2U=GL(+>+jkn!ktgRC-uE&x)1F7g3!K~)aAevlY?+=KK7KoevdXi*eM c0E$8OL789*w1y6wegWuYF7n(1NCUcl0GgUcVgLXD literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..550db66d5e96a79d6d6d10c150aec33dc08dc375 GIT binary patch literal 57496 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk?9Rd0+aM|NsB*U|?XFA;iGo(apfHLXm-CLnH%( zLJ}tS$Y5Z&QOv+_paFtsG%_$0G%+wJbVG1G0|P@g0|P@FBLjmQBLhPe113;pV_@j! zW?*>C1Cf(vU|;}Y9VQ5$nSp_Ukr9F!7#P8V|NsC04`P6nfJ9(?kQfNFf(R%EnFTTf z#09D61)0adz`zd@fMQMt1_n6>1_nz81_qE?HU<U;kXjL_T97i3J?ab$4DnF8P^elJ zBsCli3=A3!3=C;dH6WUWfq}sRNe#$c0|o|$cBq<S1_lO@dxMbFfZS%pz`!sGs-}a1 zfdS;ELL@aHa~&BN7<NL{9A;o(U}s=pXhBos%D}*I0!>XXk{XcTK;Z|%Ah*q7U|<0G zV>TW&%NQ6KKw-8LkDB`o3=CWh3=G@xsIg;UVBlt8U^t3LjVS{I11M?Sz@x^7fq?-O zudnf_S<Jw|z{kMA07^KZxCHqdghBoWVUXXqLDPjWD7}Fsp%^3&!XPyu{|GQJFsS2E z1Ij;w3=9m`c+`O6O^AVk!5@#BT?`Bi!VC-y$#~T4W?*0drPFdeYW6TNFo-fRFm&Tl zvzLK^L5zWcVLl!;`xqD)#2FYEHsevVpMilvf`NhII36_z7#J8N85kID<56>vfq_Aa zfq~&Y9yQmXWd$=6tYm;h7$~kl7!+UE85kI3K<;LO<Y|Zs1_qEk2!rywEdv9CECU0B zDjqeUd;}^ZjPa=PW?*2DXJBA(#G^)!fq_8*6z_P{STHa!C^9fGB;ip5Dyx(j7#K?N zsIg*TU{GdYVCcZ3#+reF0aS*~#-j$5zEl|)7}haC%12Nbg2L95fq?-OzM!%wjDdkc z4V0Ie7#Ki&kQz{W0)@#JXnBzf$~T~RM^Xb)2Z{#}29+tGat~C7zQCiVmVtpmlYxQZ z8y+>S3=9lf3=9k`%n0|O+ttm$z@W{*z#xQ2jV=QNgAORp@TkdTU|;~LGr^+<R1bpU z-h&xZ-h<o%!XSTxFesmc;z6H*fgu!+8c-Sp)gQ^skU9Zm1_*=90AWyERWdLz7&0(0 zWZ_ZM!@$4*3hN>~YCv^?F#`ib4IVXf85kH$Ky^GGHH#P+7(nIt1Uzb%GB7ZhF)%R9 z!lPyd0|SFO0|UbnJZjc5Fff4f&pJG6KxGst|Lnk{22@6Y^3NeWYL0=*cm@WBvv}0p zV_;wa)lb*)sCmr5zyK<P9^p~*gMonoRA0Wqqvj_A1A{#%Uob=30-$sO%BP8-yvYcu z13-Be)E*IIL8t+#1En1h2GtXw^aW~LNa0Zf%I{8~@&%8Ya0UhjXQ+EXZ3>V*AonCQ zFfbTnaSu!lNFB&6AdK!FTxyWrgG&v}JvuB544}3g$Q}>|*#p9$b{MFBcLBB8@TdXR z-Jtp)gay*x1DOHBATvN1)b5$Zz`)?fz`ziTM-8Y=;?BUpkd8;q5(Wka4+aK?LOg0f z?J-ciR^d^znSp`9i-Ccm8IKxJoeL_jd-13_&cMLn!@$5W9gmu`3=9mu3=9kl@Tj@X zz`)?gz`(EqkD8aDdXj;GVGABLpfn%Az`$@Aj~X6E28KXTKE<PkkCA}^)CRwfM~wg@ z14A$a1H(f+YQz{B7(y5r7~bJgBhSdd0O~*dz@tW$k%0k}rde5Wr!fOY1_n@?=EtMP zmXU!W0@S|2qvjeT14ASO1A{&uHD*kZ{+lHpH5N<^4AG!G&&t36DnCGZ0+ioVLHUUh z(k=wWLkuWABdG!P0n$Nf2C4?s$BKoT1IlMGbBY)k7!sjskj;Uq0hv?6z`&3PRRc3; z5i0`&s0;*|1Hzy@3c{c^D5&m=V_;xdjYkbAY(QphVP#-Q0vQ0sATvN1)J_HE^#le6 zhP_zSG%-TjvY>bXx#KVvH868P;Q+GZ0v<J>Z~&E|xA3R|g+mGh1H%(MYDyUx7(j9J z4v(5LXn*zx9yR5lG7QwmWWyakpf*Vcs85JTO&bFP1E?(}jz`TzP`ieKfdLe!pfG?& z1-w0v9;dj}Ajc^voY2idk5hCtEm-0dT@AK4#ia&0PI0M0j#FG}kmD4W8ss>|r3N`p zaj8L$Q(S70;}n+~Se%0502F2*3~CR9_zNbbgN7MEOgIMB(;zh<0Z=>c!PNAXpmGK@ z1^}Z$V<<2+pni$L#PpS*z8+{C1xAD77^Vg^b^=lZ>brpQGK>bbTVZNIeX@c{=_^6~ z6i^=*MuX~Jm>N(S1TqKYeo$W-MuW;2(0C9?52)<{av!Lz4r;G~Xi(c96rP~I4M+`0 z{=&rcm7w+ysQ(J0Vg3f$1#&OQ{|S>Ieh0PHLE<1W5DmgGH40EQpmqXC9G@D{xD6pS zpmr!BHOS!xQVYW9?g6!nLE`w_18O4?QUe;dA*2S>t|O!dG;Tvk4XEu$NDXM*hL9T2 zxD6pSpm7^QYCz*Qgw%k>Z3w9W^@#|n0riOpsR6Yi38?{%+YnL%8dt!j29#bvc^K4Z z!lee3=0R#eZ39ATKy6+^YCwH=LTW($PC{xx<rN_{pnfMVH88(}#%*w^f%zR&uE5l6 zW`c})f%JpMx<F+K2!qNx7=48aGIk9re?VgcpmG(|mjTrUAR3nML1x18GN_z_sRxOJ z%m>jR3{wMg4@eEDYy*koQv({eA*2R0ZbL{7Xgr3H8c<t<kQ&gq4L&uX@*Pxm6H)^j zw?S6}s;@v{0SX^bT!G4eLTW(cHiXn*s|(QW0*%`cG6ytnLr4v1+=h@E(6|jDHK1`D zLTa#;QRx1{Rz{(#0gc-bvI{hBLr4v1+=h@E(6|jDHK0B$Obux8l!FB_zXEbMC=Y_# z8lW}<h=#RUK<YvAp!5UEgP`^SXxs)Q4iW>=APiFjN@E~3pgtu?9G@CcpAuaSEH8uf zfyxU)^(jaVwz?A8J)klLG){tU7fcPvE|3~*Z2*`WkiS4`K;tC1%z>%F*8V{^2Q*GX z$Q*2S9J)E6aS}r2fW}D(sR50X5K;pgCn2N;IgNqTf-ua@uy6*AlYqoQd=L%7Fg36+ z1dWq`#PO*Cjgt^k0~#kGqy{ujLP!m0oP>}X&^QSpHK1`4LTW(cB!tv}#z}Cg0fiYT zt%Al$U}^$c85m+&QS&>f4-1-?0MVfQ-pI<p&<|AuswY7G0+2YUjt0@7`6Q5eAPkEy zP?-SI4;tS9iG%D0(I5;{1Bz3S8c<&tB#uiB$PQ3D0h=1oTq?*MkQ&hV1~xSyb75*g z;~Rw3fcnCO)PVZJgw%lg!i3a-`oe_NfZ9KV)DWt>LE!`H3llO2)E9=S0fie3gZj0g zd1p{~!^A-9Ky6&Gm~hBCP?-yo1E~egKZC_V0-$;C|Ns9Zs|BS)kQ7KQXdW7$T2NYo zsRgxn@Tmo*PncQ|8=qQG+JmVDg%3`(?km9am@u`VH61wB3Wux%%^$+lg8I%l)rv(Z zfbtnkEvSu#Q>}2wI?&u4Of6`h7oS?tyctX_D1YKp3!2k{sRfNO;8P1~_ruhJ=6~_2 z1&uAh)Pm-Lv8e^cKZ9_{I?$X4Of9HAi%%_RyaT2dG%t)#Eoh7%rWP~~gHJ7JY#pW+ z)ZW3T7F5>2)Pm-Mv8e^gGu*LM0*yC=*dV`x=7e#oy=$oi8pDOD1<m_nQwuVO;kcy| zXgm|97S!LsrWP!A!cqxT7Qxhl`jTLAkN{HN1e<%(QmF|l4&sB-98R^TES0*D)PmZ7 z_|#5DQVXgVu&D)?A;KZ+rX#5Z&CB9c+o-Jw>Pvu>gWL;BpCB_pY?vNUxdRddrL*NA zg`lxw=zJz<jQ~t7Og&8PMkKYMIZK#4NElZ3fz|e>uLQL<LE<2@Kywc`)s|^1g4RmG z)PmAI$PAD^kRA|??A~)Ag;4i`>Ohbhs1SI}8LqZQTM@KI2_y$H12nG(YOjOXAUz;; zAUTj-pm2Bs;y}#>&F>+r1&uv|q+x15fH+XKpu7h%4=RM}hW_-Gzo8N!dqLwGIMoV7 zD6l{!L25yBddP7BvK!=P5Y505q{NS;7L=!<T(E5@alsv=BnOoQnd^^bO%~i-z91!C zB(?d_wL>6%AYs^8E!YjhA?u7lg3xd%KvN6qpTNx(4q0c8q_!DNEogoiS*;_ITG0AA z<hTHhTOh0TMp6qJt3Xx@s#D==w<RitA*lt`{m5!T<HT^ayAqW^^ID+51o?d?mM{a! z!NMUE$y`wX3Caa20jCEL1E#hRDhV=oApvu1kko?u{!lK+IIy{J_X>xs1Fh)<Nr21+ z^}Rr87Q_bW0j<{q$-&&v4-$mN8>oMU91ftiD6+Yr^=BZxAhXcbg4XXLtKERdTu_?@ zS?xhQYC-KPWVM%()NX;U&jh&#Bn)a-A*;OuU7rS$2i4=Cx*o&^sfD$xz~LYlvhEQ` z5V~#@<QFIx<Xw#LeFl{T*#+_ol#5mEE2tz$EyyoWE*7<BO7EbOAhjUBK)G1dhOGMx zl?17U`30;Hsm=oXO*mxTA0)Nd+K8aM0ahz#ro;*gAZR#XYa@d4Jy@-xnG!#eT2T1} zwFc}AlyXPKOi2n!Eoklqr&{+F;I)1rLqL85rAM4<b<C6ukjw?OS&`E^$gdzX!0t6L zQv&tvVCI6_w8&~feub+wHdAsyG8bDr6=V+BT;Y&)9!P3I<G1MUMOGVtq!wG77Flg1 zl3HwST4c3pNNRDlJ;CnnPhVMrq!w4(6Rg(DOsNS;Ev~jFSgo&_(gY;6xZ0jzwSi_z z^N`fyYI}m!hL|a>MN$juKi~}C2s5SqNNTaQJ>g;2pT6=ul3HwSPh_?Ck<^0vB{<#C zpT6<~l3Hx-RAh6RK#2~T->|hk;cA6L)(Il1#n$#jR;z%d7F*jBS*<>jTF}}=oPHAy zS!ai&7SxZzr#1*lEw(l-vK!Kn)Pm+G@tIqNq!wHI7unoCX2|+ukQPwe0=W(Vjk$vC z0jD$BkaaVV)PmX;$ZBEb8(6Je$ht*HYC+{EvRYXA22;BhNiC>tfvgr*zQNRj);)sU z4=S5*wGlyXW-!%LIsoz%XdNwReGZoP14tU26r~~*PJ%d4wYb`dV6`$43YU=7;%Xy; z)yhUF+(uFhTI&UN8^{Al^$=LCT!g|CB(<RRT-elt#pELtULmOk^*zDj*vwUkQ1}Q{ z3yL#P9e_<OSWGcO0oKm~sRh*mU~x2a!D9OsDZ=`uAho#0HNaZ;FH(f{c|mHi)fZ4F zGB6xir1%xe0jUM`O+j%D3L}ucAoqjJ1g8h#kafS2)Pl+f&=>?vEy(@IYFR)ALDzE= zDj#@})DkKmM3B^i$_G$;9_9v6xFEX$v@R9I2l)-O-VEd?5Fdm=Z2}Mt^P3!!xuCGd zr&b9`Eol82C@;g@019tpb2X9Fg4T8*s|D51pdbaip+9}40g_tKIx=)Oz}0?Qq-cty z7Bm)tQ|-4!iq=SKLF>wJs{Of0(G^K8Xnh&7dqHgkxEq8+*7+i-1+AY#Rtst)AcsR3 zl3LJOGITc}tBqrUl#`%%1FeMtl~GXb;Px-FTF{zUP}qaig4_pE2jYXw2k8UR;P90V zSy#jY+1mk93vwT_T2NYstCb5`SBs<;WDc@gSepiBZYz>nkU7X|VQm_iTF{zVko!Px z0GWfV7S^VLshx^sF322YwXik~Sgl;hx;aQ{LFOQ<g|%tGYGp&#Ek;rcG6z{LY&;F7 zb~Tb(kU7X|VeKEVTDg#Q+mYf8BnJvNkY7RJ3Tyws)E+@H7bXXaR}co}O^_a#+7n1> zv9*nm)t*6e1E_6;>;{-#u(`4!>n?#j1Km3XtNTFqgD}VqptKG$o56HFyuJXn<3Z&j zSRAAfscr#_RaGk7Kr$CpE`scXg#*Y<aC2)a749Rc1(jdOYC-KakQE@kps}FGNNPc8 z9n>cV$${(zmE9n<ApIb<FYu@ZmEFi{-{MgVD!Y-@e!`;`RCXh){f<X1sO&~o`v*xa zC~t!N0rMMZ{t8*`e`p+o;sTV1kmDHE4g~3EkPTVK24+C^zQN)jR8E1+1I01Ce?57T zA`g;UP&|Rc6_;8eB(<P;!lzaWNi8Uz@TpZoQVWVFP_Tg7X&^nIb}QVyrt1~dk<^0X z38V&>dv%c1g5n93-eKl~+PH9YJ@u4~kko?W30W<ujSE+6x?aHoNi9eYSuJRs1Edxd zj|`rAN_I$UL2}4yL2IJmYE9QGxFD$o$zk&w*xbpB6uptuT0+~N=-~iU8;qnDw0;Vo zxzR{!LF3if)PlqqCNEM<Mp6q}O9qNJ*!UJKoq?51UZj`<W<b&;XiOKM+A<`yur@fl z-@r;HFH&p(Ga%*?Qrm^37Br>{@&_(+XON(F8IoF98yuIpt4L4_o3sRtjSw<-6Oy^0 z_5b+%wv7a}yGT&G4@oU(p9elS97j?MT2q5h?L{QDpmsh!wbzl<g4(~JauSy3LHPuf z-@r;d^^`#C|3PIUD11TfRAjZFd;(W%x?bS}SQ8|jf!dSE`52T>;A%bflzt(p1+D8w zRts9!3s-BpUV#NPpa<Qj44VJP=Qmyw)QTag&B9SWfSoyck)k{aYBiA5g7&O}@-e9Y z4GKr}Ja34k7SzT9sYNayK;x!hH-P55plU&50w6WWVFp^u3JNn&*nrAZCnU9?_5iY4 z&^k<zT5#ECx?aH@Ni9emWFIU&faZWfVlZ=I{aBD2VB^Z@`53Hr@*+i8KNh4GHm;0I zZ6J~xVB^ZT)P^9b1%(et9n8I;c|MSPVSY<QQVVJqAgcw<^TE}6>M7+RsRgBXkUv1` zk>d?)?&L*^)ktbV{==uX14%7xj0TsvQ<2ny+P@%mFu#HJOM=`BiXTwha~2-8pna2Y zwVrxP3y{=;%mk$~<TMEiN3gjJ3=GSW)PnjW_|(G2f<SsfbrvWbK;Z?#ptKHe)9&w9 zfQ<!#)PnXBVp9ta-_%8lu(2SJT3DS0G82Sh=7QCxEmDMy1%cGU>MW2t5Jpj(zDN-^ z76eiY+GB{*4H=6RVPiocwYbKZ!1{$l*1^VtKx$$25XgQIMsb61$hx)A@CB&_m6M>n z0rCsTEYN&1D9k`|4B3kmw<D<q^}9i8KxTq4%v`YA{6&g~k<^0v-8j`2EmFLQq!v{E z;#4afvhEI&T5NrExO?L~6y77L1@+N!nw#XIzy=zmfzFS?%5{*xKp4eusU8aANNPdr zCUBaY;h~_8q!zSB8>ia&ixka~)WYfjko_Qx;)cbG6y1^3g4T88G<Vq|#c(9GIncTV zWF`osn7e9`Vj7ZKP<?^Z+_j4oOOe#(;WKyRBE=RYwV=6ioaTNHRGNXL7Bn}GQ|+%n zr8P)uk<XOD@SAYRy6s46L2HL`nkyW#?j(|0(AhOO)utsX+(A-11z$Lb=qbHNQacTw zS_wTRCQ#uBO_MY5sg==F5{0S-m7}n<4iy5AM}f*;upcHbQj~^DfYid$I#dWlts+zc zq!yIcL0niJ0O~`)%{{26qzaM*?Tdu&eFSk~YC-Ew;A&6nD`|oxp=v>C9mIvH1+6y$ zsRflo3<vd;bU~6(wXn1f6@t4LtakDuMH8q5$i1+%4i&;sYXy}6sfDF=s1RH&EX?en z5+Jp(v<?-*Q0okp0I3D7{{)!<6@se;rB6_w%pEELQVTji37=ZnI5<cxXuSqVEvP*O zG7FTCL16|ie?k3CBy$O=4MI{2I-dz-E>sBaUYNPzPzjJ52&s)FL2VKVYBP}3c0%(7 zR0zYp`A`XvdkLv6MN$h|iv|h@P?%y1-#R3<pglYwwV*aAHnr^}sO?8m3)&xp-rfPZ z2NoBzNKm^FNiFEiEPUp!K~mdCAbhuxpmraU+J5NST(CS3YOlflc3NNQI3Bg2bz^X~ z2lbRL;86=|+ribI)>pcTq;>)UzuhB2?PDahpmoon@(AWPP@56%hJ$)aZ;;f&>K3RF zM*95*l>nt*LTbSWnL*1*LTcGSjcFp)a+9D|fCROoB&d}mL9HeUYV}D_Yl);5)Stwc zhn$eq!rBDTsKkh4FC?{~_Ak1*$o-)ZB(+u0`DswvfR#I-ekHhkU|?W~L{eK#Ky4zD z+8P3CbCJ~65l~wLRSW9#gXR)J<s^DIfW$y`VGEL4(A)t?9GBW2B(<Qic#t|+egpNp zK=}=%pCMws!Ym}UpfMwmI7mG#%;0J#FH&5Kq!u(!22uwz7t}`ssRiY2kh%Mi)PlzN zv6%~2J9&}f6(qHw_6;_*;CP$7NbwnxT2Q%&Pwi(UwV-klpIRnpLIK4Es9eOSRuD-o zsQkjGRt8BeDD3g6RYy__3Qv4$VQB|6mql#a0jVXFc3|lNq!yHRu(<&w21=6#Q1^n= z5=uLcNNPc82V@2){UE0^SbFe9QcEc9L?fvM&9UM$Hxo%MXpR-1+F~TNpgC52YHN|y zg63H9scl743mQknr*<}yTG;#$Xbb{5%wYLqHIiD;{1C`oTxwxy2Q;^dE6hOpL2(Q# zcR*@!g&9mOtlR;q1%(+XeqrSUXq^zKd;qIWpRBYK>RymqTww-QJ9&}fQ6#mv!VISN zGLl-*95zTC<PPL81BrqB_7F)eXbcY|j!W%pB(;R*A-^K2B{UED7fCIyc}Q5k-~$CF z^t?>aJS51iAR&;uLE~Pa@CB>2o~$GUl7OlO%{w-L&Od~%%?6Eofz*Q2j`d_EB_y?= zc|BycptV)VYK@W9T0q;WuyJ6}JPBN_QJaD@l3LJw1<1WHwV-pc;A+(-D+ME|1<hB0 z(k@IbXgmV0)~HP(5lJm*z5+A`3{wl5e}Su2o2-<Fq!u(^fvgrZ{{mNQ)TU61q!u(z zkL+I1-buJxwaH3VNNPdj^q_VLXsjPOoq@x5@*>4fB(<P*LFj6c+a(}5kQp!x>bru> z0gYY5#6UDi95hCaOFd}aAV?hygVclSHeBMk%*UmEpu|CAm@q$qXpp}^VL)s5fb0O- z2g4wHLGc2rBVl478YB*?3vsy<mpCqSs3nfeUXWWs?uKEI`$6?Hba)sh3~rZ$hUY*S zE(l#a1Ij1V636Avp)O8pJmN}kxWr*)4=ip#@dz6KqO~|K_u%p`u6U$%{>9}UT;jBL z53X>dRzAXI4s09_Ih}y&T3qS}N*vUtfw>VxgVHmoZ9{AKfb0TcSU7>)fiHYOYC)J- z^`yEVW-iDM5C(+<$UUGo1Beg9AaPLJ2A4Q4d*e&;3m6hhQ!?`dit>{g(sPn>7?N|c z;|;-Nd1h6-0Yhe9W=UpZPG(hVJXj?}eLP5*AtkA_q$EGDm?0%KDZex?ITbFMUs}SD zX;d7aT$01!l$w!PmYH9an8T2hnpWbLS;UZ*S;UZAnOKyZ!BCW$o&gqf%qdT-EH*SX zi#IehXMpm|Ks*a5&&bF)-q6sJ!4u*nGoyGzBLfD%)RLgo^u*%g%=A1{<9I_OQxqXH zgLp$DGZZ01Gvjz8LsVsk7Dn+#hG^<6K<dm<^;($38yQ-liJ8V58Cs%=nZ+BSxz5nS zJl@F25KWy$ypfR+nwVw0k&!W~n599yk&y|Sm|?sTns*H?jpB{a{cC9)Z)Ak(4<kd9 zcq1cJe;65>#v2(S!q+g~(8v%T+LrN#Mn*86xoNzikui*CVis?R<~CEacq3!fAT~9R zH!?;IVN;8EBV$yDnOeph8Kb$&Al}H>k|8s%xCD_f<3S0vJhO_Sq&zb|IX|zYC_g7B zwTK}k+|#)v2by9`4dM+A4WJxDBhz?8LqizP7?hZd;5^HCLqmjzKq}D!+W-{FXpv%I z9&co50yV=JlvYh)VPXuj+Kj=;GbG+Em?79TDBjt}n;|DNFEu{i(9{ghGKaCujNmL& zILm^;C&<~yJKo*Z4<=@52xl3A&2@1Mag6te3WCxS$TF~?Crr@90?x7oWxmk7%#wIR z7>fav5i{e{GK)as3<X8WP}LSNXIh}fks&B!7@#Lw(|98TV}{^JKj(Niw_vCdhGxca zmI)|wf<qibTp2=~Lfzt>{X$@JmT*;;mJC6`A@Ko0{>}`+&OTs8A)daj{-GgYHppX6 zks+?}!5%@b?oj<kW^fOh8^FZOjToFmgMu7gT!P|}lv<cG1cy3-odGrw>|n5U{-GfO zp&{`uuFn20t_*&z5h3vqWl#}F<e8emLdDd~f&rTHKmi9THX-Q{#DfNEenDzcVo7FM zDp(AK7jMXb!UyY!M-_rv2}>FlmQc@`8!!}SWTurcWacFo#V4ku6r~myL*)z&P2en; zQ$a;4#4JNYSWtnA(&EzG_{8L7hT@X^qSW}J)Z)^d5}0Na(9&XX72_1*=fmI>;ui!W zTtI{~hzMnH_VG3}F!1$=Vkjyu$zv!kXDBW=W+*N<VJI#)WhgE;V<;{+XUIuSF$NJP zAi@+xn1Kj$hT`NLhT@b&hQ#Ff+~k~W5S^Hk9ABK0SOOMINkrl#K~>}wffz-QvcS}U z0a^#eXENj_R>UXe<R@n{6sMNN=O$J#6s5vgFjXl@3?S3v({d8i8H)2u^HSnLd><rs z5JW{$YGO(yLvCgTSOEjrU=s^(k1B8(lwVpB5A}L-PIf$qYXk|2+{_9ekhUO(%sfby z=>um4F@O|;>ro#BGl&7~Cl^B~ZNyMenp2!=Vv+4p1raLBEKY^6lJh`uUQk-%lbTi% zoS0jXlgf~pS5R6K1gb}&0{NvSs45@=$V!s)^U^ZYgUcC8Qu8vZ7~F${7~DgG8QjBN z83KZQTo~MgT!TaWgIpO3;!6wSOL7Ys0(^Ypef*uh-5COc{N0`6-F=*V7@VDby!|0e zH|Jm{DANT-dqSk#gM;ET^GZNAfN78oU<S+<Fvlyz(LFu{rWe9<_J)`M;kiJ~gYbNV zk>x;z2G~hpNoU7^kkBAkBn3gP!LA`l{9q4HH)MWjfQw@YiiS|X5Z7R2CBd#fhR7zk z`WT^djZwKKNZe3Rp&a7kk8A);4A~Tz7_w0?F{pV#{?75?o-QFC3?Xn9C?KJNU}kWL zV^9b<p=RbmT66iOC2)ojSSG);#2Cgffn*g1Q0_@h0f{mcmn4>e(pF|kcz#g|xE<)0 z6b=z_%>(lt8FGu$8H!7wc`6f<aq<~b^7B#|Qj3c6iy&l4WkD*ao_0)0DPkx}O?OEw zNi<|gNzF;Dbj^d%pmbdfYRG}q7&5?_MhwLz5akdW#CHXoTwLM;vZlDiGbJY#qzYsl zLvcxfk56%Ga(-S4gQuToNPL*9bBKRXFoS213xj8P5X3fyWRORpMF%J&G9>4f7=Q>v z5Mcx&j6sA6gJ&2+N>WH>ZYrp-i}wUqPM!>|e(@m@@nAX#M7ssYdouX?#(Ofj#QT8B zATa3@@6X^89~AG;-~_71{h`&lKSMC69*c+3o(#@;CGk$6f(^v*0T*##9d3y^IS{=; zpfUh12F@{H9!MO_3p5O32s8>}2s9322sCtK2sCnI2sCzs=mup!A8=Cw&SC(CYrKDH z30OCn<CzCx$NR+lLs>ykmcMH}Boq6)#`}Qf!eJueFcBBeu=pTQ_3j)G_8ugarex+Z zq<}gEkTNH}xSSz5l_4c59aL%-l$OLBAae|nIY!7FV`PpAgG+p79)k<W42G2Q%#@N0 zhP;x*f?|f0{P@zm(&E$<hLoa|N*KGK7?dbsJW%YXrht8soRgiF1S*x2bF$+hT^_hl zQfV5jnHg^Y$`oLgNib8AbF%YGOAOHXhG={vG`=w!-vre-Q&g@Q5;rZWxFo-zAT<R^ z4Adw_5rb5D8JX!BAP2{1<{6@T0Tf_Zgp4p%8Dk2WAPM;fyT^Mn1cx}qdonnJ6FVsJ z`!l%s`?<#ZGq?tUQaz~68yplI0!r_o<{U#Fq*zG_PDx})fsnz;IUr_o4ntaSNn%k6 zh{`WuNGdH31{Xo8MMWW%1*t)xvIN8c$+#7zraI@9fLahBsw6Wv)xWd^#4OH9EK3bf z%q(F@EK2gpFD?NWN=}s}sSG*!i78-4a%oW!sGMOa0y(1y<bdMRB#3P}i6zMypv=Gk zW`UJuf=ilA*P<eZOpuODuntiB%PA9TerAb(X$eD7IY<E19P<Mkk(62DoL`y;s<KPs zgNjQSoIy3HTaarYgIln(4+FGe%Mb!?=|(#HxCX<-;+-K}&v-~#<q2w?rIs*w#)GSS zXibm83roz&OkwbkPs_|HNi9lEfvQ0lfG7)gjxQ+6Pfjf^&df^(`!Coz9uz{sAb&9g zJA=FUAn$`(mSAo&IMCqS%#z^JT#!PrE(9}@!4utRe<YJZ1xscL%wP}?VJ?UVF&3($ zG?xLwie&JQcSG?P)EhzZ1)wCKR|1K?;Gp=l(vs352+tGAUQkdW>;>@<_JVj2d!af? za~U8kkiBT(<R2f&5F8YroS&K&l$xGdT#{M@;)C1c2m$B#+|ry9e^B#3FU1fPOh|kq z5Z|{nrz8l{;tqBOwc%l$p!i}?Xa+&-0kuP6p#@qf4Z6c6BR@B_SU=Ioq#(5@HB&z~ zH6=MePrsx<7ZmkHsk*5pr74+-c_q4VCB;R_`WY!X`rx)FXtYPKj6t7tV+xA$v-BNd zBSw0~nYsGKnYr<X`bnTc9{mcC0B9JAJkvpr%q-A{m&6DbwatT-V<2<$3rh4ebJO+1 zGRqQE@{J6PO!N$)9DOJc)J4!QOD)RG$xqh@xw14bvp6%iSl`nr)D2_?I9!TSGWEeK zoqdY+O%2RVOw9}+0{FC2V!f}gv#+aByrWAPnT|j;fD)%Tg}S+u=?Ius(vu@7D&VOa zTwsBmK)yMU!6Uu0j1&faq5}iu0FXn9OH$&E3=Ayvi%SyoQWA?&Am)IRMnzI-W==}6 zzNMw5rJg~&r(a0Cfr)`>yn%&@fj+1XPt3_F)=$jIi7&`WEJ@2R$}NT^L5LP;IwD{f z`ED*rttiRNr-zG?Z6evdnW?F%us}|U&&f~EOpZ4+G&2D?JcmJ_CSgs$POAAqHz_}* zav(f{Y%$5cDXz@RFDR}o)=$pQEy&DC4axV!5=V(enI#z@ztJd;NOlE{{8^d@nubWF zY1Styz)>ASvTqS-J~y!>Bfcm#F$WZTw9jJb)=|Ud$myHLB?R30$aa!nAHn9;D67?= z6LFvjB&W>>s@p*IPFiLW#0U!FB(F3#wJ0+=zPJROMQER%FfF8x>yhJ^#%T)43&^&T zpQ@k}J&?#G-x#E+4@!+fne+e~2A+2S&1)lLupk2i18AK%2!rmW0pYI<3=AMVk&%JH zmyv-%jERBa3?l;r=)UW4CI*IUP%OvHzyQK)m>C#Sm>C#AXH`kCFfd54GBAM7DSF7t zz|h0Wz_5pnfgy^Gfnhm21A`Mg14A_j1A_qv1A`SO1H&s028MN<5d4LcfdPcAxfmEg z_%s&-Lmd|bLmxK-gAF$W0}BrXd-E_bfbcXP1_lrY`3d9~7!6{B);fbQXiY3k9JFQ; zwC(}MN2ft+4?+7t*D)|KY=ExE0-1%bj#z#iBjg@Z(7C^$efOaI-9_-23%c7KbiNNS z6XZ^G(1a1l%^-Jy&Nl{~TRew>fdO=O_&f#%2GAWZpmT&lceH@c2nXF61iJWd4FdxM z=x!j;9dDpJXFzwffzJ8{-LVF`Lk)CC8t4u*&>d%>`+-3B|A6ji0o^eMx<d?fpWOq{ zovjQE43D5^P=L<g0j-+`t%nAkg9AES2(%U!<VMh0H=y;apmnLB^`@Y8q#!qg&QSoJ zO#t${6ZCFhP&xzcH2|&O2d&Eotz`zSw*{@E1-TXEPSAQ>kR70~K(`09rWV~UP#A&M zn4;SUIu8J}w;Z&86tpiCW;bY00%)%RHaCFINCB<W1)XgOT7wH(TMJq<3t9^cTH^}Z z3l17K0PPP2-OUBsmr~Ebz|hFRzyR9+2s*R6oq>S?v{w(buMV^a4z#xov=0rmR|>SB z2eeNIv?mvIUOX&bXG7z4KIjf$1_lPuxznJy1?5Lj{DR_k73j`Y(4F!O3=Hd`X>=0< z0|V&H=dBD34507>#Wg6Nk>eJ0cKZ>~J@X6<44^x9PB1VqoMd2NIK{xga2j;SF9QPu z=*;#D3=9mH85kI@K<}sroy~p|boV?11H)Yg1_sdmP7fIv7@jdOFo5pQdBwoM@S1^v z;SB==!&}h(y$lQtpmW!e(<sP4uyhLY11NpM`~gd+p!^1MKYDrvrAd%`&oeMEfZTSG zfq~%?)QvFrAg5Jyx4dUyU;v%%{)d5q;V%ON!#_}F2Aw_4$iTqN$iTqL$iTqH$iTqP z$iM))#|Lyz59l5q2}TA6Nzh%Tj0_B*`*hSn`IwP`L6ebzL5q=rL7S0*0d%f9=ss>! zMg|5mMg|7Z+36OH3=Ecx3=9s83=E+2(VZ9>7@Qdy7+e?`7+e_{7~B{c7~B~d7(5vn z7`zx67<?ER82lL-7y>}|D1+`v2HkNCy2F@}fgzfafgy&GfdN#8fX+EjVq{=QVPs%P zWn^H;Vq{>*W@KQ<Wn^FgomF1K$iPs}$iM(Pi@cVRfuWv}fuWg^fdN$RbTTq9^f59p zfX)*KmFH6#85m}O%5p{qh6Ria3=0_<7#1@!Ff3<eU|7Y-z_6B)fngIP1H)!U28Jz+ z3=CTt85p)PGB9jsWMJ6I$iT3dk%3_!BLf5IZ16*j3=D@E85oW*GBAM3wv&vIdg3f2 z1H%PI28N4_3=Fpz85lt4ecxkbV7Sl7!0?!nf#C@w1H%hO28Nf63=E)ixL-3eFuZ4E zVEDku!0?fgfdO=e_7_G5hOdka4Br_U7=AJ`F#KX<VEE0*!0?xmf#Dx$AsG_`0}B%a z0~Zqm12+=`1L(|a0VW0pK_&(UAtnX}5hex(aV7=^872k>StbSsMJ5IYB_;+2WhMp& z6($A-4JHN#O(q5gEhYvAZ6*c=eI^D5&^<(;v#3G)dO&L#L3=bndoMtHDnNTBKzkrS zdmBJ|7C?Iukjq3+IRz`5U}YevTmqFzuyP2w3<8xuuyPN%yaAOnp!119=Wc?|9s;d# z2c2mNI@<!YhdzUWfdRC~4zza;x%>g`GXRxApnEVtXZM57<cF0zp#7(yy`t#l4=kU8 z&aDTPGobtlD_21GNWjV#(0T5#{0S;wV08w1IdTT6EJ4n*urlQ;Qn>;uOP)f@lIIKz z3@>n$DWE(IDpNi&Ffe>(U|;~<4F|fHi`2RSTYUtI7g*U2s>6`u4-|KxIt5gB!Ripu zzBgE%0jeiJ@q`>lpmR+?=aqos3UoFCEWSYX1?cPnP@R#-z`y`Hmjcwz0G%TN+P4qd zj}N+233O*t1p@;E=w2kyo=Z?X!|E6G_=VLopuO>+{pO&3<e)kSl*XbMAa{y`(h%rO zR%ACKy9IP^0q8sekQ+dELxJvFfw==@H?A~>ZYQXo1Enue@e0GpYm-6Q6($a%IiL$D z1)wy#JV*@;$Abb1$~gd4e*#LQ>x1z@G_t-54u}B_P#Po;5rL4PHX(=wqLK9(aDqe` z7%ZSPx;~g6K{T?y7f|&dpftKZ*m`9UjjV407sS35P#RqyXpaO)1&Bu07XjT9lK`dB z^}+TZfM{fW0?-BR5>OglA2gU5Ks2(x6HxURpftKZXfQK?Xk>j2phffy3=ADm8eJbW zxWL=Xk@Z>dfqc!t-~gr3^+AJ+0YoF~`v6t{14^Uog9aA^h(^}80=iIm1C&PB2lX}s zh(^|z09s7Uz`&3JrP1|4z0Clkk@ZP{He4|<FepH2bbV0gGk|DheHWnWZ$N2ueNg8! zfM{fW9iWZg3=9ktpftKZsPh>>G_pPi=z?euD2=WU>I?=DjjWFW)ae23Z-dh4`k>BW z0MW?$1fUzKB%n09KBzMoKs2&G3D9OPP<TRVbbU}~fSrY`?*>%;11OEI59$mC5RI&F zhBzdBE`ZYL`k=-!fM{fWD?ppbK<#)ajjj)B90Q0(*0%$+Ntl6w;Q*9I*9SF@0YoF~ zI|1qpgWA$i8eJdMIIw4s^>u&_;{~M`D2=WUY8-|>2j~Id9#9%xAJjMueG5R10|o|$ z6;K*oAJjMueLr9lpiSJ+GdIABpafJH%tQ9y2B^diD2;9(s67bQ#K6FStS<v9Q2?dU z^?}-u*z_qtB{ZNkx<2H6WXSeKfI2%23=9cS8eJb~uNgM`1VEj6(0xu&8eJb~oCKS` z8&LN>fYRvtlwq3C!es)~12dpBx;{|58m1N5e;!Z|1VCwYeW12DHhmmW4+ubMbbX-q zJ2rg>pz2RRX>@&{Ha|9fE1(|V0Hx9Of%*y9^i6<zd<K+8*9YobVAEFt^>_o6M%M@G zk6_cc0qXG`P#Rqys1Jip-wvq94?t;jeW1P7*z^@ZJzfE&(e;7Gb+G9>0Zo?|pftKZ zP(KTsz6Q`>1gJd$rP1|)#;dUDvj7chF)%PVKxuS+pfN6N`ZS;sWB{en^?}B$u<82% zwdV(vM%M@G%V5(d0F77)D2=WU)aS&e?*~*p12lrs^?}Ciu<3gMRsRA?qw52W^<mSO z0gc!KD2=WU)VIQ>?*cSpZ$N2ueW3mrHhnLif?Db@8OZ$zFdj$-G(HI9BbzA!8ax8E z3!pSeEleGV28~~WXb?s&mu^7SKY-Hc`au1CkRA|5);9sv@nv9Om;t5H^?}AoL3%(K zSziEX0FHryAp%OH>jU*oL3%(KS)Tx?gAFR@p)|TaP=6Mr2ZWLJU4W{;0j1IPf%?uM zJs^y%Zvtq*800@Fjjj(g_5soZ!pQmxK!eN-3=9=e8eJb~%owBxgpu`WfCeNP7#Iwo zG`c=eUmc_egpu_<fU181rP1|)#->4fKp0uy4A9^wXgn55qw52WAAt0LFtWaYTObhz zh6pH)t`9Vx08xS3o;WcLqVfWihVWo285lt0*f1WleGStgDm$Pwga=c}zyKN(fbo#^ zS<HZ_bb!(j9!w=<UI@lR*7pIb{s)vs*9RKk$EJ@1bTK;v1A_pRM%M=#U%{sD095@6 zD2=WUG@g%5U&S4WXakf+*9RJdgQ!4_2LsUHG6MsH1(ZhD2O0yyrtbw*{Rb$Gt`9Wd zflc3vnGls5pfrRBQ^~*pnj?YnkmDg?7DQzRl!owNDj66+<BKpJvOWpWL>{Odgwp8x zKyxwJ^j(0ezX7Gu^?}C3u<7fV15r5vN<(-sl?)7^@lO~J*_{q^Au2tfG=v9J$-n@b zqk-{|_5FaVXMkR2hprDauY*nB2B`WSP#RqyXf6nwzKr=0l?6~5!h@+~U;vFV!g$E; zR9FB}sR5-SJeW!b2GCeAjEAi622}k6D2=WUG*5+1--Lw_l{26;ga=c}zyLaz1;#_R z&tnlpWdM|h@L(z#7(nBVFdnkLf-cYq7fc3n-w=!kG6OVz3gaWw6$JEw#x;@E!`KZ3 z^nu1gVQP`-4g&f><EO~#VQlpAI?y;EOb$eY#_mBh2qT9JdVd97A85QEqzBY?LDq-f zUqROg8h-}q1BETJKJ@VrbbX*PYmh#WII=$Uem_Vaqz;Bb<J=%ND3+1+oq#rkFF<K@ zeW0@kLHf|gF&m%_;SMN`t`9W#3etx@j*0G0kb6MtU>GzO4`ZXa6FP8l0ZOCm1C8H< z^r6QC`nVLjKF}NuNFRDUfCrqA#=+3_fyU-R`au3hcISmokVy;-H=s1SKG56(NFN9z z>+9%(=$iqh(e;7mBS3mU7+If3H$-0mlt$MFnl}LH0byi)3_TEi98elvA84)tqz8nN z_3ePFKLDlC^?~M0KzcwJSzkdf#J&nBjjj(g&jQi|!pQnG`XKrYpftKZ(A*424+tac zdjM7c0!pLnLz=2U87KMyOGwa!fvyiU{{pfLgpuvzfF=k5D2=WUG;ajb1H#DqB%ldG z0ZOCm1I_h-^nft3J_Trk(16nD`attTAUz<AtnUR>{Rb$Gt`9V)1kwY-$ogjVL*iip zlt$MFnhyi%0byi)0niI=BcL?8KF}N*NDl}j>*JURu}=U>qw53ByMgq8FtR=dQB;-4 zJdlZ?xjK*@bj(3OA83vZT@Q#aKtLa8UJj%V9ZL|<2bwQN*8}1!5YPvjg9NEV#~K9m zf!4*K>jCi%2<QXNb%NBPV+#WMK=a?|dO&;!0{TF6<{)+G*n@yR&^$Z39uPl(fIiUN zJV+fnjv$~9w7v*k4~U;YKp$wX7^Dszqqjpq^)a#<&^jh$K8%e%kASWZG(QYd3#vCk zGz_E92cYW%&0~Yqg7OrIhT#Ly{CWaPqw53BeS_43>P-+0!xfVtCN)55bbX-ta+q3V z+F&w7p9Pdg*9V&KMph4FzksU$0Hx9Of!2b-)FRUhra<gl0j1IPf!1*#tB0{8rb6^3 zKxuS+pfzVOwaBypbbLVqN~7xotrtO74`bheU9<`nK-LFx3Gx~M<hbux1CnK6m;j|g z?Kh|q3?Ox&bty1DGTi~aV0;3UM%M>gONXo;#!grVu`dHkqw52$zk{horY+V(^f^Fj zbbV8i6(g|)HbC@AKxuS+ptXKT%HhlxQ1u_6G`c>}`arm1B*uY_5c_UGX>@&{J3Nq- z!<j2KLG&Gf(&+j?YZ2j!kr)#;L-ehH(&+j?>r#=F!<h|RAo^xNX>@&{HIHz`NQ{E5 z5PcO;8eJb~-4c>=I5S`yL|+7yM%M>gHw#yc#4y+n(Psgr(e;7W+9D~3GX-`)^hrQz zbbX+;k8s6E3<qez;{m0S^?{Tv01;TQ2DIQYfYRvtKx;;^Xk!q77CaJA8eJb~{TDWU zKcMOvpal@RKG3>OZ2BHR)xUt!==wnGTCwRn0WGjDKxuS+pfzgP^lg9^SUaFJx<1hQ zS#0_;paoU|lt$MFT2qZpp8~YN(ty(F`atXGu<5%2RsR4=qw52$jm4&K0<@r;0j1IP zf!5Pv)8_##=mMZLy1v!eG=apR1sw;JM%M>gw+m8+j$c64e}K~H`ao;a(e;4%7oY{? z4JeJS541iQqz)bLfEJK9pftKZ&{{@xJs|!IXaUIqE%4Cwf!6+m)S=@SQ1uMZ0uNmu zXw4+L9uWTlRQ(Sqjjj*0&Jv^!9p8W!kPo0Vx<1glZ*)B%{sd?NIRi?g>jSOl1gS&E z9?$|Z07|3l1FZo?*8}E53rG$qjjj*0t{bcljo1NIe*j9O>jSN4N7Dl4-mpXNfiW;3 z>jRa@pf##sg=oYJXgSTW6Qqg(ysizV1k?@z?LC0;k?9Li^)H|_XgwTE9;6Sn-X6wB zrcdmG*mD6&qw53h#XwdMV=veZ(YFFhqw53h-+-w_rWfpi>Vwkg`apX=kk!N36?-B2 z8lW_~KG0qQm|A3d0knc!0i}`kfz*N434>^KyZ~Ckt$@<#`at_p(Di`$3!oL;3Mh@P z542wZqz)Z-Kr6TjP#Rs|A#@E;egU+CtANtz`at_Cph{7w2xtYD0Hx9Of%amcXn=AY zpcR}4lt$Ng6siuLvhYF856JpJF?$SM1C(z=Kp$xD1ym^t6|fIvDg#3Xlm@Nyged^! z2VD8cVn0M*0F*}8hbtc$9DwMvfYRvtaOES8gAjcJP#Rqyu6)FC2&xZCqwB+!j~+nP zzkt%{`f%l=8_)vl0hC782MSkQ`RE2TpdUbKbbYw;(G6%oKY-Hc`f%l=1JHmz0j1IP z;mSuVpaH!BN~7z;m5(Ms19}FOM%RZcA5}mDx&ca~>%*0gE@YtQBV>J`6oD%rT_K<k zS3W|YKY}i|0!29(gZ5W|8E6FhxDC2K(B3YP7EoRU(J+iYe}t|Nv>yzl7UWkD4a4a3 zN9g)Md&od)(Z^rV=Vj3Kf%c1l^r4120|WZ}5xTy!AWaMm49MdTAR+YmBXoVBJ#HX% z$n6CX-vYXj$^lBF>jUj&0jWdBKVS=~pbMkW^?~-Rq3Z$hcR&|J9e~p4`at{KK<dzO z1$1Fk1C&PB2VI_ou8qL}x?soxN~7z8E>FVH_X4W^1C&PB2VI_op>F|nq0tH`jjj*6 z%m_nY1azTM0+dGA2VG`_p-%w1&`1JGqw9k%Gs4h!0;>K3lt$MFT`q*7uK~J1sRK%* z>w_*A!q8^{U7+LurP1|4mkVL&`v6t{14^UogD#7~(6<7*uxSI7M%M>j7K5QL0lKg$ z14^UogD!8u(02m5K<NUMM%M>j&W)kZ0J>l(07|3lgD!W*(DwtnfJXwlAO~F^bU7)8 zz7tUO7oaq{KIn2%41EpI1w0*48eJcBc_)TG3+MtK2PloM?=glBh`<M^`X5jlUEdRk zG7Rzqbe+ZzC=FeX3swiJ6F~d!z#KH<#9~Ove*sFP>jUlkMbiT2E?5H5w*pF|>jUlY z11m%$Dwaa@H9%=}eV{#oXj;JBfMpPU5l|XkA82nfSRoo=upFY#0!pLn1MO!<(*ouS ztbpi~fYRvtK>Lot3ekuUQ1w5cG`c>}zG*ZqVD5*N5c_^WX>@&{z1CobXvB$C5PcV* zG`hZbXzF3y6{{ioHb7}~eV{#<Fty0^0_Z}36;K*kA4nZ&-zJDg#~sjx0281zy1tL- z8le0F=t6)BD2=WUv_}-G6ora_E(Az`(&+j?`$|zXKsgT3g#aE<8eQKPs5*2?1G*5v z07|3l1D&mbt_Q?_09F42N~7!h22z9tpMWkPxB#Wm^?k>p2PCusx`1E@lt$MF+G`6^ zhmL1J7Z5Cf(&+j?`*YFtfcOp21q2;X8eQKnkRmKN1G<2q07|3l1MTt0qKzQ{x_}@8 zN~7xooeO|Xp9ORQfdiCA*9SUF0GmDq=mG)_7>%X~eEtBM7>LUOT|giJrP1yC4^o5$ ze}Jn00j1IPfhX6n=(_=3c<=y9qw8Y?RT5bA9e^%8I02>6^)cblw*tEGU;~s!*9SU_ z0gH7E6QBzZW<Y6leJnWatAH*%Xn@k_`atJCV6!g)y6_+aN~7xoofUyip9gf|K>(CS z*9SUZ0-HVq=)waFD2=X<1Bd@4pbHNapftKZP8|9epbHN;pftKZE*$z^K-GVM(&+lQ zap=1MU9fNiN~7xoow<Q6Ty{VgEF6H+==ykZ*tY<>U||K6M%M>A-vgU{9nb{}6QDG@ zK7Jhb6+jm(R6uETeW0^Mu-O*@U9gY<rP1{X;;`?B5^7HwSs$nd7s8?M4*`9`IP@_n z<F`))hdvep`b2T);~=0<42M4S@p5Q42^{nwE#e>o%tIy6$IH?6fzE+J)dAt5kMAPu z1E~O=9Rs4#G5R<=vObU#r9cF_CeS`L=y)(}To_p&NVzl)eHze-PXj28u1^MsJ^|>& zrv#Kn*C&fZ-w&vI2IvGRx;{A^`W`^lzkt%{`aoy!fc%M$Pe3P3FF<K@eF`}2+W?&~ z-2tW1^(o@eHv>9hx&TU}>r=v^uK_w?+5x4}^(o`fhdzD{?N)<>0i*?2x<((rM%RZc zU89e;BI^TLiYr~Ck9#BQ1Gy7dx<((TMb-zh4_CTQfDVXfKxuS+xYD%;bU-`+N~7z; zm97n-1L77?8eJc*bS(iL5LbZG==yM_YX<0mI0uwQ*M}=zzksU$0Hx9O;Y!yRpabbQ zpftKZT<LlTbRhizlt$NwD_x_P*RXvHpg0Gm7Znfz;-F#l@)}*ADh_?<<u1BDH5~fT z%Ryv)Ag6)O1q0FO7`+@s*QbHQKJ;=BT_5QDF?72?eDrb<T_5PoGLSlSj9w0+>jRy0 zhOP(1M=uA__37a7A9^{6u1^<-KJ<DFU7sEfeHqXNCk0R%U7tP<eF4w~ClOE@U7rCC zedy^Lwyy>hW*{wwAOgfe!|3T6T_5PIIW#R`E_yozSszFN=zKa5jgHaNC%Qfp9PUI< zpXmBPXW^mS1>&QpPjr2t^YcLJ&@p=YMArv8a}Qk)h>xB=(e;7O=>w@l$LQ%3T_5Od zKXg4HK6*KUuFncbc%skuqwBNAp^pQ)u&Dz|qwBN5p)Uivu;~SqM%QPHLtg}Rfl>mL zM%QPDL!Se5fszN5M%QPLL!Sn8fszH3M%M>AUlA1U=vV@}K*<0~qw52m$%w88#20`r zP||?X==wnCID*unV-Dy7B>^ant`BtfBf1_C{{vM04=9bU4|HB6NF6#xZx6!u-+|PD zf)ZD~iQXPW*N3a#L~qxj>%&!VqPMe<^?}@qtKLL!XQAuERd1rVv(WY7syETwS?Kz3 z)tl(;EOdRi>P_@^7P>xM^(J~d3tb<sdK0~!jIIw?y}1Co&|w9XM%Ra{-t2%bbeI68 z(e>e~H__8IY=0;yt$@-su6h$aU8C#6Rd1sAbCC6c>@)^h3SyvP^z@0Y4_Cd3o<7m_ z;i@;$(<iz<T=gb;`b5`<tKLLUpXmB<)tl()6I~y!dK0~zK-Y(>-bC*=qU*y|Z#qC1 z)Et1)==yNgn+u=|Y80RgWYG2Dsy8P<7skwh(&+kd)teR2g)t3K8eJc*dNTpKFs1-X zqwB*}Zw5dY#$-TgbbYw$O%Le8m;@+|t`Aqe=>T0A;{m17_2H^FHJ}S)44^c+K3w(Y pjabxiOz1XTaP9_;n}W_}26NDef-3U#q1y+Y?gE=mihacD0|1=iSJnUk literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..de1e1f14c189b8178d104e344edd95f9e1bf0241 GIT binary patch literal 21370 zcmZQT10|bSz#;-r`odWVA1pXZjE2By2#kinXb4a}1m^tz{~v^521~*iP+CBpfq|U? zg1`L#{~szm=&1#lAoWKe55%bzjBn>!_o#RZwxvHyuq=^T!yxNlc=qv<$BGf`oIG39 zTN>ND1&&F2=o~ueEYTr+tns|_jP~tnYEBZ*lRr)}xNcKi$ew(%e$~E}CuXf#)wAZ^ zt99$v?7nxR<4jM_59Lo6L+{#(i&tLX{B4ox9u1?wC-1qQdIt-hdT;n9MbI`Y<Eu~E z8`BL{A*}jYvz6ADh40CpcVnjD^qK0Du2n{EnYSasT5(T&k8$Fh)n(=!4MG(iO2V%5 zZj@`?6I;<~WO0cnPGqLWr>&3ADhX-0zJ8V<`Ty$4s~eXK+IBp2o!F_wo_~yI%A#Dm z2)BN#omSsW7O@+G9dY&D=R?1L&FlSC@^iO**X;@7H-8pYzVr(Ja{lb+8?X8%-+O-e zwfEW+-NzU6m+uNWbZ_h9kF7V>dh+eh<e1;vTpYEqKECqf167@TjbF>(ED!g1y=YI^ z{}A_lIXm4g^EZ0Gy)XXn0msz1v#0a7FzL&Q%ew|U7eB6iRZ+85p#QhLwZF~2xQ^rR z9xrcgH{U;h&Wyi?vkuFAo*?!i`SqLK&W~?b-V_Zq^t$-JC}U~(%T~Exhx>2#o_!^J zYMSd=1=sr9<s0sXv|e4j?Zfkoa((|JY4Hz=m?{_L>i<5JS6ZMNk<YT)J@mt(ZJI^* z*n`49ORId+u(%`z3aFxox&0NzAL_onSt$Ex*_*rdasNx!Fj*ge6JGaf>FbE+zHf5Y zy!dc-+wNjU(Zy3fu6e)h@K@XW_1oS@{Is9nnY}UjjKA#6=f~7vgr7Us%zo~9YMt4> zzc(vZ6o38v@wwmW^EagIm&aUo`+j!Y>xG{lpVZ!%d;DDg4Jmu}y!%CENAq_b>{b$X zUHA9czE-~1Oy14lc#-<jCh=zSstr|9u^s2m<?VK#@+h}7!iL{;f86gqvP)0Pv!1*r zVDhOeMa!1mqc*=|_Cvm>&sXo2Ui3q`%CGL;|3zQb?B|8&Zm&IVyzSWe%>Ik7tN*P# zDfd0P_I`dg|J3~Y2aPV)etG-mR>{=Oa=EIn!e_r*n0@}byVD<kv$S}9jLBTw>+|2I z3!K;gn_B-Vw`yN-!v4F;UT?Qst>Hhzt}U%?|IaICcJuyk6L$VS&fdhg_t$js|L*)r zyq4dh-+b$>&pB(*K6gulxT{*%og}@=4~e1k<M*DOu2=fx*pt@(W@(e>3h`cW76m7} zL($oHVs<aJm2+Hd^4Q~hvH6{&NBOI^r1tyWIG=JNug&zqiG3fXm+L)pGOq|sS#)Bi zevh<<>+PBiJ#wX9r6GIQMmzsun|pQz19RZq=XJ9$9yeq3Px-Lugv-S1KTKEL`1w6d zS?kw!`Th5;w+e_uLVKUf9|`Bj>r(%PT8pmz<o&sEPO#s6qj_g`il4f>_ilAWdHwS% zM{AZy+emzSdPXyce~OZ?yXG%&CK9#ncqm&YKDXchK%RKcgP^y0Myxh6l|diVCZz^^ zSgKibRN6&~ORqHUSj82ak54;4T$<VL`fAE~YqM?3bJQdLY4WvpJGZa6$G`vOtGj#s z7tP-A*h#JH&4uSiy_>h$2!G2yv3a@Kf^$Xo=j)!n@&9*$7nDRl)jps3=KAWZ|G9fj zpC9roIRHvBdi7P`3uEu^aO&T3T=~YuWv5>(R0Rj<rs(_xpFiDi#2!>ljl1Do4-Pfq zpPNs9Ph39X`;7%ZT8vhzlzmc~_o89jCriyzW3yj|EMRL~;?90L-uF;5nZNb{sH9k5 zW-WZaDWkxJXWj8bw}03C`uRSg(z*EU^L_Odc6Wat%U{F4uClM9a(4Nd@K@GVweRa6 z9u~fI{yBHO<f-~>={Hfcyg#&m`_T7e&-8tkB7YD6dz$g`nfls`uQyb${e3Iyt=_M{ z6NOJq-ukTkZhWMSk;ZFw--oiVPyT)}+xcQW)6dw?D(VTj(vRH4E8OR;Dg7IF)@sFX z)~c6WCu67FuQC_dublDa=|Atd3vzWj{!=7W+*jVMN?soR|I@tc-||1sZH#wdF8g8H zf91II@3hz#f2ZnwiI(;4eINhj0yo&ZM}Av7CCuiZcCnbLY<=nf$g{hWl4VXMZC;=J zoU@H>ajbm$!Mv~KmS-Atw^pe%iEs6tsQ&C})aTo*(*IUZUcH$0;)8C9y`Y4q{-KPw ze_mF3vtC6<*dA+^152NIo}H|x{chT}dFthYnJTl)f9*LG-zLR#;^5>gNPPT07<E3g zdh6`>&mDEklAq@PH(1B|-0+WRy4k}^?{{kM2y93;?y|hOOx*td%i8*%zZO@#kg<6) zFR-iqs$jH1e{x*irqIVnAFJDU9k&;^u@l{Gdh($;FSvkDpSwu!h~vT&`z|czTiJJ} zIAh(T^?xn$PFgJ5#<ug%g&L#tyur_ItP7O1{XOsf_NwUJN3#>2tZ%)4^o``JsC;F0 zE{Vi#{oM6y>MwQMJY4?T?qZDe^iQA9%fC7L`PCzx$B}>M%$`{Jw|~a=y{Ve#@6~Qk z`YRC?E>yW=#og%6^FeiXJ9o>^dusPl;ZI$We&F^;>F3|pu9w)jZ|SFR*B@riJ-1-{ z^}Tf!`PpvV_VR0G*V!zZ@A`bU=r+jrYf^L@Sa(aan6r+MW3&R(3Q3=&;@t|vtA z>2tlBC^M<ouMU0P+bJl%Y1N{Qo2!;c`_BC>R3~=!{FfJ(FDd`3oc7UYjkD|L$@eGE z>Pxtjw6Y@asQ+32cSr9k)QZaYCw*z(x!U7o!S1@Vf{!bwy$(>y-(KW*!^>v%{J*X# z{57%dH41)ne+#|75ca7|`}NzfKRQ<Tf29RquUc8EQ7FIW#Q94q5vR@{u?k5kdic?- zuK#}P&DdE{`Q`8T7sqebn3s3>7N7S~<A=|~zD<3Vo>|oQC0Z;0`&Pc*9Y#^1e`Q*q z`#rr<HM`^aqR*fE4z68r%eLiA;uF#LhaXriDRr)x|MchE8?T=Uem}UmXZbw&H*Zq* z?)p2~J#1G+CC8f+*O((rx7w+Gu<9&69Q4`g-{)#S^AGVy`{(lsObVQO^?hK4n)dQf ze{a10JAwJ?JH-?}W&7|w-%I69fB7s@X7OGZe=#CFb^h#<9b%@kx6UiiUL<Fire(i1 z-!(b+%>U%|j|IQ&yWqBV`J<S>JrArTHiy^<f8O>b&`(h8s=s_rX`LBIPKB&imEG&p zo7Q~|`n>tr=EHv`ELA>MtX&!X*T#WKf9m%9`XuT5={`Ryg}z5FKWF~^F#qa3iJxDe z`0l<|vt37j>i_7Y<uCquPRVZP`OsM6e|Guuhre$J=IPqZU$ikjA>eP0K!DB9v#+Hs z#QW40V~p1Q`F6wb@7lWN12$353!5Dt74LD5zG8ELy-MG-UiiDbxNSRMW7J=H51!=} zS$6q9_MKl;xi7>nUv*w*>1sRk!gaisXS44;TX1FT%GbHg4oR}NPSxIA`$jvuGyUu4 z=zHJ7tRC$vn)cDh#{Wjw{O)zzorM#!?%#h^`*-QHpJ5+mch!G77O-fYi=FBGSH+W4 znRZ_Jv-Z62wvV>TM=MvK?_RWX%ZK8F8E+)Lk6+nUlXc$rPW}Jq?+q<#>sPw2dw=u= z!zo3R@9*D!`x+s8rL*d7{RjTryMOQ2+;sg~&yD|rxARu@%v1cm{8w=QJUe|$qrh8@ z)}@IxP7N7T7P<EZ$?n}@|1aG}=9g<OZ_%6dHxtaOkKWDxzqme9+j`FRr2nh`&&&NE z_up@u`2TXQx6c>4|FF*K`?GV;T=!G<MFwske(ikyLHWd`^WPW#mpb)7SNd(#EbkN5 zw+{P16@S~@n`xYRVeyOUH?9`C^sl-Q{kE@>N!)Y#vFL2g>vL>QMlndNa9{g>Rg?Vb z$y;ybKDK7hU%j0DxeE6_lNR~IxBJ51vhB3t+P9@`eMPf}^Fh}+XSeT|I^Q{;D?jsc z)Tc>-(%*8gf0+BPJ@IW-hRIp&va0v<nwLhF74H*}e^d7NCF|n&>~$e;o*GptFP)U# zV0t@OSoy)*DnAyzONn34u*&Xz&}~=oF!y-$#=F;e@5n59P{gyR)iV5L)gt4obBaYD zJ0EP`v!Q6JaOEeZ+#-!%{f*mwdm6rTTYkH=>=v&OFDpN&qL5uvDb#-NXs(9;wORMC z=7qZ*@6E0|UU8uDH0PVoru#X)7*+cgZL_X<WE1gmn}g+P(f1|^4}z{wW|RMrAlD>S zGk5yOzdRo_mx{hODS8m}Jhhp>!hqkAH}3PLe|3&K_yzx+-*5G|if!8c{8g#T&97y> ztvjv3>9+gdzKvJs2b(#c)w;EDjnbb>XIIZ%dE)Eq|Cg;M@^^9|Iu_hTug!Q|@1Fl+ zoVz^wg5RsTsoHP7qLZdfE?;{<jWsLiV4IqxkO=3Y_UZDc&s9%9UG?{O-QL;lsz0`d z%uV`#kxP8%$G=ZMO`dUA=ihG8@~{K?g_mT09}n9QaN|<OoiEDkmTmf>>^CPd{EoKb zjx)<_@6G)BckY4AU;iS{<caA$bN*W*U8eQmdeGXg++WxJ86Dkwf_v3hmjsqg=hsYL z^K0D>W~Y6Zmwb8K+}NGz`}Ns%IsK#Sm)_#C{=9GT9HY0>XYZ)LT=?>-<ayy2D%p4T zf0uauSNP+(s=l+UCYu!ME8RHq{Jq$(A4m77$majtq4rPb>Z}{*>V9@#d;Rod{Oj*t zTyh^;<+mNSKD+16o6p}oQhzjgzf5su<1b<K$Z=QSAC#_gwEknA<-N%Bp^;zbn!a1} z+i%tm@yHdz^OK$DGjICO(X>+M-wpY~wzqGlXI?wlDt`IWGT~~?!_$izj}|;;7rnYZ zY%xD~(M0K``Hg}n?2Pm0cKE8lP5;w$IyyUPzkBQt*YiJ)mR*}{^84Jg+NfDO#Mu@u z-8zq>$Dz#SzIf#&;knaq`9F>CcW{`MC#<?$bj_Wd=>Go`r8mEB{`UN-|A#v&uYY^p zeV2OVkIoza1G^u6YtL9QrEp7#bb|Hsm5<ggD0>#)QGV^b<QIv1va!cLzbM^syKp&E zp<(~ZzPD#yhu7YXES;4m8z&I&oqoRlQI?jqk-gZ<g-3IP(|!c~KeO}UF@CL8@oU}W z#hxgc3w_R8*dKq2RqXNF_t!)AM|IoD+>3nd_qp<*67$6b@%)Dy&8-*Z+e(<+_`3J# z-U&<V8p^MI?zCAd!ce}c!19+&Z@l-`?40K^F@@SI=Kd6aH22w`+Np*)ufJchJO88W z^h%w7Gx{wKZ~Ixfbi-1~$M@gNkDSk+-fMld@~GL*rwntuyPY>R{>%(6`w{eimVDWT zl@;fgPmR8u9udFpkiz3%5g%83UEjm=G9=7)wX(MP?l0?G_C1|?)#u;r<G<hAi@i)J z``5L4<s0MUwW3Cw_dHb5TfXVX<`CJ$^!e)}&s#=5HruPCv?OwG_v)2zI?nx{CYSd3 z+P^(}N=&DQ-hXxC)Y-CQhr8PJzxJPp2Z`UE;PZl8`e)W0eS0}ruV-O=as0|(SEqe> zxMs3q?dtc+pBi^K|FTmG`+Bka_RQ(ID`oayu}`+R_p8qL>*}p94tH7~nO(P~f2Pg9 z4&nG4qTjtZ^fqUH{KlyzzUBG#JK?M9wyM@n*%$6~DorhZPi@sH*0(=RzfWBipX({V z^!?Ncn`WLA&!0c9$g#TaZ0*ul;nA0l3%}fbZll!!>(A#`2|xdv>Y;93Sho6mMS8FG z>#9c|`1bC9!}sSLm+f4OJzrld-@SKb|I^*P`&Kj^TN*3$c=f(K_vH&ehAm4!@%`BE z(D?0(KVR6za)0qLsrobSf0C>pi*`xxcVD`9hwQyDlbV(F5j^H~0fB$BOn((T;`_Kv z{%x{azx|^l;@gg{<&LnPlls@Lw5z^e_4S^TM}CEU=WX=G)v~>%-<Z7iJX(9lvM%MX z-o2y0TT6s)l|NASeib5CKW&wAPWt_mQsvibmshMdD1XNM>l`Qt$EWh7pKtD2zhlm+ zNBv*<({F5(i_^@>Ji1><SS9$T;J?}5e@6bd3y61{E*Z1t;<~oj^Tnbar-Qz>ytSF` z`T6_lQ#;QVSC<&n9DMrX<01VTPLA6TV+)xzC-i-YJCc9d^S2~wtXN)OZfT$MEcM#g z{g1*D-<|1yvAblG@T=xmM{l<?PZxgkIr4nyR=!`Cq_kY?r)4qcJhz$g=(B70?Yf<d z-f#Y&!}sR<itLu}YVR&oZg>>uu5-Y;q)+!=;=Rl-Yo$|4Y%8;8fE2jgpS{C*kM_IL zBTRdoUweM!`|P(kU(}7;O5}Sy@8rL7rM)k9KeFrk_B3cu?X&{T+VwRL5?2Zx-u9hG z^7+!wwO+N7zk=@C#N3%J-1?(cf87S{ybhVkV)^rxWB%;^81!dRx=rHreTqdsQG06p z3O>F+=KZmI-p7{MUu`E0Ge3T_JalxwwEj{3s$;@sFQt`^FFAVqIfwZFygefOUr74j zi2R!S{&L-eqg%Gve&O7Ee`ETtUoqh^ZJTWGiGIKS`CiR`m9x*+&EAo_iZA}fhJE}S zm73XK%PDNkjmxV@zv_AP>;tw-mpb`*_0%K$FTL1(PJQ1rrKK<Wze>s9C|&pKS8?b| zY0-1bm*&q`jCoai>lC}(n(BbV>x$pJwUo2FxTWIvlLtZ14^HK`nb96_cwJ@W=SwDm zR*7kMmKCi0n(~y1{~CWr;`OSa*PWS*N)Dab;rlS?^~qDLa%<!-99s8v$u;52M>_nz zG+%r1e4Y9ayG@>TKblT+K3cawUqWu{c~MFCYqnVlvo*|q>D_bST5|MuJKOXq|1i4` z335)nx1Xif-eZ{h^Gj<*`?sIMHTMK=mHP>7&zm2+aM5AmBXg&gAH5rT^Dozn{@S)# zH_q(~-qR@JF@NTE+w4bKN}iu>mv+q#obB)aC3eqq%f|~VoFAFBdfWbw+j-z{kZNo0 z@0x3sE7{*|d%t3({?)UGpR$}+ymVyk|AmoLws(GT>v;E~N8G6I#ms3pmgTd`^zJU% zG)ecK#v8L%@0UwTidOeTuP%A?IbrWJy=`mnM}C*B-gaE{Zuz}g%)f14In{qSs=w{y zx*ZFR=8J)X)$6{te1!r3!nWAYpR%tzKEL0-K~Z9##QBG8&7vQ>^)lMt9$A}TzoO3k z=>NN12c>_g`rS#8zSDYWn%j5pUxMMmk$(i$&u!3tH&q}e?XuuKtryECe>1Lo`2T;! z{Apihq6_<9uMQXf8@Z}<W3H{{J+Cyq_&v3C@-esGN-O@l+U{-tXIqcirlQT>_mAE# z39vHcn!lc3@9nj-EWct(!%w!~|6b{lti8SF?CJH-_Zo2Mp8Zz$+&*AOx8NnjNM~$* zN36SOeZIN=$!(#NvsTnc6@@R<$UQWx$t?8OJkvn#(Cag9Bpu8%%-*%7*IP)$aMQ*P z4OUP47wXE{2l<;$h)J#Z()`xEyD3mhDJsSzDvBe;b<0=&*ynew_wU|cU4HLgrSbLJ z{JiuRZ!FW_otbI8zxdhxySIH;PhGk(EPHj@+7nrtk*1qg9Ld_bYfASX*QYKLa~Iup zIMnO5=j;wcy$;*rvj@E*^|!mo8}2i1k&u?Rdz9<eU+DN|W;S2gsj8i-zdAn7RXlRz z#|OcD<EkF+r1LSw8-lK#*1hQ7^PWfX*s=EhirE*FV+5+7&1#;yDK4+mPG#Tr%gm+! z!v5b}9<x7dlH7~8v-2X1udkL^x1;fH-uJnRH*{wx@4Q!jZ;^<{I_;YeWLMplxv;LH zUweV-^{F`@_^!U=Ykbo6J#VRVQS3>!_W^JBbvYCrtqx_~{$$rA-g_6{?Ya=QZ}E|+ zr4dieSI*&BJUM&Lg4)<AXUyJxzW8p}gmYg^MBi8VpSFn(-x6}P^TFL^=dM&8y3SFR zl(sOwWP@CB?(d)%qNmm>n4fsJy0RwJra+Q$kMq{*MO?{sav#%7W>wp9R^ESD8ou`a z?Jl|75mIS2>M65cTZ(;~tfepZt7VhY3Er;B0oUJWn6p1?*yCF>{o3S&4`JCJ^J1<~ zPTV8UBl=#&-TH58^X=+t*$GQdb#Lu_pHW_z|C!MS9JGS>VzNI3T|2$@qWg*GlUvxH z?5bmy4|;mkN&Z8t(Fwu#Jc<t<c`M&bW04d;GH>Uzgr#eyM=$D^xG(9{)5|aa(QNVY z9SYyhn6hi9?!6;a+ahy+X*F}VO^7mo%#w=^yuG)saV-@8@rX0!;OzK-m9=#X-Yu+m zd^s^DyeaMm=iUiN-?@rty~;BGzFE*ewsPYgcI`)nAD=U=dn`16Q~XYS@q5Se_+-L& zw+sFk%%9$2usySA&Wai5CTG|5ANZ{^Z`sd^Uxpu^8$45$e%1Be;LS7f{B>pJQ=L_= zPkrFNaE<V$H_HoUQ&Rp^Nbhm(oh<Oytmgf()80!rKRkb`i#e<z?u@fZyUbfQrLPyX z_V9l9UvA-O|1~1^@7(qqXWMqYv!1;-zD?@B;&D$W$Cpy-vt{ouJm$Hg?D*$|LffU9 zkB=nn<49$lz?yF={`rZO{^P$!%@^3-3w-zPoGftue$w|on_ac{uAhqR5UJc`Rw(rT z=sbnsV(C53bEg;nRdcW2<l8rSM_n}=yXp4M$rk#Y2L9LB-UnQNZ=rA7+&t^{5l@?N z!Gw8hc<(JdR=%R_`0u{-fZ*cUKln=Tb6$NH@LaWC{OPZGxsFGdJ=ql$TGReVF7n=A zg`JOVnd+x^FV}3lJpIu8!yBxR{Fo-edG%e(q64P;1ofAk>Tb1LxQ1iXgSi))Gt3(w z9x#)9U*TWO(P8JC^GM~<U3Q!HB<Ves$>p)^uI#T14Ypo5ax5=3dtS`jX%UYrPK#O@ znUxv)tt;baejo6b@9Vr{g)gPli<UhpUYZ>7{Ol|lPzZIDwO7sWFMICosM~Y4YEppz zy4MQ76hq^5zHp|xPDq|(p!Kgt^j^{Y{S$Y6?47*slIqTNDarLtbAR6QU$y5(#&$`& zW3Cm&YY%!y>Th?G7kZw*jQw2UqNeJt#~8M6oc({o`ptK<-fV5u-K8P8CUfVBkG6?y z0?CgL3*TWi_p<!(>~~l89373+Nw2+pw^?7Qu3b^4E;41^$KDDRm=jWEpV;qtnX`S? zoz*r6cN(+bJN9nJdy(2#48`}3u)SAV|Mv5L%M{y*TKd~gmaX17L;ZTCWzY8qiu<-t zDmA$BeUqo0YU0_`7v6s@{NMSz|Li~ES9|Nybzgf$-`)As#otRdLVC~RU5f&OZiw;y z;fp91mcApL^`I)w(H<81MJL`jdi|Gs<S<$1xT|cH+3)*>Jf-(}uIBOaT(8u$ir_!5 zDsI=mpZA&SckxMg7asH6a$9xM+1#8*)>nR~^$2f1AM2I-NafMnrZqc#kL+d=|GJ|f zz$|>b-qwS=-EYNwuYBiT5%lutrns;cyC?qyo~tWAK7RYb+yL=?ADve1xuJGj_I~_F z-y_;SYxa3RychF0x@3c#{pzdp96C!qH=bCy&z<vYwco+t$DeRqw@}=kcj8#ZsRL;f zIR5?Fy(!?a=B=~$zC2H!GsBQY@7eNqOD||o+3GOu>!k0_*S{7?yiQnArv8K@e9yyh za0&8=>6F6pTG5UfnvdDfAj*iUeLSUiIltOm`0`VFRlL*I=trJLlWraVaotSgo%hCd zypP|j`c4X6S9bVc#k-RSSmbU@XE1ycaJ_otZ2SA=z0+Bjx);T+JXz6RwJ!g}d+RyZ z3U|hc>CKqec<;#%KaSZG-qp`tboW%rZ<hLZVZJKYr!ENBc-^A&di$J>6Xtvjb6bBc zqhgD+oaQB&=^f=<K7H*;5}KOgmQQ}@tySOi_H#h5O~FJ>&7JF5_8t(gQj|WovBt*b z>pqcR?hoz>ynXk2=_~dR%8!_I70fmK<~)1Kain~UwBt($*+<ro-ZmJ|a@o`9GLdQe zj(I6N82A_Vh@>3eC;VKM*;GOPqZu<>%%eSLJ}}D7X!mKYe|76ox!B|6+{Zs}mu*@X zQ&kgGrFVV(q_g+l#A|I+_SAjo*t)(t##_Jt*p5lt#rDUC|C_Y5G*;{J-gQlSGwSzR z=vm0W?>Sv$ZyWmbk+8~2ZJQMz+6pD_Kfc+Q{qoa^zS9;g$qN~;{G57LQhb-#eT9SZ z3xe`yq#s)-!F`eQ;?n)%$J^bXeoK}7%C=Nuc0lIokRQ8_n}5yPe4PCctMyc|Uni~K zS<XGNd6WF=loy+q&Xm0ApQ$Xf;se|Nq?6huf!kJpn9#EHvbk?p#JYIZ$z0lDIqs9# z4mES-ON8HS*&?5u_-o0J$8Yk#?T_|4^>y`=MPk*S#~M`Dwy=xtd-w6||1CEkGk<ED z>s9;w=@rv<v!mxh7W@zm@p}F3J;(XeoIlz!E8{*IKbvk}b9c#!r@umtUT=6P`{!y$ z;mMs-_;0-06WeCidOjk9;oBkouGc(vSq}24Hy0dQb>d+BF+~Y(Ua>Q4YSL7$@qWC1 z`=nib{lryM=Kf14+!woCd%^ilF?spg;XmL1w>@|C_C1NmIktuMig~C1X`kNq?{#?o zjXK-UPcnM9zpJf#I{WBX(K?Ow$>3lL{kNvN=H&KSw)<Y@n^)JIj+f}IUZ411!uaUE z*EW&+LcSzd%Icn8wlDY8s%P^P=Nwa%<ksbhsM}caPP2ctPV|Z`tCEcK{`GmzZT@lI z=6UUnzUrSvg>x*{FY4bkr`$jx*mT{l>%lXY|K|M|_ono`)WhnHh9<qO1xgp6GFz*= z>Rewx$+J~7T6BHe*X7qr%bq<oKW1h9*{krc=K9sCH&0cHP0jh!Z5nXpXlYq;z+<cE zBk7y3FW&Y2{Mo4V?iH?@N&<o%94;(MOoELa4lW8x7kpUiR_OU0?^BPTI-Tv)d%Jz^ zsXLvQE}rY(w=CrEgsS4>&;3sR53cDwWxjgO_1V=Gex0o)dnYZCQ{UNL^X)_9?{|N$ ze3^LoPs0ysk@Iht@ZPHZb>d+uZ{l^kQ2(6WuBn@2bLU3A-S};W*W0k&wtAk1$&aJ0 zqPH*gabG&+=B=Bv3~yV>R<=A_#XR@PQHlHOucbH!Z+f*#H}aSF<dUUFww!-6F;w>M zLZ$55lvI_|>%WDDEe@KsIdEz0(&j1hUrsFQ3!T4f(lNE8zWn}mhU-2_<(ZZ0{1REZ z^3m7Q%kzQ@&Ys`)rE=S|DPQ0A-7)_9@BIDR>+0Xu*hW2PuDUWmRNkil;p6Tg-Jc2* z;^wVharpMTpre8!ms_2DnP##JH!pcBES&uK8QblUk6|`hz9qMWqZN8hM86xzbq6h& zye9b2sb6aKzhClA{aotxQTq5goAU-?p_g4g+f7|qALMBrVz<QTwZHaC`4#G~m;9;D z+pJZ*x4*9PU$*+QKHCDVo93pa*+tI}HnV?MldpWSP({~f=A0R}mer56H@kmdRrcuf z_m?_*mIRmYe|vFt-OZP8cNcwTxBLD=ez)~^8H+#jPj=q>s#)v)e)s;WcMn(op7(yA z`PBbc=i2Xle$Dy(x{~sxpS17Z_rJHP;FtgPZ+h?le}7&6rgr7--zR=9pI=e1ru^x| z*=hFsUSEB8PCWn4*RS#acUFIN=8pe$@sa)R{oXIV@8-u;e%g5b-QWE0+lt%e{@;j~ zfBo<AP5phJ_3d}A{{C5fUj3^V-}7GFz5VlF{(0L^?f0%`+W)S8AGGbC!_)n?1s|=S z$L}urUCf_nU;pmM(RDowuSQ)giM-4`%Wm<@Nz1xt&Aa-gXW8dj=Q6C;X8K>&^cD6k z&$xA|<mtCFeW||s8NYg#dC$_zstUVoJnLMx)#8_1mhGK#>PBk4*nGzC{&uzX&u^TM zd-0Fo=i9;s;=G8V*6Il&S4EFWPZ52xvHOAW$vmwv4=<6VB?lUey*DZ+h)v^gzG4~~ z?C^5g0apjZMXrk_808meC?s4s!tQn^XsPN0FEQQ8GrHJTiyTy8<I(!TvRJ}bVQ%rR z^O<?`=T23zzJ1*O+}d5mdEa+_-@X6muZ?$&dG;QD|Nm#`*67X2>}#TbUfK5SjeGmA z{1vtrX1uNcs_gsIIeQa#y2;gN%|~yh`kOx8&RV>=|L~*61&Y6Og6--xR-DdTbM|@j z0=3<W{4W(|&z;j=VxV9xblI`;o01Rv(wm(#_?pgy_^|&rO0>E$^QYpn=Cw0D6L(n} z3to0ie&!@0%aUy*Y{=4WEZ<U?_bfp|TH{*kuIh+msa7o;jhYwoUkP65_PU_=`P#NZ ziOm824YwFXmnyWRx*Yy8|ICX0Z$3qe_J_<dEqE}Y>*CIscW1gD1}_h5S)CqsW`<Gm zsh-u#ee8^{*vh&kKDD&iv@C{C{ozy#uG_LNM5FSw-bAnPXV(kluX)R4Di@s~dB6Ox z=-15~^ru+{cEr72el`5v3yE$28UNZfcGW$Sl3%r&yF2U*WAOnQ19nvE)`N!qIj$DJ zigxiQue^HU!0!#N0Z(n^zH2)5<o%OTJo!=J$p+ydIqt1<-*NVz*f`l@!t!h{8M`ye ze-H3W2z=vQad*MC?eazc98Laj7Wf#qckZ+P)Qu0F-@ceS<xJ~|r4NsMV4n5kv+z03 zdx-`Ne{ZpEWxo<3am!U_<C@d2t%XhIPk8cl&Xd2aJ&yPG26!(#cIbj$QfF%Hz3X#0 zy;$|1tGu6Vz*focq}wdT?Yz5VX{*jV{`w-d71nkyL6U3|&3jT8+z?LUPITYQdsb}I ziQnn&8#b0tnqEAqT)D^b-erf679F}RvS-aqk|T3|1Wf#{-<NPf`{ZfMle;x#UROFh ztPtOloanxq<7~vWr?qzl*9q>KGkK5yL5VNhrCO?-ds=1JDw!llPXE5X@58Lell~R? zeKI>J@no+^OJBPLw{?_j#<5L$`u}*JY+9cVvh{nWM8lrV4jlI1`&uJcSQt(#tt_{^ z6?qV3q2w`z_e=s-f=N0&Z<9nWb%Vm`=;OD%=}kvdJ?kyiE9+(ZTHlL1yimzl9B0V= z^5~`;9~LUTzdxt5Y?@l7rF!M;!yD&qmuvBBE7N(Cv303u)kYn&niVH@-xicpsIXM8 z+}@IG``%K(iuKmU4U4Z%Q-hf>yYIstIj{}$IgeM};}dwr{5Imo#Vqg1Uy>l9qU&Cf z{apnVq^loBoUfK|@oNRyA-YiV^sYVm)`y-pO)s8QZryY6GxNSLtpePScRiXKaqa2d z$1luFynmgxJXy`%<9P4hho<|y#}4&{3C_|@DXc$`9iewNb}}eHw;yD9^0$rYy)_T_ z#*hz1exL67?Kvz`alf?Ub)PRtfA@2iJ$lmD_w6y9x%>Y<@%3N#9MkiRJChjy?CATZ zjn5Kg-|^cwOkb=J^|Q$G!0jiq{0p@!<?^?8Ua*sTr4n(MUl=KMKd3KDc#wYaez;u6 z{N%ExD$6x;yc>_QT9p5>SB3=1qtd_Jeb448{1TtIr>l69xV^Z`z1I!jYdSU>@aH5? z*`w3GNB<Mkk%J&#f46(ly~n#@yY0K#`#Qd-&X|7s?0-LylYbXWH0<FQ=?u@Cum7mF zHBm9oehMft*EUp`i*;UiESUW%x8=8fo%oTZ^B+8&^Q2aSZNYwhjSr8~RbtZQeSURY z?%9_Xx9{Jv-)c|py6(B4^UJV(mb=zY?za8|cBR6{t|hEFB(A}{_`%ap;ywq`&bIt1 z=n-DExFSyP)y{t!`wkyi^PIOKis>tJy7iVDCvI%aRh@REjbHS<@@JW|wf}wc*v~wy zbjoX=xj}9_^SwPua<gUC;!Q;ti()ARP>Y1Y$$Q|z7xGl^*m2RSWH*0_cEcJC39~~* zL8_*E?)-T5@P=J~c+1Bvr;aQ-{<l1}a<9zvnyQG`f6pZ~8f?3_{&xLM|Dp%QNBE{+ z``UeX{i<DQBIl|Nedk@V_qh_4?e{*ux90J!pOJl^YI~(Dt*)%!tsri9JU2(L=FaSJ zH~!jbe-Bq)(_7i|Z1G#mg1DlayVaxa6*)gWvg%>!jqhvj%m}DBBN1cuN5{H?CuY%! z@7J%Tilxi;75$w!ZKm-~`%fzlzi+?(!KO%N{qs6k+j&pQHa_mo$@^QuYi;rS{q#>2 z#eK{7o|N5SRMq@=5yx@q_wn|9LLZHlYoq$Cs^ng;*~5NX&FcTBpOy7x8zj#E{y4$U zv!PhAkmt)=#?>Exc39XOPjE40@_&EwXZ+Hizn7TU?~{~Y^<)Oy=f(Vgr&!9hwudSm z-#*pyo^s!*DHcz@{h0Dhe)gq536%%`K6-fW;<pvPozA}uR(PA#@4fWr`K3RbgJ<pE z`qrS^;+b}FjK55|M)4gFnd~W+VuHt$Psw~2cAso?y!pt->eRlx<8IG;-=310dgS9Y zqvIBjeniZC9Q5c#L5bD%=(ThG)dk&G8Xcc{<f6GN-xrsEbLYCsEMI=&q4<Lx(=5&f zKAYh#qde78OvpWMX0m3XPtdanUzu>N;x(#$J5R~@3mnhYEPh~_;@J3jduK)1(lg5E z)AfapE1#0F-R*W=QF@9coAB}cDVA+Q$4{S<2|e<$&FFZ<qZ`Z56bcr~1U=i)VzKMc zbNS2~x%TIV`wvvE>9g?jo5@`HVNX}8r^p^rfu}#;ay@#z{D^wxGokYfL@H0rYpQP- z6FA=?Qu&AJ_RF$IKjKcwyy)F6fAa0tSvy`$*`ad&@DXF(RJoHMB?`}YPGsILI?d^N zu)omxLn4(m2^06(xXYZLYWYq0c=IV4(GRXRb^afB7`fL!s&Jc`%utvU`plx;A};*d z3^$n{wn4M58*cDcJNJ7>&vpKYcii!|`MO8HikJu8ar#)<r5||5u~6KlGH!<Z`_G@J z++Y4=N3%trrswtilZEPqGV9N5oMN$W*_lG|!W!?HkMka#&??qZ?lU|kbGmbJ*vw?s z!YkUvYgGDfo|1Xp@mMXj&-cj2keQFSA1T~#bo}&@i!07-?6xq|F0S#AsSkMeL%eX$ zvNIQVR+rdsaG$w&js>4a@fYR3#Shdp&%TbYv@KIB{1Wm^!bRrkDVf6^k9ku21dkYo z%zV82h+)*sWShd8_?e5RSuE2iKBL$-^OVf$j^uep$E_YktU0rBrp3F^XFrq+ZC0Jx zIN8EXv-pjA-+j&Ek~e~}?76|uUU<puo@!YoeBAw%Ol_xfz_TA#g(dITRL54Z6@CeP zW-;4h-^w$FQ!LoDi$jFn{bnZ16!wHalkk_Z4|=wv!$N9`rJC^Zucu_D3m#v4O6GEB za;TTgr+>e^X8oV;_4)jgpXN(V?CV6IiT{v$BlV~vZsy~vM>pmfvH#k%ph5q1=kvEy zDxcK-5-5}jc=m$HUa!^L;C;rAxjs_!yFKOQmjA5Y`u@*H{|xJ@xmI@@tl6g?Oy+-d zo3CPK@uba?|74YZeYq-PnV+K3(S2OS(o)^BeDZwe+ntkpdj1}1<KMbCJjh61Y5Lr& zo^j_q@0oXQ_iFsE*4Ap_0IK=+x$Y5PcII`dhUU+ehpQh>TC#u6<URSq??YJc&6d5l z$r@Z=R(D+YpDF%5{!jJ6rGNf_E9SUId%YXW6%RY*NX!LSGwl`EwLa(-Rm^r*t+Z6H zysvt1xoAaxVTCui-Bf+#_avtGYx(l;o&ekMUikfNu07i=_w+soE4Np;cV46-zr3P3 z2Hb9OesuKy|82*=ik?VbC}3I>(fEAx(HRSaltJx^?}GWQO#1)#F-*K3qWbsgoF{kv z_oQooxOecG(;agqP-E)1;K#n82YW9+Jj(_0@_ym>s&9`(iy0o&X_vM$dsvm8xkalc zwy^Y4{5j8i{hj4i)*pp39PjOxxwmyQ*u(P$-q*0++bw(V<#n+0_yyi`vEEDN%YU9d z3Dkg4zwkeO%euK0oGXw1Yj}SDDc}2RIbe6YJi5E+!0G>b(i=~M{q1?=cNf!p+rIt0 zGr|5=7k(eddT%OU{^y<G*a+_UzJTeyR{M5vGvu4%J)<A7KGiYr1%eJf&fR)A+FS?R zvRrwjdKT0By?ptPFMvWnKmL*IBq4p}M?c@B?_n_f;Rm)``$+WK1G|qsTnlbAK0Q*M z>9uEv^6!9)_kSz=V~^YRPGEy5qI<+YA@pkP@%c(D{}m#17qLbD<Lo%FZ~Onh$Fu%2 zcXDyKZ19!i-n}V0LTtLLSkUR&XH*U^@mz4W<W)(@ff*W^*{WBUNL+SE%6cQe>ZQ-w zvRj=eOD5ei(OqI1oHOgj`||rgZR?(Ie}Df_^>e!?^JX4?fA6#P_c`Z(-YY(D`+eT- zeitR_Z`=Rg-_4U}UU(pPOP{Q==izPd{<u7<y&<*3Vq(I-&l}E0Je;6CNm}yAXW_@k zY*%Vo-h1`A>-;Cb@;%i`5B|=16q{3VG`%w2;@)xP2en=w-{pS%Dmwq^+?N&nt_OZs z9{ruR`MkE>Y3tJ;>$I8f>1*6`Z40lNR=(}AY*)kg%%i(^pS&JkGwr+8@!yj<D&`07 zS#SDl&!f$Iie>U2P5SWorsGlTvmd(7e>zvXC*0-0Z`Y%@uRnMVGVlDybG;4U`$gVQ zoB}exdhg@CmQ45T!}bXCuK%I)zUKID8>V~qfqPyqSr6j#_H8$2x|c5czWA#hh|hG~ zT7vQYe64%s;y*$5am&76uJoYR@1veEh}$jmzR_V%(}|x?6mK@(-G2e(#_jh$?h{~q zKV9VgM^=y-`IkQKlVW^7U-RBkkeAG#R{XbQy7yf4{XOZL+N0@}vt{0QI_&vX`GWQ6 z?`Jjh!)vB(zxgrFK=DkCyFlgM+Q_m5aml!kk@v)oTdOkNTd#5N?)Bfly3T*vW_3@R z>Eo+^AD(x8-&zT_Y4+n>{v+BQZ}{6&*~?}<6rKNc@5PVnx*EQ_9=&aT9qhP&hu-|2 z^v+?=C3&F>-&c2)pIlxGGJMM8S`!2P--o@s${)#q-Ei>ZzqpEfhVj9BUcRmYDX}~L z+o$4QVg162`Lo}GqSo$B#eOly_t!<=@7@enQFr|JT#kx<jeDsekCsn={5OzgkGtsm ze>3;(d$f7a<bx-_@3-%7_%7Y`{Ra=olJ8!}tzTX;_$(_~Z#l0v_@kZ~C{X7-54e9* z@WZ|}72o~7gA&Q!Qy=e1Fuva|@_u$H$e-`8_LWDn?5ST_0STFGnf!E?J)n@e3=Yxz z?<>mP4*bqN`uo;au=<eWzh`n(#E0&=%ma#4?gvkPd<bFLQ@^z0_p)E0u&lfJQLeAy z`+Cv$6Tklkx#4^=!}5K{l^*<+K5BjOL)QFLVPAbOKF?kA)#%@uv*C3QzDV*j-TR!f zchM_{Le`B;AoxCz^-aa;-)isOkKe60o!htFUGQ;$HP?;LWe1PEsr-Ha$DXU_JXa}n z+TNdh_op2H>GzKwz20K8ac$pqYuWWZr8@o&(@(#;@v3Bw+-nOh*~e#|FJt=lYv=7) z`_GSGKfm)vpm23Et5V2;KKJOm6;}$T^}BD2)!$Wi_th=Y{r){0E?*6}x^4cS=GW@p zkL!Y*(>1F^Zu_sBIi>dfr-eJ_vshY&{jZ+$Pj=%!$1nZbouVD09il6yF_qQGmpuNx ztfFT9v?ql-Q#BHPKP|kjUw-uOCZ4Z;sT-}%?a{HW|Ch4nvF5~6H#S+BS;@}VSfG5J zBQoc&=O0JzrkePp?{6Jfsyiv`e!o&Y_KLT((Vg1suWm=)j~0)65E|WYyKnK&RgWKU z=jajTQ|@~5{Yw3EEz5u3&TA&V`|kDP@xH5*?=uFUPc~hY{`%<F#m}?7&j0=Y_O)lc zo$b%L>R<o3uU)RCer4I0^S0Bk^vzqp`k4N2{doUhpI%n-yu9+c-E`6O3oW-Uezx{H zU+({->e6(7|7F4F=U?lXSFC=mZ(jZSFE#IWtXHqSvbkEL+Wwb(&gXew1TM||#Qoys zb{A2x{9{~4xQ=jzI5N)UNONE6lGL->`Iu7QSDwe4(tboo<|XZr;xnE*wdhXXqZjR) zqnjr$S@Ncii>?0AgdHO1Cx1?OTX-`j{m*)n^c@R${%w`%SL&6CIln{BjD>xb<iU@H zOC~1ESv))3_Ta<<xz)$tEA-0fKG?wsk=@9U`DBN%%$;>+?N=8bzq()OtNxm}zsj?Y zK41TSksW94|5xYbkEB<cKV*2dRd7#tg1d+g>%5t=fjX7#Av4|Uo_xM^Tsyu({&-CL z&7TW=@AWs8>j`J+eAu1DX4lMY`TdXeoE868me_@s?2*(K&=$}x2-6OD`Elc&Pfp!x z=5Br#-FNk!ijRIh%Jn3;;e7ne)yGx!nw}a5+?{+=d-ZRv|CVq0A12T9Pn!45G2-R( z8*5TN%)1<6AYAgOlmEwlZHM_bi;ez2<nR0PdRof6kAJt#^<T)d?epf0=e8TJ=Bb}P zudqq>Q%>*MBM<f-wY2ZIc~ZkP=lh|yCsWevLuOmVG=0u|qWIkS!5;o?x8|%!vIy|s z>2B~ah12ifJrzs-#$w4F4?nwT4~hMIepLQvGn(|-wLq_Ztr^JNAb-2%o->N-3(w^% zD_h31J?FYHVa}THL$ieD9G@`pXMf}8x*J)=T;gB%M3iM*7r*{_{)E;!`3=RYIUat0 zu4L)y>|by3e7~Z)<$m^ap&Jw})t_hxch;&}+%KGC&-VP)fjQH)&M`l$O5Z=BeC6Sr zm-gNJ!fYw-S9jz4?cX<5Ef{U&-#=Kue=zKK&4xcbN|yT0Qxa}xTI!e1NiMj+Y@wm@ zd_9NCn+wfHzs`ALq25sJ>)>~;P%nIrdilRe{o*^n2Zo(L+4tG2U>)xP%?z9O`?ah$ z{GKLyy?EB+IjrZ{C)z)$oWI5*J~nzz@wUe?9OvTs4`^mw`RsMG(KhoKclEv3vd?`V z{Na7Fe%rhzw{x1Cn5`?i*Q@^x*Zgl~Y<IbS>!<Ukf7|~4mwGCH>*4qMU(2=rCpYh# z|I|PD-}Uz&ey-`;ZXx@=r7vdJs%?Eb_RSvWtXw#Mhy9xK#a~?g&8$%6zs6JSHzzHr zU-n7g@U!wXd+(p$CFj1FzxI#&=PUJdKlvN}oqGIy;6Kl2Hn%^2U~75!H(T}GVfTcU z!J<qX+n7(ES1@v1@BU`@$?F%3K9%1)d6V^=Nsfl!x8Ic=Lf2;0<y-!&e);4L^K+{k z6Xtwo6)Kzm)a3rb9A~+C&2t}IIe&CjM}=|Nf+bAscT%h_7c@?vo-Fe`Sl;>ns`j<t zN)@I?_hri-k1J4NE;zAO<^EjTch4qN2bizlu6|(Q{r3tl=kUmcOWw%-VpDCgk?H@5 zIeo>;lAgLxUC-p((+w)>D*Ck*&WDs{q;D)-bEjsi-1~cvKTXe>XES+z#<%D8)`d?* zJK~T0otNFP@R|LbWF3bo7jp0AN1gLmRJP=A+{|dEqQ3w8<P9q4cPZ^(Kl#J7O1^Dx zD`X^Z_8rb}SI*gb``{<zjw`c2M{|}w+uQxLhDq||Pu~KwgKbZIxK!5E+NW6Bx7$4V z6J20-xb4Z4uamf!#YddeS5UUR&ydcY<KY*lFLcYhK+eUjs7`*)+6@aWEYIh;Ou2CH z{r>HntL(aap8PSixSg>2tY*xfz8mLNuIPTe&wlazXYGdzD%YpoE@Y9s*|+^-pLF8t zyL{8;J1)6rvtNkMHYVo%;u9X{;+sCJ-3XX-W%4eM8(H_1qio|H-HQ5-C!IUH;p#IH zy=%^~Dl$gzqgC%lo&Il|qVWIegZ-@AZvD}*Z$9zQVXsQcHnI2o|C@TA%;7p0od|Nm z8~!!^hZ1I5eco}jNFZnRPQ9tw6_bD7E?rdle_iaIZO=cdTv~BH=EdQv)oc6h`nNB% z<19A+`8@E_(WrOj4;0F|?!4WgxU-)3t7k-b)9roRt0dV!&AH2y_jhmql=R%C^Yv50 z&VJ82I<H>GeeJvMwm)hsBdSlG4PX6sOU%=4$Dcj^EV}==#g33Fr)??ccJAb>WB>K^ z?S_Tx)Z@6zmAou|G}!xZobyMmWsdiOldla#o=aTWDi(O?;n{x`sh08%Zbj=Dp38zg zUR1O<{*cIF&6m@}XB^(cpVWLkaB9WUUx~Im{B#f2sVDs0@y*fJ`BSaSgM{ldes6#J zc&~<v{QB8j9$CjU_2(@7c};U)%go~ue}Ck9XYAuUn!aCKz%DXB>eY{`!rD8_`c_Z% zwLTX<YxS+C;j_&3*6(0?9hUO`|E2xm3f9lrtS{{US{k@#$_;7lf-<cO`%caOZ?;G> zT(M5(?|$xWUZ3UfZEFW-sE2wkobBPiKgXXwX{ljp&wQ>u!MW(3?t~5OXUYq5jtf0~ zZ}xuwi|4i>PJ3srzwn>2@X)+7kDuQ!^t8x&CTugW{=Z6Me<goX>73@CD~4BUCe?iB zl6hnIxjeSa=GmRT#~<Y%*sKpfe)UwEjr@wK#({FjGtP<IJ3h8%>eIbyx9-lT(`VJ| zyPf_ey>I?ubMnCRZC+2br|0jubm@P}fi1IdrqtBeuC3qy$hP_ZeEa5)iHlFQpY>wn zi;hcR`mYz{G*@!Ds_mAozt%7^e6?h$-IeHioU8chm&Biv;pdG)PwtYs+FslCtA_R0 z7mK{s*p+7me;Fk1)T-TCc|ZMpvi+w?pHmC|spYKr?BQo8>{;>EuRbo#l6}I&ImZv| z<TO)x{+Ed}`+4lNV(U*6KJR{Thevu+vFfYI1sBfn>poXL;c-sBVY9fI%5$y87TZLN zqp6kU2ORI!v%eR+F=5YYR<3PZk5nY@EPo_X^q=QW?FPl2KlS1dJ<!-`-^hIOFLyzH zEAPp(<-djar1Dn3f3Ku$seh>DapH{$bM~-%WyHSek8i!Ex}HJ(`X#T{ir7s%oSvRz zKYccQ&$e41r`?vD-G6@9oXy+qpPVm#J@Hs6<2vu6bJCxFMfU$v(J{R59p$%IuhN{4 z>*&d^cK5VZ-$cG$4;DN5-4v7~i}+6Qy@_63<5w6NH|N`e*G^ZGryn?AmsZz)^wUR6 zqbIvWf7-43@#g)J2s^v`ZBHKW`MF)>zSQTx>z*n{XY6wqz27KQGg<k;@7$x`!x-%% zw_kic>6bNEsLPVsWpmb>_-sAB-XuRJu8`I5TN)@qe%<w??NR%&dl8MB;~E#P{r6|P zpzb3p(d(+e6Ia{DC;yamxp(~Q*R=ZSXNuOj))f`S7D?xLob$V)zVPmv)f;7F&QCSC zcjozv=T@s)u7Cbv-X;9au-N|D$C~{*iLvua1NX&GHMqXVf0NZYN4HlJMX{6KhpJxD z|9W5e#>a^D-dT5_{#cP~Bj53hr)S;2i*wdj#D1Ext>#_IgU_)Wzn;GM!a645W4+rN z?=t&G_t$^f^@QQns&BOp1(tlpcU=z!8uxiuWn_Q7_<GW9#^BuACv|?Ozs`#>_`Wfw z>H+WS^KMtpe}5V?{YmxOfL|N_7tHlM#QFK}gr`qpdgjDTe{##;!*89w=Zk%J_s2*3 z#Wg>9vP<Ru{?;c?swS~mzuz=xkJyQmr6=cZP_*1xr;^wyX|ALGTg7sx-cD{a74_@= zDsPPEOv<agX@2r_spmd(o|Cur12#CbR;YGAO_NtJzpnbz&QQgCe!Pm0rlDU{-d??v z-+L5yR<8AqNZO&hJZ}1v-^V7**>g>mXXbs)obvsv)&JlBXp!>d*1-^;3JbU6ieU-} zIERVd?%{a>wX-L$>6X1n{#VWLPmSaKZR3Lb`^2u<TUF<<{yXc-ne#V>JN56^74=d% zFMj>puC?ZW*p+y3$G?Ac4X)MmznU-S_;*Fwj(_YM4}K30y<{K$=4a=|<oYHnRhjqS z|J(b2yjgdti+ROmw}NvGXGJwwLytY{dg{jZ@z0SNh5r|xiUW7wZx`@XmN%BG<*Z8x z4P!McKALs!e+cXQ+8dz0<##t3=X?Im<zjGIt$T*YLl5TXeXTs2UitI3#}iwIdp9@i zYzmDOy>Ui#b;a?y0j&B)p#E0PfA4$G4_3><wa$AaYyNEcst0##_wD;qSdixP_~_9k zM?2Fq`D^M~^*1ZL+*NliQtOG-N+YA*J7xy4Np}0M#J;GQXMD`5?w+qC_s`>pjz^q0 z|2*xOQ{6gl1F=t^r4x=h{o{U^tn=h~{x@T>Pw_nR$%_9~KNyOA@^9$hIN^WL4+-v{ zJEt9qIAPDZFN*8Q8Sxo<hV$dUAFipp%m4Xjsr{Mf*Z&$o1il?K=FE|IR;=B0?@dLp zpWUlKo%n^na{j)V&6Bjbda0%A{g+V>w;zw*7yMs1*z9?#!I|XWwJRUz*rxBQKXg}q ziOj29$rq=mA1nE0E_b+Yb@SQ$+xnH2Yvv?-F5Y@PIQ``E+Nx-I{oAdl#P{DQ`n+-O zA;shK`qp0kb?Rx-Y|CjqVcky8z3<C^ei`|~>T>1Nf2%I1><;<adMnUxZ&3TT<<&8Y ze6eQRwdeMKpPI#Dtv4r6_UX3mw{~&YFRY8vOJ7+OVYgK}*Y>Ja|MJzZcV6?$%(u%v zzx1AcaP8--;hiRSlQ;N>zuFhx;h8;Azdt6t)xu?e(9<W{vonkKd=;Oy-NWwTrmwdi zpE|4lRBTg_pJM&eOFw<ru-rEDT(0}<@=w3=+tcD-|K2t?{Z#hp$6qxLPSbt4<!H^9 z*L73$*MBqqpTT_J^u+I;q|~RYt4&Hj?$QnG*R9|3ds^%J2$dU~RQ8Ikkvp%m{NDD* zBDdA6Ue)AGzPJ5&@3yl?f4D`5ZT)oGYFgg*E1~VZM?1be{k&ay?)`V-pVl|$mkZXj z?fdKcXYt(UFYCIK&hHAy;jw%z#hhT<cb%zzpIr=hOwm2>kD{l-HDZs=FFLJjde77L zxz|3YUy-(N>vmdQb-KTr?eNZf|1Mt*Dd~Ro<MP_=*ZQr4W3%_xKIfV*oBnp+RmXF? z&!&HWTljuy?d@w9-|TOCZ?E<sd4g|;zG7UTd}t-ZN6#bjN_q$QXXZH9aDKG;Q*oW^ z$a2Bs{s-^dD$2RZ^iS9MuK3UHk-(#zKa9utj;vRXtKj`tml5s%{?NI3|6FBnTUY;@ z_WgUV$NKlL-c_Vr+>o5`{Mx!B+Zy|H=gR(_+u6A8VB<WyH|5LBzvsTWIl)hDw)E9J z_xke=+i%_9ml}Qkm~QOc&U<~0?@H>!k3Tyy?d{&!zZOENDwmjRqlI^732)E6cX4BJ z^rn@PN4K4bGyWcRH%{wn!`^L2F6r7b+se+K9sDw7m0e-{^#gxnrLDJZT&I@eVOr^V z_NzzMw@H)E^1ckYbbeZnuj1J!*{S=){@=Lfwq)z9Eow`)&fM~><kSV#=;#U4Onyd9 zoMx1n>yiE8@~u}BuVq}C<YD|a!`V8g`u2ssDfjzAgx-4meA}P~5@qmiUSG6H@T=L3 zGLuF3+Cm<!+xO2mcCMz$mW7HN6F)C2F}k=p^>)K~-`Ll=vjfZbW*VQ1U3KrngEh-1 zwJo3d{Ky9rX2qFGPUokk>`MRpt=+6jpjY>WxNhgYd%SyJ_MLOTba=|TbknUSOJ2t= z&-Pr%z3c5YF%hlU%^Njm=1tf)Bd4jX_fgg%L*GY-B=fz@pMR5@6?N&--eBIdzAt0m z=lNV+emh2R<*|@xsk3L|L{=VaQ~UDgj@%Zv%SYOcCh;!+Rxqbw$*iaen>}mJt~_S4 z>qqW?{x`o|=jJ1J5Qg8*d$L1t>f4HM=D%{y9Bgza?XvrIX`aN)k34U_#eE8zpnCIc zPgIsiR`s3}|DSFz^6(4^p24vBt?Pt#j%l+?J*N4It_%+OIA@QE&4-wzg~d@N)8qxZ z-L%!jq_stk+`Y)vVVvwb$3lMXj2#AHGD6?kv`Z3y9!vTlB+>1Dgm=2YnH>*>s%E6` zXle96RM6R?khsG|Q5i(IN$CYXnP?ERNMhPUq1_UhJC5YJ94hFPtdY_)<oA%!+o*oR zI3{WS<n$d!>RjeM6l#BA9P^0dGtYF3u9MO`kIXPH5Y#)or?I<Wquad$t_IhY^B*Wl ze0s?rlW_D2e+<jx4QzT%u04MmyC3MSY3zQWqf-BXNn7cD!NXI_A0FE2z3<^6%RLf$ zbBeim#Luy^wsAj~V{PMhw`-o*-M(*Pw|tO65oauyo8E~8gQCudM++R2Gz?-`=E+Iv zIkAXH=^g6VG>LH$2+G*eabfX=0>KlJ89O>O7F{S1+~~jX;iH`u^Bz7*{NB>m-Ol6I z*8SYLi$|Pa(6z1m{VownJ-aYfqnMh9fhjwFoa%n~h#e#$&kB;LaBK5!xGOBJ$0#f! zt;cvx-z<jd&Ab~0oD&Y-DB%3ScBIW)V26mbo@0Z$gdXE2X=y!15mmXJ5ALSC^HAeT z?917(>x}G6hmaZ8pE?(YtWy+G(s?H3ws3V7@6v!D2E5BJv@`9RSR8sPOpUdDi-CEW z-s)f8^D47+LRM^+NI%sx_w}B=C*>zEo7lfJ%9zb?lc7L^%1w!9PBU_N0v+e&@GNv( zlB2`)%-_I;_28ysM>|+Ir6%)4m>jg&;3;GHsA0m*VnOyNXO0Q5yPQ2Hz`g`Tu$((4 zz@7pkj^v34ThDj=l*3c#@I!|upRxJRO^F|lEV(>?6k5&L>I7V*ne9(3>TQ%ia`8~e z)fSgq5<eU@a(OD-Vs1%%;<>oR@Cf5e5W%?B@Cc(Mh%f{Zo**J|tHIXqitEkVEEG0@ zh^-)E2Z-1WBKCoZgCODvh&T=+PKmLdO`MP>?JOgZA?++PAyYc|k6Iy1*L@Yf4DsfI z+r<a@KjkJrU>DqOa7C9*^ZwBt2Lc-%FRCjTaU{-fSin)c-N8RoFr|)3Yf_oP9cR{b zf!b=7F1_Y&@0*-f{B002V4Ua?(!|N}NrOXJAeyN(kx5BuN;@aVrxilN0fC#8nN(d` zW+nFW1cpj@9cTGc=&)5e=vVLI-pMJEzMB><Uov6lX1|qdH8OACx|wHI>6`s?>ZYp8 z(fp;8-pyFGc*Q(JpRHlKOFbuAWo`Sk$#3qiThk<0MxLvhyH0bmztQQ}=WeW-r1pMR z)jYX{^B0`m@*^lW`qZ|!+)G#MpHo{YJSo+?G|29ma>#Sfjm?R-nD6Gxui>e%uv^Sg zrZ@B5yIVEOb<1we%R9a9!^!(aUfX7_`Sp0mEv_7m<2}iHZv^xPZc5-iD$&X^O@eF1 za<^O63|l4Sxxb}3va&t1e7kwc7OmU6w78zU`F8zv@vc34O1UDRu^0+2dun-l{`$P> z%TKp%w%NUV$>-NkFSPgG=s&(}$IjSG&u9BTKlk=0yKP40*TRo4^H$y#Uw-=X=J@H{ zyZ*jD`>58w+xgh8pE6FSTXq!Jl@}LR6&5;MJAXWO_ukx0sap%x*2uTJ*BlGh6MH^i zPwd>+N7GeH4_7Vu{MtFHJ?u%!_uJdno?3R^vM;pfr?XmXRO5k*-A%TQwU6e+>T;gk z8GGl#&-VRa`$De1j9C?Rd3%6h+qC?siJu>`eSY!xQPqJD+rB^k(51a!%lXSn_QDSN zgR2=`MBhCtxN=XaK0`nCZO*GL?h8X=&5KII)3(-}I&FN@Ong<SHUFl4ho>n&`||o; z^j(>dCv`<{LMqHBi%ni57_lf#RX)mnTBh%-v*%_no4=$i&OA0XFJtDC2e-=(BrU(W zPSZ1ZdFE;>b&H!~Z!h#3d+ExDTWvW0XrIJ1l?IVVo44C*v)%aN5s?4Td-B80+qc{3 zsM=NkZeILLVcUJqnxhMuBHrsy>GS6+`BC>|@@E;l@E=bs+SeQOUA|Kjy7qJ0yaN8p z(@$&Wrl0t*=k6Sv6N}b<p1kMi@3~Xnc1m8l^0H>CXz||TcGp9Hy~~t0pS|nX@joG* z?cXk*_$p(*@n`KmuX)enMHgJ!F~8h3DDT+?qpE59Ct7d&mr;Mk-udTRv$rwp<e%H0 zT&}Wu$LYQ0Ys{bRovNB^bbQ)0*RK}gI@_1JtT_9J>(`ekd3pPJ^)Urjdw;y#I?v(R z-+wcWZZiw1+`j&^v0?u98?39}ls^d<3^<v4%jfEMj@~6_CRtUlop1L4)~PIieWUZA z8~xmFFc^hqz11u~DtGa(dcFGh&~Ka8Uw*c9joDdmWB=7(-Nb%w+VzX=?UHG4v(B5; z+!x-q^jFZi@39*V&0jBxbzCL9w>@rp+T$$q!@spNrrm!mG2_|#YDblP4cU7W{+?WL z<lWAB$*WGkl{z&|C~kM{_WR~@{~Er0$1fN=<+pm)bCyq0;nxyft&7#JJJwsWx3jnJ z;G5IjtX#UVu;R<|$L97gjv4CRttkGpEc;JE&6-_pFV88;td_3hyRvr9OYfhJD`m@K z@0%Vg?0dj#{v#pkxcl#mU#{$*yY9V2GShp_*9BEc?g~BCJkNI@n-N*-Y<>BnoW`vk z)3s%)(l*Uhefs9@-P`s1{<3~QzvF-3?<a44rmb?%zH61AYIT`AEUkX#*3~uFWdEm~ z-=vZD{U^`l`ZKfb_y5kfcU%AH;zh-Oe7oyk{ZFWU9A5frcf4lmtJSv!+CN<0eaYTn z%jA9E>;JoN3=2PB_2Z(WW(YG!R`~>}HH~xcuiGzgex~{6@ArRaJ?Ggm@7w?SST>pG O@d0z|nZNrU{r~_2s*`j8 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..8263cf052992a14c6927ea5f343d14a02121b4b8 GIT binary patch literal 24802 zcmcDuWdMT;#@M^%TlU0Me)|}>IO%v_?VB4LT{b2k?~}DIyRt$!S;V^R%?$`&YVw35 zPF7`CW+Z5Jdb(V`_qD3_`{rvs|9j-u{Z7kIXe+LJo;W{w@9Q(W|Nr0rF|}%L;m4w# z)!X9^AOE*2Cw!kfU);j$U-nk@$}in>s7$N<{bIiKivJl;^A_72HvA^H_)hiyPfs6h z`pS6osIgs)$IicwpSVxk`srz`zp=3H$AnjxPiw8Wui5kejq<01!E&`<ZsciizaF&j z_l!Mp!EqZ(D=Yt4SiZAU^bcPkH$8mHY=5oo>s9<K4jf%RCA#ku-*=0@TZ*11ozk{> z^uhSl_HK>y1=WE!-%k1c<XigF!=Y31Ep|wKou6EP<M6|)Q_tUc{O8R4@V%D*?~11s z*Phltb+!M>{94bx^;+uj)7ZboKl&pDGHFBjUP=2uT{*|@*N2)t&cD$UUqAU{#oUU! zwcfW+cmAE*`*CAwS>=Ihn@4i*_pSZM8Evuo%KOJc>^H32KQZ$awu#?)aMv>Q{v)aL zI}UUAonKn@+<Ml1#`ha*^PUQp751>lJdm7jVc-9&I_4qc@8_ZW9&)_jxBBOr8;7s` z40->UZTXJl>~n6cT3^^E{bo-5&lX#o$AY)-U#<~*^WYKFEB?Y>WtoEdQyX{2i&h-} zyXx?>y6efaf8Xsg-jmpVe*N#-v*&B(+1gB0uQ;r^ZijrxjXU{ScMA4PZrpj_XUF5Z z<i8G&XP=+m%l_^;V_ZQ;xA%)bsXLBY^69PIb9A@-iMcC}bN8)`|Je53{>q;>-Yf4P z)$RM(AUr27>Z|Ix!q)H81MMF4{p_*$xA4>5H6QtJ9QwBA&T+fn+#g!_D~?L)eSei- z&>SuE>1+P6XLUOgUKhP8uUqo-?MJ!q7Kfg_j_r}&cTcq9sH)!2U)PgAe=Rs58P9K? zvrq0_-I||UYHYu3C{8_l{kW{(#}57<4_56v_D;vV;(+kHk3Gfv>~7j$tT}U?oBg-_ zwSP`A25~KSS8JRvbg69&zmxd+>-OVj@%-UA`|R>6l*|9SeKw9?Z)@}UtJT40uQR)X zKYtaOy?(x_+|MezN22~a_Dt(L|7+zj^ZWWYEgt<|%`p4@cBh>9=)aQp_Iv$4S=b+) zV>e&cZ2tMb_gCpZeVtdF^!|O{=XXc`70uCCUsqQ)r@g-Z&D$eq?PZ>Sw3oa6Yk6|H zy5Ikw7Uln*-Z<R3`Kv|w|F1VLcXroXpZhyszS^?z>-*!CKje?!^Nabvyy){^kLI)A zm4(f|FP5DBd*Wa2ukZDje{XNDmPz{j)!@*x*NJ^+ug_+hz2025tn&ZYiUZp7KDK>+ zoniN}tfq$3?09%magC_iar1=Y8fCNN+kY?pmfv^&(6b*kIeq#Ep8epvArs$r_M_<y znfUIrAJ6W1R3ZK*e){W=+Gq9m&D(Qax^F{q?K$yx1&zz4HS`Ogown7>*WtghyK~P& zf%pHsKSyq8FE<a}+n+t>5ubcPjq%m%KN_TS?#KLWiM4smc>Dj_pJ#3ytX%L<Al%~6 zKiw(MEe^596}GR9UbXKyZ(L!&`J6jmU*G+o^Yi-u<9mDGJY-bgv1jflk?Msp4_U5P zlvh7hF8k18o%477G5L+X{N(}l4cszG-}_D(%RH9J-(mW-JgByvea{2Q^%na#zxw`v z`OnZBNB_l6Ic{-?Gp?}T*6w=y-N?GT8GGz6Z#*viJl*cx-pw-Aho1dN&*{7WkN4{A z-|L&t{#|)kxAtUYXue&(rrG@MT(i#y_nv*8dF0t&v&7GJo6jx#JNx_W-m|}T4J@xK zn^>OP@N>_z8#Qs!IqTBf&wh>B@Y81fjhcDi)35(Ix8vTAp4Yu+pW7aM_Bk%;bKQy! zmG(S0?(n<KVXv}(t8?tQnAvw``Psi68_xcH&~Wyz!r^Ci7ZQr?^Ed9CfBwdu^Wr)0 zo}aTcu`k|#FS&Ss-v`O>)iuZd%FX^=+IjZxuH(=C+9Z6gySHJd{F`$pe|MhW-G27( zk>k(mCM6ZyTO}0RuiALCzWMCmG^>-(C*QG~{w(=jJD=J2!veGay5D$XZ-26T@*eAx zzbDt&p4>k9j_vkm>BqirkhDLTe&_v1$?w%Ue_h^Rmol$7Vmq(klliLc=N5l7&Pjg0 z{1o$>hXVZ;hq=!ce%$^lzp&R@=Fi+uthdXjhTnPgx6*X~j4JVbi(}sw{bSj_;|TM* zf?vj0`7Mqs-}}G!)7_sY`}%cl66M!h9C&KK;(vqmoQEIer<z+F)V=q2@+V2_ii5Ic zIcM{aRM-4S|LVTufMMBx*UCobm`9S|D-JWS3;UYC<LK_s*>)HAYke>1s?GU3`;*A~ zkBr}U923qf_*VSYf5#EyZ~tO<)-s+|Kf)JR&~bhDD!W6eD$jQuWaWFmY|mlNcOT!q zI~D%s0dM(@y$aX)tA7;me^uXcK(p-q$~}h|*M019-}8_&{Cm*;QpMi;Nz(4$!{i=_ zwBOj(|B2^)VPEx~N1Wvr-B<TN5L$m@_xewNTX)voILh7k@tu9h{0CCgD-MaC`xpF` zS?^=V-gu4su`Z9Jzfab^lOX@y;=swjq4ft8f1m!)B)#W$2&{OoUs?5h5x98&tBq8= z|JVQeea9i@Z~vozwkhu^HkmJ4edCw>)!#b~YQFo(sQ!QTFRAn$2haW2oWG$x-6rXG z(ya4MvN3PYeR(b0vg4Q_-?=3=#|`y9v{~oOkNj$z_pyt8&%-Y|tIGA){d{`k@bCKp z^AhBjR~!<JE9^bJc~$)p-gyNTW>2rFn$$Jr?y=teiNV_9i0ru!9mO>puH1jbRDQ#@ z{SzyD#ZlvX@j;(&Z|GiKyvqKVu3tfk-cwb-!q&CL+VUUd`ga`P?Vb6DGupzs|5t6y zBZlhdp>+oo&w+ewJ^xj7%wx&&ih~>1Rz1H2_Hk_;l8^T{Kq@rx5Kx7tw-{WZwZbd3 zn24{Y=L(wVc56U<T)qCM&W(ddU9ZYNl5)2wnDX4>80)=)_P5<D_Z`=b``BPUCobme zyHwNtGSwCJoKHEw>8UQSI3l`Df9;-Qx^jibt}o`a=D+aw@~%0@<X3Djtde}If54LO zW8He2!*=IBwnXoF#5a9<=>I0^J&!&Vo%%lg`X8Y;kM2I5di=&g&UXc!?lBJ!i(avR zz}RoGchRT2q9%3yx^rSYclI00Bs@QLivPx8Nx8yi@jH(Wh5ql!-t*vr)~UJLS#^f@ z9`m~2*thCc@|{Oa{5y`kyc%-<u?&Ajed$x_Zy(=z%{t#=yC+G0`t^YNF6o*B4^5|D zw>ZdqFV_2Ki>%FKzT4r~YTVvDXkPwLK-}WcyRB27TO44WSJ-ZT=kY76SMi12<}$J2 zJ6~tjvA;?DU3E(M%|ns?9f!Er6@HxkO1`jHT_z@eXQ}8t`y0ok`8L!tUv1xUR8p?6 zkAL5*=$J=*)#f4l9&oI$IQn~aR^7MWkoS+oj#nJvw7V1WRaLLBb?<Bq`GOYrJ&*2Q zHQ84hd^LK<LA!T_4U=cD{MS%C=i%S8Q_U?7=+67tW4`BsR>1z^(zEN2R?FOVs%#0C zNq#-;6t~O+q4PVAOUo6MYJ>A}c81->wNtAtj@oU@TWoW*y5@lGx{oc=bMA)y71sOM zv-h^<_Vp`&w%j<#&G%6+q_!n{&SO4ti+y`vS=St}-S&P#%~8F39~$mvXVw{iE2wk- zs=nip=C}7t_8byi_p!@8=WgUz;k*q`Gylllw%FPIih0gM0qgS6d5QebD~{;K6|{GI z{N-Nvv8#Ev=Kc8S&)pll&1I5*zgy*B(CuyWfNS~pfWHmJHjn<=PJO>_@yFVnhn&AF zjxy@KU;2+Zub}O4wRV0%Q}mwQ{huU{R~(csTeteB>&92#D~<~Cy<cr}j9agu!2YS~ zyuyBSn+LD{t-4>(U4A{;jz8etLy_M%sumy@TMCe3OK#1bf4<OStGx4-a?E3y@*M|0 zI)~hU$oy{O-+-suWgpwv-^9g#p1raCbNfpBgQ9*L{}((J?JMXFw@G>}xN3eu^IGW) zkbf(eK>XVugyi24h=1L+@Bf|^-xIs%p~UU}z}lwhm`9A^7PV_$vBx|R+`WHkO}X<u z`x}S2zim9ee>s!Qqvn;zCH3T1)YqHdsG4&uyRbR^&SO^f{_wrU%4gRfu9mTPtZd=8 zIQGr4vN1O15##rYW6bC3qyI{;`|$4Atp5KlPv!bH^oP%RH0yKWfrHH@by+fx+43t6 zU3;IgN7DSpzRqWtliAh%gK9gBWfGs4PknylFsoc)yZD{Qk50adFYGm!v2op59eh^* znB2XBrnf#{HS-Ev*<&7mS-DESuupwY{j8$bX;Sy=Hk{ku8!eMC|CfNj#bM^JmVUx- z*B`Zf_u-%7De*UtMg1%4i_hjC)zgz-^-sdw;^4!>Q>I%S6uoB~^Rq$L<`Lg}reo&M zxu^TvUtVAS;4vG!MNQi$X1{{A^7A4064<xzIBYEUv5EhD(EeiXv+EC3zp;z1Y+;sp zEGb@bSan^|*Y7)yGWX3}Tyt3S-rb<j8|SUQbGZ7=W4YxOhuG)X`u{c6D{S8TTzh^& zNBW+G-(j=PzhhlhUeN1&=OM>&{gB!|{v8Ltbx$e3anx4sLs$BqhkF9{S7)DHe@O0I z{2H5sf_@*nv~%{ld^OGc&{1vk@X69u+w&mRaVm0kT=aGOj$^WXaSLk>8peHW`@a3^ zpEu#F?m^q&(^lyh_G#~_pZbaMcf}Fmdw2aFufK8V>FTTR!QSnKcz1m$l6Th!?-$Oh zW4)LB{p%@ZnFkW*cN~z`EBwj^@vhcWX}^!}zRl|Y>h@IbUb6gmi=)DJ58n8`T3^^H z{bq0E&lYE!$E>%@FVvLf2kvdomU+bIZc(@TRdLM$)@}Ef?K!OX?qfr^&12r@`QiJk z-ND|?e#+Tb&=nq&_*!<=`od=SJC8Zl--qliRqvgjC~f^dNG^fDz2b;uTtQ!V`Re^g zP2UxKkbBDA_p!@-&;HHN()Wjd)ypgFVW0EhOD)8^`BV6B99N$A;hmmT{ZTQ!`|IoE z%`FZ*WS=5m&}6*l_kvGs);o^M&imLQUh`wZuerM+-aU-$T|tO<?N)(e*L7C^RjuzI z<bLlst~u`mW4e5BeXsYOg!hk6smnZ))W5NB_Os2uTVBb=JQgUoIP_6HbpB&zy$ydg zpURdM_OtJKB$;pVf6K4CyR+*=zis$03G?pi;M!hkn@4i~7C+a#T3em*hv)W<pL0Gj zR9hTTKKG%iIHo4<ukO0S_GW#J_=2ABJrDodn%e*FUR7S$oow@fWqEv1ZD(=J!@tv~ zLcJRY_HOmp-#ZR6^6g*rr$Knm!@J>A&2JpkefOcqeb0l#?^oX6x&2xA1KYCw%WMuY z_I>Q(-t$l>eE<4?a@#8oT$I<iU(i+l{bJ1;`;d1JZNC-v2irVkKF9X;`i>*K-~M?( zV|U}T&A*ecTJJcf_^zPkv3(#UEnlCCNXvhuUzNXK{WJ8&;eX~+)h!Nb#uc`%&0o3i z7_VGmzxte>RomY!2RAMKr?THTtSDF5CO+r!qw`npKawi9_)!dt-Tlwf|F^!1z4J(d z-{RoQ_*MIkSg!l<Z#^t}8NvSjANAKRub|<u=TAm;i^IF~wevSLN8foU*<W$6{r!sj zl?x#LU5(`5`@!}7(KZiW{61wq=h59}6ZzNdr)>8ew|iIErCxL3CjZs=$3o^eW>59E zIAR>P;cvp(^zX`W|H`knJI)@H@LT<q^_xd>{Gj$x!9Vj?<-*{^T)zsIcmJ+^m3`-d z;P;9{C-1MkSJiRs{D$7Su9Xe8GLHn)D-K%f)h+ucc74a;gZH(e(d%q#|63kX1s~w_ z`yUADKmT7+bHGmSV@o;z<saJH3Vxn{^}Vo}S>|6iB=fFDPR-$8t@*G2;o5Wj-G0sf zf`(+9$4vP<j$A!|_5Ncqev4n_;M^-XtN*J!vVZGW?LVfPx8XbYQ(?Z3J>og`L7#8t z!po}t(6Va%l=6xv&yVh1S>IB(Z%@+nU%dP`YNtFiPv(FAf9YRvMf^XyvQ;_ev1mW2 z-Ri&NVA!gU)j5x!)Q8GH;Ay|{)BdUCw}L+RJC8a)UwrsxqLn>&+=}?ZjhC&?|6Odl zUuw67#pF*C$1M&@>lL1?5d9{1^!)#gwP|njkLcdBb$iTz<Iu~<koS*e*em`^pW?r9 zTykDPbNHP{pVqvZU)U@zGtYPD=ZLfV2dhDCSq^K9W3qZ5I=n$`*~d)$ptdY8d&T$Y zS?7Cf_aw@PKM$$zimo|uap#ol7Dsuvom*RTsM_YS-0l9$HEM4jys>%3U)ZVqW^Q<8 zpL5Iu*7AySyQ|-K9MgXHp)EQ3>$}IM`<cIOsI7a-SytG^9`jK0y2bv6U+ysvIe&|X z<k>ntcE52<n{Pv@;??#Y2PNMX_D$wqz3-^xyA8#HPmfME-B%yBH_G3#tTIX6`rK>b z_w%dfn5&n~FPk*~M)j}4dvh%+juqO?v8Z}hXgAlQ@>=1(IX^zUd0b;%I8Xlhwc@_m zc{eKG6~@iKQG2iO-n<+2{|fKTx2QP?R{RjGco9f(oXqoU-TffNCkx}|TU5L(w3}y9 zd9!fe9E<v&g?alQ-MHF$H(c)d+s?nAEZ+Oe?0aP)?=Q3em&JU4n?27g`s1qKygYL9 zb>HWwN7}E;Kfm4i*<9v%Sm~QjN6tz2fBtpk-R!>4-;Vs7-T(RDk%!TJpFbY?7~TK* z=aHAU`#yg?a`Sfo=h)gekB)q^?)&`e$nSEw=Vv?jmdifB+u2+$|NL<0;_ougFLzG< zF8BO&C;xlvbK8ZVpDoPW`~Sw%&bj<D&%buw<p;ZsU-tRm&cpm5Er<JMo<Ht<+z;0B zws7BEi{Cd2_x=B|v0XTQzQwt_{&Lk;g&$WwzVrXf#_7W0^DWOEes|+`=j`)x&p$rz z%dP!)<8J3=eVOOy#QSaMSp2?J_^$3JXxQbS#rOS1Z=N2x8s7i;!}q?ub9el_RVerW z-^R7}7G>p+zVP=Kr(1k0c=V>fulRd^zwO)|KPErE^ZysflKU3t4(H2NTNi#_``AXV zKlks;jk|@H*O$$)uCIIZ@W{=0ndk4~!R`t_zVrX}<8gj5zQ-$nyiLwm&)Zi#=lFfw zZD03-hJ*Ik&#|@#+tPk~r?ouDCyO87`Tr4QiM{2yx%(&D?vua&SkS%VprM}Ls`vM; zV;;+~_k9vguQ+_}=#<^|sWopN3aVEe)YQ{k_mAuPj$;Q^r}SGK6TY|M>vpO8`<A@Q zz4M5H-{Q#2r6Kbl$~>>A&wQ%;t*~8v&jZo*JNESa`d+{Mr|FHO592C(gy%fCn>uy+ zjf0}^J~X)7JUaaJ%Km7Rx<=hMadA8QnPnbG%717xj)`^r%bQo&y;xgoeqon*PORt7 z&&Fr-`@iovE;z5CXS4R|{RdR_Ha^#SU)aIEC*k{h(|_-@R(&t%6~6OO<al^!Z7ctd zW8bb$>A!JQSZ>2teyRFnX6M$g`^OM&apa%rlyr+jmT@0@)@HA&JIGu1FYxjAivG#j zEA0+R_HBHuslTD!+vbs+e#L>KTd(eaDE0oI=V#7!i|-sZ{PwXeI43^*EBl>Cg8UVS zUVaV9|GW9v>5aYL_ph`$V8{2d#dyynnd#TV>$|1zJp90RYHt0-ADs6d&s}eGh_A1( zo!jP-kbK^Xe{$j#2j2Bg$+tMLJM{C+!Y1=Mk6s;nwZ5P`Tjs9I&yHZ5#MjGCt*yUW zQJwQxj=kcL;<>zK|9GZb9KUyV>UN7GvT+}p${SyW$2|VKKeJA@tgwdr>hT{9!a4VY zDm!Ci9y0P*98*4*zx3bT^QLvJx^M1B?d(^Uc_4cI#;)F1(sv$key=!oGB-5;|L$Yw zH#E<^t;xT!UD@W5jQ)-Tt}FiWUEgv1;M=L|Esjaw+wfa{*8E!btJOP>a>^BSUAA7e z?~vuXkN^BmxxabL>3`#wfA9Vz>FMQR^$pT8k3Q&~GM@85=KZ@>dyewGD`*$Dc_b>Y z^!0uH!k?lyj=sA)<+#NG&UuBM<}nXny?Z5J(CsdB*Lmmm%s<TEHrDz-)hzqS$iL&5 zab7{4{@3XJSN>_|B>%s6idp8Nz<P_r*J?xNKW5v$<G8F}(p7zn!`fx<R@eO3K70PK zo?Ky%_?!oi>R#y=_G-)AiT~M<Z1ae>`v208Z`oJeJFe^Zv4P*BcEPLcIgi=i%P*-p zWH;|)%iI6gerT5!{7m@TzT==EpYT&lzk<ec{=j>Q?B91B)|UI&#?K#G|Hpn-e53Fi zJD<u<=a`4A{1x8|uJ-RZw)_9pf7Uq<e+aJn{*jUWM%|K6%w>gb>^2W2&s)?_`Stey z<sYVH1rxOR3wxCJJe0ZJA6DBKedi(LcZ=GdSK)IW^S+l~edq4qwrh!r{QedPwfzcz zM!#BL(3~w}=l8Qi*yiEg$5W%zFa6-#R@lyL^GHB`-hzKT;ugo>IaYQv-+3shUvXG_ zU0w9w-^ST>x@Co5T%H>G6*jHC9~k$@c3nX~yUjzvbpN3L?{uX09})Xj7Y>Pk`3+xF zuBPue$osCad-C!Xb;l&*HcZwmuXFzV`GZ`)#Ubv#!jB<e%Xb{J<(s#x=BVMl4{dih zXVv_Ex$1jCx3J9vk>%$DYFnja9)Fm5%6-lQ&iC_{-m#i}tb9YSwaf#-_8%W|zNYUu zU|ClDRF|)yJ$=su-t{}G`hG3moL#5eSNJ97DXU*$=i29*??1}%?>H`f&o=(6wfEzz zHxA2wEBwQBHT*|IcFz8QpB=F_4;iDMU#KwNR`^fpEBlV)ihf*ACC?S~%@x<)etzZO z+4tYSN%;JGu5(V^{)(2f@5SHy?3Mf;b1S|o($;=*((9t4*4R4xir%yD%eS9fTQ~o$ z?!C+RAIj<9`19|B=<NIQa`WAPYv0&sy}sty{qzIre`?us>gHE8oP95x|KUj8;?J4& z&)!=ex$(!gooV)c{kWvhzmJ-~`4n~g`0wluH}ALH?S5TzuHwMApI7t$$xUrOdw((4 z#-G(;f@bySwT{cZ?`P`YahUsE;YXpp^TX~u;BD6reHZ8O*?U8Kxqk4x1oq`S4vU)A z%Wpi^K7Dolk!SB!{chB@7QJqgy8madi}d*?jAr%rhDW5&H>X<omi{Zwv5m^x`15zC zY?<8RnuC^cAOCGU^<DhZpELK%OFlZuJ?{Ofwxeok-})oE>k2}&<O{mobM|^wmL~Sj z|G4i~{F57JJNI_WJ-^#o``jYlFDA~X@{`}O<Jxi?{_8zemizGT(W~wE*WNi~TK2KY zcFtb+uY&IidlrA!I$zLR{ARCzWq0wN#NC1W9<YE0a=Z)vybs^o9WImnpZS!q%>yB8 z`H;AWZ2T49qpRwBcO2X8o%M$`dPl{aSG6{e806*F|7ozE^Y91fDe0KUV(jcsjrBe> z-Mt_7F2?IK_XoN27Kh#mhsr-@6u<FL_Ni(fWN^cC)%_1W<<qa#e7Ya{?jhrEi`q?} zM7IBESiD?gePOHgoAguaa~?~+pTFwPzMf~3lh~vEgXJD^xK|uye`6o}RdQZI>-X~k zagXJ`->6#liP5~`u=c&TruRQZuKNC=rFM>ObY)NVoChl5agW%-Eo%BcF;1^Ia<6#m zd%e{^Q*InO_j$^1i-U~!Y~z12wp$$L&fD;v>FV~1BgSQMD{2nPmgz13`8IAzO@Fq` zL)POvG(zGZ2u}a;A?c~@yAKVIr)%)*t^XMOZudLUKHGT~yB`(q+ka_eyRiJanmN|* zHvSe~9&UN=?P^ekUc2?N&7NNyuM3A?w>a0FEmv*1@wx2tyIcF`#yr1qt+fBH#OJ?7 z-D^+3{B!<B&Hn8Ppa0G)wtaj4&)>7QX7%;Phn~IveDubj+I>v^HGlOBzh%|hzAWry zf3w%^XPfh$-@a$l56a!!8}`+1U167X&4G`vL*gGZ=WX~~_4M0U)3|e$EB)Twark-c z`e9o=yCwflJh3qQ**;ag;)trB-J(6mdF3|z4}2<p?&G=ZHE+Ux${hy{NpJjacvXGJ zamzBhb$br*=6!f4d@4HTk>L7@LqF9+_dk?;pSR+tyxr0}hi$(Ve#v~Qx$Yxl_58rN z$1>(O-c9jeHvjj<p62PVJ}2Jy_^i31`R;U0{*R3AH|lnNk~zNPfb_e94_sHjR~*wW zi(grDn7J(f%vZgsusSDvC8W-|3axW?bsme~*n9f=3i|`Re1$)vo=W-^^p&p<zBjAt z&O=uH8`XPXh1)#(>uYNNocC1horePLQ$KO&|48^`u65q0Pg`b>XJv2to#g*#PqEuP z<XvA;ZhUroP4_F?I|=gb`JwY3vB-aTmwSfYddET8ZTaWEKHhh2t_6Qz@prM~a{hDf zezLfK|J9Adou{Mapa0A4vz@<V-`hgDd+%;!R=oN9w%_*AjhcTSo6Kcu!oIiPmAzvB z$o8B4@;gVF`8NKod@8uEFz=JThQ3dq^_~ZE>G2_dyUO#gf4%EtU-oXr&v#i*t79G? zc=A3#Zk7zVA9ru%FR}g|huh0F;|touW%ft!tW`g|{um$My~TeTq}MeUr(c!+uCDiP z*_}D>g=T-gJA2M&%Na8HH_nuom%lxKro8{`*Mf~Z<qXY^PfjSdvDCBJ$F%$X)sJOA z8me>l`~U1p-t&-m_xB4m&2C@i<`p*G{jTl*u|Yeh-l4KL+U60j|Bhb^UahUp`XhPU z;=jP-#Nt}M8!_{5J&EZ*_N3y_vp?r=%&Gq!Cv|_0J^Sp>;z`B-lyc6+_nrNzy}`0x z*6jKE<In!Ay)kD$@9fXd??rz$et!P&vp=dg=Ij@l{W(1G^S_lFEC2UYJgD)v_-k<e zxt-Mhqh@_}i~ck)pIa@w`d-EE^*QVAzpLA{vrcZ?&bWOi3%kxff8B8Q`D&AAe`6Ah zYuR$vo$omN_2%99&xY5JAA0ud$Bmfz46|PsA9(gF<wneWw%M;QCl%MG<=m_1HhXXX zoN><j^4B%*H}14&v3Oe5a^u&ESH?CE?p)b^D*8^X&%1&@g_Va)=M{e7e7c)GtB!Ts zhTon~_0DZ*pX;yL|AF!NjelD{@hrDEel2~<b&Es1aUcIV&boi%w?V~+jgz0;xaQn< zcb-Mvi^6@?H#XiDUe0fS?t4F|$^B*Gsp@@k@YyavMHfz7BkF0FZzLUH@-0m@t z{*7N<Mb87J><?Gx)P`2JD1(LoZ@e$5^|E<*?aBPmdoyMH)&9@<bo0p#KhynxpHH>k zaa?iRz6Caic+Y+8;*O~c{K`A8pnJ2v=61QYADPcZS3mk;c>L$Tk8}LbZSOw&v(NY3 zPd}e?KXo?F%x^tgW1X|kz5ndjh>e!>cxOK@oVVcTSDE{A>haJ1JY+j=@n)*{jw7ny z_AT0TTvBes=g_CpejnZ~`1z*Je`mY%o5!rnZ~UJ1iofEBX<1!-WwZ63-?_c%cKl*y z*N>Z9URO7;y#Bo5?9cNXEdTSGJzszH*`KpF=Im#k{rS1g#Gi%#zTKF!pJVoC@#Nxv zTsi0BJJ0@nz0tCs+3b1#;b(u|*13N+1f`C@kCQ+D^ZfXZe}~<UW2SKh&E57Pd%vpm znit-^B|P2VZeN|K+4Xz_%j@|jme=#Yi+r7ZetPTK=ci5InacCeemyzi^FP0hJMBcx zt}j3K>{ri?nE9NuUni%|n*ZIjH~+C9`;D0S0<&LFPW=4u^v25nTW{Qvx5|0PFT8H2 zoG~BUv(F)C^S`@q0u3#G?Vswt<B#yq2K6})-@HDhedn>1`u%`=;GvFXpIFT+jy~Kz z<+lIjKiO{{B!s+s%*1}<Z}3xDzsh)D|LgyHwx`SNu6wreaJp=@ZQ<89k8RHM@2x(! zaksGgdE0a4=O-IK%TIiM-XiYJV+Q{p4dHVhemZ~k{sXb?7QeWk8rwZ!y<NZZPUXI5 z&mY>#6}E)SJpQ!)O8ktfm`8`>wci&uvcK^=WiIpJF1N{i$?A&3cI)=7{Rgfh-)pSj zc)DIb<3oeG&11>u`4_&&f8#ct&t-jM{gif#LxORIeZkLy6JGD072p1S$8p=Zk1ghN zYW@Gd>o(ahRDI+3zE3Re7Du_)ZTRXY>kl13tepI6t<&T58^_MgMjlYph0N9N3H{lW zyyp?``}j3KZ{`Q@?T(gt%&2ZryZe>*oX1@6v!615``Dqrry5jbx1KIvasN0kU%?N* zr?&HUtY^```mg7=FuT6>N&h+f{#ca96@q%T+Wp1bZOTf`_MZPUKXV_ep1P&g??Sl; zjdwQneeSLKp4<0dCBOIN=3<%e%YQh$n;X2d^xEb>zy7}|bl&$M>0*WOypyMkSKj~G z_i5VW`~Mu@75vgKs>!=*p2J`0^8diMXY!%;N$FGHeqOOZ{WqWZm-9LO|2@BQ%e|0a zG5^NBnSU?t-+1>|`q%eyOJC3YyL!(2@W<<~$bN9!?_2A>zxi%`@cw$cuhD7N-}bGp zIi|TT=jwF}tNk{KubDRewf*|t;>b_dsn2)pnQ-Uft3#i<9(SL3_kFAV^F>8XvF__O z)EibFd3ZGSHT$dWdW!5jj!T}?TUm4P-qI(3`LDh&o~L#$zN34-_I)4Q+u|~p<u}{@ zx4yc4`n7+`+ph00`8qxD-T779zkg!n-*ND0>eTZVJLbIk<MH?1)2AG_!zXa>c_>#M z9<(p<|EW#ili%ha)y#`|`p|#M^zez+b7Di|3X4o{{*}I}A3j}JCN_B8hFaaqBg)(A zPF2kN#Blujw6}BLsVly#Tlnj4>6G<54u964ntna_-5;-S>-F6$b~na6_;#$QfAj1M z|HY;3k4pdix9rs3|Cj!$&w2DI?NqkRy_k6)^d`LhA6DP)T(hI~lg#ezQ><+si?(kM zeD|;a+x2^Xeg(&VoBU&z^>4jvKhfIeA*XnJ_`byd(wjbK-90b%=JBi9r;=sryw81T z)4tPl$~x!oLYs$t`4+NMtk+-saZdiEom@fBX8W*tk6IpEJdjD>am?~vVPksCBiYZZ zKFD3SIKb;y&|Q4zq15*s$C>AS>?q&kx96R&?0UxasV9CjKHu@^@5bu2=a!#-|Muo% zPWBxSzJF7>@%;O@Clv=x*ZtUQYs<HP*=xgDRnLqQyRXj-zkcPT)TdpaW_{ZAG3vvv z>bZT(4?cWb`iJ@3$Be%Jd^L6dygo;tT>Jm(^OcJH1!eA6(=GN)zVqnr+^4MN760pR z-G8WeD*25;{P#$y`$u0FKYg%n)%F|j9zL~sl5@Y-qwmAN#h>hg_8%{kZWj-*ldN}_ zEAWfE=lfT&vd{Wc%imv<e+BQc^|ed5EPrqAWAoaI)Oyz2mwo<<nmtc1=l`0X6aTVY zf5ophn}a89L*pM#+xP71s`nedOYJ;vCs)wmF7t@fz2cZ*TtQR#oJYL!AKrbf`k!{= zkma_*#=F%oe`I}o{NQ7g)jYvy@k!m)tL+Y4tbNk^TKYlHozy+gcE9>w_>;%-$iMCs z?Q8#Jw&lNBpIWc`v+45hRdw}=-|Fpm{@V8D(OuUkUsdLB^q*(&?vKpX<vS`@*BrQa z`w36E#qoo;r;1k`Q0>clDmt&AcXGK#{)eXMnu_J0`0{rg|G9e#|Bb5cHjjk-Z&dbw zlDxiS=l*l)N4|0OPY?Zj?AzVXsjqpf{{LJ%$Hw6=cd4LRefrg^1x26BL)~*W&zGCM zU;EXj+PaP3-amY$ys7{5^3#lYJMG&n{x*E#Fu(J@i#^kh@3wKyzr2;-6P`YMpQCr< z&)qXtfqDP@(*6kC{1fZ*d*P;^)-#yR>c1P+&nWs_uJ^b7^t1O->u&s+_vy-+`RBvi zbnW7=DPNV}TwC3eQ`cX9vaERDE8*=oDwmxLKmV_2+x8oO;@-UpytO}adgzi(k?o<j zvp(vt`0n{v;^vyoUh>+rOG8!d(>JbL{7YtbsfXt4E+4t%&3u^&^B!KkVxJf+zb86A z+<IH}jWxkV5$P$vbaSq0p8e5N>bb_+Dp~f@%Uz2nm%6FGZaY&`lygn;=o)FO<XFM; zuCq&Bv_GG-mA$|2((0S@zeiimH!iKtxh84ZADjm=L(=k%{_du4uOIytO_l#1KFvAj z+xF@`-|{!srv6Wx8+52_^M7Wu-|;W{Z`dVn?ptZ`a=qU#={E-Z`DXv@^S|)NXtV6> z(txv#pUXXE<3HWr6!~1lF3{}ttfu=D&s+Szu_n7{{*pU$<k@GJ`tH6Tns?1m_r{uN zshP`P&fh4RU$^O3^|t;SYqDR>TXbhla+dMUFV}CZNxtQGZ1cSppA%lKUcRb+;kpB9 zb0>S(mX_YrSO04m_p>JLhD>~8Zk%@<bDVaZaNOtRnRb?T37gkx)$J<U+_!4+Ihpe< z;@76??08oCbnA1|s<v<Dj~Ql{MqHa+a_8CKX9>kMdU-qd|2%)?pV_zPNt^Su7u?%3 zfAQqMsuug!9lLAq{rmHcyyVU2w5?z2Z?KfVw)yJ(O|{0qlVh*><Xx-v-TCV5-=YI) zcf)_nJWZP${x#<2n%Af1tIsZtdHFeYbDnlpW6rhAR{bewe}35*x%}x~kDZqDY|UP8 zc{TZQzJK3+%`5S<-riXASjz90dhqeDclJj7mAxJQ>#WuK);nkN52ekWe%MNUv)-}9 z*zQR7&71B|e157t|61w!yoKS?@_9#pGoIf*&34bduzMTz(#$@m+H78@w&Y%qc(QR^ z*Vzh5v&X(~?x+85IJ;}kuM}CoW4oj_zf((+?Tt)-ygBdKn$<Tq>m6IOcw;1c^0l*X zBEA<LvzmFi)NoC;WwPv<^e=xKBfke3<rn`kH9Ky<ujRY)?dqIsM@{rY^R6A7qCffU zuIX={e!1^){qYB`%JWtYEVE1XK59?pf1+siI%ZGM&dBSV5;pfOt(#i3IZw52YtFTm zH`Y%)yK4&L>{5-pCx1%#ojcxg_V>b?wcoC9usxo3*Xx(n)3mv#yyjN^e{*lq=b$@o z>rcK8wGS3g+}xMyzqhi!J^w+)jd#hL*Qu_ZGP_jgru0+2D;1h%uOs;KgX(tJem<Bc z>-{I~Y1-YczhXDn1WRs+oIYuP=+4OYN5=l2>$o>o?r+Tx-kaUWetnI%rq$m1-`1IR zl4h^XCY{$Ze{B-<ZSp3)1qYVxKP0%`bUl0A<@1^Ks(l+HpFgaP+ZlQNV&L`r?w_R# zP8P2e&a2cbJ$UzU=>CU?m7hG|zEyv?jDL2i(lzm^vr83!Z-4z~W~svOD6`jg-`-C+ zYsLS1?xx7=8<RHoC09-_D*mUIbFHxFz1_L$oNI+9?*sF$Sx$LB_3W;;N41+Q?Iq1# zOHFdy8F^e_f4tdq{Ud3z&L0@Ro&Wh|L*(%XbssFsH$)y!sQ1`u`QJL{nqiJbZ)vSq z&b-a?_G{|8U&q(F<jJl2#Wef*uI>F=_b2M#u(`gs#;5X&!B_tqHrH+I{#d-<Sh;TZ zZ<gQBYfO&WxZkb$WU+oj<+|Pft`x>4eU2&rn)&pcvZY<lyw}_AuX<~EKJxuW%Q*ho zpC{M1eY{b3;F;xzso$&rWV|gu_H5^?hu*(zW;|b^Y<w>{r2fD%`{Q=&TTfQX?qBma zb;t2%mTy*t=gr%_&iDUhleq4?=_hRY=4<v_JleTVGWvYzy_(j?iPf!Vi|@3r*D^n! zdwlM>`IUaw|JLNpJKblmKKuEG=JThY?acnpy{YnC)qmH@<3BfVtUPz?*QLUJ6K6kP zlV0>$=F^ANtL`@9cfN13wAVE|FB={om^aT@Z@<>;&(o7X&nf&?ed_E_pN*C0EI<<^ ziJ#{b{`h_B?9cBTE6?5d&HQxt{i|PZCV!S$^R@ld+2Zxed==-`AAh#f`+a{%{KuFF za<~0c|JN_7S$O~0vz^|rUmySJmN##A%t`He+H-nu{{O>!OkI9s<-4L^&kF4lKHquz zL-(of`|EPEpX-_5+y2_P)aKglFIj!p4?g=-qI}#rzWHqNth4hceJ*Fx%9&T~^WW#^ zyuZh8n4eetx3lQ;oX0(}uiSIy6|ed4^i{61^K5b7$#|{v6?LkIo>?CM8?X8O<@S$~ z=Pi>y$0UEgzRLW(q1xn}dC?{NbZ0*oanG6Ot+Q|O9UFFunsBr8e{*lxJilJ&zq4|k z{@;B$^B&*+?~qr&;J43-!Wqx)#XdE>6_%@8@$1Kueg77I6W_S^i1obh9qCWG&zHCE z__y&}`u`Ue_aAodIc#=5U8Zi$FPY^%()Lev_Ve*o9Fw%O3ElZ$_^WzOd0}bZQ|)tS z>ppng`_uc2`x966pYNxhEI%dwuR5`Xx#)TR)ahTMEtKU8UGF`fw&#cSSN{1IYK-^T zub0s|C0oRQ{E75AyOqCKr$2dn{>uA0ugYHbPhZ|o6`vm__xSG5Cx7iV=D*m!(ckXj zQ{z?g9~$@kasT@JiDll2!}URObzy()=AA76zh=*?DeIr~>6Sk^`}_%;`;+$i!2ieo z3CB#>z53IS&#%f0{OyuobDwhGGco-Cs(*cZ4&3`0D*tzO(R1&jhTx*t&rh*`>fNLE z{^Vx;)%%YMSAKi{%76a~o1<p`Yj6GLe`@-Ezir>o_tUS{YvrFX|1@cjdj831n+fUr z1M1!9J!1Y}d-?X1?`bu6Cg@iv%>UpM_dn>ba%Jb-?pGC$<2B3gYqwh{ZU686^H1GV z>zE1r6-obP-<|yYf7$#$4dzAv>!(~_fAwGN8_Q2!cdwr`_B%QIe`vjT+{0NmKkc9X zdwo^@VdtHjb6;L(wcXkJwtHpWk%!Vx?#<X^G5MGKC!Xg|4$ho<eCjXTI}`IO9{$m( zP|ZKT=-<>m$KD;?YXAD}ue!r^jX95>h;C}m{$#r?Zq=QmqTfDzDSB#mZz8*eihsTH z=i4*ldxW2Dw_p9q_*uL5C(iaa<p-22E6u*TKe61VxA13cdbr%=-xdn@BTwBHUsb1i z{zUMvm`{DbTHf})T>h_s@9lesc@J9e*e?InbLZf1@2o#fZ;$pr75ZJX-#mE!=Gr5M zf3NP`^8eHu_UTV_Z{MAs`G4=q<UNA3KQ1cHt~b5SY_q}swaqE@PrWten&;GSKYo95 zchvjiQ@_vem9@KX`+N4w?2YIDZTg&Lvw6RW{E66a&u4#E=i5+j_tiS*{^PcP9dDog zo$z4dzt&$3Z-wW*T%Y^aUR8f%ai(m2P2E%WPXg{wzOhb8e*y}23-$K}{`2Ak{-*t7 z-!n1%%Tb&Biw_oE`SXW+<^F`--Fr_L*cN)*J)W{>=Z0U_pSZ3+Iq5p(`xC)=C+2o* zt)IX0qfXBIr-J-nzFVm1%Y5yBB6;p)bGPRF6X1|ni~rztFYZr8ooQKRo!ZVRpIZNM zs6W|V{^D=`yz?72R@%ScSh?@$;lG<p|1g@JXLhrwp7)7m_VaSS8+YQ1bKa#dUHSZ9 zY;y7c{6f!r$)CR_9C=n}lwABje&f$SZ|<#pY#wi)le16m?Z%z<6&BU1$NSHIu9|q# z{=~<}-xhyjoc(-y(VgvY-e1}kx4P2)!6_U5*64lzBR7;<e%(GjaQ}b1oyQm}cZJ`Z zeB46$etcNvjTQHg>HchS|HP>O<muBZ@}K{P_kEv!{hwFg^<Vp99`aqc_}lo2&DwrS zw9Ny)^v$2-cH2*4w|V&C)2#S|W%9?*<R4XyTesjBqyLxIo!{&q3$70j`FrA<<ok6C zem(PgawYtRy8pU0H4E(zv46U|`%9ha<C{C4zFz)#RsGWYhj{-gm%VuX(I+m(_3yLK za}%F;&8jcB`uNUa!Jlp4-_##ut*qYq_}{G=HhXs!P5&p6pH{PNdH$6er#+82(({Al z9^ak)lttX)=(p%8@&&ENd+Jwzk~v>fA2Z{ZxQ715o%7$WU-<arjXddi&#%JwKJR(o z5d3MDUGe_RGZpKD>brgGD}8&zH`*RI{r&o8-aGe)TSM+|yn9^u>toNq=Yszp_%^lb z_o-RubG{w^yJm4+QgyTQtLu}09p1Y2f9qS$b1Tc&FTOK}pS?V-X3s_YM^pFgTlcH{ z{k7*Sj;ve#(>h1rPG$FvKh>9yKl>-0RJ^};<IerdZrt%V&UrVV)$IFeuG#hb&2IeL z@N9C7|L5&D<Q}&C{GMa}Sst{u_oqsEUGVShn|Tkr{^sVGAKzcye{QyA9rx_V+c#R) zvCn>dKe_mip4svDiN$~H;wtBv9Y~jv{~S9bz2E&=d`$dt@k`SaUu(_r-#qtovBB@> zzt79e{w#mT;dl3qcMlu>zO8x6`qOLP#P3g3E4!mV{b_r({se!8B7feJJ!X#|{|zm* z*)6S|f9A&%!%F{qvpyDj&b#mOcdn#7|C4{dQ@=mayBF*GczuP!{Ehzi?)m&xuI%N1 z(mq>z{t4kb6LvR#nt8`iZT?3myQJr@X3hT^x3|9g`RR9^hlQ7`>-ohzyeh-LtunXb zYejBlZ^hdR+YeE9osNe;VsbxIpR)Vs_e(jiD)z6t@uw#1yPfR%pOsU3&z_(E?Z%%y zCl5b+?#*as@87lI=g+{Vv*)|{wqN;E{cPfcrk}gF2hF?m{K34MW9-I!_fD*iUTv?e zzoDEr_WY!`iHg_L>@9Zn{wm(aZn5<KuC<@qYLwTXtS<We{<4_=lbdg^+`lwG>G!Nz z{o-2hPkgsfi7)WCdpKv$zP-QLKM9pT`6xT3|A}JU$+y;8^G}rD*gNf+`Gc8z4s%x4 zsejdf!X7j6cX`;pNxLgl;y=3CC7-_h<817e{n=mktu1hvcPDhGtK7q$JDpQ*KM~72 z>HcQI_X<V*cgyNNf9~RWzkcmc*7ERu%kLjH{Mi=!2~^qHUa_C`U0v?P<!ZU-hWBFK zAHRRU^iJ}Bvu`KWlRq)apZHw8djG+jwNE7FlWy)@cg*{}w)>9)d&`9XoO&nj{?_I{ zDSKzKdXD+`<;-T^m&?rl9o%{L@5*D({#{8bu79<0r+x2@JM+_?3D30JW4Qkn<E;NK zaS89MtmL_GpT2(LB6FzyX4?arU#~S!S^q@3()Zrv{3p8ePHbjheg95=P|p8l$G!_a z-TnRb=S{~Ie>UlU;(Y$(tMiro$<ucz_sg%kqsaf!E6?8N=e(NsyRWnBnhh)4g+Kjy z`0D!!{vAr+<rm&jFaPMVFX{99tCG>?7k->ozMB7ui~Y&T#Z%bd*dLPIIa%&|^bS?| zLXY}>@lTH~zUn_=yyv^={s)Gql8esIs5#8}v&s9@yN$2>PfCO8*`<FR<~_R0Yg%`( zZ2tV`q08RwJoWeZO8ey9-O|6(Z5}`UxXS$fbxv#ZM^zi-KYu<THoJcRHUEJ6v)_c= zzkFB!%WU?)R>5w?{^aTo?qBNLX3x(*cjM3Z-lr+`-}1BTm2a2tNUv`$T>bd<2e*0l zfq%9CvcI_-@%0+}mz}1s?PYVW#sAvB_+M|Gxc`^xE3>~YVl;bg8vg2g&iswF!oBjF z@2=7J-57cQrj6(0_MG)SFVEl1yS8}RjWz7Pb>V;6x4By^-=DZUa{sNw&2?8QH~rGy z9DnDc{j9&&tmaA1{<=*0tL^RTJ(u=xyt}4<tKEv%iPx5k`Ct6OT`F0Yzv^R?PvtM6 zn}<1n&ilJo?YK1Ghjk(U-S(gT#v1-cKW%s9{@Js3m9N-eyXu+nroG3M|GvCDMgByx z%pIS<zn5#DKasnKdy4;!ntI!W`Fm>Gex3bpZfQ56{d~y0&Gqu;Pj;tkn*WYJnf|Ho z&YS%Q&*_@%KfL*B{D%K5?oaaS_SCbBKQT7@Enny#XY2k~^|$^9H#yty75^E(<*ob~ zc|JJr+y05mpV;pF`Lm)s`_r4BugvZB{Xe?A+v~9N=g*&Vx3^#V!EI*mKfk~uZhyev zXU|`&o;&$iT+`e>pTE#OPyE!`^Y_auROJhf{(SB8cdhCEKSy7!Kgn;Q_&t8n9>wxP z*L{zs)f`i<{2cVP{E6tcyu}|UPuF~ZQdwq#wSKtW1W<GGqX(!tS<(7S{S%M=lY`n* z&p(m7cR%d&?GH}-9?aOIdv*V$>pN8Z<CoSb_kVQQSHJbs-S!gsExq~6KdR?U<iDY= zAHTS6w#{+&Pan3Pk}U#-$aT&8lh;2nu5^Dl$@~VV>Hfp7ufCtCZlP3uf59E~@&ZS@ z#|<?{Y%7nHeg2!$bN275Ra^c~c*}av=fCvS+2`vU_TJ9^cWw3*^)LBPG%Nk*P1?Rg z?fktp|ClSg)QjHho-+Of+6eGbe9mNl3zhZvSN&_+bHuXpkJ(ez$`0$I-)~Q8f0B(m z$sRMg{KnqJ&!%60m45;hAomyUQ3VG`A2>k#zJ7lqy6xSPkCV$a<xhYD<b9akByfPZ zfCHreS2{RAs;BCM0wnVD?GIj{0I8k-%lwnn^e35%YrZGe{!6x*@c#b#J4)L>f>s+J zGW*$3`{@(&tMU`YH46OpD{B1TJ#MS{uJ}~%r<dL2@+WeY&7k$h?fk3ntNRzYysLAm zba?lmspgpC&yMO(uNJ@RKf%3cqWjuUGi(mTE%_NKA3SdYQZU%9g9d{zBp8-`^xihR ze*e`Qf4+Zi{dFhjxZ>Zh>RanS|Ml)H{`4dG)Y<R)3k`Sv&3yXo_jFL8<m{_|xuLrJ zto{F<UuVDTv(K6Edv4L^zun#1pG0TBk599iWIjK%{_w}}8@t+%`P(huquO8K@UAAV z(mn5CPfg11zglnek3D-H4$f|OF2&b4{x-LdKmEZ;t|suO)Bg7F->+0io7wkA7x>(( z>wH{2_f>Yxq~-Bpd3AB(j~i?5Yn$8e-~91i+^zd9XU~6Tdoy`@eBl0{KjZrNpF9ko zx?W~}zx1cO?=|nA2>kMjN6mip<*&+pB;|1kU2_7lP~6L;@le@6|Jf|A=oDd;Qv ztNWA1E0p{H1?=>eOL%WLYrd(*_Y>xyrtDGwelj{{a(exee+@QAg?D~Ge#-fi?Y89J z{SP{7jJ`hkI)8<|ntq|5Tzzb%liZ`eJOA1~O{r-Q2h|wXZ|;SEKD~eCzn(u0!bSh1 zPZbx5hd<HQJCSZP$$arI?@wIO-!K0-IbUl%DBb+GzxSQ1cd9aY4>dyt<JLRnTV7we zs#|c;S3I`lqOUoKD0eajQQ~(?F1m`x3V2Lm5o({1u*)NKpNXo9LKY8a!mbsCTKBKT zUe3>}c>MS0If<RG&7a@;^wIYE_a{fB%&*C(8@=j(QoZ@y4BjUR`y<nyFj*e8bCyex zx6{7Pzkz?k@f*AAC;b)pEw*Xzew%+RmWS(l?i{WAkiOIIxSeC)hVSzy*EZIQTOP0L zsVmU5lP@Zpnew}G=RTKwC295(`{q1*fBL$*d)bD5ubulEYvp&g*ZyX`Is1n73C(ZE zx;Jg#uzrJlqWzJ5HNNxwt!(QTJh|sxw72)Q?GwIlXQ!v$KXT8BZ=<~8_6_<IjNd#C ze^SN%TcEP3_Ic>d-8XihSlj&Mr|CbX-)7&0-_*V_eq()ue}eFv=7}-)kKS|F+nB#s zt(w1D`ld~U-G7Oj$M?D9CC}fZcm4Z@{t3Ttl>gWI&G6golR)+7{7vtZ^A*BxJU^lM z&H2eP_4Dihx5daO-go}Cq5R&I+Me3tSsT|+5Psu+g7w?W=%U)L+MYWWE_VCPr~PI4 z&9|w0@7HZ_+HaUoV1C2>gy%O;Wkc<czL@#R@(TSM%kNLEZLH<KX%{l@KFiJ{kf56v zV}A%7boZzKmH4e!)VKF<;~VWazA29le*RJY#PVC_=0Uq(0qe}!KK)(Tf9LSN*pvGj z_cqT-%CAwW7XHokTkxCn8{IdTGwovL#lKJ9S@-MjS?6~N_y4$jGh4TPg7X{q6TV=l z{0QE;ueG+r=HR~1GvCO*iG6ZS@Y5fu`KRw4t!vubU)xqI{hP6<srEzp&V9{$`(u*s z&pNTMdv9-!oaX%co;Qi{O4~R3|5LpF`-c08+BduZPpReqZC2E}_ox0%_8ZbC&MtoP z`T2?3H|;0nzWuE~{Z;Xs?GuUW=k}ZWH{>gc-v~cp_)YmqoBn)zS9?qDpZh;maQ>3G zdGx2^uY;9E_kKH_<G)ZofqBmMo|)-?=1fSu?;w{Pukidv`iXBBKmAzzvh=yfx(Uip z3e+n9Fx58PIr{b8nN9N(?mL~^IA1~9e!~9wk2CGu?CvLsfs$3zn}ql0wSGT{vb^v5 zE;0YSTD9=?*(Wr=scvem?Xx*#H!F7C_KokAqmQ3@o_${Nx7s(pBKGRVioeCaiGEZ3 z=KMzXP4E+*-}mMe?d{&%ZgX&-<@7hVZ$zJ1`}oPv-U-$>#823L`*^3QR{Xbs<-vW% z{cmjF#6A)FeQeLB?;GbQ<jd*Lw?DkE{V&7L-dcnCG4cuTmA`MyKdb-S?wi>sf!~Hj z9krb?iSuU^)wa~O+_7O?Kb<v2KKZ`ew+-gvQ)-*`Hp(Q=S6+UDe<Jsr-`pp^GQX)l z(JTD)vo-hWx{g}mn@9IKo!cO<$bMsa_ld8T-wZ!7{64p6)AoOA^Ve^j@r19a&Bmoq z_h$Hsxkpva?;rT;?eT4b@e>Klh@fw*McJAsd(EHzGThWXM@RQJ!_M73PZ&4tT=T@R zs4r)~YX9}^srOyWrcIVn3_oF6v}@0s-i<Nu*DBm}+!i+Fj<eo`;3oyDH~S~tovIq0 zfBLcS1nU#cp(*l8zkN?iZrZ!*$usMs+RitM?yFDQx#g|b+v#9-ZNi<3@OAP^yHD&j zO_f(Lzdp&vNlr2P{mHK%Z*6+7P#!hO`N=V>NybljDw|~1X#c+U`%}f*uPVP!BtK!Y zjEw)*%m1XNocW~Qrkx9)Fj%_FDSS7dwD;@6nD-WwtWSs*b<D}r`OS0lw#z4$o3}zX zg`a#Zdcv@1$ILg}Ddoi{d;6dKVY%rlm!tTb;pY9IPYgH9RQo4-r~FQ?+>@bwvwY(1 zXz#uWy}2sSPdKMM7T&pU``S0MPo9-c5`H3B)G3p%U;R0F=f2I&Pt1xI>+bBIqsTw8 zSvECZaeLXMJAZuS@_XJWs#~A7b9?trX{Uo(`P4f<V%D9X82jX3-X{JDbMLD1Ptbm1 zSafUR-oNFQHt(}1?LKksx~lsL)}lU{w~D{7xva~7uX)qm?AxR{3j7nL|4;b5cW>%@ zCG+nSWfb}+u%9Rl|CapZ+`dU~>rXv4{WkfI>$wTaPZH{HK0mRRS!Me_)$9EeYo9!E zxT${PZnMht6VDD#I$MAGt7Vb&&i5zx^}mr(s_ckS3_tO5;imWh+~v;O`xLe0$m?0U z>rL$af9mH8j+@pe-YTnppU}HlW%>!pO+Q;><bQ0+SKi(??T)*gGXKQM$tm%Q<^5Cd zm^^tN{@LQBTv4Bl^7sDfwT*A)DC{(x922j&`^3x6P5cw~&U?bRsdUm4!=lEV^E&<F z^K^deZL02i!co~YN15Mm>R!X{nETego0{*~#1`>aw#-pFo_?aP<qoGx^yX8KP0vla z<9KdD`-y{*o7PW=PWR3`r*^%6qV1DEGB=N(_*<+he&Sr^B<ankzlv_^o-<GXH^a@> z-f{}j;vRC!;wR=>KKXcvJ5^q3x47rI32(bq{U>-o33Fc8uQ#!_=gz*6qQ;yUwVj5` z=g2GWK2fOgn_=gX^No{TpYAEQl;f{u-?_ix&G8q<yFIq8oA6or3-1%fbI(tR7XA0K zydM*=Zhp<vkGH~4?sIytP;C*fc>Ki6s}=iB+%ugd&3*E*x!j@W2j8!+T>FOii&#;4 zqGh;(`-w!A=fzLXl}@@n|8&X3W^)z)35UNv{m6FRd%ogt^9M4UY8OAb##Xe?<=e!? zvs30Pl;=;g*%Nhc`^3rKDzmqrxVPVJQ)5iheC6otr|LR#;<R?kpZ;q3&Gw1ex3kfy z^6_fVLCL3P_8aMiZ>+a_mrax|_u!jg{DiTnVELQlC)Vy(xjp^#<KBt8Pn^8Fsei)m z&L=WA_qcB=Y>k<(Y<=Rf@YCN_U!P0nS4)T%eVLQ8yZ!y|`U!Vjw*AwvjP_64G5L&) zWn6eseY5_1=O^!eUD_>OTY2AYo7t3mHzLx?(`WKN5qci<<mGeun$-I@UDkD%9eAwZ zzkYsAYJQH^^JTw3n#J$i=X7qH+y1xHzZ-rkxqtKD>EC)q#nvy?<8Mk=%)4CMxqi9v z>_3)Ae*E$+7LRYw-1g!3{AX{pZytYNzRUdM@40Er=j&%(UY{a=9>lL%e)DHQT>d|A zquVFuimUEEVSC0ft?9Gy6M^U2DZ3}VPCg^_JopLEbKxgUoBvLXN#Cclx!dO8Prjo1 zTglrB_kG+LbN$eB<7JY?Us~Vn{eNQ3gzEnjY}~f-{QTT)5d22?$%pwxc5dek@6LQ; z^?m=Qd}a0-qG`;(Ig5(ZcIN$=;KRRuK4($6-AnD1-|dqgzdZ6&<mR!TEISY6*y~&u zwYcxvSFo@7&ha@3W@*!3OW9AF`FKy`okKo`v2)~=tUsSFWqu-<_Wk%Jc8mAQ(iZO( z-DmW=f3UE~S6pt9ue5uH^BKc)&rjISIX^+$r(UB!|9s=~)|{D>s!yEUQXle5@0|HW z_lg?noy{|o-7Mmj+AIDr-K^l5FK>MOr@+qU&$Uk&(>@pOJeP5zWP<UWe8uV+s>_-` zCqLml*FWK``jd}NXXMTuKe3iIMP4y|#<|ItmRsC+GZUY3&-GnmpP{>jz2mo!KSVeE zof0FT998`2vx2(Cd<F3tZ<jxfVSm2+gzCBS3BPB2iv9opU#Z3Jl9f_>EnlQH%VqXG zPq{y{JMWBd`mE;48{3~PJw9vl&l}~>m-|jWXZl?{;k}MW_$+qrjpxOdeRh3O5V&iv z#NDkK^@UauO=Z)TXrFx<^k(nU%xuYB7i9EK-`o1K^V!3qH`S*v-xbX$cipv9=<Qmc z->WZ_P1Z9_U%kmceDUI|nc2R(_KUq;=PRviby;T3;eD<1uLgzonwEdq?Ecq%-lOMR zfAw_EcYJm4`1#Dz_yeW)ORng@TKrCV$2<2Qm5bj!J`3WOrGJ*3^YEBh$ui3ulWI?c zT)p;2`SxXJb6+s5oA2K+|M08l22HQ+j-NLz{V!b+Fu&3GsblotMRu=@|MeML95$;H zTld@N^Z5tA3cW0X`#x;Wt^Mb=!*24SuQL<GzjpsxaehnL+=G&G*?wio@89~#^G#n> zWc@~c7JGd1`}(=tzAx}TdvV^I+tnA3-TM+yoG<_Fte)*9nfIsn?Uv7d=l^`xZ}tu4 ze4yZQe6zPX^Ymiwvkw*D+;x80`Rrigo4<!Me{<}rRI$A@NA76di_1SRmK5^H%6pgh zEuQ;0^Eb<`LZ#SDz3MlMC%<@*a?83kZ@$-dzr|}WXFlesTC<bm2LJgblQ+Nkkh80t z@2>6ivh#~)Kfjzde_n=M>;b#|6XarCj*DOZ>z(mGXjif1+m<c0mUnkFtuvV(zUlnB zMVD8<d?97EW7;>RTf6%7Oxmw+F3(?}K8xLaQ-A)V-{zP9=|1x5wYXNZx?+V}iL~rJ zy><Kl?<l_@e74#8=I`>0b9bAn&w9UbZgu8w>5|8GyDr7tJ8$<}ZEjt6-z)XicCQ3$ zs@c+S{N}s+Pw#G3!#24kz3(qN-<WsOU`^wft<wA-eU|S0v+&E;i+>Ue|86~7=fYpF zvu=L+Cl1+b>{s3Iw)dY~@WXJ|cZ<8VO=a_!%=W+d?D7|v&l<_|?Vb7SoQ~Ts{cXSa z@BGVi<1d`kf629Of7sl3&-mMaP0yRuJI~%Pdj5ydf4`V|OSM_6?|-g|yzo>0#fSba zzjbo|be=Q${{PIq+P-^YdZDTI=l5Nax$6Bj@PFmj_!$Q!&El4{&#Knnn7_}b-{koE z4e$4Ong4T2pVch*`&#ywh~iIHzu(1Ye$FphxAQ++^>g1{m*(s{dQbZDwc8~NEo<8M z{?@a-Xj9R@_rG0ky~Ffbi}`PE*S__mLoVxG?U{W)Ic+b=)Sue-d8S49;-8kkCqDb} z{*ATpu8;gymu3D;u6-R3vLXN5-TxP!)xV4>{?GlbZ{fd5EBk+$MrQh@C%r%G6TSID z>$8HeZ|`!xxO`41`}R*}%Uw_kob%>(@x^0zKq*k}+u6#O6Q5PseVhC9Wt(UEtY+35 z+r^d~pEbGlM!ER1v#u{<it7Wvol7cNYPt1Bb@%0KLM4pX^Iv|SK5gGWv*)^pjjwGg zS!lUt|IStYzs}!{?VFb&r*r;Zd}Cae>#ere`!8{?KXR|>^}U#xwd;27=c-=r89wWB z=*{ZY7q7i4S!fy4ymz;n?M0i2?!EiXa<{(-D&E5P``fN98|Ur#_kMv-aeeK)LbC<h zXJ59x+1vZWVw-cniMsUW?`8|%`o5g_EXDZcYy11}o^9F5dR~0iV%^GRZ!aAS`yz1e zYO-7O=KOqT>D!l_&+dJD!+iU4_gRl+Z<OCENp`ooEc52bzOBZ#*XF!Av~Ra|?mM^V zvwrX1Ty9me)bg)X_50Ubwz9`&@O@8t|IXFl#D0VMZ^oGacX#H?f76WlUS4ujz2NTs z9s0i|*8R?~|MvE8=3|Dc6+6onem`^k;!#{<@Vn35oNuA=*@Fw;M7Li|K6~=vo89gg zo=q%Sx3gZP`uK9~vo9~c*_)j4I->CJ_w83?<d5&GwmE;km?`(r*X!E~m45paUr4@h z)hU<l=a+Whw$;pkY4O>=mv2}vzdZHX7oKl%4$<kCTAzK;`S$MS7njcuWWN2|xusSv zHmmPk`u%s8kFkCUD1Pts?QHjpiO+r{eVgn3;@HxXWtKa7YPCV}dirMh`UPjTK`BP- z+qtVHOD*@d?Jd{MbuC$Fxu<V$wXN+%n;ngN>y>lwyG)<8c=pZh`3rkz$Ys5|dum^$ zwCyFC`{(u*v)|n@`J4%R`NsUazWt`^oWI}MZmHCtoBgi7d2g|NY^LA)^!K%sx9xKk zpLKZ3-ru=Ziz+|Je*0IR`I~Xq6&c$T`~KSA`eA2#<&N}*{C^E{nSJs}_kSwht(bAn zgxx;r{x3t@YjbQ4?XzBT)*a+f!*Ayvmn^lcZQA=?CD#^Y=<=JpB|({|ZSQ~KTmLj; zv-;|e?fYAN>j$gtl{>#xf2aBNn;hStbpNOPu8-NE4AWNodOIk?Sbw{F|8kn+^I5;^ z6W;%sxXxtx`Ay&dxkT45<39Va_|4ttFFT((p1fDzxGjE(_t}fyZ*J>fKBf%{($H^b z_g_#x`>~_;x#_NtR&z7?{+-(Q)x2trWo2{ici&qz-RDfdAAd7<`%AClUwqZ?V{cXU z>zTB_-&}4FDk<eReb>JAuS3t&U4C<Zy_58OP|`eq!`vQ}H1}_Q@AKlA{R^Ms5B}fQ z)`L>b{+s3hooD|C#l8Lw>$YF=`(7!B{$FXb+<4>nv}J1}UpN(8Xjhk;RV}JCu&%D> zyOnog>9Y*KZ*O;IB<EGF*lEuH``Ml^9>q5Dzt8<CIlRR9>_MhC(cG7k&z^L8vzz<! zGo_MsJNIi;ryK5SD*l>2G5XE#?#t(dN|sv2PTIR&Bv;p0eAeOA8@o?m5<bg3d+&ak zTz&uPvlffq*dD&5cj}9U&+eUiGkf&~<+Fv8Ytut_ZIqgu$rqM>KdM<S+wWS+{n&1^ z>&uGI{uQfEm)&(~&brh0qWia9Th4v<A={g~yr2rr?M-d_HuGiPXD_O~xjp;Ru{2P5 zRQ&C%?u&`fD!jkRPS4A6O`p}g_U86&3y;s5oO`o;`{J{2U&a*Ivw!oOz0PF0^``G- zi`LG4=~TRfyShBQYEk6|>FWCOTkkF`efA>z&F%Q*y|zb;?>%mM{eJtwc{Z1Cb<1V@ zeM`H4x7}<v$nW~q>Gr!W&3SkJo^``Ez9rmeA3DCd+x)WgS>y40_AT4^mwNB`XLY1~ z<9iuD^S%YfXAe$%6Ybvl`uNHRzh2M$W%F3pH~RR6)@L6gzP&31WyFea|2VhQD#d2? zRlT{be(~5zP^uC6b~gB>V9bBH$(L`G`!7Fh{352<KH>cwP&v5yMs@n-YnG3kYVUEz z)aSB4|I|}2mpdO6%yz%Ov2H0;w7qg?x5uwvpUd=~%{-<G%EeP^UmL|`x4kJo`}g!s z>+p`(>)*1>`&hkaQu?3O8Nai3U6F}BysuU_*9By`)wi>+Urv1XgYDZ~>6gcRLHcLb zz80%mW7&DLJb&rg+b?2@|CfC`CkrYCChsj5&%FzZ^_IQW{Gd`G{8z-^oh|nl?ERhb zyzbT?wb)F(eMjpiTr!OLA9<hg{Ey$P>8s>xW<Njt{=&cVm*1F6xYx-S*Y2qEVP7Y| z`LDwH+xHi%&+2}^*`K}SKl`I!AOE($ILDv)J#p7p<-)(FCiOnyv%1}HEO(BRw)JZ7 z|Jd{0;%?jP=bO1>{?}O-EPG>n@bkIJTYgU2v9D~=&Z=4IpL*mDeEr$}@K-VKLm$if zw&#=QUeZx{8Nvpr+g*Zp(YrRyxe&(VMW&Mf}i&9eA&s&U)DeqLkGR`J%axa0bJ zlj;gy|IN+U-<d>L{Pp|%M0@9v*IOs}r%$Ok>vx|2!>+l{IM(0IeD`px<=%bm*PoZ% zKlZw4R_-3N<&~eN#yt3Hb$5BiS-<`F1mm_}mU*z%`m9~t{>yicW>@^G;EUURIp^V5 z>$8*BKVe$`^5tXRkGtkQV~o3f`L*+&vgPsp0_*R;ymvUeVwUWlvgQ5hkH1=<o%U_l z+W#F|PhLI_uQ=-`uYde?*{qMpfBi2txj$vU_E&Yu17CMDor}J7eSg{qom1~3enn2z zt}uK0B==={_`5yI^PF#1gx?8}IZ&)s{od1l`lg@zD)OH4%yZxQz46Out=sZl&x7?$ zzH5J5+y7$Xvlq!PpNC)HHNV66DOa3><<F2`tW&M$cZvs@*@^3~nNhE}ZoYFvy>ZCy z&U*GO9YrfGkL>4;3i*0Y=WF<R1F_)$C(}fuPhEWZ=;+k5#z(w0j(?bRs!ZW;&?(J5 zTZ%sWyqq2=ci^<v_H&c&t*frEOnsj7a(ei=2clDMKlj+bsEBd>k~_BHzot%g|Dbj1 zU*#q9soE8xPao903=cN@_2{aoa|LheXQr3eL;4<Wo${7@X?(P0YxJqL-Am%#D>>G$ zeiNrt`&ZYt{dNBHx!z0eJ5;h<zklto_tN_wJDX&mJ}`P|9%knBQO)$B@6@xWm)`fW zY%>qvwthk#_fwI3K|5=gepy$<nZNW+yz1X;r$qPk7FBHha$76<ddKVkS)LExPL00q zwZHk3K%VyQiC?U>o`3K=HTU(B`;j|a$^*Zxn_d_G)Ns%2qQd4cyS2;nMarkRf4Dy7 z@9ZW2cNMX|Unp}&=kL5z$8;a<6>+Y}Pc@!aC;wF9o!0H`Ui;S<alK!DXP57<zf;>k zFrIq%_LBUHIfvOb%im4-m+(~R+myZ5OYL1MdE{5!+3o%7@D%kA4^REwz0}@m=fsZw zkiG|tr>w1B@_nlCkBq0!_Fj@-Y}3#mTDDK|Z{aD)nmt9o?Oyr^=sgggy8FBL{b0F+ z>YBgrPx+Vfl*`W5^2f9<%eACe{xCTzU>AGy&$ch=8pjJ3<kY+WGM*azL+9zW@}>6C zl^pXIzp+#JyYrM~&Ag)LjxW!L>piHuTkrpidy4lDr_}eqFU2p3IVi5p&iqn8(5y~j zU&u!O_+@u$Jbnf2Y<T_taP1%KlecvL+&+GKs{04gQ-c5ACe<E0y5D<EY^I;w#N+FK zpPOJNx0HR>-s3lB_g|j*?4IzOx9Ug3R~^@XuzJdGf6w}@Mcn_}-by_ctXW$$<>X9{ zo3(B=bBkEx*U$Om@=JP(wY}*56*+%=Y9>Eo*cW;8_s%cdHD>P@IDSg9W_8i~>6h*= z%X$9j_Ehd4d{6(aU$Q@V=U4kjyQjE+xO?j2`lbF;?%wZsAGq#8_0-Atz5eq)J@@{| zcTMU2;`i5EH0)pbM)Xp>_su`e7NO@J<ZFNbr}4LZ%G>m#_e1p_uuu72Kk1+2(`)mO zg2MHFc;CFqbqn6u>HljiVzpl~XUe7j0Xsi8{YXrG?E0}^g#APR)V<S})VtiQiL?nh z_rQH>^?Hy0GdBHQ^`r19Q=QY!|Kcy_hvfAh@z?Bsuzc#`>r2j0xqJQSd5!Q7{!=FJ z_xd0FG-qAp)%CBIF8-H%&bj*YrRJX*hnGxtJz^hz-R$H4&X3$r8UJ{vrW;yL)=vMh zeoCzOum6GT9>i;J51;VQ{M1^}qx(bk9^Tjd9zN;c_fu!59&IgR{O@QP;rh#7>$dO5 z&8IB?s6V~8>eBznn^C@h=4{%y>qq5L5xuF_*&hF!KC#pVT1E!{nm*-rc4vIpx(DG? z=1%*vUMu}|$Nxod)@#dY&VJn)AH2;>WdFK32kO`U)?NC4b`jhDg)+M??O%E4me-$Y zMV$Rpe@A=&-&RyC{n7dq>z{Q+d)9t=uetkn=lyWG!}1!-Z+rh=Sd`9Qxb#kTw|!9F zJB@!4mN#7gbZ*+a`bY3nnSX2SHury-e?5KIqy5_E{6hXyZnJm)U-+i_QN7me_KyEc zZCd`XeIu*&w|<Ird*}b?`HlMn-pT6iHJtn_#=RpwNbkYSsb?22T|fW7z`FVS%X_|j z{cP?pdi{Jo$My5}*51E<{p>#{cK!T)i|`ox|03(=*FU#;`TDti<Ll@1=jhk|{bOBQ z`1g;rc*pDK^ACRg{9S*_zPf)uMIS{@xgFk>zP7qz+phgyziz&ko9Dc<eCrq9RO$cr z2E|(9A7W0`{`tTA%-TC$PyX1PI(g?6=c@k7sjGj!ys~63Yeu%?t_w1{Dt~LfChO_U zi?@tzdH&b+=(1O{yF0}L%5*+9oqBupD7R+$bOHS}Il7v0E;}1uKbO%{t<5dZycIuf zTkftaGWzO&7rnZ5?vu^qH41S)U%z!FdGFjfsiH8oJoTf{skfm=z7`wI`VvsQY1J3+ zRmKmq9xa_xy}EmP*t|80ac(!Gz4xryw0GN$Fu8-LHPv-rP7gP`E_(gEVtaT1-}UKr zu1~+UJ(@a2y00SjX-@D*ty62y9`$`{xMx+-rq(a3HOse)tY4S2>yhb{;tJoV|H3Y< zU-~9TH!gZ-Q}n63Z<oZoTi$luv+h%Y`p2qM56?aN`ug+k4)XxBa?$)1a}G;uelMSV zFHr8C;=286du?A{j4Rn=KDYE`Li{bO31$4t)Mv5FRsR>bWj967)V+OUzPz8b`-O*r zk9x0u?yvo*cIxHDN4`_pkAJ8sSW{tqHM}CexcDj4`^;-{5B{FMC3tW59jBYee@`jB zf2?}S)^^*<Gq?6me(~<)mP#qxi*x25yk|dq-MQtPxnF#7vf9<br?+gc_Y1Xq)^~$! zx2xSVUpxOf!~4!_d>`vFa{1r4Udwx2d*PPu9`>l)$9~V>>bvKA<nBu`4{R^&l7H~` z+^xgfKkrOh{o+H`t=<=%&kmNp`TIKaH`}f&GIx&Hb!7f8yS1-b&(ytq)BA^iZ~XeX zyW;)T&}|>=vhQwx_v~lbqMvfNc1<_i=g9wl`Q%^q8Y}vL8CGlBR!z|RSeI9;b~bI^ z#v5Kc`@g5Yz9;`_&;J$Aj=wnfc*|#&SX<ZW{+C}GZ~5wdt1isuarN)dUjmBPr`)e; zl*{akPq|;&nYYI$eO7b$jqPzuKVLr*eLa5i^_$XP%`3ic?3EI(h<=)p|8je<@*Vd* zd!_!at6(>6sy&)(y6W*!>s1eRwc`K(`ktrjCO#{;d+&COTwO=;S%+0`?)JVYe71S% z&EKaleA`sA(sI+y?b8?cK7G0H**&f|v$ZcNpZ)0d##;MwZ|Do3;tMJFqo&Gb`-LUn zkDY6FeL?Zrzos{>voB74R+9TIu6x_Hh1_Q!?s{|gD=5@{z4^<VQSH3zicId2eQz%u zI|gd!3x7Kc>cLkSf17*uCD!q{nBw~AZ|BNNmRjDOw|6^p?p9DcU%9$m9n{V@uC5oq zb#JPksr&AY`S1OtWvf=~{BHW~?E1^fXHUw#*<F3<SsbW|Z(f})zw6SRvYXYvFI}rE zS!j7@{@!W^+lw|96ZX~%<jVU@pQYSi`&;0a#T2<LKECApR&(UC{rVE_+fFj;U&MWO z;rV;Ev*u;U$sE3CKW$t8V(+sTKfbxGe&JXrC`yj+s}!=mBs2fazGAMs7E{leeD_a& zKgTb8)@9=x)r`4wJ;i4o-h5+s`eos>Pc7dpE`Ir~a!Vno*ZX>New;(U$#L;b?_*rH z>n%GzYqIx^a{Z-ePrvXgmfEDhe!*hx%*R<D{a)?wZvSxZ)ZXkR_gyPXbk83byt`xG zITLpC4f%IH`c2i%H<sru)js<&_s!nhnXmbFU68qV^4{L=c^Ptd&ffFS_${~VijF<! z$@N#Ii_|KDmwlXe=F^wRG?@?QCRiR@!*udsq*3qY)V3MVEGH%V{Ej)PnfBV|q_fZW znv<4k%k_62`Jy+$=GdE9jmoB*`#p*tC(RUGR@(VQWOM1tCyL9uf3L7iQ=d}X`SRhu zmlB)HTi^KJk@q!-4u4o^XuZSS>d==$|B|Mg`@_ze7rZw<v}N67yMsB8;+``Y?{ob2 zt=A~{jM%$uKEu1SQ|Bj_<xPx9s@gH#^4OcZTE98d{+pfby`Abd<C^Rw;WN+XPGX;N zE_RafnQwO|ai7Uks%&|AUwP*to_AAo9@HgwWZqM+?a92aZ+SGQHu#gk<)eF#%50u| zYTuDB1^R_OHxJt$;Y^eN&L_=(qEv8MYjq=Mn(%jq_8EHbn1AhP4@hL&QJ(N1?qCLU zrG4SA@(qU5_UAkM{Lejk&DyB=%!AmIXTPURoAL1Xq`CYleTI+uRkv%;6h8As`Q+XH zR5QDYmPfwWPtB=SGd|w4Z^9d?Po*mjCeKtUPn(u`M#*?iXxeXfBkeO1-|cVPPpNB~ zX{WRExAM$h`O`8<zxqA4wSjC1JbCsw$cD$0=Bk5iP*>ePf0E@vpZU}7oJ}#C2eP4F z>E^GMXJm|j%zX0feCo6r5A{{7_fN4r)>C})ZT!hO3Cngozj5^Mv19ou_D8-H_Fw!p z_lsp(!|yKNJHa}JhwV>TvVRvmKI7x&OWXge6gSO$G%xYH<xA=FAXh9+dCfZ0GVQ~n zC(pc%yw7|{J$X*s==h9}t0&#ApJ;i|$9>Z7^(S-o=oNRo{2yA>@w@uzoloCaSgt>l zU^nyUA0z1<?B+*$3iuBk{QW=qjKurj+dt0x&r<yBd$E=CpYKV1`=2ZRv-`bcdg`N( z%bzdbT)oTYeVl@^MesA0%T)nIJ(3UawRKiY3O@Vt@n8Jr*5AsC?`DeB{<MmiVfSu( z$`1DA#BT-b)$HBRE#I+R_>rBz{P((3!72BT*e<erCp~rF7sGe@p*#LRN%&Tnzv{r= z)oN+~1$M6clCsUf_m1#tzA1G*zccjGwrKx~P1!X=^xaj<8Dj4qe=W$*Z}?ry>%;$@ zHTjPAnr+jLXD6#gd(PWoWIf~Iv`OyKCv*z;Z*G-*6t|-B_u|7_pUmlexn9#U+Id;) z&FI*oeY4J7TxBGCW`$;D&+h|zX+70Pu6k|CPgc|Rnzu&b@{u|F>i&sGy?<;90Fa4| At^fc4 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx new file mode 100644 index 0000000..af1ab2f --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 1131911258629388830 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/audioProc_behav/xsimk\" \"xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..0ee8eb64d5ab9d4e99f3113f073dddfb2fe6615e GIT binary patch literal 1335 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=NR2)Dd0)CP0|Uc+76t|_1_lOZ28IPW z`RSR-46F<gz{tSBz{0@5V8CF=5XBGy7F1?n0Ld>XPEO28EQ-&}D*<sC7#SEE{{R19 z57J`Dz`!8Dz_0)$$iU370IUxr0mEPc1_p+I|NsA=`~UxcO$G)AHU@?TMX8B7Fw<aa zP-qLN$(ea2sp+XjV71sRkcaB}54FG+st@cUCWZwJObiTQHpoRFHdH;>MaXQB>rA1V zOEPm)8F;|~h3O@Gs4kd|C}9Ay5fqLf+h7=~9&97X7B8^D3=4uoT;hHF-94SbZUniF znSp_UlYxOjJye}RJwly9-9Vi|-B6uDJxZNHJzSkZ-AA24-9w#0T~{6KCvPN^LMby! z0UFtUjv=8zjy_-~fgBEtX-8<#z#SbQ=IR{c9|Ts&$glwHZjg_;py3VD48pLOhgsn1 z7vk#f3em@al$6?`ekm?VEJ=+osVqnZ8x0B;P$K1KU|=XN@l44{WhgEQE=epZVJI#M zN=-~*C@u*v$}9m1yA~DY7lEbo3qX`(ayCP8i7%A$b4<<-E&<E<Lf8;PKw=P`Am_V6 zosm>p9E{y1ph!w8Ee_4g&dV>)V@N73b}LFv1<_8W#g*WAF@qYC3UUypUqF6JEh+*z zf+4l2$gwC1tSc6(D<mEq1}NSK1wpu@XGnaoqi=wZD?@OIe~>FfK#;$)YjCiqpL@KI zzkdLOe`rWRXb1yXp{t(@Ofkq`=CJtlboX<00h>)sta(DU6{V(U7MG+Jxn<@+;svBx z5E|7y3=CicLD9_*6=Mf+7#J8}xkLlX4}#LAP<k1ZJ`bhYL5^i$U~qxbl~8&Wl>P#x o?LmRaz`zg>r58Zy15nx>6io~a3_Vc#5R{e&<xU0$hA=1%0Jm26j{pDw literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..8240d0639442c8d02b45edeef15a77a5e7555de0 GIT binary patch literal 140 zcmc~`fB+T-1_nk328RFt|NjTk42&QVW{@bD%fP_E1X9V^z`(#z4-<x|W@LmZ0y97= fL70(|m4Sf)WDp|{NHYTigEEx1g3^Id8bkpA#*7L@ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..010a31494365d248501d3b32151338ea119557a2 GIT binary patch literal 8496 zcmZ=}U|<lDWneI5U|>*?V_+~)WMD{3%qdP~C@CsUWnf@qU|`^8Kn08p3=B*R3=ANe z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5 z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04 z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3` zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN( zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+ zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3 z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf&^5iu|W6& zEReE_hlPQGnSp_UgN1<sq=bcqfq@mIj|Ec3{b6QcU}s=p_`%EoF0;NeGca&6Ffe># zhS>R@8Bz|uVP;?em3c3j85npO7#N;0GcfQmFfcr3W?<lFU|@K_%)lVPz`$^anSnu& zfq~%$GXsMV0|UbqW(Edf1_p);%nS@73=9lsm>C#E85kH&Ff%ZSF)%P3VP;?uXJBAB zz|6oP!N9<<hnayvl7WF?Co=<s6axdpR%QkUX$A&{jm!)TG7Jn1Ynd4sWEmJ3Rx&d% z$T2W5EM;b3kY`|ESjf!4puoVuFqfHuL6L!hVJ0&JgAxM+!&GJl24w~YhKbA!3@Qu^ z486<@45|za44upj3~CGv46V!z4C)LF42{eT3>pj!47JP*44MoK43*3b3|b5f45iEr z4B89~428@L3_1)947tn<47v;q44KRf40;R<45`cv4EhWV42jGP3<eAg46)3RIwg{s zfx(D@fgzNcfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`- zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm- zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fdN$42{JP<xG*p<@G>(nxH2#> za56J6xG^v=urf0+xP$5-W(Eci1_p+|ObiU33=9lEnHU(n7#JA7GBGfCGcYiGWMW|O zVPIf*%f!Io%fP_!l8J%AkAZ>VDH8*OKLZ29Lna1>00stzyG#rWfeZ``H<=h1f*2SW zt}-z&1T!!&Tx4Qk2w`AgILpMq5X!*7aFU6EA&h~6;V2UWLpTEi!$Bqnh6n}*hP_M- z43VJtXJTN80>wWQ14A?@{+SpUVnFfF#J~{Cz`(GQiGd-Gfq`Ku69Yp$DE^rk7!pA7 z&&0rx2#S9u28JY1{4+5yB!l9giGd*n6#q;N45^^_XJTMT1I0fR14B9}{+SpUGC=Xq z#K4dVihm{shAdG0Gchn^gW{iwfguMJ|4a-FxuE!GVqnMv#Xl1RLp~_}nHU%fK=IGS zz);A*z!1sAz)%E=e<lWoVo>}uF));X;-86up%fJVObiTVp!jEEU?>O0KNAB(1t|WR z7#J!+@z2D-Pz8#ACI*ITQ2aA7Fw}tJpNWB?78L(X3=DOk_-A5Zs0YPA69Yp70|SE$ z69Ypd0|SFN69YpN0|SE)69YptDBhVE7+OH(022d4D+2=qGZO<t8v_HwKSl<Ic2ImX zGB9*7Ffe>!WMJrIU|@L9$iUFWz`*c|k%6Hb6wizd3_YNH&d9*f%fP^Jn~{N`kAZ>V z8Y2TkKPY|~85kyj@;4&`!$bxKhU1J343iib7!ENqFiZx;D<cEL6b1%{9gGYNQyCZ- zHZw9XOk-eRSjWh~FdY=1j0_Aj7#J9qFfuUAWME*J&&a?qi-Cb*79#`0Y*0KhGBC_x zU|^WQ$iOg{fq|i$k%3_z0|P@FBLl;HQ2a46Ff0JYA0q?9LQwoMGB7Lx#UCRB!(veU zF)}bL0mUC91H)2K{4p{xECa<KBLl;7Q2a46FsuN@A0q?9N>KbUGBB(H#UCRB!)j3c zF)}c$0mUC91H)QS{4p{xtOLa#BLl;FQ2a46Fl+$DA0q?9Mo|1QGB9ic#UCRB!)8$Y zF)}c00mUC91H)EO{4p{xYy-s~BLl;BQ2a46Fzf*3Uq%LoouK^7$iT1*lz$l+7<Mx- zFbFa-Fzf-vBO?RDUQqsJWMJ3_%D;>Z4EsU(myv<t04V>0@)9UML17QdzYGivhe7!l zRMvv>FDTD}@-G7e!!c02g5nC4e?et3DF1@;CMf?hFfg0~<zEH{hSQ+<1;s5W|3dLe z1_p+6P_xcM?YsbrXQ*0`A1;CNF9QR^Wl;VF)disZ3pEoI#@9gkmw|!dIw=2w>I_i+ zh1v~D1Ghl&4oYjF{0pjEK=~KyMo=2P2g<(;3=H={@efLyp!kRS1(b&#f#M%jM}gv> zfq~%(DE^^-2IcW*p!jECV0aFSe+CAI7ohluh7G6;dIgGq1_p-Lp!jECV0Z(He`pwj z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ) zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak znR)39sYONkMGR?)nK`9Jph6Go4)lHvsC@ux8-V&TpgI)PJOI_XAU>$>2K9MBbv{TP z)SCZAsfj7^x%nxn4CO_cC8-c*VnIP_9#j})2m_?<L$?oP9>^?^`Jg%%q!d(_gZMD} zVD{t}q~^uvXEPL+B$kvG$3uLSmzbLhWrCalWrB<W*RAOGf$W0$4^&5k6oTvp*$46` z$UUI83rHQzUQqmj%mJwZsb^qdX!!sCKd7h$_y0h3GDsez2PCiax+T)y^WA?a4OYj% z@WC0RAEYa-n4zGUA+MOBw3s2cn4vf|nIShbk0Aq8M}YK$>Tr;GAh&?{Abn6fK~Aa% z5g-f|2e)-Ve2`iY2DOPme3(3_?F8b#fI1M=#scvjoItHLNLviVXE-$r<P~t64a^r< zdIgjZK=BRcA6S2Fq8X%32<9^w2I`&SVF0m_F{mv7azCtJ3vv@OhN{Kz2dE8zOCL16 z8(@AyHV@QRz@`tR7Nie^L2P6_AU3EC0n!Ib4<J4agVcgBNFNA;*dRGj8v~bmkUU5& zD4t;IL2V3D)Pu4mDe6IO7E;uM+BT%92esWn?R?O<2FQOf3=22(_yzeJ6b2v+VuRZJ zApM{|1xzo9F3L;?ja#Iqff_*!kUjxO9Y_v_LHa@IA4G%lBS;+7?*Z|l>E}PF4*}AL z?hcSzkQ<QW0we~*;K2aUAOLiH20Rb|8W;c#B!C7NbV0oUNLw6~_F?*9G^o4<xdT*g zfW$z32@oGtUV_{V>YISF8mJEgN?Razg8Eq?e}KXg<YrKqfZPqjF#mwkF~~lU9+0^( z3^E(UM)wn_Eelc)G6N)!j$!UZ7YC&SkUnDV0kv^K>ap2_>?Tn8g-adC51=ps(dh00 zwTW@*1E~eM6PrFz^1-DKq#l<(P@5Z<K9GH&xB}@1v5_&T&j#w}g3Lu0M^=j*=AgbE zHPnOB6wE$k^Fd<B{54FFemJr2TT7z)btI@KCXYcXa0Z6?ED(2t`~$-vf5GxQsILtY z2jw?VScCE#C`>^44V0!p`3>Y}P<{i&9Vown@);<<f$|wBzk$*&2!qUp<+lY;dqH|Z z=EE?^4j3Dx9>!ltKs~7K1!@q$)Gs1YJ!tF#R3qbZ4{}}uxew%jQq+USLO|+4;Q-Q) zj6rS&g(-+e76-BSF*1P1b#S>0qy{;hj*zGxG$sM6D{z^Q?r+f81u5!5V;ms$*!&G@ z^MTS2$lstb5M1g&^04p$jgjC|2T}t{lOXk=F%(?tKx#n#1*rptA2Ke=FG(!P%+F&e zOHD4xF9NmR!P2092FN@x2TFkSgX{#+p!5$C2E{Rm4@>98C7GZpf#Q<llEk7ChT;-X zgPozc1l(F@C@z8ILy&ZS0Yh;~F=&3FxFi=!<s~L(7ngu#av^MpAs{h`PS6+wD6fL- zhG7sN)YbsG12h%`5(o98Kzz`c5XjA-F(ptqfX1dkVF4QB0_9)O7#S%4g7Q5m|ANNo zKye4^2Z7QVC_jVDhxsq5w74`cJ1@UHk0GhFIISo(6+|bM7K1u|43IGokb00CU>IaS z$Zn8(K=}wH4jPLD@j-P1NFHW>YEco$<Df27Vo?%g>;&CBkUo$e5DhAKK<0tm4C2Gg z0i_2q2FTbeNFIhkYCvrCbPkGdQq+Um-5~WKdqL(QV`Q^IV|2u*%i@QO$AaPp*({iQ zL1Lizfbnsu2ZakrEeIp4N9LC&W|qVkC*~I9q%stj<QJtf6cptrrxq7y=B3By<mVSK z<d>Edl$J1n6@q$}P{j<8u~$&q0GS8FAUA{363A^fP(e_C55xzJ<$~M<>KB310H~h{ zQV)wKQ2GPOfyAI%!4yajhylu9pg0DZ1xlYFF;JL;^n;xTb=pw&2PjW~^6dr|28JIz z3=A2(3=B7T85k7!7#JM*7#Jq-F)#%1Gca`UGcX+BXJGij&%mG|z`zh8z`)QUz`(FW zfPvwK00V=BAOnMeAOk~$AOk~#AOph=K?Vj1AqIvHAqIvWLJSNagcukUgc%q-gc%qb zgc%ri2s1Ez5N2S|5Mf}55Mf~G5Mf|AA;Q4$Lxh1rLzID`L6m{vhbRMsh8P1wgct)u zhZqCH4lxFX7h((y3gQe50pbh{4dM(88^jqH9*8qAa7ZvP7)UTML`X0&tdL+}aFAqR zcp%BZU?9c7kRipuFhh!g;e-?e!v`q_1_@~f1`lZlh6B<J3<5F?3>`8I3^!yL7!p7c z4jOL+HRc!?7-m5Eps)bN{RK2W2PkncFff3^4kT{@<%7Z##Ls~8L17Hy&w%lv=AVG_ zLE#LN{{iKL!W_ic0CmhjV?<DU9nkn0P(CQ!LF!LH`7rm+fL0=)cmT_z@xhZcpz&F# zxB)1GFhJrAq&@=5hv}by#y^3^2T%4eK;j*w-vZP?L*i$k@n@j%Z$SB=Gy>Ak0V=3L zoja&K7EnGY?SSP$g&T7CL-{cGW<dF%v;<N=1Ih=bM-cx68vh5B4+}p7&;SaO`xBsi zSbR=^@?r6J0LlmD6_EKKpnOm|1@R@I6*r9UfX2^&@?rK@fC?uh`&XdxZ$SB=^bIl} iJZT0>w@`5nP@@O5)&VTZzz~7PR{%ALkj#I9#s>gI@KsCz literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..6c6a248ed3c0c2bf482587ecabfb45d035f69185 GIT binary patch literal 47248 zcma#Z%*o8FP>2Z5%vFeRadKBMFl0FSd0)B%0|P^c5Cg-CjSLJEc0h0&BLl-v76t}G z22}9>|Ns9CGt*asL<bX_5#%5co|(Q9#0FuIJ3%xPk~lLGn+1u@%D}+D2-VAm#Ab)8 z0Z}0T!qjjeso_Lob0M+0k=Q&?Hpm`ckYPlIAxOOt3F<*gLGA?k9TyuECgM={fY=fs zg)`Gvf@n#oIEW33dys!YY)>TdL?|1ijgVcq)PU@*1WBTW1IVr_sQDoFBq-Z}fq`Ka z68jdE4GJGFMo8R&j03p`<Ytih{7`WaTL8+2sR4x#NL&yq4q^)-v4x>*P}&iJvXS+U zvguzAfZ_;Sx&?{D@+pW7%WKGS1QHhm5zssiQv(u0&Zo$EAC$I0X$fWyt=OQlL>!vN zK<<%8Vk<z|FgJkGEl9m0nmDc82D6t|=7ao;9H+3j0EIm%<s{6VpztA;4RQlW4@fVF z4Z<KcNDNe-5X%PX1C<vb^&mFBx(22Ol-^)$<TMP5W0*Loeu1$yK?Xz9uoe<q8;Pxh z#MVV(>p|J1`U{tOka7AT0_q+EB(@=xt-!#*V1&dDg0ewndl3@53(Cf27cOy-wnZQU ztsVghf$CydxPj6Yj19{BFg7fWfz<PXEP?ukAIb*B!(x!+%=DEY_G%~_L~RBUQ1x4o z*ju4&kos*%?0rx+$Y^Zo3M4H6l7yNmh{T4q0YGYmk;Fxi*rHH2$lhZhg-|!2gR()? zH4p(c|2h);29yobdlQNM5XuG_jm^!-;vl8Sc^MSPuy96B+o14AE>B=;VC6F?3|@i^ zgSzu468jet`!^E%4-)$?68j$#`#%z!0hCdp<})I(nUL7bNNg4)HY*aF4T;T;#O6R^ zb0V?1kl5TvY#t;wFA`e}6u?k-g2EOSR>)xsYO}$_rI6H1Be7+W*s@4$IV83`5*w6n zVP^6nxrZN#Er7%pL}Cj;*&yR!X$BPZ!ccJ#TLg(Mio_N}Vv8fOC6L&XNNg!2wlor3 z2FeDdXIUh+DiT{4$_BZ|6p3vHWrHYl5CIK;OC+`x65ASyZG*(NMPl0_vF(xA4oGZA zB(@V0+Zl=N0%aqIEvamfe-%NRp#D;VvO!@5E3ZIml##??Wfw@?73u~M+YO2Bj>Psr zVtXR7y^z@6NNgV@whGi<kol@mHpp$TatS1^h9nLvqd?-mNapw<vHg+Q0Z8mXBz6!I zI~a)_g2Yyb+6%Hv1BtDP#HK}<AcuJ<)IA_8k@J@pNB|nA+E6wq+>qmxT5$yPBglMM z+Gt>4U<iY{4aB|%WrNg&ff_^5`X~;{29@(^P&TL@D??&;LD?X^^Pp@{m@h+O>p=Yo z64ymy>mjjekp_^{*m9_QKvp8hg+53C8W*6xE2u05`2{%*Q!7ov{0K51mKQ+bzXIwu z5L*K@*Z~dy6-ew2P&Ozoc0k#nxHy5tz5`{0%>MvogTnj=lnwGK%<sgo85tnsM<BJ} zIt|1CjX}K!B^U+<WO;Bs2bH(@fGH2I`=IhKu*ie!L#X_WkC^(wbtF{Y;}b-l0m*#{ z3=9kbQ)0nIVTCcs!yv^7_s1j2Uoe8mBfB3QE+8!o3=9(d5P4+tZISc~xMRv&AjwZ~ z#+0{0l4o#*$RpbiYTsTkm<6udSG<7u4_O}Mz63*ve1-r_KZFl*HmFV90g7w}28M{2 zF#WSYT~r2;FfMtJN)W~+4@#OKj7uKm5)j5J&xmjzs4WbO!;Ehr8yOfF84>QoB@a>w z!nou?ZUbRl@*uZ?Fjje_^aBcCgHtoX;a|Z6(t(=3LGlNn@+Wv<@~6P+LE#T-x52{y z2Q+;$GMs{kKQ4KY-#{3bJjib#j7uKm5)j5E59*(TFfMsezZQgX$%Fa>AdE{MRMvnn zE_qO&7=&@jgZh3Tj7uKW9|U1s@}NEn2;-6m<tq@zB@arsAdE{M)V~B_T=Jmu7=&@j zgZhRbj7uKWUj$)X@}P7J!nou?bpQzCk_YuQK^T`jsNVv@xa2|QJ_zHI2c>-w2K7~7 z>3_v%P>KfSA4K}cB@a>w!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx# zgD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8b zc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^U7na{IuZfq_9F zuV!6*Ap^q=4^WB(<qE_c7cO~F+6Q4=@}RU2!nou?Hi0lMc~II1VO;W{v=73#<Uwg4 zgmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tq zgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%9fk z2!rOPVd;N@Cn!aNL=ov9mpmx#gD@_6P}&D!T=F2BKp2-iDD8tVE_qPe2Vq?DptKLd zxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou? zX&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55m~wk^5($ zFbdGP4ek$cyaJ_YQ2PhM2jv7%7{S^{JCNi-eKQcoB@Z$QgmKA(@&*Xwk_Y7t5XL1B z${QezOCFRrKp2-iC~tr;E_qPi0AXD6pu7RXxa2{31B7wOgYpIl<B|vE4G_j956T-L zj7uJrH$WJdJSg5l7?(Uq9EP#QKPYd2Fh~tHc~IT}VO;W{yaB?v<Ux4@gmKA(@&*Xw zk_Y7t5XL1B${QezOCFT=K^WvmnEx_fgHkjke}K|H2;-6msRUtM@}RU2!nou?X&;1f z$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<y zJ_zHI2c>-w#w8C*`yh-<9+WOX7?(Uq9EP!_4^Y|%VUQYZ@}RU2!nou?X&;1f$%E29 z2;-6mrF{^_B@asbAdF2Oxqsk{)IaF(1*J$(u0XVpaLI$xJ_zHI2c>-w#w8E3350RU zgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E29 z2;-6mrF{^_B@asbAdE{Ml=eXwmpmxlfG{q3P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI z2c>-w#w8C*`yh-<9+b*K*aa#58~A}zBuEsI{&C5J(mn{|k_V-I5XL1BvI&H7$%E29 z2;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI z2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I z5XL1BO8X#;OCFTULD(HB{VQaFQY1(ek^XVXgVH_-<B|uZeGtYa53&h_amj<yJ_zHI z2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I z5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa z4@&zWj7uJr%0buzDgB?olKyeYgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse z+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D! zT=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VQlh@ z42ba`kRM?E7l}8Z6piTrg7OE*4>0)?Nb(Ss3=AMYz~n35LPSC4gVH`oKgbU-d5w1n zc~II1$%Fg=lfMC%N34$ktu5QYIvYHGb)y%e3weACw6H>f4I<yshba$Q3)awg0<7Pn zA5$K*K1`q=BL4y=&xo)e<PT67fZVD9wI9}h0*w!V=HC_WX@i%;t-1zM1;PmZpmk>G z^2qM@0x5^$2Mi$FKpcer2&D39hYrO3Ap1dfgD@_6P)LI?E_skmAdE{MWD^MEk_VMd zAdE{MR5pPyE_qPd1j4xFL7@P`G06Vc2e}9&iU=QM`5RDqMuxlK^$j4EptW4E^tD0) zq8}s=3L8-P;gSc14M+_xd5}pUHMrzKVFOZwOCA(9AT_w;L16<@gG(OdHxLG`6NC9L zLK0*nDE}hD$C!bE;cPQ_H7J9I1E#zs0|Ud3{`8gq>lqj<TrlO$kmMblFy+mW<X>Qs zH$jsBfW>}OB>5SRnC64r4|AUf7X8*p`d46Czl7|+2)O^6!ON3D;b()SKfn!Ue=}&? z40wGKE_qPe0AXD6ptJ$Pxa2`LfiNz4P}%@tT=Jl_0m8WCLFosCamj<~eh|hb532h? z7?(V#?gwF9@}RmOgmKA(>V6Q$B@e3mK^T`jsO|@0T=Jm0AB1tqgX(?|#w8D``#~6& zJgD9TVO;W{Is=4p$%E=m5XL1Bsy9IxmprK61YunApt>J~amj<$MS?IcdC<B@5XL1B zS{Dhzxa2`=>OdHmJZRkx2;-6mt!n^bT=JlO2Ox|~9#r9jFfMse-Unejr2M}Fx_*+8 z0g?Z4$%FDf2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<QL3tm9 zamj=7J_zHI2jzVb#w8ER`yh-<9+dY%7?(UK?}IQdc~IU5VO;W{ybr>-<Ux5KgmKA( z@;(UTk_Y8|5XL1B%KIRUOCFT>K^T`jDDQ(XE_qPi2Vq?Dpu7*lxa2{3AB1tqgYrHI z<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse-Une^@}RsA!pQO;2;_fcc~IU5VO;t_ zc^`yv$%FDf2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<QL3tm9 zamj=7J_zHI2jzVb#w8ER`yh-<9+dY%7?(UK?}IQdc~IU5VO;W{ybr>-<Ux5KgmKA( z@;(UTk_Y8|5XL1B%KIRUOCFT>K^T`jDD8tVvb+LxeITy-4_O|R_CXkzeo)#6VO;W{ zv=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLd zxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou? zX&;1f$%E292;-6mrF{^_E|2IR8zHs-8tg$S614wA9z31{${!#%fcyz!-+;~!GBV18 zj!yvh4{^zZ@&*Xwk_Y7t5XL1BatR3Ik_Y7t5XL1B${QezOCFRrKp4Bc9E$%z@dz3p zsPG552oyeYDE`ML5Ar(*gUrV!5Ar(*<B|v21j4xFL4F5eT=F2lgD@_6kl#TVyF4O& zfaF2x6y$%20FaA7{zsJusR7CFz#$Jxry%)=K&XC(7Wnvs6H@wn;De9{jZJ|tE_qPc zf-o+5P}qVnE_skmAdE{M6t*CYOCA)qAdE{M6t*CYOCFS;K)4Xe{}yQ=7lFpFTHxa^ zxa2{82Vq?DAisk!E_skmAdE{M<aZFpB@gmD2;-6m`5lC@%7Y>n<aq`L22k38l`jR^ zAQyqs7bpxM7?(WA?;wmz9^`ir#w8E3350RUgZvJ{xa2{82Vq?DAisk!c6rqN11lc{ zazHKu`5!U=4APHH9^`ir#w8E(I|$>F2iXL|xa2{82Vq?DAisk!E_smOK^T`jH2T5u z4=Z0bAo(9z9%Kfn{0PVexfl{Y$nvoA<pWF}Y5$-bQvRyQgz9HNgfA|6P}qVnE_qPc zf-o+5kWC<rOCA)qAdE{M6t*CYOCA)qAdE{M7Cv=I{=d)!auFzBA^eX^9^`ir#w8E( zI|$>F2iXL|xa2{82Vq?DAisk!E_smOK^VLINl@1p90Z{GDcJl#$6k<&LH<7po*w}f zL%8HYeg|P(@*tBy7?(WA?;wmz9^`ir#w8E(I|$>F2l*X@amj<)_8^Q)9@Mr6VO;W{ zwmk^rk_WZzK^T`jsBI6zxa2`?dl1GY4{F<kFfMse+a83m%OlEHkbJ=jNP4i?2Z}LJ z{G-Y<oP@~F*pFR)0#yD54*d$JAo?vfW7od{DzCu}N)ed$JDi5-e}O~)1*rUrZP?9E zI0Mnou>-sO2dI3;PVDjq#~}Jo?7}X8;3!1CVmA)?vk-ZWJ=o;~&OzjF;E;a+m7lQ% zyZ(an5d9uoG37z$DlU+R%zqm|=Z}%+mqGClE(jPH7&KTh^&`vwz+yhKe8hJA`gh<6 ze*sWN1f5@iC452ix3Kxi2>ARhB7MdomERgz&PVWOU|=|4#jqB%zj1>yX8Hoj!{!e! z5Rm_XMcxO={uwHm=KCVaJE&sH`yt7{z#<RwKg@kUu=qa~Nxy<2ruqIz@)OiB<%5yr z4Ky+3Ly+WeV37|%lDAOD)E|f>{{SxE2=Cv7BFQ&s!Spx6+xNKSL3IxZ<B|uZL=eU$ z53&h_amj<~9uUSQ52|}W7?(V#?g3$3@~}J?hUEVYZIFvV<sZWTxa2{82Vq?DAisk! zE_skmAdE{M<aZFpB@gmD2;-6m`5lCD$;12~iRAwTU66}V{f|o?<aZFpB@gmD2;-6m z*#yG4<UxK1VO;Vczk@I?d63^h7`r^8{6fy(6<G2&viuCVJR<)@BZc1vJ&=!3!w<VW z!hYoPqXA3#fh?auK>mRN%zo_VGZ4_ffPnr31mqpCm>-T5J_0(J?u$Z_=YWSF!u`nk z_W-<n!Y;o6i+m80`5oXxl2H3+pgA<~nO_VH3@0Kn-Is-=zakM+J`G8}BLctt3Ig&c z2*|%6AkTrt{mAaqfXRb87SI9!+5ZV;nC>q}@}EE*rhGAyyh15{c?SaW2?XRD2*@uW zAb$Xhd@9oVs|VpQ_xHf-$8-h;h6&L1R}<n8@}M9DVO;W{vJr%F$%D#95XL1BvI&H7 z$%D#95XL1BDjPu<mprI!1YunApe7**<B|vU%|IBJJg9F5!nou?eKQcoB@gPGfiNz4 zP~QxMamj=FW+0499@IAjVO;W{xfc+|B@dc=0byM7pfN)b#w8CLGXr5<@}My%5XL1B z8gl|+T=JkXClJOZ51M-cVO;W{wFe-KOCD5ifG{q3(AonK#w8D0djP_?<Uwl>Kp2-i zXzc+A<B|uhJpf@`@}L125XL1BDgZzjmpo_!2840RgVsfZFfMt}x@Zu_B@bE`4Z^tO zLF=MH7?(U~T{8&dk_Xj!AdE{MboM0(<B|uRhY7;C<Uwauf-o+5(D{`hj7uJL_9F=6 zk_Vj!3BtJKLF;ls7?(U~T`maYk_VmX2*SAJL3byBFfMt}yd?<Zk_WAu1z}wBpmn(* zj7uK0E*FGx$%EDfgD@_6(7Ip{#w8Crmk@+;$%EGIf-o+5(7A;mj7uK0E*OMy$%EDf zf-o+5(7B2rj7uIguMEPt<U!}sfG{q3(77ETj7#2;fq?;pamj<`)j$}RJZRr52;-6m z?F$59T=K3A3=ANQOCB`t4#K$PLHn*i7?(U~UkV80k_U}zfiNz4(7p)}#w8CL_W@yC z@}O}Y5XL1B8rK0~T=JlC9T3JP4;q&NVO;W{aTO58B@Y@`0byM7pneAk<B|uB`+zVm zdC<5I2;-6m^@~6lmprK755l<QLH#li#w8Eh_W{DV<U##v5XL1B>eqoVE_qPD7=&@j zgZkYdj7uKWF9u;;@}O}^5XL1BI@cA1amj<ug#}?;@}N6%Kp2;N5(5JR2;-7ZW?*0d zVO;Vl3=9k)j7uKWZvkOk@}Pbn2;-6miNi3q{!Io00|N+y)L@g(WME(bVO;W{elrN; zlFw#fU;trU@;M9)3?Ph4K9_-k0fceM=P@uafG{rkd<F&v5XL26z`(!&!nou?>jpp= zmwXWe0|N--k_YvhK^T{O2?GNI2;-73Wnf?cVO;WM3=9k)j7uKWuLogV@)ZmW3?Ph4 z9(1=82;-6m-SGs%xa6xD7#KhpmwXKa0|N--lCNc8U;trU@}PNF5XL26&%nR{!r0}z zK}~<~2ni?;z|#MQcu<cVG``mjA0Njh59<4aFfMse-yei=$%AYHVO;W{zCQ@#k_Ywu zK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y z7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@ zmprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<B|vU{XrO) zJgDyv!nou?1po-+k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M z)b|HrT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW z_XlBI@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs z2Vq?DpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^- zVO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|Hr zT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI z@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?D zpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{ zzCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b9adT=F1s z7{=EB0rmYs7^DW9JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{ zzCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4 zKM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlC@@`(9= zP#T4;|5Hc;^~gc}{~mb%AD29+?+?Pb<UxIZ5XL1BvI&H7$%Fd-AdE{M)b|HrT=Jm4 zKL}%&M~r`f@*vFrC*b}^jDO&g2l*X@amj=H4#K$PL4F5eT=F2lgD@_6kl#TVmpsVt zAdE{MJop10V}$v?AQ|K$Q2ZnO4=RJO$%Fh3!nou?eg|P(@*tZ)7?(WA?;wmz9^`ir z#w8E(I|$>F2aWxJFfMt}*bfNfk_V0bfG{q3(AW<M<B|uB{eUnodC=Go2;-6mjs1Wy zE_u+{4+!Iuhs8gr4uQq5LJBBGP~#t$JSgr#7?(UK?m-xrJjf;x#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dm zdl1GY4;uReVO;W{u|E*TB@Y_=17Te9ps_y?#w8C+f1oxBEdAZUlKybXgVG)d<B|uZ zJrKqv4@!F=j7uJr_COeyJSgpfFfMse+5=%+@}RT_!nou?X%B>P$%E1!2;-6mr9BYF zB@aq_AdE{Ml=eUvmpmx#fiNz4P}&1wT=Jl_2g11IL1_<!amj<y9th)-2c<m_#xCCl z8u|n$K~NhQmi{KBf>H#i{Of|Ze{jiz(jExok_V+d5XL1BvI&H7$%E1!2;-6mr9BYF zB@aq_AdFoevA!78$AS6(LITJ|Ape7d226n3_^|yk6(yMRpf)_Lf22{3kO!?D1z}wB zps)jBT=Jl>17Te9Ae%rKmpmx!Kp2-iDC|HOmpmx!Kp2-iDDQ$WE_qPi1z}wBpu7vh zxa2{37ld)igYqs2<B|vET@c1456ZhBj7uJrcR?7JJSgviFfMse-UVS?@}Rs6!nou? zc^8Cn$%E#OKp2-iXzmDvamj<`jzAcfJZSC+gmKA(=8ixZmpo|h2!wITgXWGv7?(U~ z?g)f&$%E#OKp2-iXzmDvamj<`jzAcfJZSC+gmKA(3Q!QnB@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{xg!w9B@dcA0%2V8pt&Ou#w8D$ zI|5-`@}RjR5XL1BnmYnvT=Jl~BM`<V51KmyVO;W{adi;JB@gOXfiNz4(A*IS<B|uB zTZ1qzdC<5n2;-6mjr)NxE_u+n3<%?r2lbml7?(V#UkSpv<Uw;sAdE{M)UO0#T=Jl~ zBM`<V4-$uAZ2eEr+z|+a)L@eb%^iU-E_u-05eVaw2hAOUFfMt}+z|-lk_XKlfiNz4 z(A*IS<B|u>9f2?|dC=Su2;-6m%^iU-E_u-05eVaw2M-QF*XY8+*P{m1BZrJ1fcpL* z42lD6@}Ryy2;-6m_5DE@mpsTO5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy z2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{ z<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{zCQ@# zk_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ z2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m z_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<B|vU z{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{zCQ@#k_Ywu zK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y z7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@ zmprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<B|vU{XrO) zJgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{zCQ@#k_YwuK^T`j zsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y7?(V# z?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@mprKN z55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<B|vU{XrO)JgDyv z!nou?{ZbIdB@YsZVQl?RP~RVfL29tcgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@mprKN z55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{T^_N102BtW^#ePQ&d&n%{XrO)JgDyv z!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;XC@efcO!~CC64{{M``~z{m zGcI|M-$59cJjm}Lj7uJ56A0sy2l*X@amj=H4#K$PL4F5eT=FpggVHd}{~Qe<7oqwe zmpsVtAdE{M<aZFpB@eO*gmKA({0_pn<UxK1VO;Vczk@I?dC=Go2;-6mjs1WyE_u+{ z4+!Iu2aWxJFfMt}*bfNfk_V0bfG{q3(AW<M<B|uB{eUnod06~|$|zX;@4yoOxa2`` z55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<Q zL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`&e;|xY9yIm`!nou?V}BrwOCB`# z2g11IVd)Q42g1@{K_e(dpq4+l<Uwf<gmKA((jExok_XuY!nou?X%B>P$%E1!2;-6m zr9BYFB@aq_AdE{Ml=eUvmpmx#fiNz4P}&1wT=Jl_2g11IL1_<!amj<y9th)-2c<m_ z#w8C*dmxNU9+dV#7?(UK?SU{Zc~IH|VO;XC^apBV!P1`wmirxW$%E1!2;-6mr9BYF zB@aq_AdE{Ml=eUvmpmx#fiNz4P}&1wT=FpggWAwA|7X;KT*SZt8drtMgJ@7Y5JbZ; zhz}YYhw(u)NDM^7Fo+KtUj^|&<M1FFG~N!Pk@=u8R&+6RK4_d3T@0NM8f!%tL+8Wn z1&M<&$c-QxhCzIo8<E9eY!D4n1DbaLv0)e_24jP05Fa#m0b;{2NDRgX(I7r(d=$in zxeI1DG9NSsN=OZ8oD-x55_=2`pmc-Ir&gST#*9H`!!XDnFgAz=@j>IdAU3i;(D|UT zTXZpW{$TSLs6P#IBMgK53S)z45Fga12C-onBnD%HXb>Ni=Rj;w{shsWdH_Tt^Fe)Y zbTM>3sQ-;FhRz4|!9ij$46+}_2GJlss2>hu!!Sq;#s<+KK4`8B#D-yz7>o^~L40D$ z1W=g_QV+u*eK0nN2Ju1VI*1L!ATbylM1%PBOwXfsfkGXEL17PJK}ZmPD25Nn^#Jav Bn0f#J literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini new file mode 100644 index 0000000..dbb5c2d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=152 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=121 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 +OBJECT_NAME_COLUMN_WIDTH=157 +OBJECT_VALUE_COLUMN_WIDTH=61 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk new file mode 100644 index 0000000000000000000000000000000000000000..d1061c41b28afa8af79129940a2a21f2c2cde8c1 GIT binary patch literal 865120 zcmb<-^>JfjWMqH=W(GS35KkZ-BH{p{7#?WzLRkz94h$9yybKNuatyKzYzzzxEDRtq zh%`(+jK0AH5r@$n5H15VRG$Qh!N9<PPRl^m!Dx`1KtdoIWFLr)4Ic=Ah{9+F0SF(Y zj}^pB01*rf3~03Dd2X--j7HW6w$FeEEYE;O?`Vby!)RoEps>-{1<|K*3YC5!1kv{b zO2hPlf(xY20;<mfst-mhfD|w=Fu-V7c!Jyr!WPi*M5h%X)-k|nbbS#}edx3cR3D56 z*#Qy?ep-?OVx!vw<HPKM(J=c0p!!Z^K*9r^UIK{*1{e*p17u&|(~=ZWxPaKiU}&^6 z1VQb?6%RMSiGqOvMuXA`$b9{r%p@}t{hSotoXot^3f&3|GhH(iz2ba5Bak#W&4cU! zX?OPvWngMxH~?}hOh1S%!oUDd=OB6a$B`EuJ{2ds$$j)q)NM`F`YXK*qy}ULNDoL2 z$Y4-3K%*GMWdO0u7#P5L65{_xusBEz#JHGxE*C`O!rNFF7+^^ZSxqA^c5!`1?BbW% zu!}!q$1eUAhxxNOv8yj-#V#JigI$~thxyNO_;)J~^(VQpn=cE>W5@vpWnW;xuHG9* zxMkupp98x&VqDn8U*j-;6^`)vizB{_aG1}A!<}t7#948Kry!2>Fdav{?7`u$EF9vX zyaFpzpiu&*<Zz@PbsX-j#}WP~afrWU!X7U?%-F@XaEKS;h!-6k>FqL(`1*z;AGzT$ ze-4gxyAg-Krs7Cfk8p@v;s|F+9Oj$g2%i=l;t@FFmkWn~yK$tSIvnoF#gRV$;|RBC z9O=9TM|>IK2)AAw_L}1GuNw~c6ygZ~sW`%C7LITh#F4IeaJVxRM}8^AVa{<J;oyP8 z{J%KjaV?JUNyg#dUL5hY0EhT>9O2`DqdW}6p*{mgeBHxg&O#jNXDtqQ>f`Y5H5}pZ zgd_bY;jq^MM|_3gFn>RecoD+k-*-6Dtrjlxarn0xNBC^Ukv`wxaOX1|>J4z1?|{P` zQ5@m+8;AL>IK<E52%lp(^2<IP>2NlVc>jaLd}bW(zl6h_WjNyZ3l8_N;RrWP9Oj?E zp<W(G`EZ|?fk6q}GC(8ZJwtrsQ&Njk(=&@pQj0=-opbW@QbQ7xa#9)M<I{8V^Wuw3 z5{pXW;~Bt8u`0~W&Wtb1$xn|@Pc4ZrD9TTcPtMOPi7zfGDorklFDNNu0Lv!l=M|U4 z7iAQK)ua{|$EQ}LCYP3^#v8<^B^H;&=jF#2rKW?Z#PoCqu&Eg-Iq}8G8L26yIjQj_ zMTvREiOD6IAXjCk=OyOE7w6=c$0w$z7p0~rmZU=TfLxN2nv+_R8ed$RR8W+k9-o<4 zmY<vm)|i<VpO%@IS)7rY0#OdKA}uo~HNGsdC==vykR!mM0g(q82hoAx7iXsDrKZG} z<|P(Y#^+|{l@^2LGV?M^G81z$t5V}j5{t8utOZM#fvif-NKMX;FG|cyPmRw{i!aW} zOiqo@FMtG5ab|8oPAc)?Q(Te~pOc@SnH-;3lv$DyUz!JZ8I+M(9G_ZPnwSH2Kyp!P zVo7RzN-9VaEP@m<AhFaGB;P=so1d4QSb|Usw;?67EHecu#PP)vICjCtl_lnsrpA|K z=BCCQAPfarotP7!k(iePj)$C5h{3S*0ZLP;#l@+RPzD=>7L1vBDXA5pU<9WcP?RNu z9UfntoS2hXgk)7}Nqk90QEFm}XG(lpeo=gBUQuFRN`5Xv3EYcGnI-Yb`MF7%c@XC$ z=47VlA=H6VJ2**Zf)iG1N;*Ut*nI?pqd2uBDKR-4DHKvt6N^D10ZM;hTQXBqQ{(eW zb5n~lljA{A4U$bwiO<X{iBC*{CSQ<WLCHHiH9oC051cu`nqe_pmReL2UjoWmi8=A5 z#zqK9NTP-%HiS4<r|0G8#V6(DCue8orN^hF<|J0egItrC6Q5szlst-4OX8DDi;7b7 zASD4Pm#5?x<tFAq6@juqY8glxs=XkwC^0v+Boz`z#Ra9s8DOV?Oe!vmFR3g@jR$AX zg2a-H_`JkiNFW!N<d($e=jFiS2JGGP#LSZT#JrSvkmkJ93Q!q@QY;h{<rgG^N($t% z1Z*8xKg40li8(o-r~!+EOe#u_FDc4Q%!yCVFNOL8?9M8%3UG8|(V0@2mzbLg(uydx zKx!eHK+%RZprOG6&N5gEM3BDR)ZFCU0%!&*F32wc8wocJo?GG*OCVXTDzzvdtD5+N zqRjlF%#up5EUxsHTbfgnS&#!!1P-X;lA_eS^pcGD<op7NFjCP6ONQ~FfCL*1)&t7) z2p+V6hN}f7VMqxLQ3FaRa36tl8D_x`R#}{xTbhGbs)6zf)?zU;uOu}+wJ5$I2U=oh zq$U=`Bis%)5$sw>Wd({1P??As0NCmzc>XAZmN@CDd8tJpx1|;p<rjgA8&I)X464AA zD&yhNk^)clAiKe-y}T$BTAvlA=78!hNU{edAiNbKINiX?miXe#s#HUSr?3VnO3ns( zI58)_AioHjUqR^&Yp#ihrV6OLK<XexE&=PHHh|noKqYc;f^sw{Pa+kU@M1bQKZOAt zF5qMWN>TCP><CJJAU#OdK$C59E+or<LOUK($`@3|8^l*2lqTorA(xyG2||ey?kP~I zkz7<(9G_B}TY#<j0=qW{)aZfKh|o|$EeyfV!y0E$<>1y&aY1TwW?Ch*P)<of3L<EI zkF70&E3d=r6_8&Oi;5B}K~-QuVo@qMF%cgQVC6_@59$_Z6$hn?QlafUX!1c0^7vxp z$_i^RU`e`Q2ja~C@HCTI9G{n8g48t1P0Y#3PqqMSCobG!A&n7|a7#+^K~*)Vz(E)b zOIHP;Ms;y&PHHkzMuSNfr6%Tp>TGb@fHZnAYyl;K)Rg$5R8Ss=m=B7wqRe7Y4GnLM zfKp;bab|8xW>Gx2908R*nQ8Gwskw;-1+X?SDEMLd0Bjbhuq^|XT+qrJrIk>enpd1z zl39h6^+7I1E#QfbFhpLB&q*zY#W`Fzv@(M<j|paQc+f)&CrI4{iBCv}0lAGCpP5%s z3JLxcSS<=yi(c&KrsjgeG9|wh)Hi}RGvN*eyA2d<`FZgu=@PlI1P)tp-Y-f;En}hX zO3N=wPK_^0%}GrxP6an*Qi?LmQj0+GoS#z)YG^WK7H8%<6=kNRr-C|M;VDVspt_=n zAwE7QGYQmC1SPH9#LPT~`1s_CL{N7J+$Ujxv>QNFacT*ON-n5m$jMA9O393eR-^ev z@!6?Gd8s*i#ra55Nf7H$q>@3?KV}T>KAuj_@kV-vCUB-Pf@!2@%AlWLP@<oio30<0 zS(ccRZ)9L(qGt%@=tFrqnMwLNc@<_RdinZ#dLUF%Pz({#Pft$PwbV1#Gtf`U%mYb* zC!@f#K#U9!$ONS!yunW5axF8|7c5X3;SbQf7pUjX$iU2C$;SYj9%Yy^aT*(F#@QJv zwgt>*X7GdZOQkY785o!tBKbhN85m&muCV#X572pS1L(XeOnd`WoZ|vyUJ@n_;|rjP zD;Pr5NuY`QL&X))#5+I(zzhrw8ffC&#t?H1(8PP8;udJ)E+!E54rt;hq2eBB;$Ef@ z^#N$&r=j8zXyO585cLUY;+LS}8EE2R<`DG-XyUh^;uUD(aTXBu4QS$TpyC~9;%Sx; z^%Ky<UqQuZpo!;MLDVlm6aNDhUx6lGW(`rl0ZsfDRD1`Tc%2PI{Q)#_9$Sd`2{iFG zsQ3jmaV|TE`WtBCeNgcSXyQWl5cMz6#3w<;KcI>8fCg?E7#Mz_iBEuvGhBq22TSK7 zj!-_D_zbAH0Ghav6GXiPn)o!RxB{BElru!V2AcRhsJH=|xP%Kty#<>19H_Vhnz({1 zM7;-^_!6ji0Gha_8$^8sn)n~6cmkSuzdJ;I2AVjB2SmI8O}rH<UV$dg<OxyVfF>^C z1rhH+6W;?BpMWNA<qc6k15JE8RD1!NxSbC~{R%Yk!%*=JXySjN;ycj99eg3?96%GV zfr_6%6W;+9zknwG3MzgBP29>4V*Ud(@j9sZ3pDZnQ1K6F;`ROzbAF(SGX+4z87@I+ zSo*&Z3gx4TM}$Gd1<=IbK*c4{#2dmP>J`w$Z$&`FHPFOeq9Ec1XyUt};udJ)s?iYj z4rt<&pyD2A;-WDS^#N$&9Z>NIH1S_h@dPyS*jR`;8EE1haS-tWH1QKq@d`BY6$uda z4QS%}i4gG)H1Q=+@d;?+l1UKtGtk86L&X=Mi8lyC)UQAjp8%SuVPIg`fF@ob3sJuV zO?-kpMEn4n_yGZk_z5)e1VM=S1vK#sA`tN#XyO~hA>t3v#04ZE;xEv|3!vg3(8L8K zA?kmii64N9GhBwq!_t3&6qJu9t{@E&mp~K002Nn26JH<$QLlj}&HxQJ12l01sJI21 zcmh=10ZlwW5n_G-n)rtb$ilG*G;xJWh<E~;xPvrkB?$vV2AX()Eu`gHfF{1d4kBKG zCZ6B`5pO^fXGjLE<YHjxKokGa3K5@xCLYiM5ubr3exM7o>T3a-_=80d@fB#|6P7^4 zH=v0#FtURi#5>T$4LBj<2hhYHa6!aRpovf5frwu~6K_y~h~GdHpP&X2e}E<~APq@* zFVMsn<U+(hpo>>R#DAcP8#F`28LmLm1(e6Ip#{R?Kobw>hlmTHi9eVC5tl#{cbEhb zS3nbQm;w>kKoeJ(0TDMq6F)EuB5r{u{$UkF+yPD8VJ}4716_PSL_7dZJmDZjJOWMp z!*Pgs0-E@Pdl2yqH1U9WoZxg)fF?d+K193%P26BHM7#k_{K7Jbcn6wz!a9if1T=Aj z4G{4eXyOc;AmR(q#6N6<h_65s57+|{-+(6Gupc7615JFv5s3H!H1P>%A>t>{#2GF^ z#4n(UPq+jTzkw!x;3`D?0h)NiBZ&A5H1U9c5b+Oa;s+GD!13|}O*}voBF=CXHT^%( zf{1gVi3b=$#0AjAH@HH?CD6nRd?DfrXyO5Z5OEDO@q!SDxB;5@gm8$s1)BJVD2TWN zx_C51+yh-a1|lASE*=XJk3biXgNP@fi^oI6Gtk5fk|E*+XyOmjA>tKi;sH4j@dh;U z0|gNA4m5FtQi%8jG;x6{i1-XN@rF8x_yRQX4^0s96=>oKy%6yYXyOz4AmTgF#1$q$ z#1Ei}Z<q)XKY=FhFc~6#0ZshE6o~i@G;xM$5b+0S;s(<p;xEv|6J|ifKcI;#EP#mr zKoftk93swe4K@9LSOpR1Koe(J2N4%Q6BpPB5tl#{SJ(m(S3nat*bWibKofV^1rawu z7vBvLw?G%)0}*#X7vBpJ_dpll2N4fI6Mp~|k3bV|*bh;kfF`bR03x1&E`AUqUVtY4 z04iRACSGs|qP_u5+~6=oyaP@A!x4!11T^u6qY&{KXyOIOA>s?r#4ntHh_65sS2zU` z-+(60a2g`M15Nw^RQv#%c)&S``V(m44i_Qf7tq8X+<=JRKod_;gS0arpow3AioZY; zcTk6@|9~cb04n|iP252PqMqS8YWhC_73V+`chH2W7eEs~02P-&6L-*ps8>K2H-d<3 zpos@qLBtKv#1ot#;udJ)1zr$w2Q=}9Ac(jJn)rk$h<E^+_<|&ecm$d_185;RXuA)Z zctRmWeFnOCB}BXcUAz$@UV$#&2@!8V7oP|b??4xy2@#)wF1`>VJ_B8RB}9Ayy7)$j z_zHCKoe=R2=;8+<;ycjAPeQ~Gpo?FGh@U_gzX=h)fG++JB7Os1{3S&E0lN4{i1-UM z@dG~~;vdk&6~H@T7#Mz_i8F9O`d<t;P}Bbdeuy{+nz(~FL|gz(e1RN9Tmns;K@B3V zfF?dc7b32KCSG6)5jQ{+|1b;EFS9@s-!K~@?tmulumB?NfhPXo2ShvoO<dqNL_7jb zya2Qj1eE^K#3wL8`ehkt;tB1La;yMNT%iLZUV$e504m;qCcdB(qP_!7JfRCBJ^@W! zp&KGT15Nw^RD1!N_<|mY`W0y63|ArI8_>ijT!V=3Koeg8Ew>M#i5Eb}6HcIs8?1ng zCtN@iSJ(&<zkwzmun8jm08Kn$3q<?{ns~t>i1-I|@eL61A86tipyCWSQPcm128enN zH1P$E5OD!C@rEXdxCEMbLNi2M0bRTWBCdfZ-p~pWH$W5r02Q}D6E6U5Faqr#MH3Hb zhnVAmChpJy5f4BUH|T_jN1%yc=!S?Vpou&5K*Tf9#3w+-3(&+LK*cN2#2b1c<}{#* zUx12tpou5+L)1?|6K9wR5ubr3zF;myd;ywx!E%WB3N-NxQ1K0D;tLK#)bBtOzi<U2 zegI8e;RZzf1e*ATn-K8}XyOZQL&R^Oi3i+)h(ACRXSfRye}N_*02Tj$CcXeF{sT>1 z0kn|<)HA+?n*KlBhnUZSCSLFWA})X?egG;ifhPXoDMY;jns~r7h`0ut_=Z;yaRW4Q zh1U>q3p8<tzYuW;H1P%e5dV0fi90~YnFG+oCqT`QKod833o$<dO}ya~L_7mc`~g(F z08RYE7l`@_H1PvpA>s{a;tJm&;vHz>3!vf?(8LwKL)6be7ykhfUw|e);U`3V1)BJW zUl8#PXyP0GK*V>Ti5DnC!ubH2_yHA&_z5)e4XP0F3uxj6>Jaf8XyOl`<JAw)#08Wf z>R+IVCqTtNpowpQivK_p7l68-;WorP$fzCzg8<Y$0_fs5L79btK>|&D!!3xo0-AWh z9f-IFn)rvi5OD)E@d@`K;udJ)1`i?P4rt;R9zn!C(8LR#K*R&k#4kLDh)1A_8@z^y zC!mR6cmom7Koc)`2N5qo6HoX65wAcO{|pgtKoe*93K8!>6JPKRB0d34+~EgAd<L5M zgP#!b1!&?8zaio)(8Lw~Lc}+qi68g}5#NC(F2D+DS06wV{{R&~fhK-|4Wj-6n)n8G zi1-aO@dKO?@ds$)8@M3iFVMs%a6`mDpotgoK*WEbi3jjP#2N0OrgH;6h&TtDxBx#y zTmVh{15{iBP5goYM7;u<_y$3UxCWZ|1R;pH0h;&%VTiZ|n)m?`h`0lqc!C&2+yhO# zK^!6;fF@ob0TGWt6HkzYh$o<l2S`E0Gtk5*R71+c0(9{jh<F9Mcr8S{0bRTfB0d34 zyrCW<J_AiW0eq4H1H%F|@ej~5NLHYUH!wrozX44=;1e{Rqlr(Dg{VJ(CjLMUB7Oo* z+&}>$egRE9KoKH-15La@2_pUgP5gi|MEnJsxPU4|`~#YJ0CZmR2b#D*6(rmk?xLpi z3sCVJ=ON;t-X3&`8#o{yAc@O^MIgirBykOh5SaXcBn~@E0wnPRNjwuI0L22(c{h-6 z%%EZ*$^%I}5F`M_9MJp=QZEV>15q7N|ANGoK>|=*a0cQpP_Gmu2Nh<hKoW=atRXTD zNaB#0Q;2v6k~rvm4TvZM!vrL8(3u@D@fk?spfH7rFF+Cpxd|q|0!bWJ*Mj6WAc;d} za6qCA3_Fm-Aw5ut_yHtwXpDm;P9TXxLIf<#Z~;jiaS{&$!wn>Heuz>q`2a~AbjAo+ zkb!~W1(LWROpt+r;RBL5@_8XYki><N)H9rgxDFIHpmR=OQXEL)VlY7l1_l8nad9MZ z2_$g|Byj~KaY-a`4J2_XByj^IacLxR3nX#aSwbLb2PAROSsx%V5cWV4mjekvaR8Dy z^7#`HNaBi6wIC`1NgR0}Y6g<H3P>Ro7a)nNLd8H-1(LWLNC1i(ki^xYVj!vmNn8^o z0L2rK#I>MeAZiAZxHd=tiWeY>gU+Ua3Nx%g64!+Zg4WL<iR&SW??4jQM-o4PByNBt zega9{5J~(3k~ri99*E=(BynSi5SV;`ByIv0fe<f{#7!YWVDbZ!xH(t^Li|7yw}1#i z$a9GFZwV0rlN?CmR$vhbA%G-q4G{v95=i1UU=awRfFy1U5dxDMNaA*25eQ*`ByJB8 z0+SX<;;=KhKoSl};*KBzDE2@ScY=z6r~o8!XOI9CM<9vA&WHj@B_N5rf&`#A14$fo zmIYLpp#VwT9VW=Yz)*oC?tvuUfF$mTB;J7}?u8^i0ZH5&Nqh#9xDS%}0wi%?B=HqU z;(kct8<52Pk;Hc(i9?Sj1Ir#j5)T54K!_7a;=vFhFnIw<JOnHPA#NavheCwF<O3w} zFt7-Oc!4Ay4iN&AACSZ&z#<Uh2a<RsL<mAc+X<lZKMEoOCOMGAqroB&LI6oT1|kF| zC6L5p!6Fbs0ZBX#A_OKiki_G`A`rp=NgQ^x8%V|iNjwoG0L2bS;z>|35aod+o(vLz z;s7M^6sQ=8ia-)i1qncL0+M(dR18FAAc;e_9Dsxw3XsG>XNG_ULFpe!JPRhsz`)Re zB%X~V-hm{ZgCsryNgVmWuo+0=c}VIPAc^NAiLXEsFF+FCfFxdsB)$Vlya-AB0Frny zlK2TE@e(BQ3rOOnNaCZ+(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!3i! zA@G@B?w3dN8xD_d)|2@>3?8iqN|^p%@Mu25aTu)mzo}IY55s>|n;aenet8Fm|EeH9 zc!c8Rga7~k|5r82;bF)CP1(G>0Oo6f_@Jqpmj}RnB@iDpMe}k4m@ft5gQjL)E&%g| zKzz`Y%*zR2J{O1&nu>YZ0Om7+_@H5?mjz({uWTNM4A9ie%LFk06NnF*a(Nj5=Dz~* zK~pU+9l-oYAU<e{<)s0be+$G14c@*~0P`<__@F73mjYn^DG(ntwf2$$%s&L;gQiwq ze)tFS?=BD@G^O(L0hqrD#0O2Kyu1MBuLAKwQz$PFfcc9+e9+X%%MD=uED#?wW%6<X zm_G@`2ThT^oB-x`f%u@Qla~!(eiMifnlgD=0OnVL_@JqhmkD5g5r_|(B6%4A=4XNU zpsA6U4q$!~h!2_)d1(OVM}hdDsgRcnV15vY51ImbDFEhsf%u@QkCzN!z7vQKno@lE z;V;PlRv<oT3gqPjFy9Eo2Tgsvya48Ff%u>)kCz9)d?gScG}ZTV1DG!b;)A9>UM>Lh zg+P4Jl*h{nU_KX!51Q(D*#PD<f%u>)j+X^s{;w<^h78bD=F0>y{}YH0n(BBN0Or2} z@j+7@FCD=AM<70EYU8B=n12hz2Tf_bQ~>iYf%u@QjF$pn{wWY2G==e!0n9%H;)A9x zUViuk^8YRnA2en0@&TB?3B(6YRlK|a=C1<rK~oej4}kfLKzz{D#LEp}{wxq5G$rwJ z0hm7t#0O19yqo~$cY*kzDb|+_V15&b51NvASpeo&f%u@Qh?faqei4Wdnu2&40On_b z_@JqWmkwZl5{M6)a(HO~=0}0}ps9wJ3SfQ^h!2`#cqstpdx7|%sfCveV7?QG51LYV z`QbOn|5hMAXe#0512EqR#0O0wyu1MBYk~Nnse_jXz<ebTA2em~as!wz1>%FI3SKS% z^Mycs&=kST31B`Kh!2_?c-a8vGlBS^DS?*-VE(U69)=9iRKUvwF#i*X51Ima835+L z0`WmZ|1TZD{6`=@XvqJi0hoUa#0L%azf=J8FM;@=A^w*FVE!o(A2hW8k^#&=1mc5+ z^k07X1@iwc5Fa#@|MCHtzX`+#O?|(-0Oqd(@j*lSFAsqEi$HwPQ2xseVE!x+A2fvj zasik>3B(5t-M^dw=68YkpdtI04Pbr~hz}a7e^~(LSAqDTA^MjIV15yZ4;q?(835*I zf%u>y`Iio0eiDce8j62u0Om)5_@E*9mkMBh5Qq;NdVeVZ=6iwopdt5{3}C(!hz}ZS zfBE4j$p2O#K4^&j<pVI^2*d{st-rhg=4*lYpds~_2f%zK5Fa#@{&EADF9qU*hR|Ow z0P}@Fe9+MO%L!mU7l;oUGJn|s<}-o#prP`Y1z`TK3?7CIPzCfd0nGmd;)9kdybJ*I zUxE0bq4JjwVE!WzA2dY%(g4iA1>%E-#$PIc`5^Ua6Tp=n0|Nu7J~Vvm*?BR}qw|qR z^OFw&A+Cl83{QIW+D0AcX8139ID?1bOPU_Pd<$5MN3ZR{QXU46Ue;Y8%JN5vs7E(z zTLz>m<^2DEU%mmP@GzA2=(U{)QrK(S1)?<nd31j8IQY)q<KPcw55{927yp%rcyzP+ zLJi>f{~(QD-i3hyrXS?K23y}!9tMU|?NE<qTP@H<DjuCrOL#oGZOt-x7+z@p|Ns9* z%K!iWkFlzj@-Q&Q9_E)v(f_ji|Ns9m_cXo%ttj&7+@k_o0p-!TMTLWrfx)A5iVA3@ zjz@P3m_FtZ!2)A<djxd$sDRe7bwjA;myG;VT2#I>FfepY0aGoP_@^G~^ieVC2vITS zZw0N5dCdUR@6mi9!K1T9<pl!+SPVk<fbH?<4)pNo6!7Tw4Djgm-~ed>Np~A`ZUNf} z5&*la`9KWFj0X%14BZGR5Vz9+D$uz_1+;h(qy=n70ZZo=6;MQXL#WOzDxg~t5b`{o zTU2@=27;*0Eh<w$ycV!A-92C)|N4X7Q^5N8*I(4U;J`ocSf`7MjzdR@iZ*{=0}}(o zYX%grM!=j2vIpe8E{={K70|T;uNk^pzzmPZBcM1q3{nC0oC8P~$kiY*2+aTz0vP}j zf-3U>iGi*pK@#%;iJgFkEmU0yNbCSq45Ypr9JC-+-2$2y9r))T>-14k0r^6izdwc% z<O`6IAT8Y-%`gA+PXR@zOh<@{G=EDATpnUnXAd}pKpLR-f!(zOY9CY#?1l}fVgVp` ztw0q6yMF;x3}i;P1;}KONVfrq1u~;spc`W8i`(D-|A(?ck{}J*9GyMjDC&k#AaRf; z{`DY<8K5}rQ2|j0A2M`8q7@pu%?CjOpfm!~19Dh52SgDlL3H<klMh5u2h@e280qd& z0dYG|I(DA$VLaf{#o^M?qted6z~IsarJ-^DlKtQR{~)trA<GB}S&@zq72y&INXh|6 z3&^RU<oj|dR0m2}zwC#KqN=Tgih{E;>xHu{;6ww`39=I!5#SUF(gzX)(fr#unqPuE z4)O%lFA!gY0x263R-BzZDxi`KqzP&eIFW)p0uqDJVEaIE01|>K17}oF_#=sd69_1L zk;K3W1Qg9kVgVkVE-DpB0zM#t0+0YmHz;Yis1!ib1xRbR07wdCSvSXv179Iw4dH?$ zKzg(}I(<}fx_wk~Kq4SbpmgD*lF{v>k^%Czk4j3nk4g$CntfCfJi1*}Dj=!AM<u4) zM<oFw5dqQ-@@cn^N(5TWgGxgFZ9U+k5EQaLDk0rIDj^WV1G*sr$?T&N(CMS%)9s_; z1CjCQ_EGVGnC=2H9TacfJ}NF~ri0QINE#Zv5ugyUfF(4Lb1hstz}XwB09@FDk|{_N zi0<}aK`p?c*##lb(+NrH&=e2NBp`XHncz4Dxep`;qPt<~6`B{jA%!HUY?%ToTX;Za z3palYsLk}6p&L@BGPi)s)J_NwD(KPOqXIIqlksKaumAripaPH18kHX&oh2$CJUT;E zUVyy&;+TUI1Bmg%gH`byD9O91+yL=kcr+hy0ENy45bpvsnfs`m>Gn}M0}1jI**+>K zI6Hk*j)0VaG&q7Z>;Un0z%^{?_EFga(XgT0N972!kIIHlAC)!TJ}PS<!YjIcR8~Nu zZV5>5jcy;6C7mZbPkAt2aOg4sd44fS9AtwN$c7mXT_q}Dp&1Sx1}IWsx8H#3dj6WB z%SGk+VOS^-@PE+=_y6IM>3>I9`WHD*V*2m)Q8@ug!bd<U8<K<%fYKu<2_HaB!uvtu zpd<{=BpX0U7%a2_DG7t6a3^7p#y2y-?aMtX6TtKql@2gHMWum}f#G<IiVkQj30k>= zgglxLWPq9p4j^USEnsEc9toX2DmD-e1&omE@wy=*ojocg5Q!R8iPn?+GY^3qq@6A- zpcc;Y7L^Jl%ODo?sDRA#=$-<W^XLrV@aP1WrXaU;7bJ9U0o&URp<2H=@XtT)&{3me z#NW>aGt~lWYIlnYh}qeqV!+72(7gvty*T;q|NqVw70?F#Zisig_kekfKRO|NkK`V( zQjg9a70~*0kM2ES`o+8V|Nn!+0@PdtmAqiOvjx(0?}jwtyQhHl?gP2u#oRZbDzFC< zXoVohgZ5W+Z&3kJt=}B^=N|x-Mj9?1E-LE${SC~}Z~^rJK;4$^7I1f<6VeL+70uva za7^fIQTf9Fj;{b%C__X#TU0_I!4GOif*jEek!U>t34S&H&On$o5g>VJT!YL3`7Hq& z&mcJvA2hb;kqmV}XNwAGgAOP_K=g~V?;rsJX(Ge=6nnt__2_i304apXZBYRQRyU-Z z(Rsq7^U#ZekD$PTBs`DqEh=D}J2ydEIa^e~7I$s}HHtuCBmoL=kncTMLEQq7(?BMI zL?JXJls&p9f(-z5VmcvuA^jMTB5*$jlx#t|AZCFZo6snPCMu95s09b^$$(UMLgEV~ z4mJhclL6P{TU0<)=N52eAml+k8BpV~8`1^p+@b>7PSxE4j`r>zFb~p`0YxHmPeue( zRSEO=or1UV!Om<xPyzBV$W<U)AT+qK2MJwBwuIETE#T@KqzqJz!_o}SZ~>do3JIwe z6;OK=q!mPW8ytMb(76Sihq@sYM8E`;M3P%nz;tH|IB$Sr8kARF+=3<vNP~2b3WVMQ z?ryqtLo~T`9snhPd{B1k?tv)j>{0OmncL~2!qL44TnxRid-wl;_a1Q1^o0?~-0l{L zqQ)bjQtWVd3%D?7e!+O~0Ymcv#!g6y@^bC(|Nl|sIwAH#t%s-txgILe2`MOEo`&cH zWlo5hoh>RXprQjpLG&RBbV9P{%g{ey`#_Ni(Faip%0l2#Mo@@?i(6J%a0LO&DDY?l zcf=sk1&cm#?1G{Jq!|)Pu(S#ZQn+St@eFDT!&NpPC;=rGP{SKZtN<hiYJww)<$%OM zjc||{$P7@EzC{Hj0%_HQ%z(7&LAG{tym<8zlxthSkp}6;g0$i9^MOj??jCSL0#$a9 z9!)od0#$iE;C3j;2_WZnL;HLn@1k@JL7hO5B2cJw_khO=(AwtR3=9mQmOqFFwfuWj zK*L1c5DH>7INE!_X%`{@t{Wf)KEy4cngL`DC~I~1fU5>HYe1I{gH(W{1)Mj*y(BQf z04l7&JtVL!E65ihp$xbNR!9Nm0_xmABas#CwN4imaJL4c5t1ccR00qhL7oAb<pF94 zB!HsIMa2UYPcAAEph$92$>`>I;qd|#Ltr*o9k|Ek0_w?txDlZ4Ru8yZ>4s3CVDM3K z==M=@00n@LiVa9z03;fGR4lrERBRv;CZKK(#PgtTpO1<OJYXPQ9*E0f<ujz1Y5`Y| zkWLS%G)1%%K=}paIgq+;4^XEERGN18KnhhzF$t1~m<kC<MAiXE52)J%%C6l#kg|0O zxFm*mdssllDKme|=3oE+gS$N-wahKx0=N@e978BjISDeclksH;Qn$yW@y!l!skBFB z1DM{TvI0y`QCR?~W<m8PsHz4z6eI*`8>N6sjUE*c_5WmN54djYhET9}P%?k#Cs>OG zR9Axx>26U0F`<=s_a1Ov{zCj2xW(cFGP6YmWMTIn6%Y^HVgd0%Ef!F<4{EVM+EIH{ zK=ccn=a32-lmkI71k=z45va%pC;lm5YYe}=c=s5Ta(cjC>jpPhK&`><E#T7l|GCaB z;LOksp+L=-nc(J21gQBE&fggY^NtF%UD4g50%CTysK|f<ts81GxcLIENn60Jg8wHv zTU0<BG`k^GCnQ%KZ&3jab%Da=c#BF8BLjFs5US=ss5#T=q7u*%qT<irDF(9|)S3n9 z0C^UiZa@PnAj>;@R6unGsJsIg#mP`dLCbJZV1Qa(FMd6Rgc!J|4IO?3B@R&BdUQG# zfD}UHAXQ@b6tL%BECS~`hz~){SFk1BTcDP1gyi|a3YbyH8bSG+0mk)cJP0WQAf+Ts z*rnSs!KJeWoQ^=1Cn!BR{yzZ{0=1SvLSVYH1>9^t-U4oWgZzKIMFrIU22G*DR5|`X z;K)DoAgBOwaOreWv2p3}QL*Ol{0bg5MQe?N6H0dv*jR82ya(DKhqR`^p}`8OCO`oS zN=2XmhtRMv2&@1PC3ix+4$%iv0d9qXd;-z}F$g>m3~l9hZvlH3Bnb*<a4QU?x)a($ z?1nby!L2ZGDuT2vJ0Zywqz`OM0jL!QPE}jLi3^r`TVR<HRL(<MVW3ow+zK-R6&uF< zec#}%Fo-ijQ3moa$Xy_NKy(*J%SryJ2RnRJjQCsm*+C6>$S@*UOY;G6tqW2J)8Nq! zuG&HEXOIw78F(-cQ~-g*AT+*k1nYuUBrV|b5~L4Yd_r4opmL;p3%EFewAw(e5l}-O zOn0_`%Lh<m2A2~m&{Tf|+#A@V0-?94fLsYmKOjxeR@+XH((WFJlFlAzJKaS^qk9jy zY<ki01l(!^W%(C1Pe3I~3q(=l5l~rr*zkZhBo49<fI4Czqq|#FKop|c1QqCnl!q^$ z{QCbNtPK=r5N)8wW;dkm3DJfm&<QDbUe^4EY6B&M>;wNH3PDB0%R4YBQ2v2Sf%>B_ z|Neov863vV2f%GJ&~ytZgdsF$1hT?XFeK_)z(uGBv|2|*5ZFjo(6BBj89@RWrWRIf z!kU2)wXj+b)aC&>035~O5qXdZsP1n8#{{VQht!ST9537+Kzsml45W<))+V5Nv9m|z z2xI^rM1c$hIig#DfBmV>9&lpmhESb7kQN?<>g-Y315O!`)+u<j5!CGKhKP6esBD2s zgAz<PgzMOO!mW#k0W=oB89EjZq8%GvGCA^3IRq+w)LlA4RMhxeKrMgpd<;l|4jccJ zgB>m^svSNmD*P>=%!!a=hR7**_^2rHx446guC5jpus(K(oMMNMiUNO&HdqcRFTy)H z$hq+t10%8$Sc!(L1k!W?6+zvQ8ojdzTp@x&52Oy#bODz{J>dGR6Vhk|$wML$mN+1( zrv*Hf1`b0|;)6B|x~G5*g*RPfKxL3Le@oqOXwwCxmKic`(Fw^v-4F^?S%6ILWPB<A z8xrwgYoTQis7VcS3WNqHU9c~^VF?fBI&iXtiGo|TE#L_kkY=!ftdRC+3wX8#Aqpwq zTj0|ZV71K$YCshYc<um{h9QmB3P^$lm7|aZ3$nAD<Hgjw5O+hkAfrKgzzx@uZXcBr zkO)W<r0ohSD!O~XB?hGJTF~vIQUGb9f@c*#E`l{*LGubZ5V;hPF(AK#<`-Zs*JBJ! zFMs`oSPykMt|A*0$Y3Kt?Fo2}2c>wpC^XnW84oT74>nLHM2LbMiBSrGgAJ0&Kq^7z zfI<!;0tq~jDUiSe+1$<XBH|9j^$;#h8@NY?lxRWXAgz!f1lJCboDK>?NV@HYP@ur- z0auwIJ>c>I+9Ly%8Ql;mh$2v*5TpncGSH4ZB3zkYE<!FzS;0j_CnWX5G=b7CIMlnb zwHCm}g65t<{s3tKD`f@sNkJh8_AsKg0ODe6Er3nI(^`PYliyl^jM>z&zh-#J@&ghT zV0S_XPC;=9cRMssf+7MW2BE=u5){rLA+T1s7f~t$XyO7D{0Nn>JPFC(usjV*T%gnr zR|`#CpiBl51DOL!Tp$rh;sTihNn9YCyE$I`z6tRWgbULKPF&E`0HQ$RAgwqO7buxP zlLsW-z$z1v^B@_uM+K=qfhYo}mu^U<=F-IgvI7)I(Aou*&QAI;o^a^^%Rqt+tOeSR z1gVD<&!FixPzoZq_(o1|AX7mJjZpCon{a#imzd%kWMU`d%f7$p6K>e&n;aQIJ@g+Q ztZLxlBogM^24KDc6lb9IEua(xTHgXncA)hwphN+wdO<AE*rnmOU7(JmN9XYuDmVWB z*I|Uz=e!+0Dm?rxp!D^c;h2kxE@(<l7beTy;iJM;A`6z$1Ig%hxTxrZr|tAT8jpZ% zKWzBTqt`{n08}9ffFclVVYiQpfJY}IoDZI?JpQ8V#{d5wy&)<FE?o?uel57e2X=e+ z7Vz-si;RE&|97~k@Hf<`@H6pGIiSMW;iAIV<)XsZ;i97VvhqL30MJ-ar;CaLsDOi5 z7owuz(aDA2dj^2Ii6FV|qKM9Kou51yFFJHtI&|y-X9ZBx9NAjXfa$xxV4LL|YE<Nz zVK&Qkxv0o>xTxs9eEJVP`Ak4dKcMh-Q2|XMfhT6c^F$z?3n*y7)3i50?L`-r3!rr= z{PSH@&Vy!|&O5YxbKsu}nn>OQYL@ISRYI5q)(bZ2MmK0~|H*5HZWom&he1gcWH#6) z@RZg8sQf+HZ0ZCG-8YB!?rVGl>MnrNFL*Sea|^T^JO$h{KHdTzfChEALFouIbp;v! zaRH6h^r(O+Nc{@xWpzUbW!$fT$8$hkZ0IO8a|?KIv=d^SNAeU%@2nFtmjp@*pf2r; zysMD02~aNxWFMGD8-VZb0qgBNVfYP{WTY>F%5R8kyS+fucA$P)cMo{b0^&4K%N3Lq zL9X}cu8IJ87Cflb9h3mdsG#N+NFFTKIR)H(2Jyk-ol_uvyW}b0rY}f6XgCk#WH8<7 zqT=vk*%gSpK?5uxcSC51qdYpDGC-;!VvwOFXhQ@tP2_nU;RD1pQBeVi4Vfb<ssJTl zaHk0D2Y7=E96sHUHZv&Wfn~b`Bf#Slo(ZreZXjjgK?zWFf!qgH2k%{jJC<9(aS4(H zaly?Dkm}AY;D7{)gG~W9GeF~--H<t<&Mn{x1cW@OnE`HaKvvClZUN73bVCNCpwnZJ zK?%@21GYg4(1~K8+y@!*flbeXTm`ZPLW5frkPw9{!#ctM_Gx!u0VG5!U?Bn)hBh}K zZ4^i!7B(dWPR(1u2@Nv107}cC!38jlHoo}cI5he}WicqKAv9#ABWQ2|q{#&`B@_cn z;YfoEppGFZazTR&puyu8+E>7X3!pUnLLOu;VoC^<QNfG&z&VvwA6z%KfJeGPAp`Xj zxH}K3Z$V-Z8XQ`%W*S7<iv~~w6Xtb@*a7g$Er{dcp_&3x2PvoFV&D}&;A*ZLE(Tt) z11ju6)`HA{w7ftfkd_z73`olhWNSCai^dC}bPo-8@Dw;$8>ABtYCu6d-yj1anjxKd zaNIx(0eGtl)VM<GrGp#?Zoz<>Hn0X&kIEWoCms|Q-4HHPCw?__as@<p_NXj@j3a=k zPROVwXyyef32xZ+faj46|L+5B7Xer8FV>s~FBb&GICRw$bdexvcou3qDBVIQhmdTa z4jn=O(XK5g9r>po1dkx_x4s5XXG5|CB<g#iQ4h%s@HhZRJ;-!WkU?n7sE22JP&9xP z4oKv;ocsUZ5WG?m<P1=q3>oGDH@rawPdB7;0XYy9`H+S;Qq)6=c5q451D+Fsw5dTw z4@eIr0YDT%(-KGuq6m~&K#HKr0K^5gwvpCWf?^pK+mLxSP|F*dkRYalq8O&6vquG* z&_EPYa+rG-o*W>$Kw}Zm6ajGysA~dp3n(g~i2}_npacO@0gWf{Y$#}~03-&X!BcYJ zkqEFFXbiikfM@BzLf~$hiwby-4k`d1zDNKW0u=yPGT`|+s6Yv*U<v>=b231g*+nG) zl#^Xl5<uA)G(X1iV(%GH9tN|)>cG(l%4N{p1rkhv#2u&~hcZzK%2?fyYz7GmP@V#5 zh=BB%Kx-EvISeH2qv8RYoPz`gXvw6HiU&NPAd_=oXT$48P=JDb2cjV(Tu8~Pvj<*n zLF7S`b4ZD)vj<#lf%Jn-ZHAPWkURw`a>2z5Qd^^Y3fM^a_?7~wAt}$_V*C@@K?12| zZULJMoiKvdilCYsWO66t%PT+r|KGs4f|0+~06e;fZ~$b11jw-<F%S(2B}hRCZ4^P$ z7${|S?*TWsUhFvqZi<1E9i;Ka+yY*^jMNkZsRy-dKus`E^#!6|JU)%3NrSdL20G>4 zc>=r`2-F(uJpoD&(1uU*p$w3*pj-xOPC;lPkPs-(gM=Vqd#C~=1}gkPVj#Mk3*r+{ z=744jhyW-Nft(0208+z36IJIaP;wP`@#`$66F|WQG8;mJod61OkPy@f4j?B$YRf6$ zNdZvn3oO@NSK!fU<<VVM;n7*dfusfOYKW(%fLDLOT#Zpmfde>s3OGhU_J9Hy<O?tj zS`YG~6_k%UA$1{02F-sU10an>8L02T3Oc7iR*Q79sJz_s9pvp6$jT&E3upxaDIfNL z2Q>GAHUU9uDYaAo|AV*3P4J*e`~L(u(Sck|V*CFjB+-GInxJG3rU|tFkAeIRNpS<$ z{s$#{_(UtDe1NAEg6)4$PV0vF0F>%L<KP~hqwRlqvj$w_L9%WObS)ORSp&(!aAgD7 z{)a|CsOSRaUI+~-ozUC=pcIa`{eJ?f{SPu1XZwE|G>@YN1Go_gDal}q8Ns0iDXCzg z1*y-#r3j)8Pv`dk5m3&BlzE5-AZT0$IvY16+y95*4L%yS|Gz+^9+oM<6%}+Q7nU3# zG-lL8TZfQv2NkkV&Ge1@mO~?>{eKXi93TxCa6_yI+yVo&YJ0%@#6YnCib`lx2i~lO z?DK*&d0`nBvREBbufrxP2eAEr0F;ME+y9`^(81aM-v@4rfs-9+?f?CdrWm9cfi`If zxBvHm(gU<DGeGVCgP2YLWiC*A3_=ga_CF{e5!L>O`VL%k<8J@YhgJ}fasspczYnSX z4;pF&4T(dBvmvb(P%i~Ew+JekK=d((0`M#(i0uI#H$`n@z&gwr`>R39z=O}An^Iur zc|ccigS^qbMFl1W;(~{sK>|qotHEY~hn_)WLET%xI{-SjfENaVjD*O8hMv!W2JN<} zfT+$bDpx=}=<dHBFb^{H3~Hbu4?ROBGwZ@3V{A}Qf>%j^Tm-TKL?2@SPp09LCVt~J zXiyYnD1-)A(V#IXkPy^xl;!PEF%tH)w!o_us9x}<XV8)pkR70jM$mu`Wc3SZOb5Ck z9z1sl8o}!3c=31_C>ymv#<jqsvtVtI(OJ+^PUr%4kb$6q8`u&nP>KUBNo8OF?f3=t z*J1th9{2(paJL>bl~x1YtJ>)U*@O!Ug>D~}3iz<7k4ibn0;n&*TTwGWLqr+iMTXE7 z=1^Jia20s`3M!BQ8V~@lE{6)_fCM~{49oxtI3Nk6fCMZ+HUxk|$VJ5h6g;3s=mH?Y zh;EJ-r*?t@2DBiZ10)mxZm{~Om~{K7n1BR4AR*zSV$kiQV$kWMqSNi8qSNW4q5+b1 z08bIBbo;1ibozj1PeH?JJ}L@GK9+as@=+;w=?YPich$JaKh;G=4#bgjZMo#gKNY;J zj0?1^jFZ3hJOgAoCQ7;m@1y~xSCGFzG-UJ(l%=|Rz*(&my120$(g=jC?*iv6=+;<B zUIFQch8#FOLXs<NX9RRtK4L#EC{w{lzhDc~*ZhHweu1_NF}Hy8Am)Btkjb5lF9V^= zX`q%CfFc7N?gGakM>!xQAT9^F5adI6)&rkugP47t02<#IE#Fj#E#HvKc#Pr?9?0Ou zil7rFa2+fGicV0}K<L3)zA<kDW#iHE4WoQ}wFML~qvac&%Qxbh7TC%+(3);g`wiTW z?}Y6ug)Cz@4jC|mt=|9-ErREQTELrlL75aZ2;I#A+q4tT-#HDo)daLK8Ke`si}>IJ zX6U-}?mggbFE8RZfjbwVi4EvV_3l03<pSV+JK)B4at~N3Xx|RFeF@z}{Gw$u_U;9^ zgokd4?4APFd+-(WCw>9eUG>}yo!4Hh-Uv#b(1pQJuYhLuKwbgS-2$zb9QkJ+04;3_ z<L_jFdFBhqCy?eKhzaows7?gUzCgm|U;)UPp!(!^3wY`uqy;1m66ywRz68x69dChb zO#)Bm_JFr0bTgvu);S5Du{{pi%n30CVj^fV9lXp0HmcVN*%$y?S^#!TCuABFHj4Pd zXA>lxK(#Z-ix7GbWQ_`R12RMm(r|~>@Zb%|@4;Cak`h28%V3)k8;~LETOb>dyP>L} zeKt^{0Cku^^e27+CJ-AGNT2uxn;^|di27qqptc+%sG<fpqd;mrFt*KrMWJy8>raAA zJ`M^RaGe7Zg^U4$#5+B}he3gu#~naB+K|-zKgd55bXJf}M+j(d4+9&h1q2Eih-%PG zJXke|3!b6_bp=53U`N0gHG|SwcMrIq0Fnf8J2{{Noju@na3FE8Dd6Kwpp(cT3cAMt zArG271UFqF%MoB(3n06Vq3c2+9S_i2Qsj;YY$MKg_(mMCGod{!kgGtpKxlA13QFQ2 zA*eF&m^UbSg2W&+WD_3F?a*M2@SOsXqyt-h4O!{}%J$GLaR;9<Lw6N{5-4mo1|=h( z2Ia?2NIeQlwV>_;D6N4U3L5(cX@ahONdTqgZpc(QD5rtuhd?O@wDtv5SiI2R2p;<e zWrP>XAakJy9C$Py0TpuKwJ+cp0i7oV8BYTl58cDse2@{kF##$7-Nx|J2D)hg<Rs8( zQw$KT;7(%?c(M<o6-l78M+H<$ygUch3JO}7R)}KI!kw3@&;~0cP{A1kY5g}?6dIKv z0}ycpj!IbAgO$M(CM5iz<r{pg7S#6wX@{r<b-zF*38>$N5C!$TK%$@=i4X<#z(As4 z$91=;fJ_2)ZSZ#fo~?ltvLMGmvL#3xcyb?PH(J*ZGQST_Hjs@Y2Olzb_JHRcp*=y! zP$$?a-H^U1X!07Q2(*qH+Cc;<@=>V)IUN*JpZEp985tBz-99Qcuu;)Z{DNR{NKk;5 zWq`Z~DnLLwL9`Ea--vIwM}$u&hi|t-f={OdxDN}G#!@PP{0TAzl+mDt0LU;97r9gb zWqy!2*c5Q7019)cA3GtLA0ZDa6+m8wdattwyrH|h1-#P;8ibHi0aSJ%mkQ8>()!#` zO9lA8Dv-NC_JC-__B<v2);4gx1L+My!Uvof&=!nC$1xxZz-b6g0i?qSDmbBmfmGsw z?1Xd}L7@SiqJfrpAU8ow1&ud?0u&UHAW;wv4tMan97y@vJq5f~3*KdfZ3y}X+sh16 z%M9smc0$Wi2nDK>K_+%GzMTFGl2XA@0xcGr4}u$0ppv4~gX879pAaS3T?Q6~PMr|U zq_A`XrlG0KqZzVp4wOScUWFP6UN;90T!cFCx;b!+AjH7y=19t%o+}~#0XYJZIl;pa zkRcZ6pbE$_AO}FkBOp1m8$um?$kgehQUlIb(4iNQvp|^=BvAqygX#2vtRI5rzXHe3 z6HeVc44}i!KqiC2znh~wL?uV_BL5T@m2A+cMK*NdTBnbS2x#$|Fn{Z1lpzXm;J`P^ zfYK7kED((?&4Ka-LLQW#Q1ct8ECR_xOa+Ap)-;EdP`jsq*Pg@E9He8+-!cO>OaW5M z+yc(2ozR>Lp^(y?B}%Ce3OG<L20qCx;TQwMOYc9RU6~yRVT~q_#zWu;?wkVN829ZU zW2rZ&Mf;(I8(flsg7Oo;0LLf(xD%iF1$`igBtSd`(Fhss_{1*&JwO4JP(kJ3OJR^x z_JdAC1)T`};@$H9|6eab9{+F*3-)Myqrn0?a&wQ00+`;SA_1nSs0gqyfR020tu+EQ z#Xw;R5`rx8j$vY80Bx3JVqgGo@sEJ;K@?13X9uW&gYi7z&58gf$aoFNFc0{OEDxwC zhz1u13=r!<ZFG?J$6Hi*SRk9=K}JH9!P<L19U&^-{GIKrp!VMJ78MTA5#Qi8c#8_e zx*ipfd7wZC$swJr1aSo<o?28u#)6E8h=Sb@+AIupn*+#`pdDEsn888w1$44F<RGFy z%m}wZl>I;Ez(4bNXNign=!7O`{!Vt7>wZABfh>Yp*rNh65#&0M9ER(#y90Fm(@gO3 zPfq-uCtzI}8_?<Jkgg1f2|D`)<mx>tCQJ+rFHS81cgl1?LM<wws0E!i!^FS<?v#P} zpu-eEN<nQf1+c&OsDS7f@0UV48xml_78NiJIy(r=-=iV`GII*p8pCfd7A^vnLp|U` z<k5H#;)5O)4zOYf-ED|CM8N{oBQxjkw1#<u1>p@46LjJeXy0KshKV1b!XO&l4Z8q| zn=8;jKHj2o2Oc*)DiCF`9+^Q$h>AXc=YMd`c)UgB22>L$W<chEN{k20kmL%I1My#g z_{l9Qe?S(4PLc)D-FsACFfuT_*tG-_NDsh*Eh-Rt4<r~m+acA!9;omZa2R&?fQ^Ez z;EGxf3N}dI12vSvmUnIfmtNglRKRw3Zh{njP*shGK+Po3oD3-4fzl9&cIg6l%RuSa zrK1Tv)&|*-(*kh|E2#bic?YBz<Xw<TL~aB}Zg-0cNEXo%1BpT^6_7ZzBL-q3b;KZQ zU>Or~Xlv&;0Z_&SSp!iG?}&l8;M@)>PeAezXMlP_Ajg5zRF4W=l8J!<+~fiYboQvg zN*k~#;3n4<&|GVe3Wx#?(LiGXA`hw}E<of#6lk0q#A^W?16p;%$iM(;a@_&55GU+H z_BitQeT6r<AkG9wXD2i(bi-(H`yJ$RxPQQ#t6HFmA4Wq{a`!}V<bqG^0>>-#<Y9;n z5Rn#evIQ9jPQK7H4nWx(bZ8e70|TUQW&z4v$t@~i8niGD#0Q<;2Fm#kC@B+>1VIi3 zb;UrMpk1-6Af=##Xh6XSI=%&D4rnDJ=ny%OSxXj!yJDam@M6YdP>O9)NdPHoJOZjr zq8;N78y*1FiJ;O=n-PA%0I2AFnE^h{03-{F79?3v(f9HNrYz`uDp1)1@fhS31CVP$ z{XbBGXi))$#7l1QnFb(pL4^$1Tu{puqLYD%0eVChhy^;>1fmmEw!EAH*9i(<uuh0A zpezqQc;2J8Ma2P>?|XYxIzV*y6i9X1cu3$p6ZpVtkfoq96J!L)5#adio&t$E$j&!# z1>1NKqN4{=2!PtIpkVHX=F9FLl@lO7WNRMS5LQU;ZBaP@lIVue;1fExsDRGQ1EmYF zLTE!3bj$=u3_^obBlz?lm=&zB+yy?%2QCU~J%REZcrPhj6m~8H`1BaKD6HWDIpziG zbohA>psgq%2Y^h1^d><fpt0l@@PRO(72+-6lZ3iCUd)&W+Auf;61<=;C@4>YyJH~j z;9e!@92`(T3F>{2Qy{%cP`rZ<R08Dy@M+4R4G`Tu;AO6$4r34a6c~_GKn?{pU_sN4 zpwWVEh!jK-=)^{lB2XxG_ka(Pf%PY$rzsaRLQYc#(;Yo3jo>r@Z8CLl0Y~MFd#nHd z?`TmeVq^fFtqr0&T2xMeRYHqu==d3U-&Xe&$kwrL@PY$yd#)R*tnm;ehNgfw`GZPy zaP&4Fg0xbmfDal$5(cf62bKSzQ3oVpQ1c!n3|euFBn;_&O@XX8?uHoFZ3R*Q8gl72 z0<l0Qbqj&I%AjFD$8L@nALl@#36%Pg#6e8Zz@Z~JqL5A(14)2PafHMaIKTFQOD4$p z3aJ0pjePDHXoLWy3FKNwSX4m=a6od9r~)0R1X2YGuWrcbF(|4|`7&N`>|*F>Q30Ly z-UXpLT2w$ot)OuyaL()A0xp4Hn5_cGlM*;N!Gj!9mP7aPb;D?I<UoeB;3{E}1L{?R z0t*^BkYaoacnLp}FsRP}iUm+R9!VHdu)|i9!_9__SWE%07ze2acYeT;16rX8i5!qg zkjMeK02DbPv;Y71>1|Q@!NkDe(+kN<KD~3GMb#YeCa`X9kM22O$7yqS_JGd-gPz3* z5(k;dzaAt3YWsEffSYlUm;!YtK$QUl0|O)uK$Eo~J>U^BZiph#^e%L=7orHXxT32? z1ro?}AcvqqCXPYzb<T(Ja|biX5OB;i9)^^ObHEKEpUyep#-va897tOQM1y?RDGd?= z>F|W;0I39(yFQ(ea@Gf$gFwna<*;w3Fh~fZ16)#rRD#-MKG1R(l$Jp82T}$qcYQmV zU_zda2S5ccNF}J?1qp*_NHVivW?%q`fb@Dc9|!=c0+qKQF%a$B%>WVtl_($~u(HMj zpp*j=1{J(W!l05DBn&F>kc2@+FGv_v-XRHt%3hE#s7ON+26cBq!l0rSBn&dlvD*T~ z1PM8I8-SP~vmCnxKunM$K#50uCM0Kr6A!cicI@T=DFK_I4LW5G)Zl`4_dpV0V<0&j zqYMVMy}EnAO)yAO05!Hinm`VQ=WJ-14w8eY0u|jLRiGe)7U7_jg2>sRvbU>61w?hU zs91u_RkRW~2GnrrouaaVi2+m=fQsNQNP*nZ0<9{yK<n*ekQ9eHAqL8Epr``1yg?<5 zN2eHEsPPaeHGpz5s7M3}gXk`%juw><pr#Kr>3KlQcu=7TQUsyF#R#Z41POs{K$P*I z0uM<TR>p%0V<cf%84q5s0JE#{5Uh+xE23a!Jg9&G83Hm4QpSTsAjJsCBuFs=asenM z@J@%M1W@pSq5>)oVuB2Sl<}Z*)u6Q}NCIREt}-54(Sj&Q9D^!akS368;VA)HX@KM) zsz6l(NEIl&pj8BFN<b~+KY~LakrF_)>x&>z_Y+zYc0h_DXlc~Zf>C>eijWrypmuEU z6qO7}+5wGBb+xE~D0nT7k#<0(GblxXN>5NQgJTwY0SI#1X+9JHQU)q8LCPRBI8A^` zb&wEPCn8ONJ7<Vk1{Dw>1HdT|Aq*-YK*Hd<1R)G6AV9+43JD<$Dj-0@;EJw$3gmz* zaMc15fusqLNsu%Fasen!{G9UtKPWPwd=L}l3P@T2)yvR9Ly!bWH#99^)FRND97I84 z8CH{nTnSGL;Ddr8)jC8KsPX|B4GJe{C4`z5mV@qnX;A@D9W5#=K-C1amFn4iAOln& zf-)4y2oMb|mO&u|5(0&~C!$ye1v8Q`NCU_KQ1=>17?cxzKo>(GiGi{qNUQ)!43q~! zVi_PYkQw08*hM7+Tok*gR6xsNkgcGQ?w<_FeV_yg(gGC+F~PdQ<uIu3h1Rn^pt~WU z!3`eI=}`euDD^R@T80E9s6GbiD1e4F=$;8keGHQKQAq&V0}2<=B@@U&?4lCi<)RYb z(W0^vEu(=_|BIK<<~yie*x{lQ*X5!Tho#{K8Wni4cRu(4k65Gx4@yg*yA%StTvTE` zx<ga~I+#FBaZt>7z{e;+x<R5K8Zs1&R39Pr^C9w}em+tq1nuX8^g~Pq)xw~T4yfk~ z76o+?L6sb6I01CB7KlGZ1vCf%9}0%8SY!AAU9kpI%iN-JgOPy&G)oWC0vSjE_x(Vf zOU9QA!6!UJh6Rx32SIj%y1t<4hac~d4=wR%d;=;h@vPSXg*j+66l4adhJp;ng7Oly zH4Gk%1<jXs!%lB+0WSyW^Z=g?2GZjJooojc-7s@Jnh$^vLk5-1ATbaP9+v^tU&mX( zN2G%6J>CL7CJ%J5CPEo(um`m6(3!szH1hTuG;$28AVHcyDj}Bjz`HIWIizt;sJk%T zV1P2pIUP1o2cGwbjB<jQu#>9yfOpxxc+w9Z<pgiph3u*A-UHqS4j$zMg&}B^6LiD` zxW^72DBc5}>wUpF5i)=WDsVvV2GeM3ue+y!t=R_}qI|KV7c~9>omX!@m;*8ql<h$2 z07PRO<>Z2S1C%R4A=C|D`v{s>18Ic>2*fyWCV{RL01t73YRKa)DxjJZq#Jq;3nWr{ zAO$;o(Ft^j^CEc1@NvjVD<DlE&w<PV<pfYg58{Jrc@Q5|^MdB|!H(%{0ndtnx>2A4 z{>AlvNC<%nZjdGj4O?{54l1iaY9Ydqlh0ujh2V83<&!`GHU*q2K@Bai<<OJvySG61 ztZo7oMWBfj1&|exz<_LS0i{eNF>s0jM-r&mhmReAL_m{X{PS8=)-y0LICO1+(D0*t zpc9I%*T7?X$g`qgAAkn7!5u`%0nXqR#gN0ekSxcyzyef!gU=P|gm?vV0xZ}k&{MHt zi+Lb4>~IlKa)w@!02$Q-wb($B0jAORSiH!Z0ErAp62Uxg9;696s`nqH6q<@ai4;^7 zgF;vUx}fUCxqk4d9w^dZ90El$?Ccom0t?8H9w_{ghR8tq_@y*>P79Q5;j+;EuFxwS zAj4@O$3O+3$4|esf$Ie26|lLT&;=(D3ZfG{%?J_bgk+VMC*e9leh2G>s0AhBmvYcE z4Z-0J9Tx+&B|wn}p~2%~AWK0)AZ6Vi1)Y$l2TTN3D1t0N5(Dozg(Ppt{4-=o93%ot za?ootpye@WppcP)0eto-C~9HmbbFL^_JG%0AVg|l=ZZj9&~<MC%Xd$K9X0|g)?Ez` zIPL`HbeB#a6`mKfy1-k=KqhzgK>GO*3h4|3kSDu)z(tm0=P4h?3!p3xYTUqV_JAH> z08XggkhLGs1P@Nd-H<Azy931Q>;a!DkI)OMI6y}(z{Ejp$VLEA`HQ3uyq+F>>I68r zJ3tLm*n}}e1k|AI1YL%;2W&@oiAqj)2<Ti2keT2G^#v~744}i@d0s5&1p6FhM<=8q z1L|}_HWq-A3zEg)mHptuEI`&k&I|(2m4cSngN=;<N1PAjrmWVJj{GwZyL7~;a94W0 zW;pJm0=jS$bgWmv!3PYTE-D_Nlnx4uZfKG`(0Ry%@gk@&0|jfhi;73*A&<`UFM2?A z18D#KeDDafwu?##==fe26;Mme2b5f)Owdu@ujfthXnvCcI<qVRbjMUgX9)O?E)URY z)efNT0SO+g3?iVpS{D`YE`)^c4gnDchSrn(Q$SbC=$Gh$iZRf>2i62((4<v~N<?>v z3g~7p2W=nF?Ou$a)8*X2t^x-@jfw@xwV;Mq1n2~O&4d3Bb{>0ir0f6xP8SuEZWk4k z<`;~P{8J8ex~M?zX(@?8u>^E$7({!Cii764|HlmfJ9hr;tN|VOcD%DhMW*vyw~vZ~ zOSg-P%!@bOU~>(+T~rKO4?y-Sgs4cDa)PfdY(A24*zlxBH^@;Xpg;~$iRgAwanN>A z@n}B4=-7GSg?A5V^4ziW0LaP&kH$kFe|Ccv*Pti{g`$8*=XH=efzE?3CUt=-oe&k6 z9*=HN*nqZf_^3F5tW5A|JT9>sG?ZVX!r{?*z4H(#jyPUuw*CMAGX6iLl}0#z1VmBe zM?n;O{1kxV5$p!c_*vEsD%0`Bk4h&v90|n_$P$v`#|IMc<j2qIF0}XoJAsJ!`P6}k zACMlb@nf+HGk!W-NQ$2uVyN-+LJWKSSb)^wh#xjkT7ukG0ZOXi@&J@neN-Hv`KP6w zsQ3X{LQ?#!=>VHce*AEEqQwu`2}HzCP&*=iKzgvoPs4W1_<7kxQvA#iM~$Bq;@IQo z2cGyz1EnRr@$;k=9FBzY56BXd;)e$k@8ri%b~{@9fSo`@{A_AP#1BXh*7#Yl4Ksc` z8%c_v6%wfNvqJ)V{BVHO;mAM7+6a|zE-ggG56BXd;wJ|Z@8rkN=~lG(0Xu<+_z`MB z#1BXh*7$j_1v7qD){_)JJ0wx#=Y%Bo_>lmq!x2AfptOWH|14=DDt<tgkQ6^>n!)Ch zA3xeHXz>Gf0uk|3)P#s1kRGh@b6_iG{BYKh6h9}VP~+!@6e51qk=kz>Aayw6r>&V# z{$XhZha+0~rT|)FC6Cm816hJIek43PLG3pYaQjUH)P56rp#zC`wD=JwzWvtSgcd(w zC*X)5P%{kFe&gso_~KFnXmC{2+n1XGG+d|w5(l;AK>AVQ3e;-T0Jq;9cY+oHzBp0y z|NqN4NCOUZ|08Iyr*jYFX#dVF;C1MoQ^1>#LHmqBZEbKD1>6^6?U05<7x-vAkWhEW z3~5A+MVFX^8pF^Y6YC3bq(RK=4pFH9oy)K7qf!A{a@=|R#kodMyups;s8PuP4d8;t zLcv`LAC&@V>%pxa9D6CCW@$!u325{46tFuDPdb9;78zb_sRgS@=yp*_fwa#LcDks9 zfELvTm!zUQ3AA1pZcT|w0dgzd2NKI3;8^wn#j?i>w+683G2JdIF^E>WdnpgNmEL#+ z)W-quwg3f4SH}xc7KR4UCG3Yfd{o>zLR4J&TM(zTLevz9A*q236SioA2VOwkG>DoX z$ZDKALR1|2TR?qzgm=0+8pM&zap(w9vFC4b2b%-wIG_Yqw~I=Fwu?#ywC}**08e{U zAkp7=2oz(G5QdzBfTRV~gD`;hAPhPWz6huTl@KU8K^;DD+=05M;04jpbk%q~VFje9 zfFwn5qI_|$^8f#ryZ-(E-|eE3(EI{)zIUgKib{u%igF3)`24fb`?Ep8;lY|A3c5`7 z_=}F}|Nmb<M$sbE;iDoA*0K*p%Lk~IP)sc%9X=|;U@hxWv{Z;eY*E70!qefS!VT84 z07VOfI7G|)Dv-mFmlK2bQ-cx*X#5)N34-~HLkS~)Nhl%mmp^L$%1{J#YH{YTJWvdE z63$=Gs=?_SPyPz20;@pFUml?R<&K)aKu*G!zZ@X>%Lbgk96<TY=Ebubu<2;|%Nkey zN-#r8^Hv=pDwg~$pwV>Xd{OX>36TdaIzm*;`CHV%`2s0_A*(U#2vITRZ!tqt)9@V0 z924+$wJok-HH7om`Wnpq1r8o?35GR)fpQ0^B-iLX_~JtqxP<R?hm`Q(<Ke-HsPPcU z6!g3X%2EN)avrp3_r-&<|Nmd^!JWI#qa@-7rjSIeRRK!GIC9qk6fGZ~g0l4S7vIY< zv><ZVMiea-&mdZkU}{0+u0<$X7@kA4OayB|$z2nO7#~`p49QTi@u3~ch}?ucKEwf1 zhogO=Rt>7B@Qpt#sQ{;7wDtwY_z=hvob3w*NX|eRA39SBHW#gZLBjZub`?AgLdJT) zPQcN=Kpr0|ssNSl2t6om3Q$E18Xq#)2#Ooj@gdF<lG=Y0R8Zq*feQBc`G6;W+A0af z4@)^X90|n_$P$v`M+Xw`<i}5U1zP-ooj^qVTq;Av4@eKz_>tIz89$jtsPPj4s@DTR z<Ex-{q(i5ViUp|6X8;;s4e(&CP=&+~_&An;?v4&sMEuCZ+UEfttT#aM2pV|o4gp^g zYM||-VgYUEJu8RAj|V8-Xmo=IYaye22GAB>N+~#gRJvVMR3Pm)(D<qZsC_ODYo9|c z>GlDQ^@6mQs2Cu(+yo%`hX-7<2!Lu9o)<l3U~?6^T~rhhEjMm>`#b`CEk!_A2TD25 z)e)k?$=?E+zW~)KpgUJtC0>Do^7soaaJYkoB0fQc#LNEwhtvid-6c@Rfvh)h(RNX> zaBM!n_+klIiDTypXsCih3$7M)@C{OE{U`y&EZ7E+GEl^Vsszxzs|KI|4De_?&afF| zwT+5L=W(BI7L^xM3jY6psRg=eq#LXeR%q@i{r~@^6{M{XDmp!y-w=rJ4Qi<IeLxL+ zd<%fo;fU{VrG(<Uq!=78gyI`y2}$uirvz*+72^8@G`_76vBB{f6zIoasFx6o?=4^@ zi1-G31eP|?;+vxw9^W8kSmXNxT6{0b!yeyfib;)ci$|#O?ePc^-{MI5d&WahQ?o<` zo)nQ%EE_0{Ak#oKDp>P(OCdO3(BfMFl)w2)V3QpY(8&%&E&@%9fbtNu!x9Gysb0~3 zJ4lO20_2tekH$j?w}DQe@=-AWwS^?0Z6WZQge$rK|G%C^OnX5<3lbi%_JV>IB0P}W z3k8~x@W3{o^sNYz{v$xy73+LbNdY)K2-Qa*OGv7Z=0L)k{QBs3AzFO|b^;Ogky8OE z6+_w!AU&XD44SM0&$WTV0n}cI*a6BxsO^QD*{Jmqf%(24Xz|0LjXi!MK<aR`=fVmJ z#m|*IqT&Z+2}$wuCm(Ds`SBB7fEGVsClC=oi}Dch1JZ*veh%!#j33J^)c7G#e>~8J z<R4gj;R90qAh#DBK<aSRAKUUF@q@d)V3G?CN3`+;qrCvKgrxc-1`_Xt>JJZASlut3 zN3bqf0ak*j3&4)TQ5XEl0mTxy3I{0zMG~lxL#g{6c7h@aQTNZtKpM{@SifiJqQ*~! zE+YRR$Il5JNcjf09;v(+1EnRr<^7awqT&Z+2}$v@CkJdU;rM~o)zvu!<A);`qy!N^ zU`OGIpO|cT{D72Ujh_|!P~+!E8cO^SDBmvVLE;Bi|2)t`#1Ha#xB*BVj`FPxl#1}q zSA59?ha+13gE1ZsvIJ-OhHX4t1`_XR<r@j(;nmq_<r~-uILbE;=x8KpJp525c(kP1 z4AM4$kB5WwgQ5vu7lGOapkbEILykMaZG#=Dq{Pnzebo3_ppS?j<o3Y_Jn?fa3sSz} zZXbkXV2dA&@dS`1IO7M~ctQ;%-qGTRgz<#inP~9?b^?z0K^{*~$^hjYNc#Y!2PID6 z?Sl=Vtb^J<*qMx4{}9MO0S2h?lVE^7el~#O5l8+p1EnRr`DaTSQSk$^grxYnlMXhQ z{P?lXK#L!+6NrePrZhzSfb?LEpN93A@gtc;Qv3)QqQ;McAtHW|%eMkhJmQF-Y3YRW zk4P#w90`?gAWLw@54Q5n1`_XR@k2uSHaiV1e!xz^5kJV~+oKe4`L@{*t$YLNM~N$p z^6f?fO8JJj{RXOxJ5PD^wg_~r0Y$Y7=osD_5CQ4=8N9fa0uEVl%L_E3G6j5epg4aE zXc0MlwB&&uWHk9sA}CdX+cO>Z5TTO@p&8B)p=}7E4XzNO#Rwq@cZkpggwPMrx-{g* zBWM-h0Z<4*S|y;nw|rDAI?s7D9$NtN2;&FP!d?kbIU@lIEe%i~9CE<KPms3m5EW2I z5@9ZA6b#h+1a%@$xpW=`Y3F#63w8oLJU|B)gI92G1)0&wq5>V=K^Oxa3j;Y%5v&HZ zHmdnZ#9`22%mi?Ml*ss4up4`PcqJ1P9}eM=F!V`)$A>^XM92~$WRL(6QbPz?q(Fp( z5keoJLJSBY0g&U+<HH~sl1n|np#n}>p!nDUQi~@(GC|s)@qsWGdwkrD2YClGK2$+w zAmRgI3{redOoBO&toYzKh&?`bB@z=KH;N&_x+f0g0dW3UQ4JAVi4Zzb0}+~r5W3I+ z5o$&Vbu>bR3J^jS2bdT@$sFEz2PMD-pu~%rKNLV7!4n@hLE514fiM?)eE5Q$fEgcC zL1rN017QqOd@zF5VCD}G(D<|iXnfkDGX#7>xCUr^S^<=*96VSpj3M=j1eo95;bDxZ zPlRFPR}LPmGmIep8H?@^6;SS1(DqT$fR0bQL9Mm`mk-_GLJ#6H1?c$nkvMRyigdfE zh#<zNc|hY=-0<-$59s)nM0W|O!~~h(qN1SfqM`vEznYiu|NrYN(5w%pJeuDS@ZS#; zRR3|9V)tJJNF8WM8H@kYKsmJ&JnUYhB7x0+PhzRzzkTtf`)`LCs{c-yVfUW|NF5IU zwSkHOy#8aMivRA#VewxED1G8ypI%`B@gJ-|*I|M1A98=;hB+jCVjE9f2Fg}=+oLKm z;P^8EC149sK@7_I5%A?PQ=`Drpk@nn`8sHMj0R}>ULBr%Gr)5p2_CE&H<%ef1%`br zq;N{;>X^{YjF?VW>j+U%Evbi8_6c1b3Oz`YDjgvz%KR;T;B)Lic`~7^<3%5m0;P@+ z6-EA*xd;UwtPTti8_&joy5gvw0L`R0fM!xWz%waPvH$<S^!X27GhzT=GlEzEk^!9r zN$_A5=!TdY9{K<O>t~?a9IhTQKOKoszW}OU9aBAGa@q@_KBEs}{`Uxw`9$Vt0~<(s zfR$GcHi-0qTwYDEhNK5<<<+zpNY#bAyb^&#IHB?i6h96gtQ}mC8pJOe7W{}J3>5qd zpy1a42mkHp|Nmbf1p5_45H7zK*rNJ(gDrOdGJw?K$luGN3Hetg5}d#Z`4=)vhwvO| zS`evx{uhNMe-kL5BkWN9mtlw9e>?E_?^qNe|G7j^!+&yQ_)owd)qe{1*!@=k3I`nV z_bif-|5C!K;XgZ2#wD?SJ%Q%G8#w%@0aAy<e{2N(*Ahkz|HXj{8WR22;D8!`6CAL| z-vd1UQ;Q%Je@jBC;lH|Y((|8%BdY&A9I^Xv1}GeG#GhL@A^#l-p@#qFg^}*R4`}{l zaKi4t0FXKy{!0rZ<i97u)bQWFP}2Rk!U;A0cHr<I2S^<b|Fwk@@*fLT{C6*ebpItd zqx!GF8GHO4z~jGVA%y&=5=4#o`xi{Q|4z7~`tOD-cK>OB)ZvJ~W5I;{=MqSi|G@2; zu8xX+r1mCwF;9v5YX$<9KO@NV+rcJyu>OEH?PUD_|9^c9)Y5@q!s}-YJRso#ng8@) zo!|~>$ARX-L2Wq$%~SsmfmSMosEBl)>h@6)d2u`l;(h3JCv1HasO4qgsCmNi|AEeP zE}aKo*av_E0X*~u>4bt>@dBV$Jn|w6kQV|xSUIjkTBntPkXC$v2df3tZIE#=P-K9* z383Dv1=uoBGY_&}=}RE2C5Tvzfu`hQ;Q#-xdmzCACkcm-hBs>Xba)XApXDGQKn5;A z%S&*EkGwxA;Zt!05<Zau@bJ+%3K4Qc2;H~<5i&*ybzFo9DIkO_E<uEN5kfDZLcjds z#{akiQS}@l6mbnAbOj+~01Y6*k>nphFp_iv{{Mf;LdyEDA5iOI={Ewz2lXgH19;sb zDp=AlJIL2W#8161De>b04XvGi@Bn1+futS6L1W@iFlYopSsP3GCD7hKfpCM1ibHqD z4Idok9Y`H0i(wg$xd!qQ-tmeMA8=3+>d%0(x`PMn4Q@#Pt<M+cYeW|ZlxvatZ_<7w z_TL(O;b9K;?*w1${(XSQzt4Oj1sv}FYKk{0{?*`x_;;QULH}y|lIULxKUDvE_+j_& z3{be>h~IBMg#26LMT&nb_#pmW=S|SR);=Wq_XC=L8T_&PHvps#hkw}!`nScC6#t&! zhxm7&7eW7ed!zdoX*>>;Jt9EoiukDbfZ4E<F2ILJIDk*|fUFqw={$Atm;Aw3(u@}m z{$xG)kh#-G#RPWF#c>yv5Xb>R5XB~)=el_ex<gcaI<Fu6FMsg8v}5N5N5&tHjSoO4 zskmq!a_qPWy1~+=<0L5eUw#ETxB}z=Py@iE`4CIzwQdoE?iv*z$Ic&)2Y<*r9(*C~ z*!jzq@sMNVBZwK-9Xfu3HHKiZsQJMI$L}{>IuCSS>kVOh2pSg$70s=e_~#$&@KI6c z?_UTWUNb!4(+imk3uu1G*y*DZ(CwoV0%3-9`=|tf>i>w&F3=fUAQ>nZbfO`c*$tLy ze)tc<+XPYvW^!)=scC-3$oUb>hZq57dNd!>0FB^9cr+ddpDxl_qXJrs37U_P=seVU z@WpoL|NlKeXIpf;sQAp>sldR%@ck#~?2iMT$2>rHD1GR3QPBY}WCka=8Wo++|BjtM zd>D^)+kwtH0UaVI;?j9y=J%hDohKbNL4hsc(s}ao^X?E85zb$r;O-7l2?1GY(OsjW z(|nu-%><Xu6P+O{JTpPY2{?ZL>8N=TW&jV^fRLB_LEBIWj?WjUFoN$B2iHlUp;u7C z05z##6BHMe85uk~54=cpLeB!A)*BC~^~TNL(g=<(P#SUQ?l_>r2tUJw3uz7mWE8|t zm~$9S9)!vq1~;$+1iD>R1R%{v&>DLd&>RMH2{&jf<7)<w<|7{9VPJ=@jt7lMt!So> z5EVuuTG6}R|Nnoz73>cW)`Uh#>*ks>tQAdoyf8zRKzN=|frO`^BiK7gRV2>v+@Xp+ zJV8d`3Qr?<LgBf=1?&K#!!v@M@XU5Y3eOu2knn7CB0fAB!U=?DLKq}G588vhLri!E zgkuj+kWsk8^N}l|@Jw(9JAiO_66nZTxc>kD`Vyo|LO8wsAQV0k;gIlIXa{y4G2!D8 zfjxXcM&SydLoS5E#{p7+P#iuIE~JFdhX?}cCm;e6K8?0ShmS)f_V582g)4j(fhrBW zZDs{Xfj~HXz@<}Hhern)<=bsg)`OLAC)y$T@tYm-`SC?0f$;Q*goLM|4bkCg5rsWG zK}O*U&mbp4>FolfK%i}Sb~_@KZ?N!OW=ni{Cgc$a&jY!T@O)@Rba-ya!ycX>qi}^M zlOv(<Y=9IXM29Cs3mNIt+5ss%8=4{MGt34lJS9N$)dHaP6dZ;Jx_OJZxfz-tGJ=|J z9GzV&)-W)1^CqE)tyu#ap$Spp@Mt`=fdj-Y0kMxw0EvUn1?xQcBE%Nlq?3THYl4)% z;Pp*t_Hm(@9k3Q;-!Cp?H-xMO*#WWdgaC*QvCje|4z=%z4RQ7vo&>M!h8PyX$-v;z ze2BxNQ$)q18-#_rxu70TSP!xYBId;c6-)sOLNtT7@pnU9;sFwey5yDxvP(Rg-=O#x z#r_F+>=)R8#eNa6AjE#i34Rd!e<0Z(h0p#=h6lh$!})+B?BER^kpB;Icy#ipcyxm> zXE!5gT#}=+F<=MCeu$VO3sf)!EC|tD06tzGV!s7Qyz~4{eg+1H7dOq30syc74Z!we z4}XT8Ap6n6p9L%k@&5`W`(J?VzYgw9L?K%b+7FI9{4?;_zX5C&n*CeAf)M+`_fA3M ze+Eb#7XM~Q-p6nM0zCF7?84&z6tEz~e()W1Q2RYV;!yjeQ0;Gg13KIiblwCw!E|nc z-^~j;ue`g%g_Qwx;|u7dNzg$EAVCjS3s%sWM+^AO7mz6Uyw`4Ux26Jm^Cp)5amjJW zIk=#%@o~tle;_8Pb_E^uQUd8EPk~$xh_|Ec0qH1vTz=IFxo{9-Mu<uYysx|mYz)Ef z@)XEzp;#?N?KD5{3{ffQ4pAv+g?5_V`TOsI4|eE=c&qtAJ@lYo=pCdG0nqIwa223S zu0dy^LRGXL;GcRBbmj|xs~7BaVbIyypkO%;x$_sw1l?)^VuJ220$n5WfU%qR2P?P~ z)I7mI^-!ma3gj@CR&lUF-7P90gPK9=uAr)e9B$G29_;qxkn>kTMjwZ00WrHFc6axv zfb9K#QSn6c1ICU+tp_?nRLuBWkASsyw}53~elX>4-2)Q`d$9-X0#2ywAcrWoZb4Sk z2|4x$>ekK{70~IW(9=_!AArINbbLiOL>wXjQUMyIfa>f4A43DKj;4SUZfBRkZt%5= zAcZL6BD+Bu2BONN@z4u?5Eq)-8;|`!${`-c|Nnb59s%Wx!`+a(Dsc@u<UnH<RLNI# z*Qn$mj~YNOqy-=Qi8}P40vkEd;QR$mz}=992sa0900LqZWDG(9JO-hHItHNtHVKs0 zUgrM&{~wyZAYled2rt+E{r?}Dq!<|(7+x~}1M@*K1?Ib<@}Y?VETs(^*XV8mhcC21 z@Btku3lV{p2B1SzL8pK~2gyK(p=Mwy1VWCtsDRG;2PL!PE#Px`K}=8v25AX_6apbC z8Tg0FWFRGg47daUnFlc-L?r}X0@SEv5Rv^scM*V2$VIabGw%n0mbA7)^S(5HKOZb5 zgKURnbr7o?;wOjz*l>t{AyEOoCjz1ZmT#o^TMNNP9dA)t0}2YrZJ40u1BiFLMFn&X z0*DE^Sq5}qdk<J0YOa*zZ*>Hl(hV^MX1WA_t0h?cI3&k_%sCFpP#`9>IO*<D0okW` z0yRf=_kiu@1PO!k6Er7+%m$s7E6(2vy0!x}5cD$R4|suH2Cj?(x*Gu$JfH*~0UF3e z&L}=A8J#XF0%!wtJg{6M08ZN=-Oz)a;fA2)5+9WeNEYD$XAvIMEW!ac1{5VPL5Uq6 zQJ``L6!0(GAXxwu65w=y5|s~)39!^U&?z~P5aou1CL-j(Aq6=@zWL*S=q=36pZ+Ue zZ2tHk9K>K15N*(qhbRO^G&Y6cQV_3+U=<Me;5QMZ@TK2B$YH#o{RE@+KPXF)S^qx- zXDw(Q0Lk*81ODNqFQ`EPDwClqF1~=20-!<{ss@yo4nkT0-r$NGTJVB0C$zYRGC?&l zhzZTI;F|s0UoKEz46Owq4K@f`tAf>CLRANC0el9#o~#xC=%TOJ445qd(Dhzmaj+M$ zwg7g3ZH4**k!wJe6!9&9lc2lWKxrA#S^%BT2Wp*mHXQ)903g|``2izB{0QC_zzUFT z=k;Bn{QbgJdq_6{vJg#xgD)5%i4GLNFIS?q0{%nzpx6TW`=t}4$b<2ri4iQNKH3U^ zP7?*RB3c2U+Zw<L9#lO+N&pZGUb%ou08o|F4QU0yN&s5616*Jk3{<JX$`=X#RvWN5 zZQ239!Lw1X8D1t0sdj)1q#6fB5ImoNLhWTUBp<+=0>@DK$W4LO5Hmo9(GYA3cq5tu z;2}7V(e?lZ?SV(&EC#LZA*BJn_Q1gxOpvk}RNhjhLGbh|aSeilsOpA!gP>p!1E{S6 zYIdVE2uk*V#sF{)2}FQoJFo8opZQ>-Jfs^0naB+SXrdc!5a3)y1HCT@a}<K`uz(<} z2mmz$AVmO(g{wgTF9L>biy(1GwFsOcwK=3k0L>^PxkWJA9su{>$s8Xbxjn#4<@UhU zx5TvvHlnH<=IsH6z1Z6WDtqy@2RJ~oo!57P&&pPj9zN{>Xrdc!4-AU-05mp6+XLXU zoN82j26UzXr9A+Rn$h+E!{~Ye(1HkpbB5^a1xRiWuu!=@aP%p0?SX};>V|oH-~x{J zz!gx9-;Ha0U<XLH^ZG9E9Z4d>!>2s}O?0E}fkDw8fX2pXdtmUj2cS_i+8!8f4}e!2 z(!M>wO6B&z*1N>D2PUGb8|LkShJDz_2U_;w8z0C3$#!1f#R^*F%)&i<+5^x;H`*Q; z6zu_MY>c)C248ys8a1QsfzkE=o!SFzRBjI}y-Hkrpb=HwFmDeS?8n|7Fxii<Js<&+ z?YzE=4b&d^!Zdu^1JFb_+8!7b?Ez?PjJ5{`UwZ%=H6y$|09up{nlAt?a`Wiiq5@hG z2ii0UqPsh4Q1=&t1U*;_$lhNFx`Gq5O8z)xa~g;V+8IR2{z8zE5HrZyUkF;a-%Z5& z0nnj5=<@|jV2g=BZh%zvAQp7pJwyOx{_z$SQ2qz`7HJm|bQd3Z2f&%L*edqlF!Mo% zfYq%)RR^uuFN4+L+>ZdVyBpiCBs2cj6=1DsyOK=#TNl8@!5)HaG(_7gI0IP;Vy6Je zt;FvXH~`-%0NE=D+719pWSw0PK(%@gc+Vk1@Cm5W?uKqEZ9MdW7gWPT(`@6h6ChdW zK3b*U|3JG0L8+UVU4kHQg7z(uy-N^eK=%~zmP@!fX#1at+$9JKz?aJ*EB`@J2VUMU z4_V<1vIWdfLghpA6IjX}x)T5tjL-}W-46&7f#u%eydMyhSJC$a-U2UyhpzL7WKEQX zf1qt<pk-ypTflqmpm`Ov<qO1w>g(<S?}$X*+b6}}stwBuAQNC~`X%{WmBHdT*Yty8 z6S^M^yzvaQNF7>u5ZK!%!Qc7_?4j2TFLzMBf)DTETT{RrLW$kmhw}(7$fC`cRgi=W zU%G!Em5;o1{|IEUA1G9CE!_u&AY^kMDA!=yoCjJ}3=Lz5LQs@qQwXxAyGI3&iC`5( z@8U}VE#3EMd;=<haM!O}u+^_CM(bA}6?guA>ea6~$B3(6Jy6vR=lXTR0r*CIMEyGD z0H{KR*RL9UATGRqtpLeF>(@h{N9$JxhVBk<21co0A-Q+7euY#UE#MjxQsIJX$I<$= z(+9Md9&xzkX#EP^cTZmZ3OWY_RKH?BALk8f{R*y(Ss##Hzk*uOp!VR<J0Ir*mh*9L zft#oN+aU+!Ku^a3aY2jfJ0V8^!FS7n8pxnA0?dtYWSot2XCJou81rnL9jNM{_3>~$ z8^_@wyh=tn8^`4!s2YYZblZS>HjV~J7FsLoyaCtBpgciLuNRb@;k{Mlg}jiiD&5b< zDMajpgVY${L2Rm>jRP(WpmjBJNidx2YEZsM?+bIm^F62w+zHwH4$AkS3c3?gV?%qq zkZ1r6LqNC4!FspD<6N8^%KOfAJQv3c(jf-L5+q*0L)RnmTpaLbcw)}S0cUwgX$Bh9 zM$Yvk>wG*=Ie<QX4LKhVl<`3o0p9xm;0rEDlEdBvAmebHo7=E80Wc58*@~(T+5{M` zhvR$zH36_3j`Ian$s^Xfqcs6;fMh$b@8SZ@WotYc(ro~w^YP$`4%`f&+Tl3hGGVkC z(9cVJGXNeFv}_3s=*c*#L#ibJn&W{s$ly@}ZVZgDlW_>{e+TFF(fU7xjpF*Bo7(mN zIwI?TRCU9={$Fs20qa>fOAg^10_XtAc3$7b4X*$144?WRn&?LBe@fS~fwDjPFaSyQ zKQtyr>;FNqjt!;$hepk4{m%eezXtB&!;ixrt^YeAm&$<(0E+tmJk+lLml0Y2qpBO` z^?$%&?Dc=hVSM$!1xU8@`Ys-D{eNZn)c?>#H(LJ>c>NEJiP8Fh@YVm&s2Q#QN9%v& z+iOt|!=$+W=cRW2Kaa@zA64Bjum2g2V6XpKj^L~RUqI`BUU2<?X86?q&_p*{{||Wm z4~>b@`hW1%|Inxzt^Y^se;U^ReAKT0rx98IqpBO`_5TJO_5T*U_5TczZ0Ge|eBk>3 z$ndHEp^0v^{vYuA9~u**_5a|j|DjPcTK|vM|1_-s`Kew1_YqnDqpBO`^?$-q?EU|g zqxky&9w6Dy>$|`gcx&t#KJ`B|(T&#s1780_V`8-aAAI#cG-^ic|IzxNwEF)pd;u`Q z_5ZM&F{!rv|5h7u)&DkBb;G>+7dVE!`WHEduloN1t^PsBzr4`gGNh~jJj512_?@4l z)jut&e;#=5C%6j`mivco?VmQJR{wh-_5s54$VjaHCrlV!{}0-#Pt5ss6wm)dZ(F2V z1K?&IaSed2sOpA!1K<FT2EY-#4S*FOS=e2;8f%7h0{~_JA2iX8HUMbR03d1oKQtzW zZ3|!s@Bf2F%}8tkjMo2jtN)?5D^joiFC()4M^!h>>;Hn|*arYgj^i5uhycmL?!MJn zGJNWPXrddf{|CJOhsMNc{Xh7||DjPcTK|uZ|C2WUPty56(AyNLSO4b`S^uM|8|L-D z!U^p4zsd=G^*;wl7IxRI#+>0(|3eeqX#GFn^*=NwM(h8<SN}t!X0-kvt^a9Q|3hz2 zq+b1>Mr8eus&1Iq{}*u7|5rdYJkIm~c7SAIciU=A89wztG|`RL{{vqCLt|pJ{vUkx zKQwAa>;KXEpN926^tMFm)&Frs*8iyLhI#$pa1wj}zvU#p{(lBY7Iv4dM$ho6|DlO) zwEiFP`X3q-qxJvbtN)=<Gg|+T*8eoD|JkX%|1XTl`X5!@Ft7g&PGPVAO-|vf|0O`O zo!57<gZKZp44?WRn&?LB{{gT6p)oO9{|~<U9~w2I_5W!7Ps943gWC1KACdJxs=8rb z|3AP{|33lM@Hof+Pk>}QukYdj*Z(!cr~Zc~y3zW7!0Uf#OpMn5gRlOFM$KsbKU)9O zu>R+ycKz>0Wc`n-ZkX5q6Ha6A|4%uMum4{GlI^^{ixXV`mkgi!ADZY!>;D0-|DiE4 zTK^Be`X3rKBeebp-}lqGM+G!74Z8mabd(L~s$US@-J!z9z|e`f{|_YS!79PVz~IqI z&i%g|7$D$yi^>)Vi@~GYMWv$KN2R3mTsMya_O1Ah4<0ZuFt}(Qa_qR+>7(M|(s8mo zM8)IstIjDZAR{4Wgs7A>A7bge)-7Vty+@^ofq}uX^M~WXAM%cPazDr!*eyjp|NmiU zhzk1sKTE(TfONNj-2kcHL9XeBcn%@}GXHps3Mk)$Jbb)G1(eP}OoYB}ULJdFmHBU& z`5;4@A24?FzOX}42d&I6gVh~}xC3M~Qt);|?C$OX+xz{Z;)&)5j2(ws4|Ig6nDMu+ z0Bh}rxC(YRxha3^0+=}1Lp|Ww;yl4W^-!maia|$+iavkq3}hvpEh-Zjz*R5Et(}k? zc)KBjkbr<nPhntSfCzwCh6j9lA&R<tzy^49Pf-CSvd%7tGYkyfJt`no2tk)KkZK&H z#G~<00w0LG1+2rP@t6ikw)1-Dq0WOZl5)VcI4E@=?rs66hM7AR7#JA7|7<?M*m<Dy zn8(3q%$*-PT~u<QG2zi&qEgXaqmtA4-?8(D596_JJC|-q+V6Bx(QxTJG4uOR$Ig?E znxI6e;?jBY^7HNx6%Ec`&}7&>1sqOrbDEE{U>fDpd7?8!MPa5(w~va7<M*GAnipXv zDS%A^1>no&fB*l7CL7SHL@(w4f%%}=0P~Yj`OpXlOM&h-L(9O>%X-0~#tO;3E-DG2 zT$A9@&EV1Lq7u>Vqmt2i>fkT=gRi6+FCP5Kdhj80r;kd=amelV&{PGwIvvCWX$5Hs zfn?ecm5k2o2ay}kXgO8}l4E7SITmzW4#a>El@NH2tx?G!BD;bd(2Z#wW?n_VtnU^B z1H)_3)sP_DAqfz~f?fg(5dax}yhUXO$cGFJ498nk=0KU<kWlFEQ30tz%|%lDt=h1x z0Fs5}B1!&MWw7{hND2oTbsUm0Kul<&>+S*Dr+5N22X*&=?dAjtgR($3L?g)TLmeS1 z68x=?z#e+d@Nx&`XYYuhrELLNiWC8-NN_++0ec;kwj;W0RD96VwvS2%Bw_P_6Se?q z!sY=R0}7m%Rgi=W3S)3;y^qR=hB;X32;`U^P{F|s@jfDqz+ut@DR@8rhi1IyPyZD! zHh=sN4ot8Lh&E^#H-i;|q7<7#kTu<SO$4ie#3g<cK?+}{{QLhObiNL#1oFUHzs6vz zUjs(#S05F3{(kD!uWQUv>P?4^5EXm=R?Paf16AE{u3tZ3t6#r>YEWnu?9q5=25S9! z10)NrUzt)z>sJPb?hbGUMyX#Rxp%aFRRLEUE#PWnw0=ctJC4?`*lKK0wF&JqP_2HQ zf~|h-7_DEaT)+Al5LdrypsE|r_3MJOSo*I^&Vo9ukS?xA<Dm<v^=k)67Fxe9iXE+A zLG>#*1EbWhklZ`c>Q^&xR}$I}g;b|x)UUjgb+{M|L7hra2MpTz?dEON;bH)HH9?)D zZb-Km*432aZ_NPP{TkFE2H6Sfy&i|u>mX(~q{j^Hv7+{kCHY%j!J3f_J=oy`>c858 z#R>I|LH2>WbI{5GG&ln7g@YZ8)XRf8Se(E053Fw-LwVm=0IhG#1M3?LfIGDy2X+(L zH|7BMjd@V}#vEW{z<pymNQV~`HQbO$=!Asr%O*%K8Dtr_YkU-y4~-45)GCM}pzxx& z*9@``(rX5}j~cyZJSKu%{L%~5Yn}iH9*uAC_n*&TtKScd*6&oV-*YsGtKU6P)eYzR zJ>VRc`aR?vO8w5k58}edr!7FT(E9yQ=xF^8s^7sG7^QxP<lfQxot*wN?`9Qj_4`7V z(fVBlJZz0T9|M~4a8Vhp-$9-6!CJqkuwa>gj$j#`f2QvEc#i^c^?L@Yy5U^EGn~g# zzq6bNRp{{ky8thU3$Nc_Al2`Wd`IhdQ2h?hz$o=QB=?TA`h9f%89sH4SqG2KKf|Y$ zK`T5UU2f1&2TGS4)Ip~9`1l-b_3MPu`jyK4XB|o6>Q@d_b;G%S-GHrr-GW-bdZ5;? zGeEM?{&SPtX#EPRU%?p|rGACv-qHG%oc{CZ`Yzb=?9ugIpoOZ~SB!xwVj|afUBOnr zo*1oPsa(IF5hSjDU4g1@IM=TU7qIkSQ!aoytnmJ818V*10g{E*uS)i#^(&};1!rKC z`W2FUN9)(o`qd7!hCidzMP;=AI#B&r9#$;l!wjqgJ3j0H+3nx}-t7SD(1Uh6RCLpK zf08|a|9<ci3+P4z$eIz5YslE2l*5Hmzk=({QbX{53h*!mXm64asv7Vvp;BJ(su9rc zC(z;q(zht-@waY(`2w_Y3be=rw17kxY3mZmPn|9*8Qm@_8LbESryS(pcCgb$C8ZmL zAzN}dFYr$ViD`8BsHpR|cEF4TYlWs=$gVAFY+Vw#h^6WkxrkEr?m(@2e;`%8%gw1= z^(vq(VUd9?VS#Ko0tEteJtk<34!i{lt@#hx1qIpRApu^+B7?e$MFPBv1(X(E_CU5S zflLL(`O7HCS``={d8?BBzyJRcTb00h*$1>QsRgp5ixrZQT~s1K87Km}RVkp`M<oHv zRwW<YTa`dsd|+FZ67X+T5`ko15pd=OEmr~A4cblQgS1sCf%vUT=+<FwRq_DsWo*5~ zKmTBdkBTsVKb4kxtzg486+Dd%_f)VDe`^{zXMi&;$nT)_UC_lvASS-0UV{9s&S1@G zOT7g6Tdl$3#4Pm!ujvAHub^cVfu&yj{H?!WOTBU+OT7|s?ecShuAKuV@qq3c6&KWD z=!8xe6%Mp~!UD@DkTqJM06|*n1vUgNpZKUGfHH}Q3OJ9jAm<Sg6>t^-O|-sLfUMEN zve2sql374jfiur>R6aB=z*1`<hJZo~-$E~F&PEwYMp`x3-2-XIL6)##sCu~sWkD9f z^;j(ISnA^+qwBG#+~2*!gi;^l+|RTFRUNqA=5HOA`-Kl+t8|Z`R=N(T>#<gVWTE|C zBLgZ|x<hooFgOFF^mie-cck@qsZ<Fo|L4TA-dgZK=jeLt(e+q}z6Q8LhSbE6$zM=2 z0i!ZTO#Xrz(-4KACO3*gP<sHO5Y&)>D8w)kq>$wG);8GccLVl;?Qaji^FaRm;$&!k z$fyZDaRX=legjqAaIW7AE@A0Zms~>WR9B$Z?-3wbX#F0fF<QTa#<Rg07^QxP<lfQx z9nuei>}!GymVt^){O5u2I)BGjzZ-rZt=~u2TZ6XQfh%_SDI|DmW2iz<rA*2xBzQ~& z8HG{5H^1@l=&Vt3@aQa2vGC}wQL)$u8ccYxS>@k<FxR7dzegdcI<HaD010S#wEpLx za-hRSMZJXcHTbkHjsFim@e8n4eBxx-0n*%g5Hx~i;n8?V0i?4VWOCy%5E~+W{1d+b zuh%C|2A^J569$Oc5+0q`Kk*B?s0i?DfVE42T*TqgdCjNWM}@<qlSjp;^W+N~P^sN~ z#N)8xC6C?`6$8*%oP`H#0;s{!e1HRVa*@Vy7Zn50;M8#!6_Du=Cwg=<bbGKgKV<Cm zQ85AO(g2BYG=Kcx{E)G`M#ZH0CxavZ)C0}`LDWH4juVaz4;UT!x1H$pLA9py_=`4W zP~f<zSa`64eC5%3{DrW_zyB|j{{R0E->L>?cwh-%CeWdh!EhtG8%}^i33QGY$Xx~= z;34Y{9~J!)0Z8~7{D1J8!2{%L2;ae@+d%`QY$|AgP>;WLA$ZISq}$?HgF+Dl10$I2 z(aQ@uz|y0$17ySN-JnRi`~fwRjx~YQGr%Hj0mv!P2m`T^B5dXdaD?STBTNAnVG_^? zQvgMn05rk`JUV?;I6yJhrS|XtOWXgTaa|V`h|9Yj9N?~J=WlWO|NlR-$GROHjyp(z zLg}UE|Ns9%G0n>IiIc&j+egL1qw(MjP-J(W_vk$K;;-UAi2R$62>A;L`MWUrBS`Wb z9H1lz*1uQr-~X4(kw&yU8sBhmfu#4SFo5YTDnB?uO^Q8WdLJ_=?xrh(qQ3<!;?dm@ zPz2J@qA~$wE~upqq9KvkqY}-*z)&Iv@*YG1I4T>DfGjx-5e3_Wh|nIDC=Lb&{?<d# zIE7eqtYHNtS|MCS%z`X`y#^GsKi?t6>=dx$jx~XFF@TOs1N)-!kOs)D-B3?89s_Za zqIlaoa1?idqj-->0LUZYY}umX0df>5XHJ0%Z&7goOJDQp?twCUR4h0c7(kIeRpsCR zm%`vkZ-E3P$b*P@?@=)anb#r<je1l?u-N|(oecoHofYH)&>@4MU_RcW@&S~zA%}8( zfigie2OwE+&h8Fi`F=_9V)H{rhmLctCmlMrsF;E5Y}K*^9sGr?)`O+>B>&Vy9aB_H z!73!cD!L(dbhfDUa4>)l3IkE#Xa!9twWxGJM8VV)6_6VslS(Zr1{@3w9X%@gV8fnS zfUH9proag{45YrZMMVX|0|`Q!7$8<RL>wZ3q@ueAtp5AOR!}#fV~UC%*oN75AR8cw ziCRIW3pV*X*ktTMr2|&+6s!W_J5U&bPS@jLU?3`}G$28x4mNBvnqeJ~z<~x;4}=F2 zgoF%;1+t41c7Py~3eYiqoD2+zpi%?dAnQc^pi%{!ya8-7_MlP$tC$B?L4HsvK!Qph zY*-GOVbHLkN>IsxZTRI%{h*Qso80RLG8ubN$$(W<gH@0pR1%P&5(gXRiDp;@BppG6 zss_RX2|`j8h}GSq0uqM^fLPrvDq!&*us9-BiGgiM^8|T_x*1RuZ1N$n$=HKR1gv5M zSOxh(B>)L3ez0M6XogWURq=ssc;Q3+pyCCaT<r@o8GBIifK_CIRgfQ4pmsw?j|w~3 zFmp7+s2NmjU>g<&fILLqRK*H5*(4BTGWMWi0jp2~s~|t97$B+YKRc);^8xG;gkjVS zs()Y`qC!9(qHa+A1)Ka9Y%=zs`U6&R8>|B1J5p=FAMD`9!gsJ?E71(2W>9?t+aMf4 z{h;~^HhCG?Wb8rp1*~EUSOxh(^#Kx8@4<#8p&3Tapn3<k;Y>93gX%5V<oFnn$=HMH z4OoRQSOxh(^#T%9&%uUCqZvlcpn3+jp*0@lA?jwpr(lyM5<n(n52`0%6>MM?<OkIQ zNKoAe8+HZk5rkpX461uz8<LVi9-?kg-36Qc6>Kv0pt=KA@ffTE;X6{xsvD4?x(+sM zBbs6022u;SBh(3LKS5hfkY*HA8q}JC2!L2n72W9Vs%u~y&ZSX5sIG!d)=CGNj6JBX zfK^C<RgfQ4ph1X^9+mT8!=8aXf-sDlsp=fqhSwP&4^cN&oduiho(VD;dr+MLt1tzt zK=_W-RCNN9s*ZyVV@5NKnn868Y(s7i$V1c(s-s|&8FE1;V-Kn$U={Dcc9S1e2OvSU zA8gnjG{dMFRQtd-col#=MBSj;3pV*K*ktTMwFj)?G*|`sLA3)CRNKLZ%|tT{S{PBK z54a6%!?t4T2h~=v$wDO{ld%WY7O)BiunO{nY6B#w)`JZ@2lfcUFlwf%bzmDVmx4S* z-Bh&}Y_fhC$Ykt6wFaz07OVo{J5tN46_B7>4mRvH*dqwTs2Nntz&3<df;>dspjrwx z`32Zy>_N2ztl}D21;Tfv2Gs&cP|XJ$wgk;EY6jIjunk7F)DNn;V3Uu6O~xKnbHFM# zgH@0pRG=A+jvkfiV8a^G45MaQH4SXTyawtA)l{&_zrZGA52`6(6)(Ul$PcOskW|$V zHf$T3Vbn}jePA1QH&H*Rdch`(H-k*Z9#lPG6|7(t<Ofv;B&gcKhFu1G1YsC8gQ^W| zgKZngL)0y+TEQk?0Go_Gs9L}(_JLI(d`D_o)c^^qdaz+VXogWUsOrErNOe&^sA|C` zuLYZoJ*aBHDrSRKkRMbPkf16D8<vS?7&XhPGO!J8z0?n?Qn1O7z$Rl4suHk@3t$!G z2UP(isPe&v%|kPcnn9HZwqf@K>IYRW*ktjEAd|5NRSsAMD_8~jL6rdss&uenm%$!E z7)H$um<G1t#w3u3s9RR0f=xD=3^EyeP^ExXD1lWVd`D_o1zPLU(W4R%HtYk~BM8H& z8B}p#8=|IxJVf1~iUphe7Hl&1po#&jxD8f;@Exf^6#+?A;b6m7q8Ucbpb7)qU_Oib zK@|!%`4re>>_HU*R<Q%Dg8ZNgfCQC4*swM<!>CzS`GIX%JeT@G<qJ0XKiFjKLFEHh z@fNIt{GjrH1eH73u-#~eQ8QJ!fo(W2pZY=N3N~400mx+RLFEEg!39=9eo#3;g32Cj z*bT5p5Qb4RsO-QtI4uTwh`ME!E!gC%V3V;26=(%Df9qke3WV=SEvqabL1hlMZX%jt z)C?*!unqFdsUK9PV3Rk2O~xKnCSVl{z$(ZOD$oLT(E2K{VR>kVQM0Vl1KZHOiuysN z3pV*V*ktTMr2|%R6|92%pwfV(Ds`}7i_r|DW~x#H+puB{^@B<kY%<GQkjdDCN(HRq z6WDI@gGvDsRPtcM4xkxE&7hJ4+n}`p<RR*oRkC1{_kvBv9#k@56|2E2$PX$BNKlD` z4XZ>mjG93u2DX8H3-yCa6m0S=u*ukiN(8K;1FVAlpb~%t6+hUpFf_xcSyu6ZZ7AMO z{h;Cnn|vN@GWMY20jt;xRzZGHaX^BK9c)-PnqkyTRcv4zzV4!aP_cqd?$`}78GBHH zwo~)BmVs4}A5;vGp!&}ST3_XYW*9Yt>L1vKb^AabqHbCB7i_ZQevrx7gX#}hg+5pX z`9THRt=`e2@*Qm0U$93IhFyTH;fBrwUV-pHf{-N&AXaya3P>Cx0Ah8wsDQ<Lz~YFt zpx?kY6dVP4h`K@b6>Rbuu*uki>I+!KF0cxO??`QeeqaMHMSBl6tOLz3YNo1pU>mxQ zQ$MKQf=zw~HW_<Ry#cGZ0#-qOP`!Wz)pM|6i_i?CW>7r?+rV?0`a$&+Z1Q}t$=HMH z30OrhSOxh(^#Br7_rZomqZvlcpt=XP;pjQ)2i0A$$r0y4CSwn(J75)VU=`#C)eT5c zT?ZQ`f@T;s8w=OKHW*$4d5F4Y)m5;`y<n5E2h|m@ifXV5@`LIEB&g1V4f8}ZYzCwt zhZgH|AUu#Dq!b6SK!;Lsz&4H`sQ}$t$br0Z<Q&+BA2+EVRA<2^hui|0j6JA8cVY3j zI)PP?A5<qGL3JE#odB9))Xad#z&1$S0eOhJsp=@$<PxyS*n{c_SVa<81^Gb*x@xSW zM`b_QFatEhsF?xxfo<@;PyL|U3pRNr*ktTMwFj(XI#>nyLA3*ts<wj-OGPt`nyG3V z*oO0us2@~Y!6wH%2APaKsJ4Jrc!5=rA5<G4LA4%im;{<()C{V1U>j1Nfjoqq0UN+O zH6a^Nkv+-*-Xz(2(Sd*JG0+CdwP5}Kq55CO9R2?vy3-Q0t^4JxV<`ONkj1`Wsq@GF z|M%!+?cK)70NSGovha9|$_?no%;PO8cM!}62<8(6^96$W2EnZ10PW;vVCd!*0vX-i zq5?9yTfp#QXOGGS(B3Tw)p`=<hc#e7ya%5!_?n@c_0d+)&fp%1zCaGc3!N=0CqNn@ zRO<nl#?@er+u#~EqG%KVYdiqa2%-=gSAjLwLN&f-fWYG|DmxJV*aKyDK>|gEvE=}N zYZO>ZH$)-iAk!X|m0;8Sk)=AJyU$uwK*r!&Tz$Mnr2uNn@fMX5D6<Qq7h+)%6DVbL zLlnX+TmiN)9a*ZgMa2N(Q;;#8Eh;7u9!L;Ug@RbeTU0clwj6I!(Sb6%AbKGdb}@r2 zJl>)L+SUq+$>Wf%tso{eKXvzjO#vVD2vXGzNmboFU=zW@&@Hea3gl?eM&0FLM_Yr9 zf6egn_7QN(1f@4{a;%4(k_d_bFn<PUCvh(;-xieQxd7^9&>@Nl<_ZLJ4T8A=!Q6si zR=|^I@n%pmLrI=9K!Mhy0-_Mfa~as-=fRu1LCMn@tPeGLP5^0aQ2|kiRJIhXaT;8s z5Q;`f@`RQpAPS*z2^#}LX(A+1LdfGSDh&ufv_P3%Eh?Y@0YzM?J@~*+P=yDIspF94 z{2(SYu_{AMfF{hBjgS*wLE1sV{dykM1~6wEG+}}`FB|@X%Za6%P$D7%>V)GhDlrIV z0)m->U}hkgIS6J6JR)9g#E6IhP<ZyJfG9*nECz=sA|iHy^`S<D2S{U!3W!34=OVC1 zL_~C=XoN(B14JW;LTFrwEg~!sez1WuyINF00fH0}pxg!u{o|0F2x3Arv2u$F$OLGH zeVO?OoDV?SLBS1<2#`FO1BwVxh6Qn6X8ig8zZ;S-xgmM76T*XTKJV@U@38NN>}*Fl zP)r5lIWPsuyxmj4nH59T%j7@*{~I3g=;lrF<6;0E6ae0z-VHgOz@za{KrZNP1^96U z1$hh%pfjo&JUX|i%mCfwe9WVBipm5K>yYCP(BTWmSyajx7#MuIA;LbLAu1flT~q`D zKt}<%s7SyhLApVb3ddbkG&~p>7&b7xuz&Oyd|CwWvh|#B*LXG_1m$8M(18-?UaYzQ z_y5by2f(2SI$;1DR<Z|C_{jAh6GRGB6M<soc#FyvXe=Iw)GtucGf+{`;1MYJdcf+w zUsOEN{D86JQ0sw?DJuQogq02}`9TJOio1gyJt}=*sTi0PsQv;~1CWjlsE$P1Y!C7c zXkQITa*7JbV%WI^9gucNJJ>KSG{Zo}RCfzleP@fx9FQYhz-1Stz=ukM#32G87U%?D zsK<`Cs7!$}L5Bi^wD*8bLG@!B*lt!>Wdkw<=EqjB)Gx5Jj<=|Q3NdKlf%f%-n1~t! zR5XIC29PR5jRA52SQw-OdNcsYcu<Ye0yZ92y}!J&9~`HkkOar^GDwX9;~$5F1X!vY zA_c1SL9RI7qH+Z4Q|xtocZ&*0ZFdh?9jgDEL1jQS_?&c*At1+r;<pJTTnH|7K>-c5 z1{69VW;Y}$b@zZ<6krd6R6%2_y9eAX01Jb3fO@u^;Nus-M_M$3j5Y)n{4aeW9)Z=0 zuO~v?5Ax-4NaF&;d|CD%TsDC009`xJ$-n@*b{-U^(1g(gX+c3!7fP;2asbr1-BZBM z!%+1y_dhrWKv4;{l>_2B7$2ITz*2Ajf*k~kZ0;5nP~>((5(qSo@f21d#Ryd(!@H+| zQ!0vsK&oE8_zNzqdV9dNBka(WPy7N+9eE54pZEn^R5Ca~3;`HJ5K;|#bc^<QBA0LQ z0u5BY!3(qsP%#EB&<a4TLq4D~9DEXTk4gp`=-`xt7-bwt52zSJDdUQu1t3IIhzhuj zQ-G9l(RZO`+@V#t%D5A^{{DaYYY#Z|;bmOPUKBoZ8Rxn8|9_7ipoGZKP<8;+yhjNJ z{_vA&{CWrZryu0scCm8`xK&QL9E7Or-U2BHuPJ_Ne#F>uvGr0%k4ic?r(!J!)4)=c zmV*h9axflj*ua#7abUZ#mV>ciDVmjoF<|52<>2?-;CP3XdoSr!4n~7YCCqX#3M5RM zaxfBP^k_K<D#d%iT?;bG!3nT(FacH$LKsNppbO!0FaT8gfy+S;5DQ!mf><7%Jt_`3 z%0ZAGoaG=y6KXjad;?kz?plhg96WIK@Bf#wJHhE6UJkaS@{!BIoSopl%iQHCeHQ^l zTR;TClt3_L5KK@@4OEVH^Ll^`A!0Pc9-LcU!HptNcTobY54D#9%Dd1e0;02F2iC{~ z)d;HoLHIbNX##QsQZuHjMFr#wP=|xR^(DB632no`+9$SP)9xWlA!=YyLk4t87AR>! zs%1zE5ma46JAzOPk-C~7Nr;7;z-~Ma8K;0M1nmt6F%d03P=^)V!~u0m5iLHDiC|%n z4(PExAV-5*d^TW5CxeZD&G6D<2RNodJ_R=_K0w+Vpr#s_&j~uNte5rNQk3XrK*Syk zg2{nk@*tR?mN(edyqO?FP@@;r>Vh_j5S>tKuoDq|NlUOk)aV5@!=O!SMD$vLN;C9M zJ*ag9vLD)X0x`Q<R6sreg*3EN4+?mY%yCFV3dDp)wlZYM0UEI{9U<WZ(hdqAa9<K+ z1DFHqOM;>V#Choexp)E;Gu)7&0_ea1G(zw+&yb?68`AFTo}vPZRFvi!NYzX0f8gd> zH?M&Mav6iGFF6CbFKGZOXuw5|28e~(mjnr8lrONpBuF<%65P(xfV8tdUxt=1Q44XE zF9{d_{(t#mD>&7`%a@>SD17Ad#T+6<mA<4pIALLJL#ctKC~ZS2K-y69V8aHc4J8M* z8*3X%7A!@xHk1t5cz7F%6B5Uuau}Q+=+uUi29*JrZ73;_Fm2jUk|3i;+fbm2j_fwn z3RoKor4t42U4jZ!k8aTjYvl3`dtXujRE&WOG!768+=c?NKy4@n9Bn9&9#AocwJ!<L zgxZGUKMyVA%I4rI<7&?Q{r^&b6H1x(awaMtxs0oWNKvJX`_Bqm{f4!S`v;byw2b?~ z3SJNO9c<XZlyTp{c4IB$zJjG_R>pk+8xJqz0ycu<nBHaFXI2J=Qp_^$6G)ggW!y)Q z(W7M?MP=Luf@NHd8R0VS1?VbIa2fXi!~&ObAQq^MyTOWmybPoVXBh|4gj&XFpMsWg zlcwV;<7OQH`~PLxI&k`jx8ok5@{!B9qYx>olyTR=xe#j^cMU8>X&H9`QpTMJ8#XXy z+&Qq_Sj)JxU@4lFac98B!^^noYr%0$?=tQ*sKmi6<4%EuX;a3X1Q|VA#!*zp?I2jj zEifcp#vK5aY~V6(2Z#kO<3KD>8MgsP83)pXvy6jiLM`Lmk3!41b(3(Faa#`k{r__B zDscLTmvQQ=QTWJZ91lc_DrMYya4y7J#;pTOQCh~WfRu5|!G;Y?8Mh2<H`X$4DOieT zW!w_5@$fS4-b!$s(z}dX3@ULj%eX}#VcL{&3qeMYmT?r7aR&&NaYu9rmvJ*dB^$Vm zn*d^g%Qz4VRK|7SDC0nSaF%fpO{isD@&RZWcd8dx8Fyjt-~TUJmV?tjyo}33<s+AI z!4N5`lyU9gT!^)dYXeJBTE;a%%D8&4VFOdf)q(BCTE^9arD#^h)qstMmvO4gz;R6P zGOij_;$W6>RUl#7lyQ|Hqesg)ipsbX1k1P=>V(U<0#L~YF5@ylEN~eIVu8xI1RP}? zNDt034x$OQjH}-bE#sbb;40(Z?D+ftrT1cR`iGZsTT%JQW!x-?6jjQ&cyKPnTE@kJ zr6?`qA|Pd4IM}d(DdWPxc4IB$LcvlrE8{}I#>30FoJHU`rgs?^3@ULj%eWwrFm1}X zK#<X+WgJCi+y#PV9FH>LGR^~3vVqGu2M`Nf#(`L%GR^`=83)pXvy6jiLM`KFZ-bU` zOf9&|IF2oU|G%6xADsT-W!xWBK5`lNa6Wz4ubG2$D%Nt)3@k-yIcNYW2lc^*4NN(x z2eun)Ij9SkqFFhp12!IB4))IjrvrMIgW8}{39}s30twTm9Ml9EJz5S@R1V&Nm4lFF zYXU7Q5C&rX8jl>|a!>(O`hm+q2@nfh4uV*qa!>$AISA5&vmAtILM;a;Z-kbEzv^(6 zgAD8c{(rf3HaPvm%R%`$D178{kYx^d{o36Ilm$+p^-!QiK*w8DNLatt0Wt)2ffJ|= z*^Ry%j~|>{5$o3?!1_=ZIDzsm#`-lrutsXFU*iRvHpJGi@qir-U%z%?7C2@>@daLG zf@A#}Up-3nf|hSVqnCvBYb)z8Hc??+zs3!AB4YhoC0HM7^kQAV#sw<PM(Fyr4U))Z z46gNS50KZdF@OphaFO$ag@K_HbNw1f7^8fFtzQG_21z2XUo&0{Enj9;;VNGitor-^ zWy1_`s)Ls=uTc5O<;!`96sR14rZ~_RCJ?jPR=tsvfsudG0To8jjmnTx0{afBt`_hP z0?>*@{?@;+6{nywf|wmoptYZ%4K?3cKx;$Jp=pOEMbMroTzj0bZ)xg+Y=we2iW9t| zlm=UrzJVRR3+(9E3@^DL;R*6OIKzL1EXV{cSOo<t(gNN_+avXy3=Iqn4E)pKK@3s{ z-QWXN4%$5oVs=4R0fT%~YD8eu5jSLaGIRsb%exRafC>YUn_eG=h8KwQ@+D*)C}@Q# z4Y%n$fvp2A5F;iZeL&7fFF?5moR1!WSeW?;Bn-+bC~Kr(`3R&NBni$((ET>AS3>hq zP&uxA6tVp8|Ch<rQ1j7QR6cS(+60lpl8><G7<_vQ?}L*R*1pI+uoR_zksB=FHB#5X zh7C+#<Qmv+tbLKIU@4mQMXrF2hxbKVrh?-bo?+><4)ih$)-_U>K*F@?i(CX5J=zzc zs4wyZ))&FK4s?bfa`}dR9q0*AF$ONs4uDwTz6gi~>Wl1P!M;Wcqz6=tp_Fm3J%tcW zsC^OkrO+}iuLxHeSF-5u|Cg&Kfzv;{tspWPg^ygu{hUPKF`4b)oQky^+y<7Sv>e<3 zDF@es4I7wpa2?ogtmWWZuoTV8!8Ksx;pO1+iQssrcR9EkR4QSP$*cki)219;2{L-L z9HgimWXK0?sTPC{#b6nenZZN299#e@{lMkm3=j)k4uV*qa&Q8UauB2kXE_Mbgjx>% zpARhu({gc@gE@2m{(pJ451jtt<)C>#3Lm)~l<5bL$#~|YblO3Ed{9H3v@w}4c^I8` zP#Y3sOr{^4TM=V2N5J|}JMEyni!mnC2i8cfF_~VlX+vyGrU&e3_?XO#UU1BU;tSjZ z!Z9XOm5UO+<c-OQf($_&asze4F!mI7gPn*NlX;p0N*1Wm3+)JlD8!z^E>LMULdRrm zIFQR2Tw^jE`AFx}G=K^kaFJ61Vqx|rLBbg23#=~*(hZUX_a&iw3g^#;mM?6XxXKrv znScMkoY4(Vb@1|qsRxCRT)sSqC<T=R&;kW9CbPJZzGE`w;DkBE#$?LCj)srPC_%y# zR6K$+Jiaj*hk}teCi91dn0zFGoR2a<xd)t&5<o1>d;}5(WfheE3M?Oibb}<p`3O2D z6Fvi)kM^bF%11}0{{8>5x)UYeynKqvN6ts5AW~TJ5$R(x@!%wdwJ#C}mZG#T5&`Lp zgo6zmn7&9D*lw(Skx;M{&H5rCVB_I^kvSdUIEH6fI*rK$gX&VuzDN*Am^OWpK#<X+ zeG!WKA_}m+2+lDX6$a$;4f~jk2dEeW7ibP37Pv10VuAW17C8DMAU&XB45f^NjmbbX zq4q_JCqv7)TM4+zxCayd{(pI>6`cOzZ3Ue+6h3kpC)h^cF&T4kPQ_Xdnt`P#Ee8!C z<)A*;uz@KD^}u#xEeCbMQZy?Eb->2M%fbCE;CQEZIj9XPl`zXeEs!v6%0W$#(WB)c zMdhFdtQ>?akHIn~qw*V3>UeZxF9#Jsr60H)lmM~7<sgU!DhCB{l!G8WILkqZCe(5; zyAN6pUW!Jz2=z?Q8{L2ZzkJ#VPXF+7(7y?Vk6aF#G=axtw#K4#+ChDMXcvlvbutMc zLr^>Ipf)7Nm<&HSw<5-548ZzOJMEyni!mm{2i8cfF&SR4X+vyGh6n6u_?Qd>B&I>} z1@5BZ7?XJxjS{`&jmb2E3_*=vtYb3VU?(ERWCFqZP@@+#7=v-n6Bnp78=+$|C%z$a zH0(4^%rk=xko%Ghpn?Wm<osa9zD|Z2G$)4R%wUjiP~ijaOG3wFxVxa`OHnw&HK^rF zMf>0XFE`YolqWBx>QVT}<;#DFQcyVnEl?0+GV)3E9h3RaJQUZ-fE^7Vld*z?C#ZM? zXLx*LGJ6t6-k40sXGF@yosS%l^U(`X?g8hc2Ot*am<&i5lvPmrE3h#ckZzD9I3GdB zWDd7M^O05v!ZoP*$e`u#|Cf_%Q1Z>ozo>lVeDnw+g(V-6J|=S?oTRY!Mec#6DD8{f zV1}%d0UI_jeUWQmyRr5~u7ag#))%<~HXhy=*-{OTV|a$8)0oU<X6)-^K*F@?i(CX5 zJ=zzcs4wCH>x<wVliBbNQKI1Li<|%zW8ebq0Eh+di-1_5z6j`E0iRCHv$sKdK*bnJ z83!AafoMYQi`;L7mT_+W2p6H2aX$5b|G&Id0Z#w$zKBaD3Lm+Q)2yWLn9O!?PQ_Xd zZUakES`Kc2l!NQRh7C+PxDISL)^czySc+!l;2N;;@N)2aIXK?wT@J1Wl}eanGOIws zv?&Kyf{Y$52PrBC17PJK&M}z{FA=2<u5xezsPqGugEK%Za5)HKfy%)N;Jy)NISA5& zvmAtILM;cc*FeibJ1>NbP|HD=s=xnV{w)Ege|R~VUW&p;E(d)|!DBLtJ}8}bP#+)K zg(6{0=8QLJK?KTGO`tX;#+Xb$IJY9kWafbNp?2Cqc^6|$rVp%<T4OT3VAF=!m`o4Y z(eN=D2}n$X;tSkG!!afk<b@Kw<c-O^^~8u?tYb3WU?(ERWcGsfp++y(F&WUMW*8T0 zflkJTCPmOKG$7`1JbOFjDI!PX?n_1>_az%Z1r4~!sQ|Gs`;s7GjPeE6mjvksNrL;5 z&@q|v3TXLq&lTYs)biy?>EHh^PZXk*CohePQ25B@i+B;Ze7We3k^n*J6%>=Ct;5R! z8G<?}h_!qv2PZ&8`C<dshnfJfmM>*sjnpb%O2MWLvGSz^>}YuT^0)vTv!M9GSH3)Q zMTuVW%9mb{A*j)dwR|ZCI}uU7#DevqMlaU#r3lno8lhvpe;y!mH16^x1G#+30F^4> z@+ASp!Yp4v!k{7qr5^_?UqHG+lE~$YcnP$8sdGfQ2DN-?DFlsG<$+Tjy#4YQm5*G$ zJc3Ap$^mGBf*A7^cckx_Z#+0*4zYE3abQQo$9!cW;Rz}p!5JRknC}+*kvHbM=Pn}U z;?74E$oVJ$lzYJW$OFW}%ts($P*%a3k3hOXlHhy<9rHb30L@2cb_my?<|CUt(Amm4 zsQKstDjzu?9fe3?$w#D*`MQIX6xOj0H?S0?V;v5Vu?~B%VFNSPVF$Jw>sW^^Sc+z2 z9X4R&;bR@sv%zr;&#-hF^99`yjOF}zD^L|no3Rc{kkO-K9TbgqG{D9>aE|#txq&EA zaE)~sfQm72fu;dsfyX*PEYMho0*<i`kRDJmhEm4C#(W`~P{%r6XG6=lAWMXcP|LW8 zOwifN8Q}B}Z!4&0qVSQ+IG#-Uj`_-ib1K$yP!23bX*nnXDF?;Dh7C+PC<eA0YdI(i zmZDiXC;~PfUJmX`2gf_T%RymKsZ@<|@2n6=m^S60Ajs&^a*(2Oume^O;vDmRav4$T z;3@|>K&2nJ9Ap5oz~vx_1u6%BFoD*?V2=5M^x!N9A(~Lj!N+OPa?r~J;Ud&>Fd*gc z|CbL^!08`e4tk}c@R7?w{Z#OnjIJ3<rybPChjyVz81ubhiZLh%YC~d-`F>{tt%pI3 z$*chDL+!MK@-D`h?>DeUYK_T!1)Da+#$>*L9St9o`JD`oSx|g|yJ$GZeB(?|qL;ie znV-fO(TjCV<}=udh%uRyV1203i*-!q6B7f&NFDR-IFHEDxcib5ko%G^m>3v3!9~sk z5DT*}2@=LAUtnW0Al)EIa9<KS=G&YEEni;gBV2=8zI=%P`~T(YL~yEumoK78D17Ad z<rhRLs2qS6D2OqcW_|jO$=nAg%po==a}Vrj_?V0-Bs@XIBRIq38<SDc8+l_g9%m3K z7k55dfSivmfN~EwADsZPF!K>e7?f2|`YW(}1kw$X1m`2@n2dEiG#@R}LAVArAFYV_ z`~PKMJW9TK`2&@YoR988q_E^8(#K?ugOe22zQ{4K6s3KU15Ds`c>BSI4NPBTAJ}fJ zeUZIjDVp^~_JECt_eIvnf#VpSVd*p`vl~>GV)jLLfrM$(7ug9idbBS>QD0;QtS^Fd zOs3#CqC~;f7uf(R#=r&I3J?q27Xh(AeUSw?`XV4bpkfTAjDwBIKs2HDMZ%+?W!ye> zgo{wixFg|z|G&H%4Nm{?wt{^O3Lm+QQ;DJPn9O`|PQ_Xd&I3zPS`N;Dl!Md3h7C+P zI1Ow!)^czvSc+!l;1sa&@N)1{6gb}LT@FqLl}ec9;3SYRZOXxkAfrdiL5j-34X|<$ z=a@{vAw;Qzs~qeAm44uIumQvZmxCY{s2r@oQ4WIi;4B9rno!F@|4?W-xJ?P+BGhtl zPtf20FMouC(?7f%Oo~9^BbS5j5#TYInJOrqc2FN5+Jz!vOvVLd2<k!#P#Y3sOr{*1 zTM=V2B4B-}opw;(#Tb(*18bz#m`o|yv>`SoQv!B0d`w0d64Rjg0(a4HjLDo*LWy4T z#$-}KhM-0-)-jo4uoDquGNxdCsL_jcOr{7_nvKwPG9vpBIU09gatCr>G6Pi5fQy_2 z5DT*}2@=LAUtoPnkZzD9xGxDElX)KiEnmXq5UxQjUt;|J{(pHm1f@KAsT+#IM=oE4 zAX1=m09v3R#$?{h(sxWI9-J_T*qBTl*wOGY8DB`ygNjFRhQ~K1(<3wT#$>MSLZn>W z`RD|4J_-Qk9&kSL0I@Lh5l9%6RZ#jXuzUp44Uz=sBj}jSa$jgZ;*&<W1~ngvc>n$X za#;{czIiDSjKW9GM_(aIvE(Dt$7I~WNeXLU#0@M(X<x(v(igD@8#XX~5j(KmSo<Qj zU@4mQMQp&v!}}t~1Ho|$&#-hFld%TXrI>vYE08d4`XZJfqeuH96!k?e!1^LM$7KF& zMU*JG`XUCPVhmiMX@FSZz6gi~>We7g=!<~#fQm7cG7dH-1JQ)q7dh+!E#tJr5H3P3 z;|$#X{(t$_51jtteUWf~6h3kpXX8)bF&TMqPQ_Xd%7LXQEe9na<)Ap&uz@KD#lUuB zEeA!xQZy?EMZm_x%fVN^;CQEZIVcP&l`zXeA&@X_%0WSp(WB)cMdjcPSUHGuOy<u9 zM5%+T9OM9%e&BMD0mK5AgCG{D9Q?tEW1S4Rd_+4>238J2G@+J*yPcusppqcMMX2SV zhU4G=F9p28=^tJWR-y8d%fWbW@R$s%FiNK#)W?T*p-32$*&u|mkOI_(#2AzL&WO5B zrU9%EwbKsDyBK3K-@qEFH74^FY}ybTllcO6G<-}(+Y21ip!foJ(Qu5(m<ggpFL`4! z7X>h)7weeJXRs3yV=@cD`cR`6>zK?Z#*w>DX2BXnj>g@We1P1Se8C7`Cj(+(_9Y*H z%N?}x1=g1Y=>|2zz<o*Rm`t=iw0t?lgK!OM`EtVM@Bf##-NC62UcR_`pzx8)7cGbs zs2qS6D6laZ()*J4!3hg%8|ofdiqbaJ4My<%{&lcn1Jj1O2DTe(8|o@pie_!7D`4Z{ zZKywP;5Y@9!{CyIPJPMCpfUin4Rr}5Oq({;MUc^>Z77P`P#<7zD4c!C6Uz}L3a&QP z2~aTxZbKaavA}I85DV0X+5s-hFxMJ`^ni*ntbIv{Ce$`mxfQgGyT^`j5o#It#Qg97 zmr5?+^barNdQkbuWn3XdiYjH?c5p7lTE>C0CVwlXW!wfx8Mhv6&%l&%>%ewnE#uaL zrD#^htpOVk3dq+CuNhuCJA>nx-eufsP>F+C#;pPg)257D2{L-LjH9TG`$4dbd$R~p zqTnjy7Jy1Na2YoP!~&ObAQq^Mn}DN?1L?t8#z8cpmT{9!p=I1JCWMPn%Qyz(zyDum zI)KwZyo|ey%118ab~u3hiKXHw9SKms1KO-3p`WM#G6Z#U7gTm)^b`BRxmBHsfdQ0T zyIDVofjS_l9SKn0#poyYfi=DZU$qO;3c<%AH`hYldxR6jU|{HKQ33e^be}DM>uIo- zZiqtIb-=w~(?AswcncKhYTV8i70^Ys-7P90V>(+@dO%4FBG~+Z5h{JWMWq31%kdVK z7AUg|q6}goXfYAkRFFcLg*{*kJHh@21rsL&LuZSM2gC}HF`X?cJ`f&A5Mm*Sb-YEz z0cy+f78MsLvkRgZVqq*ZC^Wkv3Sky@gDng~mg;O#QGi$hGN!XdMFqkG2|_Fcv5vQ> z+~9zO<M9@iJ5Xj9L@&fbH5QPC$6Hh`KxL1&s9b?EyIWL1X|KBnYzpUzPKc`R7L_j` z+j_tzf`vgkx*-}tjy}{eMWqYu=<8rdzh-#3$PS#AL2(Igukt}I8wSM|n6Lg1oPdvr zpd?`OdcyG_LqIXZ!NAZhV0f{!M+Iw7xD)I|L{C^BtPeE-W9<odfXZvyu1<*m3l1+( z0D!{h^*p4@m|t&$CS8!s%ecSbkeh`%MDzg~hoC|N!Tf<>{y{KHpv-PwRgfX5Ay)y3 zY7o_W(t&^KF^7&VD(z??_Z7<!Q2|I}iwcNB+(XueE#v~AIpKJVN(hwM)uICO0Vs`@ z+JmpL24x^nQa%pJTp%XMp_~j1$}K7&6QFtU<+MNGkOOH4g%3F7K=NP?DC9tS5X5;o z^$$4Y3P6tUgq#_6yhY^!G&GL4s60V1Um%!o5X=}TvzzxdXb1=7AViw800lsg3W!34 zTq|10?FQ>ZO>+hyjV&r53K4QG*g{SN8eGR)RCJ)sE{G41LJm|CfP(8dq?7<Lp#_X` ziwejDXkqg5>~C<$fdT*&KH!i8$%8qdkOLJaAkND(zyJRST}{owz`za3_K30pTo&{| zN{%VulA`(3f5nT<AO9<YN)WI#L=UuJ>7D{ENHA2rJpTLtf5QVF-Mm(_5%nbQ(HDjS zq#N8SK-D+6A};{3Fh^fN!WgwSZ1e@B8zc!HeQ{u5VA#O$;;t^V)^_>L!Qj)~qw<53 zfdRGF_R{|Q|K&YPa43RGPH<RxSfTJi8IqHM0W75pkpk7OpjZJFz0g=}w!Qm{gMpEM z68y?{kRt43GF>eyAW2Y+^SAy5-!KoYaywg8YC!1`LbV>?pK_3Y+riEjl?sR`hys<@ z2RnLH^1%s{HY;M-!1eZVNX-iMDX2;YF%gvv=$JKdZ33;kp_L5i$ThGqNJlrME(bXp zRLSIl9lagw=hqA`Gax|^YIK0Z7x#*oX4~4I91L0f)8XL@s)>%bfGY}+vyQi@?19Eb z7o@!b3g1#Aa9ISZ6rhUcKovnloEu_7XNw9*&C3UnS`JkEfE@JtFj6W0^36YRCIb1E z8{#c!!vyNdZrqs&DJZ&oR6qgOJw*i+P$-!Qr0V7Kf6z?ycRDedNB}t#C4jOHI1@#H zSeTgzBn-+YDBXKlCIaaONrE#GbUDlnEodhC{~cE*V%7Nj|K$;LluYwd&jN*yoQZ@X zQlKmVjT7>RFvG#=32XO03@k-y_dWp9z4r$jHZa|LKd{|cyZ63eDVlZfeZa=UyZ2Ac zz;O!Ct#lf~^afR=nB99XkT7k!_nsi5N4xi+Rt<r|>cwAhVb$9M?wP@=`cM1<O%4Un ztE6!bVX96>F5j?UTjT&L#=r%d1&9Ui-h)`6?!5ty?mb8ks2D>j<6zUk5KXAv`z@-_ zGEVw4t};$h`S1Ui+$P}k4{s=xqVkc;xG0DeRmwPha4y7J#_55jC@teOAZ467*sy^q z<J7=*V=d!U!BR9U<5a-L!^=1wV{jbPyNpu?l{lDXoDxWwHf5Y5$mr2Bj-oQogJ2ow z*+;mHlK_=$;4)4C!~&ObAQq^M<G@kIf%M=k;~<()%eV^)&@#^a9j-FYR_^crmq7;L z^barN_Mq~S%eaLG;NG~_2Mz`gL~k54U<7T}kuZdL^gRcI2WoE|RCZzvVX}jBD`NZ6 zRIonO-Z&`lLR<HU-Z-d7!{17+r7o;sXAH5WE-YY2!<V|`>Vsn%6knjO&PyCiU98`s zL@#K33mUyF(4N%s78MQzlLx^B^;SV@yLq3z#fV-|7pogG&W9LmVFo)9F~GMCtPeGM zLH#t0tJ#=9r5SAp_#7c!Lr?&K!V5gu0_w<tIiSH7(2z5T^U?v*PXvv?akr?{K!%$^ zylw~&Pd^c)7@-PeV)qpANH<D95v1y+HLRcbwiCIGnF1c>z`U9*0J)#|g8{bG<pYR? z*-r!sW0Wtjej-RWND|yngf4X{kb#yj*I(i)U+zl&{r^%{7o6(g<x4v%AGv(V(FK<; zW{fB!%r_9}>kfkX0Kt5MV7@>w-yoQv5f(_Y+|2-*0D%l7LdTu4mOAelKnv_ZTe3hi zAKk3oV11~`5^JgR4y+L|;}V6UQNZv*CuFDsK0^W<uYC*Fh-JnFlx;wcM;-0}1qx`m z18K$uq!2Xf0valM12zpl;{uWbjVXcZKFFvEXxs_H10~aD@Qe$HbsRDr47IQX8irjh zDj-RSg^yv=Dv)tw{;41fUxO{Yfh^St86WL#Q2`m#*`i_su@E$93b7ExIu04&gj$F+ zL<*9GSoj+}L(vUU2=n19u!SFxr8-+wRzOD0K*n^osH}nTK!OkpL9F8~Dhr@v!pB=w zmOz<Z5WNr!g;}99E;FFA$6Hk9K$(caFpw$WQ7@1x#Ec8bM6fVOM>j+x$kCv|u$N#* z9|2G3yk>aGt_{u_ptuAVBhVQaP;7zu&>0uEe<%g=0%)XwT#sO`Krq)Jm>UqxEeIx} zm|p!CqnHMlwmsm%F+?%_0_^bf&{4c@)@ram)cgP{8oOJ-LtThk_&Hc3qI~y4(Fn;8 zpy9P{$jBo?<1+><OBq4MBQ&LeX7NDGt`-$gfPf+nIt>9zsUVr-kg^xV?1qf_DMO|c zp*i8D0AvCOq#YF8;PM@01DFFU-$4l+#CgdNnZUXG2PGmR5Fr|aU?w1#DF|i;f|-M0 zBBpheKt`iBhd?tT-96xm6GTKj1&1dhB0l{FMIdTKfaYPkAybx!@O%Q+h=_=TC>kLV z0h)G!&O0D9KE@UipcxZTz#eZ=v4MK0t3?GAAV?7b%E3@YpsWvKc0-C6<reUq3$%oI z`2#Ym0n!c%Zg50^YyfjW5doXxc=`P|xP~YJIUdmh-2u&;pdu2%Jb+*xK`>7sm}d}7 z#4ORwU!VX*sUbkKGu=Jl*<3_KJOYO&A|f)u`cNYRG#S$knczi)=R>eYL_}DiXoN%r zXr>oBd4tgS09!<CK=@$`l-bpy0tyhMhyWFPpwK@KDH1_UXlbh4q5?7jT5`U8@C#fZ zfwY6e9yFy1k_U4@5dkXmK%AHNe}PBFK}8=oq>KX%s6)zIaKVRrWE?CF(bL@nE{nUT zfXiW&77s|(%Ui#|gH7GM%#FmfcoL9XJU2k?9B?P=0*Hm#;sFVR8Z{`RMz9tSNH<6l z+~SFVOfS_5Lt8vgAK+^7ycYQT|7DmeI27S6o&%_S<QC6Th!m&|0*V!+>7_<n`=1;P z3-}or=s3d!O3wVPw3%Vzq}2=)$hrKj@IBXeRluPSYMp^oD()GkM%z2zIT#$~Ffh=1 zlIbp_CjqP2Q74&RLOKtiT9Ag5Oiy5)hXb|5WTgt^taJdBfxua52Z)84l|aIv+=4YL zfpmi;!C479$z&`5%}TTG;>t=3c>n%?X{HR0On6pWfXYYCO0CM^s-gBiN*yf#t({1_ zLr4Q;2x@H%%Ay#96QF5L{#L}`#E*NR$^*3$03}3>!HI3)N`P8}6I;Qi4Y9$AEnr8( z2PXoRz%dPqFL1WSF*vdAE=u%*`sUDrlZ3$uPmm#~(F@9&7=sg=!A?X})skR+sL=~< zE<@&$5mogjY*jU=eGGLgXdW2E9FBLCWmFPVzBC}0FDpQ$3b=e(0AgX5FCbx15rVaR z0qF)wg3A}^;KXHaX!&Aw6Ic1-!1?$8OGX87s)Ls=*{FQv@+ANw1u6$XQHNAjV_)+D zYQKRxyge$Q$?oqL6;CujVC*>5dZ1&9%6xFb!aBh-4=hFL1kVfx@IIXBV8aGxf(Mjs z_*=0~@Jt0ei)Ir%Q^3Z<CwP?P!Ep>KhruNaohGp-gUSHR37$zHVcJaaOavJ{I>7_U zbfD4}=ZYKLlh_joPVh`9K`!60Phxj~iZO73)&OFGCwM?Ck4_(z3T&5MgEWB3Fq8rg zGJ_og(t$d)^OX%+y2V_<Rk|gy{QdvZPX?Uw;icOSR6cU)HWwmAh0-lVrJTPt6x`Sc ztvBXmU;vGN9qjN?DdTSiEnfkz)&}K9&=@47#|Rpr#lH5fdy2{#P6h_f3;a_LcDkq( zboi*`^S6SgGLemeR>B~aoh>S$1z*tl0?4o*R2n1>5dg8E>%5?4Ijly8>g(<Sn}S?w zhp6Q7w}SRhgDnP`0P|Nae=B6%mg>vrLR512TR}T|K%>wvTcp9!2?{!JLADjrtAO#3 zw}68REHw)v1zIr&^&n`OJ(LM*>p+>X1$5Bi=<Xiya(q;8XP1_Oy$mt|=H;x?JOaz? zpp7Z;NGLS?LDAFQ175TT76yeOXn+)&L=JU?sAQHx%CC5c`#~-R`RDZ%=(;};2Q<n9 zTb^0@A2JNV30~z2G7vNj0V)BY$)HCC6g<#WggU^0q6%bF_Y|<(FjT$F{SOX!P>}+1 zz)KN`>tOujE#QTHV5y&f!49HvXYM1;ML07EmR~pW36)<ZDhZ(5142|HIuH4DyQoBf zimd?5Vk<-?0cV*N0FnW>$e=wwM<!^Qwc<RkGHc!cKmT7ImH7Yv6TblK%Cj5{pxuWI zod;oyj650-X%sOqAZ-i+aUqJ1f8rP5Ej`P@;M2=$%D}+j(OIM7;n8{h6ThH~iUYsK zL67bbkU)uwg-7Q#pKc!z?W1Dg(|Phm8uQ=(FQ44~_uuf+eo!QO>|4RYz`)MH!0_TX zNUBKU|NjYK;L-R7WSvLn9u?3oK#$HXDk~U4F4_a8_pyNVeE;|7zehJj#G`xv4Um&N z_o!q*6@uy3|NK)9bhN10Gcqug@Vo}kgo7mhKY*~orguANctA2-kBS|5o-_+QF#(!3 z2U&Zp!JwFdff2^_=;h@H`@92eW#bW$Qx6*+Fg)qlc@h+cU_DJBJq$1|C`kDj7(AK} zad>o2Q30(7MUr}u3i8_)sIZww=k?A*od+FvfUG&rq5>Lke6jQ2pZ{ofcr+eLKr$5M zFC;?)(jbOHgs~f%g4Iy4bD%DT=$--&c8|tG5=0ovkJV7HbFdrQL4={Z{(>UA1>z3Y z7oY&^YyrnLXr2j_niv=uKr=N^CMdEXZfEG`=ni1{eo66S^Fv05j&rRiLEAQL!SQ?; zJgtSS)`O+>B>z;<9Fh%K#YV7-?iLl$*0$~*l_iV}44fzUrylBTQ2{NN>zJZq4pz7r zSz%|33TU@mcMDj3XN$@dMg|7ZoDCxb1EgkzN`u590w5MtMRyNa{r8KlAd5PtsF;Cm z_z#`V0y!6y#5`CdKmkWlFqnc({scA|WG*bo5W!#qR`CF=0(&rk7XEciQGqO`yM(Ne z%E16xlc&c9nlyxDUq~<<00l$y0S?ew8HfiUDZ`_ip_`+#2OM>%p6i4}<nb02PzMl{ zWT0O2=<We0vF?cig$xYfS!B?jM`%PMWJO@Ib0855Ry0Kg#N)gGnriNBQ2{Of10^-c z>c16y5NCs07~K$in_n>UPXX;gP=G8Glm`WM%WQDjgU@LaFw4QVLedz*X)-WbkYhm2 zERYM)oCaFt1ag`<$i~(~c$@}WF4fVa!Vgl|;tF;e$OquPC~}#Q8V(c-yFh{1dA;*k z=M)vtDyhyxF5N9))&_<bYk&Vil=)9sp=Cb%zd!$9mj3$x9~3w6g1Fnk0bUq`*Ce%o zlt7{zS<!I^&^clZFT){IDWL2La>C0%$et${A6g`UrOZH^oVsCUhyp0pbhdyC-Qz7P zps<8C)<6LVVuIWSDXFoSA&`|%P2kB|WVMLQ!wOnF1L=f<GCasoP$LH98U}EI0CHw` z57=_>mLQNSXkr63jlqQtqWA!n5ul0+R6K#!96=j#M<LUCARmBCemxQD9S{dJqs|E3 zV^aoc#DVPKh7?JN3<@$DG)n|3pg#TwO%#Dx$h(!mDj?dRE^Y=Z1ceq#Qx2*SWDR~3 z!7897B_s@Rm<Uq%QuIG`j;cKkd$I#<B!VP65NiX&3$vd{$!;4g*-iNU=l{zcXsJdC z5`>`WfQA~Vpa(HuvV*2V;i+Z<#i`~$c+>=6s`&>RU!YB@`3o`{()6DO34)Q5Y9dpx zry9_bD@dvVu{JQgVEB%dYIeg?P1Mgn|6g|ggcMew0?&ih0Mzz^79hykAJW`ty$GrX zK!xmgaD;>AdO;=UOHP#Z1TzFwZ-IKspd<%r$$+vEsK5Xj4Uz@dX3Y;7yG73(=3r=k z#Hb0jp|eK?w4@AV5oj@0sRN{_gz4~L0c)9$rUkUl1f&JDY^0PI+{FZCGLY?{&NYaJ zxB|4p8{`V~rU~*WD`*J`e`^m1sDkT;ln|g&3bb4avW%h;CI#9p+})!BG8EQ`0?oE} zOi{TH4y0mag`F)bpq+`JEnuM4yDcgupqdOK2x&7xr9t8l0T2tc^Z}%>2do~^hyqR9 z@VEN#fLsX)BS<u6K%)^9V$gyU<Y8#R31VW#fX^Y+7y!*;gM#xqD0^X#0a-LHpjmN{ z7SL2MGzMT<5qmm-RpmY4RtECW!4wt9)a-U{kl#Q(eMSc8U{H_BS#ZFvfk}a48QQ*t z#lQ*B81@vE<6wpJkQIU!@PL965~rYHBnS_*ClnIkAQos<C8)9huWhSAsOaud0r3zq za13li3@^x)h#2VZ*aNz+vqvQa+;M|d&l{LQol96l4n~95Lxbw%ZixEMQwM*^AABXv zc=6y*)`Jh3J9|`I7{MI@P+)>04=o;{dO?ah&vo+{bWZ`>+j;%qfBA#&r5!sjI5Pfl zY<vJpmM)ry96LZ;=0P*7E*&Skr>KBfmtS>G0oT}|bOAPR3fR)-LoA)wx<w4S_kfLb z?EK+)@Q1wP!57kwoxfZe4>>kIf|z>Uq2njm5Kz^L-2u%H9yor#;nI1a^IC5R+r!Q& z;D%~9glfISKOdC2_JhK+KNy^%p{WBBD<Ho^lL15kWD(v})%<|5o40H~2Sf8iMomz! zqq9W?G}+uSMFlkXT51j+nF1LDRvCb*aswm<tp}xkjKT!u7_^AMSD35=d8*X~<|$Aq z2rEq1f~72BQXqrjjU-5q3^Ya6F-2uLSfMtuLPSyqd4l4kybNr^%b}N)K{I@iqzqz# zQz0lE2RbQ(C+hkwz~M=aq`Z0$N>T<-(@jwUP1B(#<yKUc6Cg>spBhQI51dFeVV*)x z%DrGIIhYhE3eb`=Xr`iLib^|Jp#ZW%DktSOunp&+eZZGlpTJ|VpnfqZ*S-w+h}7ok z-f;rd{_Pc=e2s&_@EhpDIffVO-~RdE*`fm40No9tS`Rq#PdVVy(W27Iz`#)A{F=e= zlHmcz&VwGkyph*HOE;k7d5y=wefQ4q9-ZfQf*KvDV_sL^{`vo!;pNG1$WCYgY3cSz zX+6n51=JC00a<bL^;~F=8SH4Yk6=%Lnj0WfUp9V6;U8}SH$lKsY2Ois6R3(nWj!pT zcyxoSA`eh?N2n@l09gcSPJxcD0J#uk3{)>^RS{@*3zC09EO7n>84ov)+^PuVFk-7B za7<4DM>wP^@=>Yh?{|hxMS<J{sdhlD?iLl0*$@E`>v)R_sMP=p1<=Z2XxM;Oi9i|= z-Mr6sAZ1<`m5PoKm2yy57hzO4?=e(01sx$O`Osz*$aIjYpp#)hG-}9qL#&54P7n=Q z@Wd0`7oesKq~h^W$>ndg2Kx@QY9C=FsI>|5253z+BprY}4DQE6Tc)6fZg&qj0KhXb zARVA}`q1_nXeK6yzx5Y*1mQKqOUJL^Nexg#Xy#7Pc>edF%?B7e4|E>$IQWdY^FwEg z3TO*1G^{}5^WZeJ2Q1q8-?8(D596_JI~Q2v$VDZ?rSrth?>`+oPdaK|?DSDdap^pH z`FVGUN(Sez&M7J&_ky;;fLmT$RFF(-KF)$^piAe8&JdM^nJ(QvDk+ZNe>!SjgqfEB zHV+iPFF{i?(69%E)yw0MSsajEAU^1TDcFd_8px77P-t;O+V_Y?KRB#<R6uzcGAsZJ zOq78JunLH_?jEpTAPPaQz@`vnO*dW>!73m<4E!d76u$I<E%jp!!`8P9QHcR{EL~J$ zHZZ(c^#Z9^c>&g|WO@DP|I5P9;KB*iG6#jv%djsfeCU7?SjzefqOd~kfMV~pfr<r4 zsm0LE0qzKPZAI?6_^3oUbd;!sms&yE<1n?*USlGf;sB6he`q!XMGVMM(5MDw1ZdQP zn20<9iZ<llN{EUdbfOa^1IuE*(1}h^<^}0TEgC>!1C0=H_5!Jb_B4^R7bwp{dm|uo zLD|a(RB(XKLxBV`ED?eyI$<2Bvq7GD37zN!SpeE$2I+W$cu;deXN-W#De%x2s76Og zXh;r#Iu}QZgen9Z+ykB~fGET;5v&4UWPw}^QHYVoK?)ILWYxjgQ=|tZMS5&tcp>o& zDMem`rO2WefBwHr{6uUy1Zuc|${|p~>BdtIxuBIp4zO~=1zc``jOm7qQKOlLryQ~Y zmqQMy<&X{7JWw0|<x5CQ24prkYEMFv0E`dq7=oqNea4orK*b^Md<Bw4jL8^nLd{ne zpsZpJ%U3Y9(0s*-rq}?aSfAp2rH3V7>Eg{-ps;`@ROEaG3O)k)N(Y*+ZbJeYlrTXd zNlCr}IR=urLH1K4U*RzklwA-_0g&?{R^!fBpt2M^VgzplyKO);f>%Al)d+S`(MD|n z^KT%&1$_AtxCJ~Lr4f$W0uE8pD!KD|L3ayy)PX>|0Td!=ISjL!?{ra7LCdcSu>7h5 z&R-y7NY1Y^;QXq9nqOtW=7A#oB?}}efy@RarI$}o`N;Y8<R?n=Yu7r|{3-#;g5o&x zYa*Ir0gz&Tit{TUmi)>~qx{MP&9C1eJtI(p2L<wo%CGm1Bl7F7d${u}H)?)eew_IH zs(c@uU$=cgN}t{6`IQTlU%~5KV1!5G8_*C1XuS()00Ok$1=Rlst!@F)`&dEiUD)q{ zmO$)L0f~5Y?@uTO4UpETRCsiQ2*^qo7nS@HPEcFUMWy2Z1JL@OlPgiy_kcAw9<l&w z?%o11tMM3!3tGj(&<S4OGj%0+eUAlrl}n9E0&IOx1ay5*f=6eGN&s|yPXK7uk_Tvg zPuu-J|2-OyfP8WoWVPQ)4hG1&pile)J}M3`Qt$ow4;Co+#4q5YQotX6@)Q4|6AWn{ z{B<Wf4>mt!?Ceni^=m;bGEgfJG)W2(0rgTC7#O}^g3YUxsMwdvgC<l!<uce;tRP=_ zbRK_^cmL1-m&LH@!Uiu$QwXf4dkWOchXQh;M<ha)U>S5Ca@@fL>MF9RK=!7EfEFzw zT}iQl;l<NCpeB<?H?IkjnfpNbsPlVgiHc6=HJ5G|6`c(XFLvJn`BZ?_dj$srWNQ2( z=$u558$25if*k4tb&4k>GQf%)J1>KlSBb3v2fqpf=rW%3-~dzL*Es0YdC#Zw7AUkO zd^#__khu5f|I1!b7X-GN>I1A1w-zJ?+K2>8U!jiSj$w|Wjv>LIlqL^KID1qkfaxtN z9U!`U518J^21;pjZ$eWVSZ4nNP-5xaqhcTjT2Tb0A?d9}C0+)xvI?Z&KXhdk*mUHT zRdF&54E(K^z<phCoXImV9BZ&Bfv&6qyP}s@5}f8bz*aUMnIX@>5bYS_80#447#|Bx zR6m!Yre}~TO(0XiYpuYhG#=Ukaxo}*gFMi948%oB-rJUe!xt18pd1$<0}2n&3bqy% z50E24i`b^9K!mrbIDn<Efuaw>>`}3h0maP87gO*2`TsKXKP0ciJ&e49!5m~>3-AB` z|3R6u1rp|<Q0aDXfURJ759uFg$TKh)fD&MfN{&1v@preVfJ8yZxypl=3xFg#TU31H z!BZRoP-URQVxdf^4pjyQhK9}-6&*PShOQo!Y<UKT125TIPV%?%fR}J|L+pSl(|{<; zM=0Y2EA!~xqv9dYz_1TA76DG7FM@7@vq0|_6$g3HF=PU~6-&XnyhTMp4lDp;#2x&^ zFW3TB1}?|IQE@y%fPrBr$gwXtZvXjz9O6ORdpj1kED02XxV;?(R))pf(Kpb&-MEAl zZ%=@GTksYrZ?%APn+NL*0Z`g&fo3fj&G3m|0910qf>Hp^;FVg!!H~wUcY=R9Xa>j( zl)TzcfR~=Z@)Q|{9$gGJ^dQ7gQ?Q|%!9f8pU_r6Rnn0>+b07voU26h1cormSfd&Z3 zGgKU6DAcvaU_)D>i^4!7%^tm5z}W^7AfV9qfG!XNl~EuW2#qtO1tBRDq!L`Fu$~A5 z#nf>~+V#2d=l_m?6HE-9#|;la7S4iIH6A+g38d`WF3?2b3)joAR0GP!pyg>0TOqOk ziC>_hBM+2k1mW=uV_;bC@DXG#)cUj6VdjD|A<T^+^CrN|lYnJL2m_uGAy#{^Rv?>K z3pdXK6eaMeS>Xg)vI<#PCjc(|TT~!4BHTD&7C{(-Eh?yHY(Tb1<T@<e4tn&8Uh8KE zclRMd2QH;SB?Tyqe4uXd?0o2W+!3?@mcj8jsG?=?>E)f*&&~i2eaFs2KE1LhSwTUw zM@0i%P=EIUEjyk9<xNph0GHL5Ku3N_fSD(KJ8!;Nef7`(m$QF@hdDqc9HNK?6{^iA zKoc4u*2_zf{r8{}7h3RwI$H7!3=lOT@(c_wuR_$|HK!4BOf+6|S|Eo!_x3;v&E6@{ zWDKdIKk*B+!IKLlRs~vAAPjhNfhhH8JO)Yf$2dSuNl27!fkvI#Cw>7oaM28jF@Y8p z2m_u*Ok*9PO(t+^fV2!e8jpjTOpf4s6jW^r$S^RtbRGv;z##)W^oRk(Is|IlfW$z7 z{zDp6S6+170a~+t9M-s+qXN?I(;1_JWhc%Y6_7C?N%+F{<1Q)&plv!H-E&kxCVF(n zs8}3#QE>p(OdA+pcwheW|7GxC2|rZ_BEs*3G(7xXNW;SK0f+?-KadzG{BGa~Kah4% z5Md2JkTD=htl<YT5k364Fa7!dQV?<q1fKMF0(bEOF5wVOE>J!1(M)FgduvBT_+3DR z-w9BtfwzGj0I|T~2NDB?-wqt%2ht7-BCO#DG6p1xHT*y(qKDt<3xEE<Z2Spcp*Zm2 zx7C)2@Y{e0zZIZR1Bc%N5DOfBATdz*&A<_UAnl+a!Ww=cV?dHv!w+O4diZsp|MUN) z{SQb>8dM*2chtONVCd`tH(_BloCj;cJ5X8QqQU^JjUY65D)xsg14B1NJ(g8LpeF9| z78L_f(-YFjHi0rhhC}s&6v0*nftt?vR|QQ01t54;5QqhyiUk=DH*bmx$ULM~K_DZE zSQP|vSoajL$I%>sx+>^#=M<GMAWuUm$W&~P%4BH<2L66yG0-Y2!%LvX5Xe>C5WhD+ zU<Vxr1nEtHEP@DtSfCZ;ppf@yJjme(3gz>V>HUQBhz4tS#}-JeypaWU1!0Ye70_6D z02PJM;8?i<a$t`NNIjNVxgrbh$Y?;L0(5r+lnDw&s9umFSge4}!Mj$g2OcXR7C2Tw z#>35<q5?7xDONy65)msppfH;P@d&B|P-6wOR_g-D(^FJH6f9P{!Ld>*iij1Et3am% zf!Y$_rE^dLkVTN$au5qCRx(_`vGU^mN#qE51Bs9m(4Ys$AnOBYgdBj1LTGS=>;So~ zM+KxFON4BJM2G@37C`r8K$)Nbgz5z;f<*|}9MteYTRhhSj}Q<G93dd%;pR<I0hxys zAs{1(h!7P>gn(2N%qAN^o}QutqF@oy433aJB8Uh9xvING1w=t|1&Gz%q5`rAA^>6` zMTmqmI6@%V#PSSstf;&P7hWr%;SY%wiT5D&Eh-D3q7WJ!D>Fb2>`?)!#}X@3Ah99= zjf&$fDl$+eC={W3L5g6p0yYP4tkl3`1;hf!3dnf4c~ewC<{`xj$Veh$1>~^qDG-mK zIsh}9OaOU$iVBE=#Y#0eR{jYiVg=-??iLjg1<4g4RyQOBAOavOkz(ZlBvxLWIEEY{ zF^~x9fCfDzLIR)>(f}2O(BKHE0J*J41*9HJgp@!cL;xBKphIt<Oi%zq^@0?^A_Qy> zYIvY!lM;A@fLP!N0T~ZBZ;A@YJfsK#8A(Khh(NLlNHyMU0xGu(K%SnW0-|6MQVfm| zSEL95xvING1w=u@AH?czQ2|*55dg7}B1FIyl<d#HFh7bMAyXg`k^v2RNQ87iBP0PT z3ZcOf5&?2sj|xaVmIw)fL<k2o7LK>5@IaZM0EFrVDS|}^*c`kOk^_$r5DOe3AmicY zO;G`vhZG?oBZ-I*ki)vCKs<u#0Ms1vsB?-+0Lar23Kk*R;0WmvLgWwzPz<-IfE?HS zfVmUG>V|{>L;!3hM7*;_1*#q-*x91O0?`c;geV5Fx*_5a0gwu$=-dH`PDrWed>lDW z&p_hT0~&jfI6VN3QwOLhga*f{1;~3nDj@Y(;?x8Zr$6K%gQ3S;RQ^Djpg4f)1u25X zDcBs;AVte$DeyQ2vA}T(G9GT;6cvzpNO1}>l8873IjnmM#3QH<K#fySCNltedWs5& zg2ibvI8G-BBH|R}s_qsQ5CzFoAXYad1Rw$+E0JR5g*zmZT{?&yAuJ!j)sO}>=phmE z0~#R;P*DgCjt~iu+j>+$>aj$K2qZ#2Kw|-PtOb+_3P7k{kRn)wfXzV-53~r0fkz04 z1&$Dq@o@8|sDR8viV%>IL`29JIR=LADG-mKIsh|51VEmiq5`5|5fTlKkm~}72m!eY zbR?}DYy&S;0Avv)LO?8}2$ArDM2O=7<Os2WL<k2o=phke@ByT>MTG$>3ZcOf@<RsP zsR60S5+Pq?z|H3u&{#O$qVfjH1O*^eFGvwALcr#rh6h@Nguo*N!~#bM$auJUQ&d3a zAw>wtNFpKx<go53Dj?N(tD$F|Q&c{HJPn~>5fTiJ5ILj>0lBKXMFm7PKd|qFu(}~3 z01*ILi4-9MKA=!OzY{!{+I<K)R!ShT@&Yt~2pxFzV9kKW$^)n<ga*gT4UhwSR6y#n z#L5*&tUQ251?ciTC=(QlP`w~Uuvh_`gEv-u;IRT?fnx<^Jlwn~Dj@TaVg+O*5wY?F zl1)IW@x}_Mt9b$B=_x883KlEg;8;oEM`RO_tGZiMKoq3O3}SV+sDLbj2!L2fu~LED z)%=Q*SJpsc<pebRA$es1G*%8kMIkgeR(60K*rNhck0n;NKw{+vG%7%sfI^v|P=x9Q zDT2ic*c`mE;sTEq5DOeDAmicYO;G`vhZHLyBZ-KWJCIlbsm2>CpxR^u$kS6)Kol%i zoWZfO2)v*lG5`Q_73h3ic;N*V09gbnyg)3ZSXmJO&MPlkb|Yt#Cy)qP0S$Ucgxr8e z$O5P+ga${*43OJ;R6y#nM936Kgj|5e0_dDKC=(QbP`w~Uum}O0gEvBK;1L31fg=QD zJlwn~Dj@TaA_Qb45fO3)5+NYfcq0T<cufF#dWs5&f<=fmI6@$sg&+|Eauw*@I&g%5 z3NNSt$RbGL1!5sZ$bukngg^?fb9<3vMdl;8j_-hmKO|NJz_TtbDh*Ik2n~*v3XlVP zR6y#n#7YSyR!%^p0(909lnDw&s9umFSge4}!5b?k@K^z{z_9`{9&X+g6_9yIu>vxZ zh*&uTi4~A)g0WHn^7IrH5Cw}BV{oi!gLl?IV&wqHz!nvd;~<d$Vs*EufGmOtfLNe2 zM&-cw97ENE1Up+)jzDyS1R;t+EYQ8n@JI%!K#Eh2P)MADmt6cp%4r_mJ2*-h7+!qa z_UHf33CEcjI?o%P1O*o)ZY{urJI5eXjE}Z~rvf`+6LYX3Iq=v7bjlMlND7nDcnuo5 z>wylT8hUgdhcx9Yc7qO>L2@6sBi($E12hT@8X!fIN&uTNMFk>k<k5K^bYRU3Q*<N1 ztx~8FkU_y7$i#Q!!5L5^Ai{7XKJ5bg2VM(<6F$@*pz%ONFkAo|(4zto1^WYBwQoQ( z0UXRw6CmSYu#n(*0|^O;DA)vWT;xGaz?en`PXR+s0u6<M+ybEy)1hdKAm9^oFgqPU zc6LJNaani$`M(1k!Jz5T<EdDuLqW55c&9`AQ`s57ra-1c4Y@(dYLAMBG>C>zhk|)i zR20C&`H<;QFcUl-I%mh9|1W#)fTu%2qtv)ok$wO*l_4X^pjD)x=<nX60un`CMG7*b zvqeP&l=G1{p!BE+fQ3MNP^N&T!OK6v1JqMgAfwP*z^MvqEXMM0kjbEJC{t8;q!}1y zg0{MVjC4fWi~^AY8w@h><@Xn0e}Tq%arx^E#9yEhSdhO!NveB~3P=>yUm!C;9c-{C zkT%xzsIY*AApYWzW?%qY4>Aulk`JCn-2zUjP-8Lt1u_}pF9w*uKt`ha3nT?L7&J2c za_dX5zd!?^xcs#S;xEuZCdgkqK-@hlAW>9*fz0S^QTZbUnvz8E*AK7|#9tt3u=ODG zx~G5#M$wGL@E6Erh`+u_LE{T#q$5gvfuz6&g9cb%=Dh;@3pC(}%U?Ypk3a?mK@I?q zfbLNNiK6-oWJYI;$_KC~Q2g}<EClfvNE&QCcxZ77cyJZXSPXxGOosUD1<YR{BT@YY zk^&nH8lrru^BU|g&`2CEf8{{@1sYKUIRHG6xJLyfis~<r8J#UEPr#l)@z(>e5X4^~ zX|VMm^SY;iN9fRu#qbx%WQf1+!2AU=64hTIDX_tyk-nEtA^rjlf8p|%55!-fAu5mq zz~gayR6wGr{sNiN*`jg-><JWqT>%S0`~{K*TMsg?dkT1{56xH%e}PPf`0E19Umzn< z{RNT&8w?s7dpYk7IKDtbK)C#+1MwGVa0cW6@R-yd6_6;Zzd&Ymwy2x|djiE@C%{4w ze}SaI)`QIJo&p~3LNgY_Um%kq{yGBl7syCde}SaH27?BTUe0<8_7`X*1(&~gApQc4 zaey2E9@^QX0un{_7sw3IMN42$p!jPKSP0^;15(iP5M*BW6cxxw$rcqPV=?>%G8y8p z9WZ}^j70SpNDAyPkclsY-+}!F8e+iZuQ#A(AY`Zj<S+0D$sQGuD5}3eW^}fwYyo=$ z#a|o1LJ)s}q`}sM1|hnqfCpdDjK%O5$YhAW*1-G)G7{BaAStlHpdpNxJnzB&0(H`H z`RfeCU!cx5$N}InhCM1EQB;3{%mCd%0`>%ozm|Z7ApTkbi!YFQ-BZAwcQj)$`~@-@ z;;#iTe}Rld^%qDA>@UzDz{^t*e}THWxcs#S;xABl732VLFMW>+NEFpyATvM*(t<sK z;;$KCA&9@`!2AU=uX~CLq|>`a1<6<pe}PPf_-hKxUmzn<{RNT&`wL{^%Z?A=_yYA7 zarvtU;xACI59BX!XLpYZNEFpyATvN`bb&pA;;$aC5X4^-VEzJ`*F8lA(s$gVf@Ca) zzd$BK{M7;T7syCde}SaH{sNi!((xnMU!eXLE`Q}f`~~Vaf&2yTF78nQiK6-oWJYI; zN(<N%DE?{y3qkw^k_KB3G7ogLEO^n!78N98G5iHG8RD-Rn7=?qqWTLY1vVJeb$j_A zy15F}yXx-fc?0fFgW8#(_B}|@gS7$NYR5No3sR4zI}KU^avZV<8Z?V>yhX(YX<iE4 zZs`Fp6M=Q7!RFxYPFH|J2)yhB!~%DxVXHvF=1l>cht!=08A(KU8ssogV-?i5MRfq` zkognr%TCIrK+8_}zy~-%y3-(6fo{11FL2-o?OlgVHGwQ@egF~xv5<O|4A!6?%lVzm zpgjmL*B}pa9D&3NsFjR}l^xJnflS-Bs6c4QAP1-d>w&D+z&s)dv;yQfWT7fFR&0=B z1>EZD0WTAQ#R|w?v@r&>aq|LrtbkbHSb?pB1)DboY#vgqfQ%#}RzMB|RV}g%3}_C( zj1|y&lkO=f%TDsau@b<J7@-BZsvF{WNF+c7Ko&s+KrEzKS%DlY!K;yD<qsrQKy7?P ztbBmR3S{oKMFm2GV+GvqBeeDe)ER`v3aI-AVuB2Z>IJP8fyD~QUbH|#i<Jy`tbkbH zSOFOiH;>%4Cm@G)Pk}6=!IxK_cS07ObVDfE&{H}%RwjYhtV8k&$W`zqTJ`8lv_JwN zE0JO)zy^|6zylV0S0cxX$y;y<2I`@JJPIknG~R;Lx2Qm7Utu&jR=}-RLTgVzU0G06 zpe@mY>IJP8fyD~QUi`6=0FM<A3mhvT<3WxEn@8^26Hu?1n6)RMSOG0M=|)|95)Y1* zE8y)3kXQk^3cf@O6bVoPkVVZ8Kms5ZQmp(yjurJ4h*<GpjQ~f$aqw1}7d}hDqg<UW zDv-%n*yvC~G-yO>3q%w)N_2lYvN;ow&0&F?18Pfy0uU105wQ?+z@jj7rlXj10@<8B zOJMGS%ss-~QxFa@2P_IR#~;}o=uoBiBCr7%LuQa=z>pmTuwh6DP5h7<1IQ6D%P%d1 z?I6Ir^WyYE6!(BuJ%Aez5RZX&O2C&g6CAMv52Zs#?1GoU%mvkMumA^{cLVp*Xk?{m zJ1>~w=7EY~Wb-b-%!4e>M_#3mtki=QWrM}$rLf=ujVPAJv18j{0g3>;BZ{_hC>tzh zf{)_cqY@wmDnno+iV)ru6%Q%U0)Oy`q63%-9#QmK^5_3cs}tZBBd7s_tHlT!JA^h8 zKrKda3kJ`Kq7A6%Kpj!E01H7{j38-n!wwYW-BZAgEi_{>T8tpqL0XI^uoff8NYoZ1 zND6E)sLAqD<~!J5peh`fzd&P$&}tUs0B{=s&xoP{*b^u%VI8m##9tt3u=Sv*@1CLp zsiL>2AQ_9{FOcgX{?dT?3uGj!zd%x8gFz;~yan+Ws9we8FVNT_w4ws}3tTJX8BtUL zdjiE@3Sc3Kzd+Jp>%sLOVgwk;SPXxGTnF)&49s63BT@YYk^&nHsy1KF_yLYDP|bwP zU!bu=Xi*RH7q}k6GomN~_5_N*M8HB2e}SaI)`KgIDJqa^Vv7oru^9dWxenql0hqr) zMxy!)Bn37YWa7&(=y|lDLZ85bdC*WjsCa?U;Cdf4^Fr=|d8l4c_Xbw)gYpsndY=ar zLg1bYhy||qLGcc97T7#;doG|jCZ^{CTE&26!8|v(-lu56yaVzo2K@zy`kKIkdC)`y z$omi)94ny7sBS_%7f`ao(i(&61$A#=u>vXu@W%=ZJXSy~aIAog2RRFD9=SaiPzoic z=K@;AfTicc42~6w7R)bzE|}jp3pqmYE|}i|U4jLn!4U#lrQJ=a(*l|ifkp^u4g<sl z1t3%}X`Plok_-%xBmO`vaD;%2hnq)krv>CNVmd9LYyw&-kJf4VEeY!2Q?y_{0J1b2 zGT+QG7dck&E|>?k3P9e6(BN1B_4Pq_HGulXm>qmjf8;o1eh3s5Xba|{dO?a{*#uPh z;?E{u;IRT?fnx<UT?BF#*gSGOEug6(Vmd9LY=WiJ@);Z}6fKzl0g06t)2Aax2;K$r zpmqny=MWkkA)szP=x{yk5dvDfejKuB92y~@sUr{*WDHa<ND(YTK=V-eBjgP{LO?8V zgn;I`;N}g`g8A3r2%%`fya!~3^$WIX$Pt2f!91wJ1oAnA21f{}r{7KHf_bQ3&;oZ@ zgn%Z{@JGlKc!Yph;0OUt(1Dx<HgA9y%s&Q4$N(;w{{V?jNU0|@136CdE|>>37D0gr zp}}zq8ZGE1bHO}RFKB@~EKWg_hxp_44m?glEO4BHCMQA80-HBL3+8Ww<CLNW^9mlI z&g}UY3nw8*2;K$r;L()s7Vx|!^!#7Yz(_Zl3+ADEK?~er5dxa^#2+D7;1L31fg=Po zs|tz`uz3TtVE!^VLMU1=9{^o2FE9}~Lhvq_2hHDtd=8<(IRvyyySoRn&K$E{09w0# z95Vk4s(a8D%tQ5p6u}|{G+m27Le9V=1jGVI2xvMO<Sejx1GHfNG&n*iS}^Z{ykI_R zGIFfoT`&)teFk|SLW5%kv`V|1%mwpMy`Tl|uvh_2Hsg<#Bk))OvB0qcn#=|{3vAv1 zEto$Hjuna)%x^&MY92w!D|i>ogC@B_-iOfOSOG272K8(}XLexb70}xC<1H$nxpHV; z0nKiMm>^@IdO?a{u>zVZ#~&+u;IRT?fnx<^JlwniS}?yG94izpn129WFdy85oK5g9 zm<P?ygM1F5!4U#lr48!TV2=>c+V$ftDxgVzP%NM=n1|{GDS|}^XpSF$glvIF2#5ua z5Rma8XMxQdpat`r!4X2yf_Z^paP<yZFh92sIacs4m<O#b0C^umgJUHDw5Wm11@ln7 zpat%*SOKk2z#l7X;IRT?fnx=<0s`bLuz3TtV16|?Rt9jvyaV!r`IAUF4YpwZXglEr z^Bdd2GdP_s;AO_3Fa?dCda#1WCZLPEL8Flf8Q6k(h$wiOIOw3r7w$c13+BNcY3OQq z$cSDKY_&UV!8}A5zS^Ay-3V~26lw%$^bKil5w>6+A`Ca;U^m!5@CEbWgb(!xWE2ZB z=jFi)TQCn11^WZMV7?yB1aL4zO#lsGAwmMSU>+h0HUT{UYzr{~WA+a`a|bmEGIrCV z0-=eY{X<zWf29j~!TdBctkYYdA#S|WTXAMs7tAk^1Qi*u=`9FvipmU0`1IBUFcUnz zmEHO0|I6sL;AsWWz&EaG1?cn^WSD!43MdIdSGJ=rhyt0>*`m?|%K50%TOD8_$g~1T z8a(<BGOrskU4mpR#<T*+WXQBaizIXbHpoavlm*xzDX_typ>#49%!AS-bY(lLzre%K zEh-IQPoPYf)PRK`{sKvZtp^P}gGTb93+9oG#qbx%WQe~iVEzIbiRv$q6xd+UU^E#E z=0OR)8_)Ds3D^@T{we?qLHq@h23rprzeQRwk7O){zYx<~IWT{Lj70SpND6E)XiS%k z1@qt$OFYwC8DLMK_$vi01o0P08f-mi1hgBmU>?a>41a+}SRwJ10P`2fNK}7;q`(G) zMn%b3Fb^I`#Is;N2J8tGe?@?WApQbLgRKV*BqA-CM=}<}U!Z|Xh`&N${sI|^>MxKK z*kI78Cm9Ro!Q*Fm7R(2LJ%QpcAFvR_Um$6)^`P-Mqy_Ux#$xyjG~NgCmj}#WAR|%z z1(E_AOy+`l@E8)F1@kUoPoVhA0W1XZ7f2dxJ!nh{X~8^_u^9dWjd?-*WdrjU$VgOw zfuz6&leu6XJQRax!Mp|76Da;N0SiI=1(F6^4;tD*S}>1fEQY^8Lq`yQ8NmDnG7{Ba zAStlHWG<Kok7(doFs}pl1d6{jz(NpzfuzCKgGNX|bA#YDIa^eajK%O5Xaol0FBO=- zKt`ha3nT?Ln9K$9;4uL_3+5HTo<Q-J3|I)_FOW3Yde9gH(t>#;V=?>%8pDA2O9JLE zkddhV0!e`lCUe0&xR;J+!Mq6A6Da-?01H9<1(F6^59*~OEtp3#7Q<hlQ2>a)cwqhl z8HwsIkQCTp(2xQd3+BO{Q#=diIl!Jk@fQnN2;wi0G}wAjXBTO~Jd&{({sMKTA^u{3 z`3qzus=q)|V1vnAFc0qD;aM>MM*?$$9#{zCFOW3YdQf)}X~8^_u^9dWbw?ro`XT{s zAO4VFU_kX3ND6E)sSD;oEdo&g0YZbj)1Wy+g3C@o>$jkT9H13fASTEds9w^RoqPa= z5O~=Mhz0IWgN%opNA9u{ki$TYRWcXMzn1{br(Zx?nhkOlbS)fYB{oz5WD!IF#6s#4 zS|BgY-cgG@$bomkJg7Yb@;-zH#|miTkl?Zt(CRZ#R2**suRsGaLB>G!lD6#R1w2+j zEO4xVjE9>??y?imLN-v<0-A@$w=^5HjRDKDljq=A5kiU;kgK38`5=)16#!WT5dg7} zV&wsHtSHqW#|qvB^Ptuk$omi)94nxyKhOv*$TL_*Xd&k@fjWbrs5ssN-a!pwf{cOc zC2j4=19+@}Sm0Oz84ov)+_fj5ekCz$Pe4nvv8+A04~~@(N*2s_ATQ1CsX~qwybI<* zZAp;#Av8EvK=Xj0VOs350$NsbyhR1nl?6ow+JbqgUXUW#fFP)6gnvNr20T_kEO4xV zj0ZUjY#zC5Pe8q5V%DC3Vg<|Elk4DEnMTQic>`O}n9KQ{;9;b16^K~zKwU5|T?QWI z0<GhQuF!&v+RcD2m<P3tK_^*&w(-1Jhhh%Og89p(FmoVthOn`*3D5=eU{Tmw^E4E5 zP!`O0!_5J;T|p56anB0STJ>&7ix%!4Ib?I73+APY!4BzV{ptm}T?l^0`hf^gfE?S& z4%!3tv>djY8}DNGdqsc#gDw{U4Fe&Z@*xjo((xAokf4JO&VdhpCuzxhLOH~xkQ+Z> z=7W~JGvq@rFMu3Ek9-<EsOEwxMO*SN05=a*kiry!%=<yslJ^5;us{MWd2iCkIwlAT z8oXnIUiw&<yq}N&1tM%r5W<_HazFw;Cb$F41dj=Zm;U+x(tReeV}g(%g0JBQjTEAe zoq<N~K%0<3nICmba06Hf(&pPD0o_~;G7o9VJGiL?SxJx4<^!1wY4fdtwfR6sqPF=! zQs6cpsL}B<3bHgDH0m}J$JMlGOWwh)0z6CJSAac%(nenb7J~Q-Bn`G6luD46ydxQl z;V+QuApTka^B2fSRDXe_zy^b=?UxderQx7aH(dTgTk;OBSMiJq&H;M@#a}bPLJ)s} zq`}sM>Q$sMTqI*L`~`9y#9vcj{sI|^>MxKK*kDjy`|=vZU!b}Lm%q@Kyn|~JJY#|r zz@9+yR}WYS;xCXi*m_XS1ZoyT$8eF1#qbx%br64b!2AU=64hTIDX_ty+Un&L;+MQb z=2}|7$F4w^>wpK8dmu-vW45P2-3r`i#6$Ih6v1k4P(H$6bGLv(2;BDovA{JqDBeM( z9@so``yQY;1_d&qz6WS6FP0_m&ET4wosu)+FZhB=M(7#wHid|qo4}Iy9nkt4LWA2= z;NfsGm%KyulGgXAfyWAn1&$R^ss+Ug*gSIk9-tITOy2{vmKRIkqZ%A5o|K#sZvb8L zemWaDLhvqmhfK)9C+0v62~cmHV7CJ_rvYu?f@UE=Oi%zq^^(@@D1k=^hy{)iknwQy z$nAE397asH1C&j$bUTW{5i)_2GvXhBu2AZPW)smo<XFMG<Q-IfgS-!+!Px}VTPN7< z0L{pNq5^HnJ5(=e-Hsf1tbkbHSOFOiau(P;a=RU%$sJ<49iVK2rQ4AWj+IMDN0NhF z1zML0K52ll6SD9h-x={8&?WCnGLRz#?~->=y94BN2n~)9P)D8ICGSwZq%C<*fkz04 z1&$EVOclskVDkoO$$K(5LL`wQ1mr4`&WOJOEw_Zzks}1}l6O#p3FLDK4UP~{AD!GK z?@+y@EqRZDM+k@oju6lk8^~E;^9E?ido(yg5(e&!cnvqC#({h$a-8B_@(yY&f&vdh zgX0v`vnO}SJ5(=eOWs4^aSCFA;}kT#2yzzKya8JB9t@7t6iUvBkAN<DUzdy=A$XU( zgU3Ta2hoGikp)KxXuyNqCGSwZq%C>(fkz041&$EVyeKF_z~&9ml6P-#gset7k{skJ zlFo?lfG&BLO+t<kyi498i>g~xAT&5az_W~GE_sLQC2h&O3p_$VEO3N?CUij&0yb}e zmb^QIBjgj(Y2+YRk#t6U1M-sh^c3V+!Mo%gvZ%TRe3BTns|lVXC3DF;R4-{u-fiHq z0%Cz<1vDKEiWRVV1GMDb8XPMoNU;KP6-j5rEBGVTCKpli3f?8}kd@Uf;B(NRu>zjq zCG(7Us9w^Ryqmyd1;hf!3TQGN6f0o!258B<F*sJrC^;iuA`l!aFA`#rvkBfM?~p~+ zE#L#(pb-L|nkMs%c&J{|mb~l0BLu_(M+j&Y04PGh<_*x2cWrQl>_s|_9ONpJ&WQIw zUh=*w9ywO<E_vSpUWL=50-?cG$_CI`=438;hw3G5$-4?XRzNIptbo=qfMNw~-T*Cm zR|dz*e;&l~Y0yL6L5_o*@(yCr?u_^f<R$Odka8Mq$@`@U!b{%wML=e7czZQDz|jkd zY1rHsczrwQBHwe6Evosk;C1c2ym27q-BX}z*bjl%uy<bf=sX8L?A-&R4AcPz4fQr2 z1UE0CYu7=MhBPG(Te}VshOb>`1sQP(6v>d;GjIxqt`~=m-a=$xYu6#7;Fag#wd;pt zz+Qu|eg}sa)CAA~E5dBp+I5I1*aYyTV`B^=qj<1_r{AFZAtRJ6DiGRYALth9UEr1f zzENOXNt#jvpNa=PMT$2Dc^Uh8cC1rYph&_yWi_20>oRr;lqo9+Z;FZlc%BM;N)rc| z37)cA67}c*%PDolPFX>QuD7Uw!Vupwb{0@>MxC-^01H8;3P94}5q*$(NK+t4#$rqr zfQH&3Qw4v-q05v&MmnM_V+Tor4F(O5zf^{tj}Dp?!Zif~ow9-q{%!$Z%!qFp`wwx9 z<yT+8LJ)s}q`}sM%ma<igYrc;im@2}0=W+2uMaSPfs91;7f1?hFld1J<wJ<SK$Aka z`~{t|f{dYV0UwNsZyEa=uqRNac3yymApQbLgRKXdhqR0xJk$%B3B&Lg$aN5ZJ%RZP zWF)G;KvH0XLF2S9XOVpFJ9rcl&y>{zuqRObbq6d2@fS!MY(04V5V4FM$yf}3A*QTu z!2AU=64hTIDX_tyk<OP9kn_<&3&nB87wCj{=y)0E^eOOQ9-d|FSHPY?@z({g5X4^~ zX|VO+VK~IO??}dC_zUDZNPL}v`3qzus=q)|V1vQS*aacyqk|TT<MJ2ig!gX9#e*P! zfycz~EMq?b_5_N*j(~+A{sKvZtp|;<AuVG^G8V&MAlE_sbpYlskddhV0!e`l1}|ej z1@RYXp*Svoflhb_jf#L<PFuhiw{_!L#=Zya2^4?r01H9<1(F6^4;p7eTE-3@Zh{z# z;V+QuApY6{^B2fSRDXe_zy^btu^%J(+;{Ns3Z7-`8^E4G@z)x#5X4^~X|VO+ff2;H z??}dC_zSU&eFe;4AR|%z1(E_A3|_`wPx86%;86-Z%h;EIJ%Qq{1z;hFzd+Jp>%rp- zQ{d;mBN>a~FT^tTIWT{Lj70SpND6E)cp19|<a~6{LUCN_5Ol&jv?~qDU*LW|o@MMa zz@9+y*A%c2#9tt3u=U^`I^x`SBx5oB1#%rE9ZrDx3uGj!zd%x8gTc$#zd`&3S}2ao zU!W7-p%<2c`~~hQ<5|Yu1NH=pzdFD|5PyNB!PbL%-bl;Xk&MOg7sz!Gf3?8;1u_!V zUmz*4!Qf@=TSz|l9o*r>vy8m~><JWq)qsT{{sKvZtp|4%5$C=m8H?dB#4`2@n7=?q zqWTLY1vVJGj6Ij+bKgPD{BAtU*h|2kK=D@rSP0@TkTlqOaNlYQ`2H<WGC(pG!(WJH z>^U%hfs91;7f1?hFnAfe_NV{<Vf}+4aPE5sD1^XENkA-c7a6qV3*-aP6h7#-Q_xLg zhgdqVb&D8)sv|iD1|m)v2QBXcHC72NB>^p%#<G+oU7X@&>?f?iBT0~R-#_{x`eWT4 zc$cw*+MgirLuhc%7BpQ>?lN|$UecD5B*0?@!~(|(Xu%rDSzz<XT}lF4oJP!25>TvQ zSxOQQjuncQu@@jOV~_SljupJi*g>slkoO@pI95P&<^<Q1fI5TFl?$Nm8;A)q2CA2| z^&}DSSOKxXu>vw4ZXUVoNk9%GW<3dL!8DfjB;nv#p=cSq1oATWqu$7|f_E7^sO=B( zK7<Cx3TWb-;Cd2JR~8f%Xv^54dP!SP5&(}C5DOeDAmc&K0-HzfdJ<5tn3(k>pjg4O zp2Qy<D-<nbKY$!7`d)}wL0iTi;tn1-!Z`Q+0(2QWs3!woHvZfb*&LK*>^yLDAT#B# zp}Z5&W$a*4*fRF{DCVFnV?W{sa}TJm0g4F7aGM2m89P`MW==S=InZV7!7g9}dRgt{ zP?oV9M1qQR=rVRWPuL1=yvx|dUH*WVv4g64gi|E)K_(r4(FyS~Nn>uvC%4b^fVdQM z8M_8q%h)~P=E2H)P|$&vu`9sLBkAP!pYE_g0xe_T&46`G5EL|c#{`=hur6bF5C;V! zY#BR*H$}xl9KMX*0L%oB2~KeP^Z#W-7O`W3kT%E`@VOrN#sqahnICmbPy;LkY4d@k z!A&!ec}UCH!A%>2%h*+5Z9b5ZsBJ!w6xd);JBN&A?BH4)&oXueuqRO3vNB*Hh`&J6 zVCzAt1Zf#NlCc<VS;Uy21k7I`BT@YYk^&nHYAKMhj2&FBLI&?pw;g~+?m%~Uf<1xa zF9EO+#9t!f&}|2xdKGC57s*%*e}PPf^r?7Y{sI|^>MxKK*k7Q!mW*ZW;F<)_m>>t( z6Da;-0SiI=1(F6^52~3!&0^>nE|Rer{z8liGQj)=G7{BaAStlHpxSDvEo1*920xNo zjDZ1MbA#d?R7!&f?FVQX`)@H&--CL~*h`%dHSQ2t#{LB!D<Bp)RzRs16e|O{jQuk> zR;aR!oy8V8LI(XZ_BZed0kOal0-7=bMF^;m+D&e^12jECOt%BaGWOTt2%+9Gc0YUM zSQ+%o*q^{-1;hf!3TVOy6f2-UYB#yv4$$NdG2IRv%h(@-V}&Zq*zZ~*N64UG#(oDL zAs`kwLO?TBpa=nVPrC<b8T)N;givJ}yN?BOgbey+>{s9s0%Cz91T@74iV#qbw0nS- zv0ny9$N(;5Pe5MA9$|$Xr-ObO`x$tgf>_`<1x+u4;uO@Y2Q}Gc85oc*qy)_<5;0s1 zno8`Rq5@Klw)GM9LP}7nX8^i|bBYRxf?a)h8XTt-En}YmUB>?01UW(m{WA6=@CX61 zz!3tP7X?KKctUi5ma!iOM+imB*bhLLv4<HWN64UG#=Zw0As`kwLO>I`pa=oa<POj> z_TAtJp=cTV4&-I*3rvw?Wza8U-vW;n5DOeDpy_B(tbpgC2WT1lW^k-fw2VChc^Nww zO5ru=m$9#b#|nrAjup^kIw)4av*-h~jD0mYRw!D=9spg&K2r}ln+*D8>`UMg0%Cz9 z1hfhO6d~a0{{dRYz8D-K6fI+KKwifF*Z?_J@GfIt0bY#Nq5`48Gh7QOSjG<3OWHE_ zIq+BkvB0qcTEhT}6|i{&w2XZ=I93L58T$t0W$gU=h&Y8UW9QZ)yo~+3CS(SO_t-CX zaP&fA8aDTZzKnf^9&{P|bdYkK%h($s$`H%g!OctPGImg;VOhow5r!{gw*wi0w2U2` zf}xAZAq&=FZp5{W{f{o#Yw%_4;P8T)02*KgB_PoBk_RiUW$e3jkph(!JpBgMzXRpK z4GW}Y>}}d$TS=NyLte)2ri;9co#Q4Ow#$Y=k%V{3>cR~+h8+`*Gch=J9`fmxHRJ|O zRqaukAO@mgQ&te(6qOD!_>@%xm<gV;x~u)?|I4%f#7<d3hOP-OW3K__X4EOG3a}7l zssJPn9?=Jx2kK8jrwTw32Av7Rm?}UlV=obdE@KB7>4>t79V7)d7(4|+{blS0U{9b- zf#iUNApQbLgRKV*CL>LOfX9>}GhrD1LQGj@!2AU=64hTIDX_udDG=%}V^0Bl0>xhm zU?GUVK+<6AL1VHcFJq5^`3qzus=q)|V1q&9v@}@89s%|QioZg@LJ)s}q`}sMMm3R6 zV+RjzLT17+;tMfl6#(-W$VgOwfuz6&gGM@Ou#DXY><JWqd4Po={sKvZtp^PbBAvz# z9wUUTj>qs9Vi~&&%wHfQQT+vy0vk-DW$X@MPoVhA1}p^e7f2dxJ!p)L<Ynv@Fn@uJ zMD-U)3T!Zqma&_FJ%Qpc1F#UpUm$6)^`LPkl9#dT!2AU=64hTIDX_tyv8R{RU&gKh z_5_N*RKP+Ie}SaI)`Ny?kWOO<kJ><1$77^J#4>gTn7=?qqWTLY1vZ#Q%h+YWo<Q-J z1Xu{-FOW3YdeEo`Xssc*0lh^9Joo`w9gpEJ#4>gfn7=?qqWTLY1vZ#Q%h(0Lo<Q*z z4_FA|FOW3YdeFE5(rN7A;RDF(cnp6bma%id`~@-+)n6bfu)#E1#?Auv1d6{Hz(Npz zfuzCKgL>X1FJu2B3T<zIj70SpND6E)jh3<h5XIa!2Nr_(3nUG;9@K$FI*lFNkA<v` z$A~Y)GWHKJe|-@}KeZer1vZ$>W$d74KE7q_Z@`{FiLV!6A&9>~(qQXBT|lJM*pZCI z@E2kk`xBVIKt`g*7f1?h@K9XF{s0t0;AQL}7PyNHTJi<z;egkAk-L-xw7d({SS52A z`+ZT+QWA<zV@FxWuBCwJj}3uk>^I=C0%Cz<1+-ud6f5ABY6G;4{W>^SsJD!LiX3vR z4Ekm47vQl1Vu51?)Yk;X3V59zx$8+l{YqlilYkaXV_C+29vmywTgLuR202y+{WA6w z@K^z{z_9}ADT87Kyh@PV^(3HPF)`~&K(T^l8T)Z?tWa+mdxkV3R?wEQ_ey{Vjxd(7 zbHp%!hk!tf2H?xs6;RAUS;p=PHwV069X|ZU5C<^_EDBqGenSe`Jt)iA|BA!h1De_f zMFeE94C^xX3CQL^m$CPVf(__pZT|>bcMU&{eF5?^_HZfK3T?d0*aJi%%h*BHJi;js z&}Hn0AYLYE%nf-N`z1+;OEH(R2f&t{lXMz;6Wlylc@GLY&@y%pn0Xv>pkv+zT2vqm z!4?%{r5>ysklkk_2@539GWIVQv5pCXf(GxH;GT<Y3_Ai&z{Uh;GK0nf_o(a;1<|lE zK?rY($_7#RnBWR96Feq(QvA>VmpiSA9TSAKK?pBnUjoYfsAGZ)z(SBVA4nS9Gy|DO z@-p^0ur?paNYpkTND6E)sGUQDW$ZJ+o<M2KP5}!+`~{K*TMvqQl9#bhfcXn#B&xqa zQecB=w2Zw6><JWqb%2E+{sKvZtq0YsNMpF*>J_p&9;1zpSjOH0^B2fSRDXe_zy^cr zS{f{4ZvcA&#a}gGA&9>~(qQXBH4~^=3>}*WS5O4U1S??v0vU<wFOU@2U{Gx})RwWA zfI<k|_W-fLH8&{UL8UZ!(4O4B2Plp~flR3H0a~AnWeaUFxaOwbGWK=Ch?;T;EMw1s z#|nrAjulX<1;q+@%7NUz2PlPthP6P~^x(S*3baxU%NE*faI8>e8M`eXa)b=}W$Y>N z2m!Ic5dxYr0Y%6_E@MvyM+o(nv9}8#$I75z#vTKY6%Y#?E1(G<P^^GwddTf|fF^f{ z>2`p!36^e0G&okMvW#7f8#zJ-{WA6tc!Yph;0OWDRDmJ{JViA{1$4SQ(h6J9<P;Gr zY(cY9pydk0lv@Rm6}BJ>w!$_T93fO$#@@<_93g{#8M_ZWLO?8Vgn*{lKoJ7!kq*={ zc5iTm4B#^M8OY1nC!%DsLBEXM1s<m$7C25p(~F=u1@-koP4<CU#_kM`Q;L?cpMWl7 zS71ZVWP^SgyA3=-KrC>CfaXO(5dxkN9iV0G*5C-CXc_wt=rZ<x7UT#S^vl>y;1L31 zfg=Pop$m!-@J#LiEn_zZM+imB*gqgIW50=#O$Plkb{%-EfLP#I0Zm7PVg)=8JwVIY zwZXAM(K7Z4$jjKBS&{R~pkKzW0*@6C3mhw;$#hVx4CFF)WpJ!ew2Zw2x{UqO|KCW5 znhpA8>@x5O0kOal0$K$CiV*Ph{{Ssxmj*`&Ma$TCATMK=VM5L(c$cxy0I$MnQGw9l zb<PtgSjG<3OWHDa5qPYCSm0OztziJg3fQ~>TE;F6j+Fsi#{L3%8M_AqB2Hn;*j;}A z{=XBnmbvr1;Ym<%fhJQtSS{Xx<}HrxIsm%V*WmZ>|Av=5dU^k!hAd-;#58P)IQla7 zhyQ>7H$33c%X<N&9Mmra4dphXpT@omq71Q&9o)QxE@KBp8kS}35MlT-_HvLBNXyv4 zDHytloVaD|rf4RB!wYHxXn++FBDj{ZfBuIkzC2jL({E7yJ8&*z-~R^^pj%Wj<Uuo4 zf-NcpFE~bC#$NyL_kZvL^iI%}mE$U`Q&ylz!aHTfxeDttb`F#&D+q6j3Ilka3Va&- z4-wGp6?n=@?C<aYFWD4{ow9-qT@zl${zU|1`PB!o5M-(VBn=+X2bl-zPl3``H;S<s zQy_?C>~BP%%gR7TI-)FN2T6eq1`UtX;57CZU{9b-fjj{VLHq@h23rprOa_h4L;VFF zQzp2K{Q=BhAR|%z1(E_A3>sjj!D;Mwz@9+y*A1``#9tt3u=SuZS(2BrUxE1xWF)G; zKvH0XX|#;}0@xEM{yGB|g7^z04YnRMs!8%P_7gCFfs91;7f1?hFleNc2FuuwfIWfY zuLEEqh`&J6VCzAHgCs9w-vjd($VgOwfuz6&g9Z#=QvWpe9biwO_-hMT2;wi0G}wC3 z7#qpU*f+rZ1u_!VUmz*4!8BUNz6R_G6o0J%3qkw^k_KB38fPMT8T%5Lzd%N!`U@ll zHkd}s*cX63f#R<@U?GUVK+<6ALBlm9FJqqp^B2fSRDXe_zy{N38T%BlCs6zangnv` zg!l_24YnRM>H(VX12>?FUB=!6^B2fSRDXe_zy{N38G8q&zgoaT5PyNB!PbMu4M<+b z-T?C#$VgOwfuz6&(`Xrc4cHSX@l^p9g7^z04YnTC^Co#2dkM^6AR|%z1(E_AOrvG& z1z=C0_$vo21o0P08f-nN155HU_6(T6Kt`ha3nT?Ln9ODDpk_Y4W$Y<nPoVfK0W1XZ z7f2dxJ*W$aw2U3eSd8`|Vi|i3%wHfQQT+vy0vkLOm$65HLI}K+1jGV&kwHtoKs}s+ zT*e+QLfvKT$sZB@u_3UGJpdjnAQm`QKnvDDu`-a$*!{t=LcL||XWt{o%AjAy?g5V# z5DOeDpuQ$3R>14*251?(J2+OTw~XEV9dfJ;`ep16@K^z{z_9}ADT87Kyh@PV^(3HP zF)`~&a4ciD2geHama#8<gNPNhW$edZf(MQ;ma#ivTgD!VVh+kO_ByyZ;05dOW$YH% zmaz+>n1iy6-3)FHXlff25zyg7Y^SlGe2wHU=rZ=B&%hq)WnHr!Wf{9b6nOk`Cp&2T zal-50|G{gkJMk`K?|k+fd>T8bnnyUL0=kU-H^ixAFJtF^4RI;vGWHJGvU8G_vG0Bb zGY?kYgMtpUjJ*M79%S`8k;~Y#U%>(iG$yFK80(lIC}{AG34UFKbs4*X2q-#W%h(~j zDJmM^fk5z>paPf)9us7G`TPINPdvnq2}0T+gqN|)fHFVoGIj~D5Twlqk_I=;K<1IW zj9moQ<^vgt+U5gEfeoh7GIjy5Cs5k5JYXS+zd+Jp>p`i6<Ynv}Fn@uJMD-U)3T!Zq zma(&dJ%Qpc2CxvsUm$6)^`LqcX$%)!y%Jo;{zn)(h6^$h)n6bfu)*Ll+-pC;=@4{y z1g@LAdO!=(T2vr4$rcrGO#)eOj(W;G$c)Ywl^?<wW7A*2LJ)s}q`}sM%tIQ(MKTtn z?*TFya?1P%n7=?qqWTLY1vVH|TMe~k>~BCJ1nzr)Sm2r)6z`x?8Z^s7_DxWrI0gkW znakK;3xoO|)LX{>{0X9l8v@JNpTJ`U!~(|(DAj^u1w7?2K+D)4gJXp%%h=2ABS*-f zU&ek19w8tWI6^>ECZGrz$Yt!e!4U!)ZhXxEng`yZ0&-P%3z%wtzz%AT$}=!@x2S;T zVITq^7SaUn11C@e;ymQK?gI~zV`b1UW4{8A6%Y#?E1(G<P^=8(GWN^hSfR=?cK<ub z5i;nPv7doQ2#5ua5YS8&C_)Bu8T)B)givJ}`@UPq5i;nPu^)j)2#5ua5YQAGC_)Bu z8T(;ygbd&^_6x|%*iWHkvO&L$eGfcNK`d~bf~FTiaSH0|gPQCEv5b8;I8G^A#?Igg zu8UqoUPsPkgMJzN7I=h!Sl|c&&5ME}WFVKZZw5yQMa$R?yde>C;wo~44Ekm4Yv2(A zVu2$BG@%QMkbzvrz8V}M6fI-d@C8T6PFB$9o6rs9SQ+%o*q6X#1;hf!3TQeS6e|O{ zjD0aURw!D=egb(Jd(AcESQ+%o*yq4w1;hf!3TQGN6e|O{jD0pZRw!D=egL|Ro%<qk zgbey+>{H+o0%Cz91hfhO6d?n-jD0dVLMU3s{sDOzd+254Si!rDy#u@or$q%qgO{;4 zP_T?0s+Y87>^<;U0kOcb0$RfWiWRVV1GJ328yqVGxQtyP3{oMnfhwd%q?`s@#$J1d z@G|zyGmse<UW>VqW$cic#<h%H>LPR*J10mv&SmVMFMyRHma&7Im(XSGph!a+1)1?0 zbV}3|6^Jl=8T$s15lG9}!6_KJh@7}(?0IM=fWr%F0%(90lz>3fOSn&C*SUbmC?2ff z={KnU9XOY<|2PW?P;!^C?>LXVjJ>KF>y#BJlJHJhIaOm_#@-+dN;0r1D+q6jN`)|J z?K*hMssPLcPg(h&`~Cl={ilEbyIWL13&n9w6?}k96+nirQP!KIP8ER6=xkBR0p(`Y zDXR>y5M-(VBn=+X2bl-zPeGS^gCdOZY3wPmsREFZjws96K~i9YLBr!TSjL_J_5{k* zP7GKG;xCXi*m}@lGH7%j>M!t^GQnl+5ioy&j70SpND6E)cnXC2r?H2CJ%Qq{0I(3m zUm$6)_2ALZDd53QkM1oh;GtQ9Q&v7Oe}Rld^%qDAY%plhlm@4<dw@NG;x8Al5X4^~ zX|VO6QB9JUu{*&01u_!VUmz*4!8BUNZUgoNioYztLJ)s}q`}sM1_w!A#%==h7syCd ze}SaH2GeL6y8+k}DE`s`3qkw^k_KB38e=1Q8M_9|Umzn<{RNT&8%(2R>?&YSp!iDx zEClfvNE&QCXq<`UW$ZFAe}Rld^%qDAY%q<Mu}gqGf#NR_un@#wAZf7mpy3*lm$3`L z`~@-+)n6bfu)#E1#?Axw1d6{nz(NpzfuzCKgGN0_UdGM>^B2fSRDXe_zy{N389M{m z6Da=rBLte&hxiL54YnRMZb0%f_8&sfr3oM-QT+vy0vk-DW$a(To<Q-}2e1&tUm$6) z^`M?N$;;T^!2AU=64hTIDX_sbTE_kY><JWqJpl_r`~{K*TMz2MlDv%l0nA?@BT@YY zk^&n{<}!9rGauhF_B&utp!n+sSP0@TkTlqOP!|ws89S1(7)wbI%h<2L`~@-+)n6bf zu)#xd8T$oL2!WT9fLP!zGHA&csE0F<%h=BgftHd`?=<!~hY<a-A+U`71UyziEO4xV z7Oa6{WgwTa9|y+@^_H=-9Yl_mLBEXs06bPeEO4xV`kJ6v0k5+gpk?g)!LdTUW$Xp} zkz-}hFJs>Uj};IL94nxnGALHSs{{vV8T)o{tWa+m`>nl*SV3FH{(l#E;0UyC2s*d~ z8Jw!Xwv2reia99D*tf&YL0!gPfNdGO7m7J3%h>bb=76TQK@kCQ57yJznUKwaE@S_< z9qgfA)~6jP%h(%`m$9GR16!eucNzPk?T}^cplTlBlm*ab>?XS*Hjy&shJ4z&%O041 zSgi^2DrnjH2H3K5l9sW5fx8D*-owmW0W*)JW$a6K!vYDkj6JOc>zE)YXz-2+>Xl$! z#=b%bc}x((o1(Hn2tFn_1Iz@E30m*^{r{!*Jz~cMA)!fl8T%Aa=0_b9oB$SrwD~~N z;HDYKJd&5O_rThGAR|%Rd>|>X!8BUN-U0RmN?W!CEClfvNE&QCD3y@BjJ*NoFOZR_ z{sKvX4W`jD_8PD!Q2bQ^7J~Q-Bn`G6RIeh9;exAIf@6XuFn@uJMD-U)3T!ZV443-L z*bBg(K=D@&SP0@TkTlqOP|buih6}EsAgkjs`W}d7>=`hBfs91;7f1?hFnA1i%Fq8u zXMfeaV_@j)0gu2V3cdpHNklCwu!#@|4W6C@50pdHcb+=<Oa9<1X~v5Of3hBY$lTeZ zq9Mn?aJ)qY6fq18U?wOiK}?Y0P`w~Uo#(oF3_yOwTt(ja-~j^zgNx=N$Bv7gJt`@n z5CZo-KrC?04Kf~X-W0H<NayE*CIW~!KNsXM><(yt@WAo=4VTUXo!5Fp*l?W2o(!(J zske+>VH=|69s<kQW8kp@Vu51?lxjh-0-kahpk?gQ;8>x`GWPWwkRxQ!FJljZM+k@o zju6n42`ECqb0y?<J3!MT#9RUe$|hJYfeHpk2=$h+|J;NeD}#O+yAM28KrC>qfF^uE zu`-a$*uBBALX~Cg9cz&zWY8~TcY#L;hy{)i&`cF5LI!dfyE8aKsIrXx`)cF}8T8B8 zZQv0CVu2$BG{pvrkbzvrZVir*0bIt;;STCHK{xL(uS1U0LBEXM1Rkd#7C25p(~F=u z1@-koP4<CU#%>IbQ;L?cTR@kwPg;Q-A%lJyyAC`;KrC>CfaXO(5i*d=*tNkCLeVnz z4Cpd;#^uNnGU%7FtH2`!!~#bMXhIhhAp^OLT^Sr96fI*<Kwie~y%ITA2K_R28F;LK zSm0OzO-F-bWgwTaOM_#DqGjw10Z6sUR+PLl=$EmJz+(l(0>=tyG945v1G$V{7#u4U zEo1)yUB>RR2sxV!`ep1q@CX61z!3sk1ptbWfn3JU4UQ0sma%JuK(YyV4^H0_<XFMG zjJ*Q7j2%LQ$H@vPSjG<3OWHDa7I>_HSm0OztziJg3fQ~>TE@-{j+Fsi#vXyZjC~hU zPJ=CD-!_-<GWJDtAu~9<g?W%=?2wqowTwM@5qN!iFRv3wInHJ5IuK=uW$fVQC3G1( zDAKSjV}}UCm$AQG2zD6!Fez{fhAtw9jM~E7cmcc)z6Uy{YY4h{8Jx_QqnQ8>FQ^Hi z0b5W40!=UBUdEof5Rp+lSi#e8Q2jfgGmQ}1Vjl+s1H&#R(0&5L`CxaGbTSn3Y3v^t zATMLz?1Od63KU6rr>v@dur6c&AqXl4V9VGcyeTRl1mROwFThOjlvT(4-~V4$942<k z3Nmy}cp3W>LD1Sbl&OLTU?Ip<0Z1A=q7O0;)SrSb_Xb56;brW11fk32Kt?*EEMo^r zfei)?kJDfo`wg%sQ2ccTEClfvNE&QCXfPQxIuG?1cubk#GWH8Fe}Rld^%qDAY%q8V zg!;?a&wxFF;;$26A&9>~(qQXBW3nWl#(o6mFOZR_{sKvX4F-+V(qI|;0k9`f{Iv%x z1o0P08f-miRFmXo>^oro0vU<wFOU@2U>YrB-vagoioZ61g&_U{NrSBi4GxmLjC~Ev zUmzn<{RNT&8%(2R>?^>YK=IcSun@#wAZf7mpfNU*m$5H^`3qzus=q)|V1sG2jC~H+ z6Da<g0TzPz3nUG;9yHEG@-p@*Fn@uJMD-U)3T!Zqma$I&djiE@Jzyb-zd+Jp>p{ac zBrjv{fcXn#B&xqaQecB=w2Zw4><JWqHGqX6{sKvZtp|;Iki3k&2Ieo2k*NLxNr4Tf z(K7Z5uqRObRRR`*_zNTrwjMNYK=Ly70+_!*Mxy!)Bn38@M$6c9z@9+yR|Z%J;xCXi z*m_XUo8)Eepdr_pF5NvUAR|%z1(E_AOrvG&31Cm4_$vl11o0P08f-nN155HU_6S&f zfs91;7f1?hFqzBPLCt)8%h*G}o<Q+e09Xj(FOW3YdQcY-X&F0`u^3B95X;zoVEzIb ziRv$q6xiUQxQyKc6hh#oBp?>Jiws)w1?u4p<T7@5LFz7Jzd9Mw9~%P8*d5@p0%Cz< z1+-ud6e|O{jNKj_E7V)YZa)b*RtEhtb_;l{fLP#I0rfROu>xLaNA7wOP`{Fx^(3Hk zzp<<*F$c#A^_H=(oPZoFgMJyi0X$YfEO4xVddi?!0k0Aqpk?g(;8>yFGIrs9M694K zW4Gu54;*1EW8Z*n8T;uzWOGoKv47}>nS;8FeFe5<?9C|Vpe$ow0XGLUwGE00$Y2@P zW$f0-=0KORn|Fd8(#t9rg|dwO1M)I<rassTZM@6ae|JEZv4g64gi}sHm$BzUf{vsy zH{@mPwY?CRVxGo+1Gem(q-E^7aPwg0Jt*iv%i=G<%p++T``sQ`Ac2;#&v(T-CI|`| zykmmtu2`3`E1--CLU>bDB)|iK;G-=Bz)bL%U`hAy|1VS46FVjdX@d}6#?AxE{HV*= zIlw}YHXleD+%yB3NAfau7Fe4PWF%^v4<rRPm`2Ok8Ni-EY0Lf*01baa`~{K*TMtSl zBrjwCApjlg1R06yFOU@2U>YrB{{r>|ioZU9g&_U{NrSBi)vHKjxZvuQ;4=0%Fn@uJ zMD-U)3T!Z_u6;@UW$Z7&o<Q-}6R;4(Um$6)^`M#wX$%)!K@nWW{s87LkddhV0!e`l z29Mzmv1ROcKp_O~dw^Krni~}Fpi&w<Xg@&9*l!Df`X1C<#va*@sBwqDGWIL*SOKxX zu>wl9pjZJ<ISkM;_RHW{p~^D$7mdgfGU%7FpMggRhy{)i(3A-%LI!df`)P25P;VK# zQ44ac4Ekm4N8qsnVu51?G~ok^m4RHwei$4pR9VJ;unsvw2K_SjJ@5ztvA_`mnyCUs z$UrV*-wlossw`tSs6~#DLBEWB3p_$VEO3N?rr1CcGLXyIH-jT&0GF{lATMLLsYi~} zLBEWB4LnXkEO4BHrWZkR3hL{Fn(PCyj2*OIn!g`3aq=2^GYH64-7P90s`&vU=)NS- z;<FYN(3~Yi0K`ICOjH0}#(ugIIYI{gGWI3#2m!Ic5dxYQ1x3g}E@NK|&Saoyfkg<& zRoyKrAPN%xAXaya3TUzwA^>6`MaTl^GIpy9<Omt`%h>0@BLu_(M+j&_7Zf1_xr}`_ zI6^2|#y$gi8GB0=a;yycW$aVnu>xX&V+AxF4T_b4T*f{b94izpW4AzF#{Lc^uMGNS z>^<;U0kOcb0-8(*#mYb~WA6sX3PsD<4T3<+CC|U8Ek@2JgMJx%3p_$VEO3N?Rsnz_ zWFVKZH-jUDqGjv}$jjJ|mm<ds-ev3=&}Hlp8oZ1>0o0P{Q30(Dz;fIJXodRm78TGU z1JD@{$6HiD%K|`5a416bf)v4ydjOkr{osH3gYTssJ1;mg{%}M)?x6-AD<Bp)RzSwX z%^RR)?A72{8Ng-i6Ofm&e@4n_ux0G;^9V0vznuq}!QowH4_U?ziD}ppaShNC@y_EO zo#!BT#`P3Km$6ral;d2+o(fThSjG-+UP70#gAx!@LkD&mJ46`1j9m$21k!2j;1mp9 zL{8i?_6J2^ufZ3>gTo7I0%(90lz>3fOSqS@&nrS?6c1ML^cz(F4xG!_vkJhrk~F1; zyo_C|2zeR%8(FMVR-j12J7u*+7V9$h0s&CD3|qzy;Z0G=5P&aZPXIH)Q&tBHe*b^D zaSE|hR*<1<!pqoWK)D%p$|?dZ1eq!TNrOl9LFR$_Q_$t!pa>(pj6DQ4RRA*5@%v9l z&5JIb5Q9NdV1vO^Aj&WQgHD-$Bg?>m>y-I3kW=O%gTGr;z=OY#_2#Ij%!AD6Y*7gS zdje$�M+{u^uE1wjN|2(i8}iu^7v*Kqf;jr1gOL3uGj!zd%x8gTYfE)L+K#0`>%o zzZ}3q5PyNB!PbMuWJzAeZUgfd$VgOwfuz6&(`XsH1=tfP{xSgzLHq@h23rpr)g*Zt zy8+B!AR|%z1(E_AOrvG&I$%$r_)7yU1o0P08f-miaFFC>>?$ySfs91;7f1?hFpZY6 zD}X(L;x8Gn5X4^~X|VO6F*cHyu}i@G1u_!VUmz*4!8BUNE&}!hioXQFLJ)s}q`}sM zhH^+=#?Axt7syCde}SaH27?BIULJc3E)O;El!rW!@(?s`0lIDhJiM|;1tf}E9)is1 zY*FC=djiE@EMOsszd+Jp>p|v`yo{Xz<}Z+usQv;;fei+Yr@X9x2lf{S9)G=&0pFPm z8Ku~w0v@H<qXH5|^%uyD&K8wF{GfFRDE|5Z7J~Q-Bn`G6WFE=O*uU^YmnMLWMD-U) z3T!Zqma%^TdjiE@Z@@wje}SaI)`P|kNM6SN0_HD}k*NLxNr4Tf(K7ZYU{9d<>j78@ z;xCXi*m_XUo8)EecVPYk8HwsIkQCTp8ZBeL0rmunzpj9VApQbLgRKX3U`bxaegWn$ zkddhV0!e`lCUY4(sF{y%8T%QqCs6!#0xSga7f2dxJ*W$aw2U3eSd4ZQsEY|{M;(Fr z3uGj!zd%x8gF*e(m)f6@Pekl_gXKiT25_sr1>7(MbtOP8Igf5|7a6>CoY0Agpfy>b ze(~`Z70@aw5EEn!R4-{KA|3#R5O^sGhz0H<gN%opNA6M*ki$TYRm>w9QBOpC(m6%t z3#fj8P_38v=O66oQQ6NAT1qmVoq+*13=VQtcZ&*$YJR}q31M|ZLI5HFvJ$Cxc)|+Q zV>!Q*8MH;1FBQ=r8v@JNcfex>!~(|(Xu%pNRt9nz`*v`w++;(<3dmL6Eh-=i5(ywy zcZ&*W6&yqW#6pUd0&ApLsYphSl|jFZeFHpJKrC>qfcl!CSOKrI8=z(E>%p-ijT9>& zS9L>9PHlcr-w9!Lx2S+Run++d3n^A4Y#^}$zMk(<B66$@`ep1Z;IRT?fnx>KQwGHf zc$MG)En{B}j+IzeL|y^8s=Gx6L_s0}!~$JdC(pnD5dd|>kz(Zla;*3yAYuh=8GB(Y zc;KkBMFq4x0OWDV;M5Cj%h*{^%t2Ykt_e2>bs75uY|Gg9#3Q>0Wf}X!7?^uNQ`-pl zU|q&uf@}_S8GAt#*nnQvaBY-j>=N;yWO{5TJE%yvj)$$##=DH&Bnq;O9aPODoFY&F zGU@n>We_iuH0Fl9jD1@i#HEmB?EK*eKJg1eswRY8704P|;Tk|C<tKgt*3BC13}9U# z0fep%$h!RFV15OyMi&&vI<yD!A>N_An_^g3qtD<6g#~PA55k+GGJzjHwATS<f`|5= z#r*#N@>&D2Lwlgw8r0<jH%<1afUaLe?HYm3SMF?4X#wS7)S<lwun?r_29gH1ut4UK zyc)d*)^r0IiQ04nNr4RpwH;ng{toW)fd<bA4DCUpYKscEGR8BsR{{0}N|Uq%EClfv zNE&QC$UKr)qZh#Z1u_!VUmz*4!JyjrW#A96zfR!k%B}%5!65YnD89he8D!ZwYJ7pr z09}j;_5_N*GQdI*f93EqFo3NGS4305^$wb`7)@=E$&jXY3d~<1BT@YYk^&nHs=r?H z{{;IBbZj}U`09c93slmA900BmAj`&4{RJ|kvqdEV><JWq#ejt%{sKvZtp}M0YTZJI zn30Ud@E6Erh`%CW{sI|^>MxKK*kDi%@bc`?I#fLb6hh!G1c(K$fI;yND$2lP@8otN zKyeHTWI|mC&}q?Fx)8zO3fNT)Q2~Qo1#cFyqc@8{0w61qD&Pyyg&W{ghE7KyD!d`E z8r=sTD<Bp)RzRs16f2<qCa7bNy5I~{>=AL)Jt&2OMyF&M7&=iWUr{@D4?Cx*Tma=h z2nFldd4pr6T@(>3AXmYgMW6*|Pyvudko8R<7E-JjxPa=b^Dm-;kt1Z#uSR!)M+k@o zju6ny2Pi@Yay7a$I6}^eAR+|hs_qsQ5Y_wulq*22?iTQMxex)+#0pY`Ja7U>2xOjj zNhorx4EojRHt<*hvB0qcnzsSP%0RA0w+6?G08*@gT-Dv80-_+f0>tWWQ2|W`K?Fc7 zq*&>22FJ<^;{fCc8T6~sL2J5Pz_kg81&$EVgcB%2268pJF*riPg%Q~V<f`r#6%Yjp ze-Nv?MFljA1rY$TkRs#;wA@<cha4e;el@xdJcoc-;0OWDlz}1y)ZOf!q7s5ykz~j+ zFc49ZfM&(Ir>KBb<E=;@bxu(UfK((P3RaP5gCk^~5F$bt<iXQ1AjdU7U<OSjK?Fb+ zK?Fdo?iLl0ip~}lsCtlKXNw98L@`JZq8P;LhKNH1Kq`=;v%wXdzaXXFI+RQ{=vSkw zz~dCe0>>$6mJk%Dpe{RT^#p31dJq?<pc%pLDJme<c;gh*I52?3DTso_sWLcD7YQQb z6yz$BR-;!y_6WSN^+wKQgMKx-3_L<WEO3N?rZ+(m0-i3Nq9TGCA)vWZA~G3hDzkfv z3P?4<2oZop2#A73h%`7tUJ4*01mr4`R-><gZVy=Fi5ww=el@xXJVHP$aD;&7WkC@F zp68vS@<oP$0qLeF&?GMr5dxZn?Vh3nQjIr4o^?)9`2d<<hET8w5e7#HXe9`2UIgT- z?iLjg)%?J|6T<3lQ2|*55dg7}%B>ra?EyQ%2ey7g$tHt-H98MGRzNIptbk^fL9sHB ztI@f^u~Nj3$R;3Hb+@R1C`gkT#OiKQ0Zmmy1VAjLSb5=xRGTDtA?KArzZ#td9xEUg zI95P&;-FX=$kph~;8@whhlmxBtGZiMKoq2f24Z!$sDLKgAp#&4Qmhm}_6WRq?}8j5 zgMKyoA3g>KNE;f&0!IjFG9MHn1GyUgHy>zH_ct#hLcmk;Eh-?#LCPu+tGh)7v_b$P z0Ah7RRCKn07l%WoJ0a`CyCH%Q#Zcow^Y9P>5DO_fZ$LH|K;{pAyCKIZ-nHxz(6#Ik z8az=S09lU?S|EUXE&BmE(A+%u>cS&XCMXV|dP!T${skVVAQm`ILB_+)BX=!3$YG$> z*fIphb3heR0i;3#QLqZ>GdNC>*RnT28qy%gK_UUf>TXd1Sp*RPvASDSK;oS(Dp2(x zLC{P9L^ntfq8P;LZc%9gPm+P^HxLUcPA@?BCxGXK)LjvA3R}yr>_B)eJHG>D8i)6u z0AwvYB&Kn#Wk28oUCX{6q#P7_pn>4VLkZwD@7Fy#&vhQ^JosWJL>Xc&JGgNQUCRzi z1W4Y1t!0M@!`HHhfs8;}%MMP#(3RxGtz{QMGXWf4P!mAIv!Db7nJ(l2ElcU{QGtkp z4|NC62VZhV3RG6`92`{t4xDS*=Q%<GbPG6o!P8p`atsWg_yt;2APhlB%p<IK09oI8 ze5X8Uaxcyqc`dubdsYU}@IBa_jmLHrGcb5`?@<9o65a`~7w=dZc1$?V#NgO@$fsA< zkQ-FT?ooNc2clsUUJ%|Cl?QzA39lPqCV0Z@tK;wgFQ29oJK+TyVg|Vs6o$}6=cp46 z&<U?Apxlf);dKEl1es_6NrUGDK<0sZW1#fajj}`@W1<0MGGwCR3?FnYAjn8Zl(p<2 zDX_tyk?xmIUw|i2KqJ4nCQwLR%YFjv36u$xBVZwjzd+Jp>%n8ZQ&b=$yC_TKG5iH` z9mHP;VEzIbiRv$q6xd*pi7yvJ*64$#lyLbAI^hKx+62WHcmx*Dgx4OhCs6#g11tpb z7f2dxJ;*$g*Rq3}DBvS)K}Mqb3nT?L7&PSiGUgRHzCcq-xcmj3@B$4Eg8T&@!^AV; zwE^r26o0J&3qkw^k_KB3GLPi7>?>gL1u_!VUmz*4!Jt9Qmr}36{_4OpL74;UoI*z1 zK>h*`1@2J+iK6B&kQtpVDoengK=IcCun@#wAZf7m;4!-?;4wQiV=>Yp$Ye-5oCEV0 z$VgOwfuz6&gU0?|UWWJ!G{l7~zI-750u4!l8~`3s+oJ*!MfDfRjLsI78DLMK_-hJS z2;wi0G}wBOc_go8p8)e0$VgOwfuz6&gGS3<_Phbd7igFVm%nr%{sN8Pfcym>RobHh z5=HeF$c)Ywl^(DsQ2f;a7J~Q-Bn`G6WFE<D*;`=#0vU<wFOU@2V9?0b%MPlqWp4m` z0>xi7U?GUVK+<6A!NV$3z<c^Z!37@kfh>{7NQa=Y97sB>fcXn#B&xqaQecBY13)hw zslJxI1ndbEe-(g*ApQbLgRKV*OMqqz!3}7XCGr^l0u6~k{FMXq7syCde}SaH27?AQ zUjBmk>xB%Sy;z_oE2JY13Rv(c!5)<}pw{kx$Ic%<jK{j|K&Od=%;;=U$pCu-#a}64 zA&9>~(qQYs-T5it?mU{Y82$p84DnY2%wHfQQT+vy0vik(7I?YiJve`zz~iqq5PyL> zupkG3``LR`K%%Jm3uH!Ti%JaG6Da<Q01H9<1(F6^4>FJBwd^4<e}Rld^%qDAY%r)} z{j%%>*k3E~_^SuvFHk=a<S%e{b&m>26xClKGeCRiz@9+ymk(G7;;#Tc=t2~bd7vq8 zXgWkP79+kuCPU)O1LiM~k*NLxNrC+Z>Yu*U{|NRMs56MGJj{Xk3)JTU`3uy{$G4W< z1?&kFe>s4KApQbLgRKXd*F6Q?w?Z=(!(SlRLHuO{^B2fSRDXe_zy^c5WAs?do&jp^ zGl09uIZ!63O8{Ol)}un&T6POi2!W>#K`d|=8Du=%yeVMwkj895O=cp-Y(WkqW+4iW zwe05LF7nq!h+z+qtGZiMKon$=G>FyRq5`rAA^>6`^$t<ivOAd}`eQU&%MMy+2O7FS z+hG7+?$)Dn1+@0#TsMyasALDRQP+W>ZNfKz#|nrAjunvcpjZK$HwA1SQmlX){6xeG zXvrRS2cRxQ0mTZIg(&*qSTRS66_Be)+F^jQmVJ#0a;(r~EjwtHAT(A$>-s=UP$+^I z>-DH?0mTF~RzN);wCM%3SkZvT3Wx=c6_D|8^T=Jx4ssX;N3yGfW2JH-BCmj41>a!+ zTFVX<09gcCH4S1R<rS2*>|(~qu|kuz?4T|>C@PLa*4KlWpil&_3G7jsf)p#DejEN+ zQGmw^hy{)nknwQy$X&}0>g{(=QNiK>)Vu;ZD1QQ|<vv9PM8O96<iWABdjTR=K(2x> zr31wZQ~+cVBvwEyq*y^&%ids!h!wQ8>`V2)14kHZ*)^g;V?J9TE8JnDL>4IKpsZz& zg`0!AmR%thVh&goX3hr#WcQ$~Wfz5;1M0$nA_6=J#fo(;`wC=ppljKeXoEe}%ewdv zXw~)}6|nxs;|GL5gHXpH!(I6XU`4P4C%PL>fMr`$K<xyCr9UJgjt7gv9B&NK+so<% zwx9=U!9fElkOk*GI-z!OgB;h(YVnT^K7f6^MI{1S=^k%Ui9s+E5X=+=6I5M-l6N=n z<G&!kK%CbtV0f{!2RzQ#4WU|3I`B_D=FqW4MGlm3TBGKJ63%OeZr06UeLY}rbq8`7 zUH~<@AtSz^DzNncOrtDVqasw}YX%U6x|WfF0eVy&$n8jh0}is|FPyc1|M%!+4FFjM zY9Y#j8y6t8Ah(m|&)?u6CG1Zbuyf1j!Tfm|td9tPN`p1pK{bMW`I>=%KMjOI@p}A4 zv=-Q(86c}D^`|h%kZ!{Mlma_<%3PQ~AO8lW10wt>3Dy`5)ku^-Gobz~)&%>r0b~`W z{?rB;LWDmhz|P$`2j<V;zlijwI9Ou^R3lOTTmbcFw+7gsGeA~R>Q85oAw>974D8%< zvtj-e2J0gtK1IPAr$RLn<<A>Xe=b%B`*XujDwIRfAVY}orwG`&uV=yhsSVaggg=GB z8aF{T66H_OqD_y^<1corf&F>n2NnET3^IfWe+q$}%K>$6H>)#P9})f(1ZzA$6B0l~ z`O`xLQVv~K1^e^CcPjX^8)OI({uBT^R~72qZq{h9J|g_d57zi*1_}Obfco>b3UVWX z0i2p4CFXI+R2H;)0nJZ>n4p>oTv2y3baQkEuzbIyc(M5*qeI8J){~&Rkq_*YG_X^U z)q1eBp5&i;sAGx>FIYtgSVcF)j?NYpP%RAFH7Lix(0YJ>%0d2Z2RmE9JzLN!deF4= z6!2^*=LP<$AQ=um28NCv6?U*;N@#{b`#c~wcS813!+SuWrT9>3P*)4GJ_5u#4%zPu z^5b#HPG1lcs;|2TyeIwpMa2`%4;VWRwI1l0qQVBYn+F_S&@J1o2l%IgHhQyyr5IsS zpvHY?3wWa#XqA~PiXTB8L(s}5NCOqr?1%Z00piF1ybKKdt><BWgc=5(Yl1iw6nv28 z21pR%B@hb~p5O^)kf%XYNXJ{i>)$~hI}Ta-4q}1=7^J-iJaL8U$A4hEcfvdZG6d$w zzhJ3#Fe%U+QD+Od*9%(9fa*u+d>fdW0$y?t^WzU*a6Eno8&-p67<e87;?PdW5@f<l zlaE7I!h$?@yhQ~xun%HF^>z1vCq+>G_zi4#8n}3Yu5^a^@hey=8YTsre*mr507X@c z3aC4ZlvY7K)$SH>hZQ<U0rTSrh#%jB4bwz33_SJ^aVY3C6;Mhicuw(g$icZFj~#~` znG0e<SCn@5fKN3>_2WCR-NN9Sts8ReG0cx|!BQMBDbSdGXNwASCJ{s-`4QCB>TUt| zxS(g$!2I|E;>YJ;!>+;n2sI2mt_*Q#CuFr4d=(ieX+otzgT#=;3t}CItY`vx>^NjC z6Nm}b*WCjiq(=4QGqBwUU>*S(0`ucju+&zV6lgrSvjyCX?S@cDeuU07f~hIsMN}|9 zK7jb~KG?8kG{c~)bU-7*=!<wjeuPScmhM3O2x1+FEEfTJ>^Nj835W?@{L|e7J}MH` zkN3cK=Ym^e-7R1RFhAY}OC`aiKx3$#Eh?b-Jx~V>H9wX>QZSf;jI+Z0cmv|c>tMqS z&<ra86%!DLcDAULKzJZQh?hXDZiqNU0Hnh3l1J|r6_6CDIskVQS$9AypFBBm2eFsc z_8p`p3YKm>_yfEn8r;bP^^0DpDIrx--3=AtpgI~-OMwgp)kobN(B2wcz=Nf;2V7-< zvWP4L1IVP~Eh-g=o?i`=iKvA@lF(&2oh>RL`Qt5Mw}ay4IK*opW;g5Nw`>fZ5V>yF zSr87$yWJ2Qx_iLd3{N1sf*{knd%&Rv76uK5LWfJcd%%OFoG19F9_nmSxd4iTDJti| zaS*f-R;z<f#|ABze!<8;1ylu|04eKHISx|R@*12RY2sBEZgzxMtsxw0dG!Fu4^ve3 zgZ$81z5$n4cYu`jsB8x*YYC;DS2MYgyqW;vP|K?uKz^8_vL57z);a5Od36OyS&zzc zkg}Hj;DVGUu{w<t$*a8(4z;|x0OW@$D)T{pXg#<Nmse+il=Y}g2PtcT)QdFn>TV7s zuWo{HsO8lOAU{k|=?D3t_1RinUhM!W>rrV3DQlSwZsyR$s}I<bym}qNp_W%0Kz^8_ zQV;S&D>qKBR)CcCsFZ`0wfqIQT4~}{R*3IFHA^?^A2zVRspZuIkRPU~<b(XsYOn@Z ztY(0e^{AwSl(nSO&Z|lg-$A@83E@!7s|g@KOi_sk`Jpv*H7>74fRy#9goBi|T$~P4 zMw3{zX9Y(j#H(fy4z;`*0P@2W6@QQ)TB}xp`~VsgK(ALlK+1Yl+(F7(tZC=f7#1Y2 z217X1@~Q*K4^vd^L4IhRzY>>MEkMe8RLnujT9!@&DWgfORx%@bwE)7QmRAixewd=7 z5As9nkrg06V2f1^kg^^Xb&#?ae%g6;9utyRr$RW?@~Q&J4^vd+L4Ig`xg3{QB|yr0 zRK!8bS}Lc4l+h$scQYb+brXa`Ew2iI{4hm@ALNHtKAc|V04eKHVFxK|c|3(?UcJG9 z<kj;K4z;|>0P@2W70_-2{#N5<AU|MBz(05(BPBcx4E!y=wDaoM|42QXHxLfBy!rv; zhbb!WL4IhBSc=Q5FF?wARGx#BwQQdZQbv;mEClf#q_W|JaH!?g2OvL8QMnKDLu>64 zkRPzc>J5;x9+m4LWi5)d^Q!(oq*zshaH!?g3m`vCQ8^FtL+hf&xV(A-q^w8fI7nGb z_au-qn#8KlUnH+OLpap(>H&}+rl{-(`JweVc$p2hSlt0q)}yi=q^#xZM4EXu^AD0& z6CfOFd36KG4^vdugZ$9?2E29!n^#wWl=Y}A2Ptcbr=3^Zej|Cc7Q&&HR~LZ%FhylP z$PcZ8IK4Upq^w6}I!IZ|$q6)z)y2P%ygCcQp_W%Cfc!8;r61&nRx|M07i_WG0aDhZ z(hgGAVoW=)9{7pm)$I@twY=H@^1~FBdXOJlqv4A#(3|@eAZ0x&<sfA(bNgu)t9O4O zdG!i}LoKfsfc!8;B_HI6)&}^x3v{n$fRy#9q=S^Tu+z?~zrG`R^&^BsEw3hk{4hl& z9^{ACrSPQ}=w6KgDeF-Q2PtbQ?4wz%ibH${X+rQpIMniL0LTwhRQy4HXgviUi^rB% zJwVEORNO(zT5k8!%&R8fkYZIE!l9N|9YB7VqGAv7L+g9+053MLT7Z=GsF;J4wYbvG ztASsUyy^krP|K?ZAU{k|(FggVRRpJ3H9*RGRMbJrTGsc_ELQWrAbB+v!l9N|6+nKN zq9PCSL#ritEE`*_N`RF0sEC7<wMf#=tKFZGyxIieP|K?VAU{k|;RpGlH4Z$$i_NPX zAZ0x&>>y<=&D}JM)fJzRygDDkp_W$}Kz^8_@}C>DETI`Z>WR&(Ke!?NRgkilw_P;z z>d}u#Ufl!XP|K?yKz^8_@*d=e))nBPKx|%p0aDhZ@*JeBC7gC%ee?mzt2ZGWYI*em z$PZIg?t}c$dKNrBhRv%tK+1Ylu7i}d9PFf7tp0zG<kfEw4z;{`0py1%D(69dX#E5p zAH(L=6Ch<hD#t;}TC{2BRT+ryAWaBi2!~o;Jpl5<6qWrTKeUSD^y&_fvL2P~AZ0C6 zJ7^ZGmhX^a)d0ewmRC1`{4hmjJ;)EOHsJ9wY_Ylmq^w6}IY?Q{|8|;rH4L&Q01~Ud z5DvAxx&Y*dDJt_perQbu4;o?f>I{&w9+l}JWi6Ss^J?)Mq*%>@aH!?g2_QdAQRxTy zp|uS>XoStH9Ux^rD(xU;EtlJ97ON9pBYCwQ!l9N|8$f=TqEZj?L+fhrND4NuR)CcC zsFZ`0wb;_mt7~5&d36bdLoKfsfc!8;B_HI6)(ha_1#Di;04eKHNe3xwS>8&sSUvR; z$*Tt;9BO$r0py1%D)Ascw0;Hmxv_aQ0;H@*B^;!zMUZx0ef|Q;tM?!rYI!vP<cBFL z{vbcJO5yaX2S{0uiaSVIOLYs)VwDBrJ4gxq`#IR()bgqW$PZIg>_L8LwFeI`V2f1? zkg^^XbC9x@r_D6;swTvD5U(mgIMnj00mu(iRP;f9XiWk4xv_aw1Ej1+MIEH9#h-Rw zb$bSmNQhT$AslLXRRQFODJt?HKeTp&JEGXUDgjc~qaqGc*0QsSX0e+56v?Ym5DvAx zDgg4s6cv7uA6nOeJEGXU$^lZ=qrwhS)}l;1uQohE@@hGRLoKf|fc!8;<v$mwzj_(m zJHzJHA6$_BDo9yNZzIiOb?##%uTFt*sO8lUAU{k|c@OeK>kn|31)Eo2fRy#9JO?Rj z`QAV?ukLz;<kgK34z;}c0OW@$D)&KtXqCn3)f*sXJu25h%32a>=hf>Ek-T~i!l9N| zFM#|oMddum53Nq%-Wj%7JpoeIqjDUitmSk)&0_V-10=7$hH$9m)dL_uOi|en@<VGn zxXXggt2;o-dQ`T9l(m@B&Z~kD-$5!H4hV-@Uflrl!xWYEAV0MBfZOrdyt)FUtVd-z zNLkDLI-13*-hHH4RfTY<<<$isKTJ`X5As9nMsVX6n^$Lml=Y}g2Ptdeq@7p2?;&~B z3BsY4S0{k{Fh!*w<cHR4;KnUBuXccx^{BLil(iJs(kxaB?jm_L9m1iOR~tZnn4(e- z@<Z!yaElL{S1UludQ{3m%3AK$(9Em7caXf=0^v~0s|6rGOi{@P`Jq(-r&lvT%6e4N zLCRX(Y3J2dw~@TM5W=CBR}(;fn4%I7@<XdDxW$JpRwF>ldQ`$e%33y7(=1kx-$L^0 zJ_v_eUJU^GVTy`B$PcYq;1(Y?uX=!#^{BXml(k6H&Z|#uB6;;TghMT_I)MBzMa3TE zht_^@GYFekEkMe8RLnujT3V}U7ORX9-$CltA2-1Mrj}O?Kz^8_q7U*z>lSd^0Gn4e zK+1Yl)IrKx-dEDht8x(ELA)vo;ZVz~3LrmBQIQAvq4g%X!o=oP36QcL6>*TVmPp!p z)%rR(A|YNif^ewiRRNG6rl|0P{LuOjTw!AKDhEhej|w|TS<B%Hn#F3wH6*Y4Lpap( zDg($5Q&j$Qg8Hk<IKBFV6VhJ=DQnTComXqGB6+n0!l9N|KY;u&Mddxn53L^H3KLtb zz5prfQF#th)-t`EX0bZ!3X)eRLO9g&>I0A;rl{Np`JpuzT#I1y>J5;x9+m4LWi5<g zufApg?P&#Xjm5L~6|{8~qz=3p7QFWrwBZzWfh{O!g0`<B?_`DSSA}h5g=~6-Ztgt} zIm{2L1GHZi#O!8Wz6l&mptFs-S?57GAlYu{e%K!H8GztTuF#_vz<~(a<O&uB9k_sc zv;utZE6D#-RL+C^-#QKFCbJVDWj!j#LCRY6u~bx4@~X!LB(K^-IMnj$0gxZ2sO$&% zp>;RTO=del%6e3`gOs%h($1^7=aIac0^v~0s~bRmn4+>C<cHP=I5(NC04eKHSq@Ux z@)=7-MWtAsbq>j^6CoUGd36EE4^vd;gZ$9SiqoqzK+1Ylrh}BVT&0~?_n$@b>NW_6 zT3($1^1~FBevltpwQ%mI>i{Y1QE3M$YuQdauRb}0<ki~{4z;}60P@2Wm3ojLTK#eE zr>g)d>rp8ODQlTYJFjv=d<Q9k86X^Ld9?uKhbb!gAV0L0;M`A_0aDhZk`7YVQcXLr zs+~rPRapp!T3$^6`C*DmJjf5NGjVP*ivTI>Q3(erYl)?uSDjBGdDRNSp_W$zKz^8_ z;t%pe>pq;D%sfEKdQ{v&%3ADc=hcLhNL~$xaH!=~2aq48sMv%2(E1qXCNm3=vK|$4 zkg^s<+IhA11d>-vARKCW)d1v&DJuFPKeTe-^r{9(S&xc3NLdRr?Yz3^IFeUqLO9g& zsshLlQ&i+ZerVOjxt~q~q^w6p9Hgw}DVAOlm6{Oyk0E(=8-znGuL^+tFhzwQ<cHQE zocrlGK+1Yl*g?u#j?>Poca9=?^)iG*Ew3_w{4ho3KL@C<TaI%--46~(Ul*jTWhL#r z`tt~qS3f{F)bi>FkRPU~ya)NAbq>x=W-ma>dQ_f+l(lrz&Z}Y&-$9xXybunxy!rs- zhbb!eL4IgGh;x(K4Un=PmFpm7ExEMws_|i@Sk;1XsO8lQAU{k|IS=wf>oc62%uaxm z^{5;NDQoekomT@6A$ipu!l9N|4}knIMP)z853Srdy}ARWtVd-#NLh;^?Yx?M5Xq}4 z5DvAxx&h>eDJttherPqoxu0$YNLi1{a*(nXVcL1M>j08h8zCHOd36EE4^vd;gZ$7M zigQ2R43M%OmFXa5EnmUoQnVRc+K=Sbc@Pe@ygC8ohbb!kAV0KL;oMKx0aDhZ(hgGA za-DWwJ+cqUtGgi_YI(H*<cBFL^&mgA&d0gQtOBI0N2MI3tYs(dy!vo2l2>m)IMniL z0mu(iRPsT7Xgz{+lUW8xS&vFONLkBl+IjWg9we`Rg>b0l)dY|qrl`b&{LuOm=O(iV zkg^_?aFDW=TH1M48sa-h6G8~Wp_W$zKz^8_;t%peD<4j;dVrMmsJMfawZzlTs}{SF zVpSi)p_W%2Kz^8_Vh{2|t1-^~bQU0GJu2oPWi5`h^J?fWB(M5FIMnj00mu(iRP;f9 zXpO+RpH2g$tVcy1q^w1mc3v&oiR9Hx2!~o;RRH;6ii$kQ53RL0_tQy$l=Y~HgOs(f z($1^>JCMBE2H{Z4s{$ZDOi|$n`Jr_Y&P`?<AZ0x&>>y<=&&x1p@u<`_U$Y&_tBWBV zYI&6b<cBFL|JgzP)#Erfnf+jg^jAU3T29i=t0%W1dG!E<LoKg<0Qq5x%6pI>THoN@ zWcC82tViWJNLkBj+IjWaRwS?9g>b0l)dwIyOi{TH@<Xd2POshoDeF<W4pP?AOFOSJ zLwpCRSAT5*`<q%`y#VsV6qWNJKeU?R+)sA`q^w8fI7nGbKJC1!0P!8ftKtw2wY+)& z<cBFL`$2wajmEj3ZU;zNkIHtCvX(&FdDV6^I3gilHGy!b<<$)!KTJ_s5As861J3<) zD?rM6RF;F3wHVXRt5J|O0T8bSLO9g&>H?4-rl`yZ`Jr_w&P`@BK+1Ylrh}BVh|<oh z<r|S=H4nm}mRBc${4hnOALNJDQ#d!7b%2!hsI-HWwR|t7S-m=C1Cm#}AslLXwE^UZ zDJu0KKeWE@1^EHn>X-_UvL2Okkg}GWwDao5^+;Y_0pU=~s|6rGOi{@P`Jq(=r&lvT z%6e4NLCRWo)6T2s)**TID1<{TuO@)}FhwOE<cC&Eocrk_K+1Yl!a>Sf=F-lquh$}Z z^$~<aEw2WE{4hnuALNJDIGp?GJV45NRNO(zTIy-%RSt;nASLksHDG^J%c~9`KTJ`v z2l=738RvdF3y`uN6?2fXmPFclRTttrh*wo09BO&h0OW@$D*7Nlw64Ip$xH*JtVcy1 zq^!l6c3$;b4UR~NR~;c7YI#)w<cBFL@*qF7p2fMzOai2=M@1Z@tVNY}UQJ(x<kdI` zhgx100Qq5x3O~pXt)FmiGUEU#>rr6`DQjV;omX2{B6+nM!l9N|89;uRqVk^&)L#|H z>D3=>kp3!2S<A~3Q1wfb(fx%hki0qr!l9N|KY;u&Mddxn53M#h_tU)qDeF;r4pP=~ zns#2@w;ai<TOk~3dG!Iv4^vd`gZ$8%h;u*P4Un=PmFpm7Eo*7#)!WOEym|@3p_W%K zfc!8;<vhp_t!+5>)13e*>rpulQr6N>JFos&isaSz5DvAxdI02yDJuIxerR2dbCcN) zkg^_??I2|>g|zdkD8zS=%7zERp_W%Sfc!8;Wj)9btru`^GFt&s)}yi<q^u>Fc3w4F zf)uNo5DvAxx&Y*dDJt_perWxQbCcN&kg^_?=^$k-rnK{_|6(Msx<NS9^6CVTAEv1E zgZ$7ch107YAZ0x&?I2|>;<WQ>$s#1LW<xmC@@fOf4^vd?L4Ihp$GM-b0;H@*r5vQJ z<!3R?>eY!0k-XXg;ZVz~1t336QOO7Sp*02Pe!2{hvL2Omkg}HBwDan^1xQ|93gJ-8 zs|g@KOi_sk`JuHF=YF~fkg^_?aFDW=y|nY{>G?=rJp|!U%c}t(KTJ{a2l=6O9nMW= z9w22sD()a<E%RyT)fe-Sym}wPp_W%2Kz^8_Vh{2|>t&pq%q&34dQ{9o%32y}=T%mS z?;!Q+pSfUvQ_HIcAU{k|(FggV^#{&PW*Q)6Ju2!TWi83H^QscWcMz{iLO9g&sshLl zQ&i+ZerT1&=~W4kvK|$2kg^t6+IiJ}4mctqUNwVosO41wkRPU~@Pqu&>IB{ahHY?^ z1Ej1+g&m}<MV)qDjhT())nEvRT3%%U`C*F6e^yX`H66TC9h+BwutNH)AZ0C_wDW4? zEF`a1KseO$>IaY?rl`CJ`JuH3ym%0sS6_gX^{6}tDQkIMM6)KuyqQQ|oeJSl%c~DS zewd<iALNJDjo?KN*t~iJq^w8fI!IZ|S+G}MGl1@oW&Ju6<$hQV8PEl=;QL`0FfcH@ zU|aL+{|<(;ObngJJ-T~Tz*}V-4;nBtGI(^J+qD9uq<F=z|Av=5IuW<Vf>p7CjcPu? z0g|~P%YfKw%NoGM$be*;Kmo|k;~t&oc7m>reKBb@*g(+Ty7CMR9;_Wu<q$&*Jvxu? z1iLK@P2B;gI<WPP2M?ebYmKJ-2deTDXv%q3|N8II%UZgVmBH2Uq)#to2QHGccNByC zv_%E9E!d-b3M6bg&wF%EQ8~d1^3frXVi5B<iwbCa?+eM*zy7-#p7iM51K!39+EWa6 zE2{*^DbS!gAj`mjeS0uSJxJE0Tfn9BoJ;3P#~q-XcVB#3_3OV!_a3lAUTj?k4!qti zXjX7of}C{xMJQCBRc8j?i057P>;H}w=n-GRf)w#pP@|xC5O=nyKw_u`5)`Zx1Q-}R zkbH%3Kf@<}0ftZff-Ncva-cwj=|E00JCKshCw_s36$K0sEfPptKoT2Z5*%_M6#@`L z!KQ<jy?|_mgz^k<syz-#WV$QC;RH`)7eJETJt`1u5s8cyq7E9KpZEn@R6q^@8xN|I z5XN(W!v<>nIY_4H-J$~8rw!Hyah_lcSOlTX0a@Gh72rf?fJk(pJLy5`2<(N%gRn#g z32Br>cL0&-KulPoTe1S4=nO#F3GU+rkdL9!vjCFlKng%9^>~Yl2`DQvKq3bui=OCe zK`!my1CH_+4okp+*}FvrWCFr(utfJ@F+`sA`Bc1#ZpQLo|93>3K`U)uFd`*7R)|%d zEh><#07*KabZ{Xb6#Yb}DG$~i|3Th^7iuT+!8rt83~?`qI2w|?!BGfGt>BnPO;{g5 z26aMX{t6^lz=K(X0aDnZC#*Hgz)?MQ8N7UfBqz9gU{MY6CrVWBKtwf&35)8T%ivKB zN_B{MXaG47HL5`hpivDvG62K`smB)8lRz%*-UANs7om$_p#m}iqy?NZSz%HAbs?xQ zg2ajkD}xItOk2RY3j2NEAQK=#!_e))()^II6LOI^vN*^0i_H%iyQhF}_~yLmz(4hv z1OK*bom0R!fp<fxJz(kY*IR#q6m<5eY++?!aOl{gvKdtCwJs?DRq)VSZ~tVx=}=%P z!E{)=5F8GjEh>;8gZLPf4p$IPhcl4MHjH$*04*IhLsC5Ss&}H(p$|kGMmmJpjVRz$ zmVnbC?-D}k5aLgibhrYM4na&<Iy78DL^^DM6gi+&1WJ6zTU3zKAxIXz$l(IHw0jRY zz+ar54-S850SihksOc~lD$mL?32#)ZE+!b&lORTQwx~eD4iVKq@(30=5RX8#U_|wY zJW%#Pw0vg3GZ(0UAv&rPA=)sa8e%shsx23RqgrVZp{R!V6D6t_Afg(?ghjR6A|j%? z0&i4<WYMEq9^}&QJ>UR;@n|mosBVJFvwBXz8`V||2}bpDh*6y_Dv+>)#2YAAD-h1r z5RX8#U_`Y9TCQHZ0Ol!@qPh&C4I`={b|a$NZvi-}%@+`gYKT8kqIw1*szFRxR7Wo$ zBB~ScMm0zlJ*rJWF74g}4)7P>XTw4TR4!oV>giB<*1kTxQSCpUU{vpc7}eRL0tq`v zyn&+HgK$(sJOa^z5!DW8QGIA0%u^&qbvHyCMpQ%WMnrY;JaAO|%p(-l5PzaX^#nvz zgP5?WE|^C|R7c>AYLF~?RC|J4+Pw!H;4gS)!9oRlRIix{k!QW!gEy*E<`RtRix8ta zTT~!n2Z=XOR5uWgYKTW5S}>xz0xhbq&w)6a#5Ussh&GI<hS-gW>WVqws7{<iD5@d; zM2YGSL{x*Au&8dGLqt?N;EigKEP7POfn3_X2OQup6lcIf1$$H<oDP$RW)Rl>scewW z9!LOD3|vqK*O!nUTs9;F_p-LNp(KzF1&|`}5C*6Z^THXX&J9)F0W@{evyoe_C!9g1 zw5UL$07f%(b94u=e81ERX@qucQE3M^E?Y7{jZ3&g5r>I^wBv60f@HfrSh__Qr?D|W z8n&H1Dh<$fYdzR#-8`7lVAUL*Jt{hK3=G}8*=cMHojocVAPz)~e?5fT*#mAEBb`MC z8tQ?hNw^zXFBpP+2<^4VL2@H31)_QYp@+i=)MeTN2^Cn6=g~}1s@wy%^Ao=SD_06A zK43Wpc@zNT1CU`58Z-*P@rhrs2RsV!i9Zt3bKj=`8dcJrikv1u!yDlCUE}czBL)U= z0sxIG6|gceyhws%Z-m<gK(6eB#Tm>Yke)P}+tomBN9eo(4ihw;-3=4KNu@;vl+p>t zCdh*zh1~%x@F>lJMrk%UN=tJvqVx;2M*!9cisK8i3=G{pDj;#@9u*LexLADv>f^&= z#$yIjlJ0K6;ky@LEfn}J1?szGu<tfyQ^$AM2f?~qz}>uVUY;g42H4SdF`(hiDJs!m z`+dRoLr=`>Y*E<&3Q5+Rjo?Ap2_OzcjJZVx#Os6{#do|#1vDlL>WV-z3#2y=OFR<b z6adXEAE%)Ns{&XKl4uB~j&9N4jYxSt1R8X~V5hIm!WwklyoW)qha>}X5=8*mg;3WU zPy6*B+6`XO3d*VQ5r-e9pb`O5a&k`l^?%2N<DlUf!vmcM;e(uwhb-QKR9@S40F+gk zCW5mnMuw9B6`{~k2T-~OWejj8X4QBNDn@$1`N^XjT)#1aOqv18^aqfJyAFVjILP7A z*`s0s&LVKfCV<VD0u?s$=se$fsPo{9P;?_+xPwL^Ax0>m8ZiTE1Vk8agb>JxO9<C4 z2m+Y^8OW9Zn*d7{7r+Mes6a%){$K_9<H8hhh``DfR*q1F2@EJEaJ+$p1Vj{U0vpJL zK8Oj>PCvpeC&CaW{Q!;CcekiOXi#YisWTy?RJg}M9YA)%iU`#yusA;G(JT7go|VC) zdkbU$xA7Qc2x<?wxc7nj#<TOG<8en0P)2b)4jO-E@ag4UZ_mmAPAQI^hkSZv88Sf0 zV~>glxXtn1r}LUm_Y^2^ii!g(1A|ZJC2*XAnJ0ZaZ@$<w>DT|4YqtIU-`xVP;AZXw z)dSyuHXmT@JkWW}<KQ#q&JUd}Djy&@7?M4=fP0ADd%&Wd{~bGj_%I&pwsYx*REV7| zDkiK93@)7~W`6(a*m=@X^I~U@iUC;Y<mKnxQ^3-kzdEOYN6WjXfXe_-0DyYHP-B~q zvtXL+(s`nDii!>^1H(*^WgsIRzyEa9yy(&iF&HETHW*Z@yflsZ5AxR=Sq27N{yGEk z7o>%>1>8pN-lGB%MYSDdMrVtP2G|oQ{!#%8L97Q!gRKXd*F6P1wgU1Os1E=&7Q<g4 zlOg_6fcXn#B&xqaQecBYqctx-L;Q6DkH6MH{DoZmcJEOEiK6-oWJYI;iVWBjDE^WF z3qkw^k_KB3GOv4ziVdjl>V{P6P-8Lt1u_}pFA<o(Kt`ha3nT?L7-Zthjj`bPT7k!3 zJrI9E2E4a`i=pm4Dj-o*e}T;CY*7&adjiE@JYXS+zd+Jp>p|v$(k0Yiph6F7EQY^8 zCPVzi0rMBgNK}7;q`(G)2J~O%$Nm5R@_oYp|1U2k{{R1SYtsM!FJ~nG|NpW&<^TVe zajF0RzjRFd|No^*`v3pOTT~k485lrW{N*}S{_z%-7MRrJ^#A`6<&%XlDCa|Fg0NTM zAhnR$3s_@X5j48%&~dKyBxtmj9b6MOF~Dj<m|72()|32G4|PmYVPj=rC@p*qnk56J zZcs^tG<w(#adtQ4s9eqyosbEaZith+AxGtcg+VE}8#3(JdH`ZHE68X=&<yHJH;9)( z<qRkYUQdL22gHFk7C_9GW&goR1>_QLh+zmD!8Y`OgRy%GILMkm{a3u${PDjc$X#G* zh#shOp(PN8s+YO{!4U#V^<Y~WA+Ce*kGFtx0$A!<8ghgbKqCY+i*URJJU;^sFi?U3 zF+mXmlJ)4u9w97f5du?-h!AE_s6iq`1JvbW0MB6RK$+bwDj;We_kb-2hdfACH^j-^ zJ>aP(urNpmsPva-V1Pvk6Ub;tgv?9>2LUL9fcy!L5EutKVFeP0MF_|a?iLl0nV{lQ zo`C^sbaxNLJ&?i!C8$A)5vo9jL$e}=Dp-Ulz_LLI#C0(K@fH;on3N?-gsgx@$PP%K zS^^qM$6HinpiEGNfMjt+2qRjAz|<llgaH(4kO&cg8hX4%MFh(1Zcza_v%5zHWH~tG zL8`hTPVVjjI|M8Y($Njk2#b*aEDQ{#kO+B`3XTwvA)tT<M+l4q^$tiJ79k)zxFLpt z%0ozmfNki3xMvDD$WVeBEDg~Eb#C_*u=6lf!6JkM7V66(u7mN9x2W*Iq<T`3bI1c| zgn;HZj<={VKtt(xiwX;r35pPqEUpOo#{ybYgDA3KY7r6g7Zhrc2>Brg%5>ly@(0T7 zZcza_v%3duIXL7&s=6Ug?(P9Q1S|~F(cPi~qF@p72V^uPLhK=42KfLK@Zbo6aiHD- ziNhiUWCu6Iu+A105D#i}cMrrpQ@}xn64W4@5vo9jcTWL34?`6!LO#er>yTe5;N$}1 zgLc8dr0%C6M~H+7qz(bK!H&16ynu$%@fMXgP$nosK(e?Z<TqM`z|<ll<QFK^AQAEa zYUuG6l_yYUcZ&+hncY2L%fTTJQq|p}@&z*50&)mg7^I^cq7fD$KS4%AB4iT8%OD?s z0v;S8Fb>o^AaPiPfb8Ig7}nXM0^&i9?(TuOXA0PUl%Pg(0MxnNQ^4Vbp$ZluH(;Ue z3vnHcf4oKI4ou1jB|-wA5dxYkINqXi0UAojTU4$<nV<*($>NHTA7~K*Q;Ud@@1RhF zM92xKp~qWP&On*nEh->qcK3iS2ZuaJRX4=R-92E3fQ3Oix*-~25%LXWG$cZvCWF%; z$OoW+2S*5u1N9C_92OxUJGdc+b+)L0cu=Fedm!$a0uC~iphj{4)VbYLz|O-^1&fda zuuxwBaUG0*yhY^*OsX{*IfryWBLvi%KMuKO092?PZvo#-0Ahk71SE?qLcXF!2uv*^ zLcV}P4H6-sL0FKXNCS%9Eh->qcK4`&ZbtxzJV;eH#L3+~V26N(!50)j25n#w@)=|_ zBtk48UIrNg3V3jYz&KFvfW%=D0<wb}Vi@9H1+Wc05cf<02N_CGgQX#Qpw8`{0(KsT zDsY5=Mt@ktb5I6GI^sbk5_n*Q8Pq%f&;st?_p(;!qo_N8rtU<`um3M+&ixNsAJ@wo zhpHIe(Ah9U)lk);8(Iu9)CFd!B&uR`L)~D8{>;PcQaO;J@8>{WdIwc8x}hJNq2Awu zst(<yC!2r$e>n?g=yX)Y=!VXL85)nO4&BfakfE+HLxWHiqZ{fDGxS$3Uhm6;4E-=0 z>ixf{iqQ@I)CBeZQB-y4E<M%s>;KEyFhc`S6{8zE7iMTjC|;MAf(&(o85)e=P!E`) zpHb5@x=R&6hJKs{_5Lzc#po{m+z9plJXCe)hMsQx_5bA@n4y8FiqQ?72QzddsycK- z%Rq*@!whvnRg7+^C(KX>U%X+e2r~53OsMylXQL=aH}p#b)cfqHmZQ7$OvA7LFXzGx z4Z?5ee3+q|P}QLuS`ISQ17_&oEW9rDf*Bf%-%urxp`T|!y}ts#p<nBv-siw?=-K*T z|6k6785)e=&;>9<y;0Snd%pr?s3*+Of0=l_?+r8b8>%{VLzO{>ewhySzGfI+L%-EQ zz5mt=MIE}K=jwj_e>op!=oQpFjh>_y!VH~(-_S~sp<XaU>+u`v12fbazo9B1L%&Xg zdjC`ginq{R`n?wFeI``P(Y=4Z_SgTH3t)zp;x}{=%+R$VD3+reS_LxH8)m2ienWj> zhDP8wR25|Cx2aI?pH4?{0lN2p)Ihz@jNi};HNXD9TnICCn?GJd7sCv-L$w^;`_&*r zePD(r;y2U}X6VZ_6wA>KRRbCNeG1h3JopX$Sq=666#Rx>tp4@?<sz7&+x<{nfF7nx zV20Y`H?#(1s4vXWB>aZ@!wh|uiefps_tim${+JB)J}-Vle^o)fKNY{Bm#TjKf4LZD z=nmAn2i^NiVTL;3H?$UHs2|MGWc-E(zzls2o{H~*&gUFN_r3<m(4Ui_-si(_=<iCX z_ov}E^m65||1X!o4BhF25&`JmUj{SO5x=2zAVd9OhNj>*G!SO!n`9Kr(Y>z;GW6F( zsQ3Bt8~UdL>iy~X4ZTwF>;KE8Fhh5FqqqRw`^#a5I^j389%N_$%+OT)h6ce5eVc@0 zIlA|?K!*OF0QJ5AenbD3L%lx(zoA#lfBk>C3})zV)Vc@V`zv6EI^#FA0c2<(%+OtN zDBeOhG#F-R8vZcV1{wONAL{*7{D%H3gL+>OzoFO4e*J&B9A>BhenVHn4Bdm@`;8z& zgJ6d4j>YT!5SXFq_zl$o8Tz*m>isnQhW;;wdS3{?q1Q`){eQUvX6V!eye?e@GjyRN ziaPXk+5|E*7-pygenUfHhWg+)R2O9Ezh0>K567dp06j@DzzpR^EhErfdZXmm|CcLa zhUVcnbae?dOt+!tJaj{wL57CF43)%hXc)}UMEr*8feiiM1NHt9{OObtW+)GSLvI%U z`u}nj%usjy5w)fm>ixy`C}EH8{T7g+p)f-~;rD(x%uql4hU$Y1Wq=ua6@TJqf*D$h zYB{<~Zx#Le|8jLVG)x=t8@jd#>U{<LhPHwX4TBk~jo;7+n4zapBNW}G1|UNjVTNW! zqC^0C;%9~#IxhxA9lD{n3qcDwx}e?{#c$}kLa6sW@f+F(GBg}!=z$0n7ofW|5@zU^ zXcTqmE;R%h$^<hs8^56}Fhl3#H}p=yum3OCc0#>hgsK?brRxiz-Y-IpP;{5JgA9#; z8Jg>i;w^MTqhN-nx}&H=H`EAZC^O7Z3H*k#!VDF_Z|L3pU;kgO>i`e0gBC4<#*1Gr z@k8a;`=j!s0#Ny;fv9|eAXNTqH2$$*RQZJ=sQj8xRDO6ED&Hs^mCqZ2%71~zKOBiF zKQ9WEUm1<c4~aqL>&K$<x#CdyPto`X;!)*iC!q4n5>feqNvM1s$mlveKG-2MK5+gc zH2z-51R`9124qqj&M$_nI)L;2GEnW)%tYn0WTEo!qw#lTqsmXsLFE_ZqVm1-Q2A>4 zsC>o(RQ??_{`Nvt`AJ2n{M=$xzDEfvU%3>O{|}9SvkX;!OF1gPzXFw?Rf)=XtwQB1 zRHO2Lqw%lRpvrHoMdkO@q4Lw~QTa{{sC?N*RQ?Y%{^cfA`E|{x{LU6=@#l?Nre-9- z=TBHb^Cug!p~asvs=5Ve>N;TRl2O&&K&aycnU?@l=Zvb(BN1*dSe*q-oxV9r2`PZ4 zP9Xc&|Ce|7K|NC!j-nXdGmo>No(V%$hwho(Fm=;V)uDT4GE7|=sycMfWW&@YnW4B3 z-80Tvzy7}zfO#emb$k=uGh#49(Z)g1J@YOT>gkiH=AnD$7);$tRCVZ{nFmuh)fBI1 z$})fbf9bpz8Z#=W!*J-H@q`)rCm6+0bk8Wl)uE1RqI>3N2GrAcP}QM(<|0hp2@@21 z(PL(1#;^Y`%l1G$(~jy$bkEel42?%shwhmWm^yt_N1}U12d0i6RUNu#SYYaY8{_rN zopey&XE)R{hw#VD`gCYW&O%j(?wNL&x+GL}=$?s(sdGYAhwd43m^wZDp5X_1=FTpt zXa1swIC{)HN`rdlDylkk&+LM!n~JIq-7}M5>Pk`7p?f9^rY_M4Z_GHQ{rdlsALbbg zR724{BMLKA0975jXWphlJ$)hw#d37d9EGV{fvOJOGjn0;rWm4_hn{CjQ-A$`>9i9X zGsUQeqI<>zW~eu+I&{w{z|{Q?M6n#*Ge1(Gp1zH$4&5^sVCs$=pqPj5nH4F&{=Y2U z0rku}R724{Qw=k;4OJbwXM$ns^ib8Idqx|kjt^BGx@VYS>VE0t^~~*L(Adv*sApaV zpeRQ7%(`S~NFGF0hwhm+n7W0i>d-wC2UF*Wst(;VW-xWS_&vi1^33gRP|wJq8j9|j zhe=S+d_kQ*LHEo~n7TWt>d-wi5vHyLRUNu#GGXcx^iTpDJ()Qs{rdls59S$vR724{ zBLXv29aSB=XWk@2JuQx^4&5_HVCt5m&aR+)W)4i<WL><TDM|eG|E1$rXv{RB8j9{2 zcbK73sOr!?BM(y-gsKkRGv5=Sp1y@TSAy=D^DuSCbntp+dBU&%FH5#SJ+l?nP;}2! z!3^z1Rfq1GAROw@J);Fv$BSwnx@VYR>V9hD^~|k!(Af88sApcF4wa&NW^Ft)BoCmf zL-$N8Ox+1ob?Bang{gBuRfp~wQ<yp({GQ<jdFIw8sAr^64Mq3NgE**XKBEqhqI+fs zOdT_-dFY;*08>|tY96|0GGOZBweZG_L)@?bFL_~}@k2Ef-7~^4L)B2#p?l_aEY#C> zsOr!?a~P&>8R}#Zx@Ts?)J@XF>zU%%U;ke^Y=p*4BdVe3o^gX28i}e7-7|79b(N^< z&^_}l2I}dXo+yq)_slt%x}zE>>d=ekWih}0zbxJW^~@GjL(x4`2{W_@RUNu#0%7XV z%tQB#CQKa<s(I+1VT7ssp^n!xH={vwf9s*1dG3Lt7~L~#qM;$VA5|T?XIfzD-lM8R z_e=~-ojs~LbkCT;)M?}Q3=haNH`hTuBZX=xx@Yc3K|S*cb(9p{GuvV6v{21M_e?)b zT@k8z=$=W3sf$xX32gLaW*_zI|4Sa2XM9l&MfZ#l%urQSb?BaX6$$k;+ITa%XAZ&C zEp<b2B)Vs2!PHGuMNx<DnWD&F|6kg#g~m(+s-ftfafKNgfvOJOGqNys3sBXed**8d z)YCUyQ5=cxnX@o;M^sSMp?hX&#IOG^i`GCrvl-P;bk9`44DCi$hwhmGn7YfT>d-x- z0aM3~st(;V3@~-ymGOG!MmT8g!)mB!p1GhXM)%C>aA-*GLsf_FnP!+eK2%4ddnOvD z&JNW)bk7*W)M?@O3^&L#H&#JCBZ+D#x@Yc%K|S*kbvgyzGuvS5JW<U<_e>v5T_LJ@ z=$=V~sf$%Y32gLaW*7GB|4VL|XM9i%MfZ##%up3nb?BaX84C4u4XQeH&m4rQTjGS` zNOaH4gsGdLh@uYNGlikQ{=c+a35}V0R724{;{r1@9912<XJlaNwxg;;_so|NsHd-^ zE-*m%%o&)v!wPskvn1r#|Cfa;pq|-;YACvA%3+3fp{hgoj6Y1>D^zvpo>7OX<3d%3 z?wS9=P)~o8$LpEv!JxHY%b}im>VTpc-7~9ThVDgGhwhmsm^xKdN1}Ts3Z~8$)jV|1 z7{SzO;`a;}$TQcMK|LdZYACvA?gl|a=mYA?19Z=9g{g}`H4oi0y)bnJsOF)2CKaYG zMh+#g(esRL(69e5xnQ30Ml}@OGXgL}l~L89d*($T)YILl>d-xN0H$uS9f~8-Ju?HQ zu3r{K9lB=<0)PE~X}c5}Gj*tjqI<>}W@s3yI&{xS!_*x^Rfq1G&jC<RU$aGVB)Vr# z!_*y;K~ab9nZ*IW{=Y0(0`<&BR724{QwB4%6IC6$XZ&F5exj;F_lz1$9Ve<fbkF?r zhkE*}G+xhK^9QYcT@3Zi6B`u8=$=^#GjtECI&{x8!qn-YIuhM8kuY^OsOF)2#t^1X z1HWfDL7us`2<jPeR724{bH@)FLhr3nJdN&|EiiTIsOF)2rU#}jAJsf`&!oWAMN6UB zi=NDE{C@p^$qDm}7pkG?p5ccXs)VWz-80X9p`M<Fst(;V`(f%9S)n)*-80i+>iQ&6 z)S-JO-}l%5mo^KbF;k0bD7t5yV1|aGszdjT6inS&RCVZ{`Q!uj^i@j~N1}V?6inSg z2^4kco>}Dc>;KFA1yIjyKs6NIGo>&?J5bf3d&U>0jsd@CRAK5kP|ZX4%wKP)r@x5f z^~_ao(Axj`P|rNJKv9hDnH4ZYccZF9_e=v!oiVB-(PJh8rp_AGJao?(z|^VZ_Y4Qf zGgs$9JtKx{D7t5EdqG3!9qQr&bkA&tsY^pO58X4}Fm-vT=AnBg8Ky2u3?;D9lbN;G zum3MOV4m?rH5A=5d@w^5QPrV)=9wqd(=$=kp?hW@Ox;4%#R}-2nFdqWD~i`Md7i)i zzqE#Jf~`R{6x}n9FhfI7)uDSv5~l79sycMfeDr{N`U>h|1$57xgsD3qg4Z((J%0Uv znFrg_x*pX~bkCH)3~fhMhwd34n7aR{>d-x-0#nD1st(;Vf83#-{w$2wGgsU}d!Jw% zR3D+PfI#=ma+smJP}QM(rXHrw2-T73o(YGkvqCiw-81?yb!zxM!w&My71(xDQB*_G zJ#)(q8bWVT7Z;#=W)n<ZDyn(tp6P<A%SAO0-7`rrb&*1NW5&wu*Z-I7Fwc0P8j9{2 zUYMZ@sOr!?^VAjU=^3c%&^@ykrfvc1Vg+>1OogfI5yb16T-RU!Us}O-!d9aiitZT) zn4!U_>d-wS0aJGxRUNu#KDa<VeHnGJ0=j2Tz|`#*!0VX>F2DZ2%!Tc1U59EYx@U@E zhPI)qL-&j~Ox-_Jb?BZ^hN)viRfq1G-_B4^f8xjMnaj?gy`QjMst-|DK%jeO8O+d~ zsOr!?QwLLLi0Vjm&xFC$S)!VU?ioFpI#v9hVFP*QGHlPO2&$pzp1J7+4WT!viwn>_ zvk|5)1=T!s&ve4n<)E5}?wLfGx(GhJF=OfU>;FqOm}lHk4Mq1156n<`RCVZ{dEyB5 z^mJ5p=$_dFQ#T)Vu>!hhrohy7^WybPj^nTYFD+r)VXIILMfZ$7%+MfIb?BZEhp9V- zst(;V?;W6?zJ$710o^mlVe0nr;PuRWhhP6+=D;?$u0=Hz-7`fnLt9bRp?k&)rtUAQ zI&{w{!PK#$szdk8FMFt`KXT*s%q4r!-gnqm)d#36AkaOt6lUlSRCVZ{sfDRCKy@U# zXF_4>EKtou_lzz~oeF->u!1~u3AXW67}Zd8&)l$shR|!&#Rce|*#J|QjA|abXF6c& zvQf=L_e=szT{susn6a??_5UR+%rkDNhN63h8)m2+sycMfJhp{;dK#)abkFRDshfwo zSOMKLlVR$*IPrQW+xFN0mlm)+v6ZNXqI<>;W@sR)I&{y7!PK2ZRfq1GcQ#N@UqoH3 zfbN-NFm-!5@OoyR&9DD2vtc`2*Pt4T?wLZEp)IKD&^_Y`Q}+i|9lB=}Vd_{=)uDUl zr!~~mAK3AF=At#|><`#})%&O`AkaOt1ZL=VRCVZ{se!4}M|C8+XF_1=%u&rl_lyoq zoicvUuz);s5w`nO2-Q$@&s?{HhR`e2#Rce|Sr1c}glZnTXWC)vvQW)K_e?xYT^JkQ zm@&8d_5URc%rma2hN63h3udS+sycMfJhFs(dMc_qbkFR9shf+sSOMKLlVIvPS@C)% z%ktO%m*%jIu@$I>qI<>`W@rGaI&{y7!qlBWRfq1Gw-!)OUqD@~fbN;2Fm-!a@Ooyh z#jpP_vwBeX(<Gqnce6&@?<R(}-|ZtB{|wsxx8-R2-<r_&zr~{Mce6m-?<S14-|Zb5 z|0LS}w<T!%-|Er!zeS<#cQZxX?<Rn@-|aOT{}|f-w}oi?-)hkIzlEdicQZoU@5YO^ z-|Yn&|1jGAw|Qv$-zw4ezlEUfchg7P@5Y6;-|Z<H{{Y(lx7ld>-^$STzXhW0chf=J z@5YX{-|Z0^e=pkpw;5>r--^-pyZNE*chf}M@5X|*-|apce;3;Rx2b6R-wM$7yLqGS zcT+>#@5YF>-|Y?>e>>X#w@GOG-*VCRyLq7PcT+~&@AeOkf3pQO|88kT<@dLt^0V4e z`K}$%q2~9ft2w~;qJplLJ+>2k^KF3%!8?!c8XzuZ0o{}WIV2c%aX|#q)vS=yYGL;n z;<!ctaw*FUeMq4PyGjOfu*@fZfyN84oA5xFqat140CssZ<n{%~DXb7Z9*qaV*Kc;7 zhu`J$f`x(M#WZ7>4WNU!!8hQ6Oax!L2@(OFYuN!h8=V#9(#?2?Hs}=v9;~oSHz8Jn zuT=mCoVhV5R9F>^;TI@@&h18WFXYC9E#M0);rCsDLVk+M0~XL`Lhz-&ASUbrB^P7( z1xgkmhr!)|eCa0Wywl^5bFe`hqoK!jgP0%>fn?DyP?80?w0jTOAuk^2!9oSq3fQHa z4SK)+zf|r;IwBNtfe+~B4cxbqfMmfp5Gnp+MchDihlK%rbLMUEDWROKu(Q~~szJBY zKyDy<`InV?HxSJ+1p5&dd<YLfE(?OCT&y<`B^ZLD6>$Sm$8XRz7BDSj-axck2kapD z6&!n@rzoM`Ky(3=Y9AnFHr!ziPPg3+4Jfx0L9Q;?1v=#P#ZO2ygU*jfxg-P<>02Pz zrF25CamfMMi3lxZQ*k>16qexV{w9TV3C$U3be{%Cw<$9$y1}YJ(GA-0-OamC3Vh)d z=q@5cm(YMxHPpMXyOThML66V}rF-ZR`XFXE;>K5yBIJ9MjzC>@80@lbOdyw`-s}U) zyI@_6osj!{y2-fR2j$WvVSSX_eQ0%Q(jKVSc7wh4jS=KEP_ThL0}FJJ06fzX6Yw}f z7<&9I?{x{prAb>@7#KRHsB8w?KM`y{YS4DGE|H+#rAd2qQG%7kOOuX)91gy8t+PjE z4K(OhgPr~nepLiY&~@|nfm~0eOOyWT{QCd$#58bq4LXGQKjc0J(AmNu=1bY%IHf-P z0ZV~uFOX7b!Ur*57W@TEVKdP9A6N>Tu89ySY`RP!&cY`3b0XM4Y*Je$<CH3&0+zz& zjH?hSYz9_LMN~!I9X)RtK-C1O&H$xnaJj(R0KSB%MdbnX5+exB0BWk-kO5zP22$U7 z>fkT=gRi6+FCP5Kdhj7L^u9ansT^cDR4?dKyv}poJO<rURKVt3Kloq%;CpGu&I^u= zKO7q$JYZm8aM3*E*a5l_XbB4ggG<NB?kOrD*5y~w>ww_qO#xrB*L;Yj^IErvLH8aN zkdcm^KO7JKkas-zLfWzOmn-8T$HqqxQ?EO8`~({Uy7~vZ1DYQ^aQuG5rSm}NwcZf6 zC!JHk7rk{usMbsT^AC3Ps4NC0@BVZSP{{x~m3j;0k|M}`Wz7%xp*NRxx2S+Df(U?E zpdt|*$c+aXtU+y-^E<((R$FNya$tAI5lF0nF8u*{6s&=D2Q*d=Kw||$gJWd}BvwG` zvBb(1$ZZy&))c5nN4k#%WH?kWND(Ynz~<nMl{xTO0kOaV3NjvU-V_y(c}TGWGLnc` z0pGeZMFpg~6V(Bju>vk~r+}~DgT=~haICCkN5l%qRo#$VW+0IO6#!WT5dg7}Vr2z# ztSr$$j+H-<SOHyG1o9{(Rz5&uWdSr+AT&5uW<X*Eq#jGGY=OiIsQmzq70~TQASTFg zs9umFSge4}K@AkNyfOtID<Bp)RzSwX&6}bEG7l+MKt>V~D<Fq;Pf-D>#v3coJEuTy z^n*~aSeXotmDg;DSOK{Tdi6~6gZfTL;~RSE3`78AB~q*e*g*0M`2ICMb>vtvc?&MV zKo>57JPL^wjkh56Eh-JrSb@;sSgC--3P?ScSeXKe70~rEps0Y}T?1l*42S9kDT2ic z*c`mE(gTkb5DOeDAmicYO;G`vhZHLyBZ-I=&>cbDQ&d2z3C0TOGR5vG;5!vzvC<8W z6<wrQ0lBIhat#qA5}*Pgiy#6Z7E-MIK#r9PRYa_KuttC*;5ejfI#&tYS_j?n3ylv* zEG0yPF4)_mk^mBgwVe%6%$a~}PAJ?Q4>^!dSSKPP7R1}40v3gt^FjsLJtvUO;f0&y zfMQNTIK&*VD9oG%$mVqK;3#2Wcri!**Z-a1%NNfZo&-fC#6OUm7mq=1UhI&Ec^d32 zSZ{ze0DNsC<i<j<MQ|DT&5K}1fjh?Fn-}F&e*HH@zIpKn*a%3+9n=CviW1n(ix6S> zwTZWt(Tq^=0NqsxF#>dt8IlpOn-?L%a3f}bj6k}1Q6Ly(0>mGXOB!L(0lRq-A`12g z_~ykhG!q<<ZeE1k(g-sFcJm@c6l?-G=Zip0fOq>~>KQ;02ffV_a$94I3WUZnWC9+u z0JV+~k%w~g;%X)2n-_D>v0%G-5fra@Z(cM#$AWzG;!I{xp|nS(0X$d%yLl19o1#*| z0>62&0L%p6yy&F(>;Fq5*T0|}3PCL!TsIV=-Mk2HYT>zgF$Yv8q29ch0Twz5zIhQO z4ZfHT)V74)dj`6p5Xo4K8}C4_gWPzR!UDZ{5oDwz%FT-)DX_tyw$RJN-@w<ifogkP z{sP^x2(7<C4gfb+_TavGF#+rel$-owz(NpzfuzCKgIfWJn-`Ic#qbx%br63=!2AU= z64hTIDX_ty*2K%k?_hs{>PuYy0^P9)tpP#)0$2BVZe9!ldjiE@0bn7Bzd+Jp>%kQ( z<nlB$V=?>%avj89J}`fQj70SpND6E)s6Kva{{!qVP>qAjU!Xe{p>+tz0pJP~&&`V- zU{9d<%LOb1@fS!MY(2OpngYI!4$W8$e}P;F@s|V4Umzn<{RNT&8w{$^UjF?-be9Nn zpDX-cS5TJ-)H{ORX-aCB2&$K~E|Co=guq=Q5DVO(0OeCqQ3f_|fVxE1;0A@f9io{B za#c4eT_OwQ#<#jGq5>z-B?6U8An!wHa5E3oJL>L%^dvB21=Kq^4(S$v+IDDNBB)-F zB3LsIR0^QAvd|jeCh%ARvB0qcDrG><0-HBLT_R&}tR&hZVg=+XXpsu(0YL>oMHfT> z#6oHiJV1_>iPFfig11WqDpf(=htS|y0rif$dmudt%vb^SPH>OJL-m3b!D0nehT)GD z9eAvOSm0Ozm60H4fz2DBE|E4kRu<bJVg=+XXoUc2YeNM<1usMZ#6pUd4&=u7Z%O1> z!P_MQRW%^*LuhcUfO<#WJ&>LRW~_jEC%A7Xh3W+<g2f7`1jZjLD)3kVvB0qcD&axS z0-HBLT_R<0tUR?w#0tn&(CQ2l2~Yu0wE+<Tv5;cLz!ucTJHHd$Tuqfg#0pxMs7n;q zI{;OYAdf@35Hp}%BCsf|3nGhR4oa8E32qL!69w-}On`QYz@jj7u8JeO2c=8&O9bW~ z3vllP*0Np!YFT%0Q2~p>%;`lo2ihg-6apL2%UbXlG`|C%kdXjiyLN0RJLoLX5Rk@R zNR8mp+oJ+1d3<^y-G7f>$Q%)TX0P#31o%SrLmVERQ&d1*CG10s-H@@j=4S^$T0!bO zx`kZ2xg47x+PiR`>x4*mZ-I=&p{ep>K_~;YN#Tasqw50+fK-4i0moJ2A&n4F7YO1$ zPyk`CQM$K){Rwp+NFBQSK*7+x1$+-Ux+-M%fr@3gVIb$A=mQCWR3N$UM<Bv|kPhJ# z=sejWh5&>VsH2Cy*abzrJOe}XvmYQ+LF(X6XNLqWxRlxgzTg;L71-%eWuUSPZWw## z7RW8XFnu5akP46`5O)gg6JTIq=-i?r11c#%*WQBrOjA?@K>W^Aj=Ml-)q-xR=Ku>_ zbOaBh`gG3$tMKWRQ916S!U3AO1BrnY`E<sp2po4&kpN{)k4_gAh2t(N8X(RFh8Mp@ zK$DcPsdISZ{UFW2uq%Oqfng^<11Mj&s4#%06nnv{Vab@c>;Wr-NADDHEPM2terIN5 zfF)*l_ptFmf-eJuXY&CLAJ7z{9Qck|Q2Pkl`~ama5EFD^JxJKG`QZVOW>9kW?PhT8 z7I18SWbev((WSEoJk$(Q2-1Y1Fn|R~B}fHGCDcfJEV{vBAax+?KndHk@j!+*!mXeL zizH>?iI4)t6_V5f7lafjtsqG`_#vb~!vffI3dp6PH0{{@@CQgUNG06!>`>2x6o3?h z(mIAhu;*baK`KBhK{_0pAF_iy4`PGFKyolK5F2D2$j!dp44?!9@|kb9v`6<GNXgeN z?YI+^B0V~{sQh4NU~uU?2P%|4fSIQp!KE80HNF4~ob>62C<Ddy17-#W-_8$?J3(VP zKHYm%K$?6yZNLc>H1_Y)y+j41$fq*}l0YG;c8LneFpwZPp@MaH#;6z^cTuqbsqpBG zQE@o#qT&JJxTpjicTtIere|#--08UhIX&NCW?*=+R}iEcmYxX~%aCy!NU;Y>pM$Mf zhKx)>+y_d%*b^JPBn4L+Aa(Gv4O(r0(h4n#<p)S*FDRjbiak)q^JqM@!Eb03%U3|@ z9CWoWct~rC$_Wr3xmZ2`7C<VNK`PLTWsoAg#c~QiC}CnRmK%_h@eWWj{>BGV4NJzn z_iv#T%NhSbTu2)Ol-ofe?%8<2!v|3^;ZDq;A_H264q&ky;DvB2D8V9m12mosuU%vr z7?7kqT#<C4N`VHFVY)ztEs`$KFf~jHGz^P96M@17lucj-F-Rp*B{p0kMI|=K&Cn7X zQh0+)Y)GDx1{K1fQ1b0ILloW{!08fPc&`96PdV=70N3q3Dht2@CqZR2NExW`o&her ze>m<06|$g$8l=gmQw6E;UZVn1<kOjhRCssBKw1|NU30)DgAzKp@CKFSD22CAX9=Y6 z1}O)%OcIW}sAND(@<bj`qQ;&DCLm{l37{<SnH!`UmIVlw<Q3o%ct}Z!JMqKY#Nd(= zqz=8L1Z9nG8Z@vyz;g@`_kp4kdm<dTl3W6J16#p=Xq4nVphVx@qXMEqO_~M}AGsv2 z01F_M<RBI3B{@hDD1qZ_V9(+NB~0we7_|Bm-oP#ZC1V}1YFIMn6}<{7x<%Lh08x+< z95f0HD#1M(k2Uy!Li!j7sBH#HoY<QqF3^EU$L0qIK$<}+J-W?Yy7@pIKv&KaF3=?# zAcdgjFor@ua90tk5~Kp85|r{Cn;+O?(G3;@sRLODb!&$g!mXeLjU*KTo{@uk1H8l# zx~Q<*%%^(_xEbo(E#$ZZ)(p)6$ICHLoF#ynha7i+rrAKv&<L==K~QlHl5+$PM}XS3 zAPHF9fy<%pIVvE7K;;oQ?m$J5PiF|C_yUzj;9?6}9$jR|9g!Q5%cB5LL?(k(!y=Mk zd9-1Wmq!hQygUlPT^>aYk@Cm~lytj$R6sN+Z99PY$mNj*SOBR!0;zzdX>jrdb=sIg zlc{(*{9LTKlkoxMWNZLR#&cLes$t2PxAg+3AQF}P0-`X=qa8!2JUW28Jeojad87f3 z7jSu`0A?bUM-pHGaCrohLzG7#30U00$|I0L#Fs~o%%G^jUa?$2jz|GeL|$eBsfI-) z!Sd+EATN)04D#}*19y2eVThDRJfNi8-J=4cLFEwxh>u(z{a^wO2O*V5AQiAQ4J(g8 zitx6Nsu(~C6MHfStz3n-k3KLlFudRatA-_G-mj-Y1(9gqdk}?D9(@=><<Sq^<<SWe z%cB?IcmbD355P>M^5_Ow09+n{<PhZ%NCFmju<{6G5b@>F#(zKmd%zbcp^hYfKrW9i zfFjZvtQr=P1j{3ZK|Ue!VUU+c2XL21Cx%FQbOw}kyL(hXG^ji}0OBK;M?1g*NaYbo z1uRX&$|H~>yyelW-?)=810&Le$Ocd{t^uotC1c*y<Dh~_^xrEGg;5@9_#*m1pkCNu z?ynhmBixGCUt{n@NI^!N@b}kNfa3*R9xVVfk;<bPU;%J>1d>CPM<5AU+`-BtkU_+k zM~c62N2CC9L{0!j<mR6s)v$;pSRPFn<mFMqAfKQ$2m+-c$oLi{4Z$a9Es!P&Kx3PO zb%M4BlypJkM@*mz(kUtpAU<+=Q~?%1Dvv-aU}+jw9)T3$EsvtUgAyk8_K^Z|GA;lm z<2T<xs$t2P_v#@~K?I+m4SNRSLdqr35D92(#<TIjj3G2Zy8!nDZ2|5H+6>$iv>d}| zf;I!3;=p7431BAD1Z@OZ06akpQU)614`4!@pap3{pP&UP0?oxD2FbdYsDKOu36e2E z+w}#MLb0c31LXAV0ZPv@VAZhnOt4ty806j71xUpns8$_p!}=?b%3ic$xnhV+(7J%q zd3TQrhz2ziEkJzaV%Y#JfK)7lRG=5jAVqkK<-;FwCu0ZXWUK*7#-U)<uw=|@w-;0n z!;9tP;AJ!z#j?N<nxK`yJwf}z9We_FN>fM`j{xoo+5^rAU7*QMBwZ3nQlQyX?3rlD zP0%WU(<Qj@mH;!6CTIn~0^kW+kTOu=%>gdFktS$Cn$Ra`L5lEC(1J_`C3Nsi2e_e) zyt)SO1nq%$xU)b2au#3!Wq}~DYFHM4l;j@0qKEH-vH~RSBh3k20JmSEb3%C5j6ux9 zn6aYk)Yc7fp$>5??m`_jX9ig?0GWmZsl=Fu11SJ01TD;hc?zx&Wf~5o0;Ce812zo@ zVuQp$axgIv8!22@VClPoT7e#o$12=GO>(F=pwn=m_O}OQ8V;0oQKsR3FoK5vz}>nJ zU?x(x?gdx?+^qx2!Ipr{Q2}W}DQi1JkX8?LPf-EsgiphPX5P@J;k@49PIU>$sqO(I z1H+3uuR*FIsRXv})}vR{_cqp4H)9B;x{M)|>K@=ubsI=bbvM8%3!LgMfSE|C?gUr> zoa#Vwh*SsCgg4cJbmC2QS6+hB5%yMV0dlH407`XfVAZVOZy={K_z_d!L;IkoPl1Mk zK#S-hH0LhR9Czn6N6;u0186>b2gFOBod+O8BcS#2ATf_l7Vt_OE(QjM4Gb?#!4_h7 zUIVi8Hh`RW`~}D}tO3}a2fm>J&3TX_;vo1~LZ}p^Sn2^!&wF%pf|l|@JON+9yawd< z?iLjg4Qh!k0P#ByK^827JU0U@a1!h}P=6TYIgl94bKpt+?iLl0Mo=*T?t(yl><sn; zb{|hb_A!X_;_7p-kK?XmjS%qZh){1q`~Z(D@PUm`DZ)PP0ePsqMFm8Id<+VD7i1q- zfE0A1`WPgJ?qiTfbRP$T{ea!apb&yjlz`IXi^tEvKEAUF<YV60YamMW&`uBqt!z7w zd3K)g?7ZmNdCIf%vPb9Xy`aPgT1%3_$iUF~4&(t)f!g_MFDG=JSOiGoC1`mWNC~JF z0;=bIJ3s6NMW0V+4|q+kW9LiIN-~fXtTPE}Sb!FhftKuo`i<b21Pj7eTtGO`RlXn& zXrK?g$``}|tpfqM1(Zj@t9>DYb5uYs0ySU23&%i$pinC~?xF$;RZz~528RRoPy>y} zz(WnxXn(Qx>CgY5R0vM*tQHBNk)0Os4h)3o1n|C&7HGnU(G1-j%?}x&OVmM%A*qF- z+k>U`B>&Vy9aB{785tP(TO&9@n{1%x?SK}(gA_yTgP9DfYOyzJL25y=9^D3@h4!Ed zAACp`c!~83`KLescSBlM&EQjMK=Poj5NIa@SOC%*fNgPs*$1iRTT~!4LpR64m&_2) zfHpaR?1agHJmbhe^?*x9i;5lCJIlZa!5|+U*UQR&1(eKqUt9)JqJbMg6l5<7%+au| zFFw6JDxl5i;52v|wCEg?|D-)S_o#qI1AIEq!;_^3DD{DpC1~6WDOpN@Bwj+7Dt3y1 z3r0{{0Hqp;A<#w!SP-^E5zOhHqXIG(l!U-7U~oF_-l77s0o3>fr$C6{9u<&1KAkm? zC5s^Kpfrk^@<2=5H!!?d_!yJ}v8O!HQGM`~2O5liVGL3Y-^9d#mhvE>f|15x!k}n@ zq%nSQq!@zFfx()_U?xM7CsOLY_!1K3pfm%Ha`0{_<n+r2Hv1F!+zhmRqmcA_0-7@* zDYON0GF&&@)v&|_kw!L|7i{tln8~0WF{n8MW-=%p;@*)5l7;3B&~7!PoWc4CoHIb8 zkemTxb@za`RYL?IyV+nl17;tn=Lrf72#uUGG@z#{!el^E0LvLXVDGTOyrUxz@(crm zM=$GF@cJ3ZJ{FH&(PLmPWS0~uYk)!slr=!E17!`6B|g1VpjqUy2dJ3dqXL?R^69(| z&lf*H8-~F70yNEvlrLU@Bwm7wY0yNvZ|4bE7J=*$0;OP376D0u3RZZb3`*9Bk-hFY zP#d9zGblHJaw^DLkV>R1vPA{t0#Lp|Dx~+QfZPGfH{h%S*52u&0xqOMdzm&cyeNBs zJF9$PL7H>B0oqH)0ane*xCT_N@P1kiqC^*;2T_o!4Yq~q3g|ek?iLjg4XVvffcVJO zF=)M$OXo?@*aAq-aVMz70SXe3gb%bNfptQ_!2?pTf#Jo``?wwKz>ehL9UupX-~ajl zr92a<M_GWkwBtF-Vhh+iC`Sl@)*-rdLXHpsNrQL#gH~!H9c6)JEXEN6h@&jlFhGy8 z02zsTgaAkiY%pkv=S%K`;G+>h+r)7ljevHP1$a|9o}(;QVEStbSP0@TkTlqOP)XH| zILZRaSPXxGT<6kxqH~H0XvytN(AW^jNK}7;q`(G)YRQ);A^rkww8rHxw4*G*+p6&# zWibcr36%Jn0TzPz3nUG;9#jf+BaX5_G8V&MAlE_sH3b%5AR|%z1(E_A3@TS&wjTn= z7ig<8E`OmNWdYupjOQo|&|-WS)FV}Tko*Ob23rp*)w&T!Ss)pU;V+QuApYur`3qzu zs=q)|V1q$r_e<BqkfSUh6&t8_fz)T<L^|UeR1!R{0g{9_alnD%{v9HZs8EqK?}r|e zfiU^tOGb!1IHmlC$|LGEh$Pr#i=Pma5%mPzW>CHG^7+sI|0f`TNAnvGkIotu2anDY z6$_8<8WoFu;0?si*Fi1Q8j#rjj1o}0v_?e(B(LGo`k#NwfesfH^%Bn43?AJsDjNSE zfKKLP{k{yeA=yX8!lUtEhbc(Ud5_LxFJ4^x`5!EQ9Z9}H2`+yECchm?-a-N{zXB#d z9Z8-6A>RR$FGrGpfRN9C$%iA!8z{of_khWpBgrp7$ZNpl#gXI%5bo!I$$wvp@c#{j z{ufta{zsDcK<GaKlb??y582HP3P13c#}~~vp@vLCQe>bH_e3+ykRT-a1w3&1WNh+~ zJ?kJ#UO2<#g^=`5;DqZ}#wM>J3YTYw$zNK62n0~y!vl0~^syI@uRz_8EI&aUuKzGh zeiM>@33Zq}_<ZaabvHoOAZtHXCA$<ri@rc@pBG^eb?MmEIl$HFLDbn}R|npX3^tDq zqD~yUI`IBDu)2HKp`LuZ7!e2$)KERK6Q(Eww0Nf*l3iYCqbiyLQ{;@KXaky}e3&98 z(6l?uB=Et?pg4tunHNma-Gc}>DHx+#rwUVa=NLi}hYDPgJ*Zj108_LbNs$AZqT8^{ zFbPRf1)8F5Fh#jYiZ-Arng~<mfu!gKnxY)2q7oGY{_q2z_yt{53_wMag$L^maOQxX z6YT<3#~Qo{;lTj3<RuSNq=%$vhbBA}z;XNwme4to6eVb(D!K|&^kgBzx*2GSHoz3^ zM^bbFO;I;Y(JUlI9B2t44W_6RNl^!y?;K%@0+19P&_i{&3`~(WlA<4IioV10DjSlb z6}qS<U4kikxBw9Z1!#)a!W8X6QWT+sYElPG(R3t53TTRwp^C61gcD$;(1c)(Tipa? zb;3|}tV~FjYAC~8_CojqG^;<FkMLdsLjLo4sC?I9gggVHC;;cEb(f)vQjru{pegEv zDXKuSX$HckPMA%|@(Q5dG^FIsgvm!B>7Rg*_k_t?AjyLUNgyRRq!eL+IYJmo(Fueh zEHFbp&qH`O0wMq89Mq?mk>m?Z;K6wWCcha;eg#5)2~2)6lDq_>jnM*=mqscIBM_w= zIJoUEK^@_TWJmzQ5PO&*dPwpI;PQ}=_;nF#2q%)F6`<ZZIJhB-uEG>OnTrUH2MF(6 zJqtA?2dPlr0dErQ1Uq*E%n)}ZMIX=<Wy2IjA=zYrsQ0sBHd!IbXCUOgVe(Q)@;Bh} z;6bAoY%ohikra7I!()#PX2{n$2=DHI%R|ECAS}mhKvI+eImO4L^BA}<{9+DFQ8$vJ z1!#)OVT#g_6x~2m6a-V`h@?os4(4Wvb-FM`GDwO%&=hgN6n&qK@LdC%qQ|fda0yA# z4m3skV2aivDS7}p_y>}9K)wAJGhvE4kQ4<(z#R^5o|nKBB_k>7KvU!oQ)Gvv=m(l2 zEtn!nBt;pKsMfK<6n&Y62!b1EiXOnS&v_(89#N<!?S?5@g`{W)nxbhiMXgARB+wjQ z2vd}Rq=<nFX5EXzQ_wu-fh2zd(V`86$txhq7a;T-!sI!S<Sh{Lf-w09GZA6(03rYJ zB-H*BNb)NX@)u$93y|akxZ&>K2$SzXl9xcpPlU-QAjx+i<O^Z)4oLC`5b}XAc?Bf- z9|(CvZ1NYt2MB{&moEfi@(f7&IY1p=aAJP(@dVWW4`v|32i#|c$X|rXA3&0?K<M8H zlV5-&zX2gX5hmY&B>w^-UkH<TK$2HLihr2A29o>>4tV$*!sHc@<dM3Cf-rdoBzdH6 z;m6}J|4&EwAE{e-5hi~CNgk<NxbgVU|DX5;SZ_{cWdKdVGISpF=nesOt`9kYI^^A; z4twJ<5E~+W{1d+b@9L?n3_iWACg2&g8WjnT&g<Y-u>ijYSi1zMqtD^ddCjNWM}@<q zlSjp;^W=-t(?9=vG#~Le3~f7u4E5+d{^IQEpZ{M!Lkt&8KpihIJOFZ_!&HR-cJP80 zb%0Jg_E9kaTdd)6+(iYnh=>8ql>l);i+~_p4v*t3DxiU3k8U4O%bl}Z5X7?RoTzXa zbVM{%Fpvc*ssa@S4KXw%f>c04f#WjhBn}r91&_}2orgLPzPNl6>LVn_Pna;lqwx)B zzzQ_o0~#j+%|3#LbwFe8V476`oB&)@B96DHfI4=dq4eV|DlH%y6sFxSDiI!_Ifm{4 zmga|y-KMXmvN9NcY<|SZdGY(DR?y`f#~nIqRJ8e9wZONDfI<|cqsxP(;UQzoN&Z%G zusGCw(C{>9`VeIPaTk>u5Zw*2y1NH#?e~j{Cz>BHb{uLw&=I1d#oziKeAP!c#8IsW z_@^H1@KMp^Z+!w0cTuV6bWsWEc2NmwJ-|QZApf?5oh~W?-5?yIQp0(He=0~orNc)> znZNZ2vZjP?7nPb$7nPK57nOwO2aKIADj=a02s6d-fKM+-#789oH2n?=u+FXvml+tk zeN<8qB3Hn1G(`m@@6mXOK@b#8TcF%yJ3z9X*TIn#clhUjkH#aQ7&-j%8{|R{P@sbY z1>!#t^Yvp;2!U8H<v>?^KxbP)!37rN{0|xP0$JGI@r9Xzq0>jj2N9>B<DEeBv<{%S zaPa760C6n3eN=of&ZYNJF*)v{0y?;f;kb)R2`Dx|nm~$8Ag3;dsQBPJa?wXc26Tx= zh>FbRSDhg$1rXgKDkkt_=WA4a2%b3~qEgb0X%Xtp3=ca)R1CU9R18|dH)Z&!Nb~oD z2AN+obi1e&K%%Um+eM`W!YlzDbprCwaTk>w5Dik*?W0nHn!cp?TN%OW6QmQCxFq>o ze}dD=aTgWPs0b)*QlMs3bo;1eaGroBm6~oJl^ie+l!j731{~@LQIX(pJqtGAHN(qo zfB*mQc2V)cJ{s(zq62c62dH%Gu2IqH{O{QL!-w%$x19?(!dz5DP{w|JR0JRs5Fsie zoWDRJ-W{S+0@sc<((9w*0~+QHQQ?^hGEM+_fHy>i2W&ve%RQi!=%NBzbHMNtl(;}a z2TEz6paan_zx)IHA%WXPB?ap9lx`oDitZ4Vn&wac6)!e_{I3WK6L2ViLZ~}LB?ndJ z<)?rD|9dpQsQ`_?7J!Q743BOXl?)G74p5HibO9ea76A(8<1Q*OAQ~hOO26G4u+k@G zBG%GJoxe349E&g=h|<ReEDk!s4CE6m5gO6$qY{IfDAf2{<-yvzT~uN~aeA=BM@1D@ zl7R{fPzk_!f`95E&~bkqAu96xtwt~%37sw~Hr*~NHb}*hMYoHJMR$lw3amJi=<rby z=Wk_#X#yu5kTqibt(Gvs1eo2T{H>sQqSp)_y)G)Csv)4$2TX^6bAG3biU#N$e;*Z% zZo%e9j35;mof8|bfJ!2eU?2-Zv;``f;n8?-Ll#IyiAsh?<FSk@kSaw3R;6s%2d+{o z4!=AGNg$xbAfT)e0}9F4FF|1mV!eC~kp?Xw0ZFHT1+Rf(8|+%%_5G}%63U~ScO``7 z11gX-UV8rj|NrG_kUB^@I03((5IU-50IqpDeN+NK@e1a7uyp#Ugmn9;1b`+1d{hFu zeN;jq%#fE`{{8<CDzXDSdReXdK@*lWDjqvQ<D{Td^$vA{GEcXUiolE1{h)!>5ET!P z&JYz1kZU+z?*8}xKd8RX0Hx0aQ2LAjjsHjN1D)dZLK&o^y9QJl?tcMlj)2xFfaC+< z^8@~{`GElF{J`}-NJAmQqwyd|GQ6R6VjrZTbD#&Mp%dZJc<2Dg<n9uc2#>~NAodPW zP<DbFI+J?94INWZr3{(CfHZV0pbZ`HjD`WUp=03D>7$|nYUy+z0!L!TVepKEEp``~ zL0zOrl8YEnU8DeOdPzWCqyP#-0jP@vKrZ3{x#;J?pZ{NG{)gUqxw#i>7%kZgnvMar zJy=2E0BV~V9{TzJrQv^+>6{6U;f`U!9*u7%u!4ejk4gua-lEa~qPzEi>3yK(RWFjj zCPG9!y7y<4LNqJ@9sk+AM+HnnW_nsw%vl&1N_aq%JuP5~{|_K+u<6|n8Xk~**`s0x zI@cFk)Pw3@khRAe4wN!5Fv7SVy}TmeIO_mg*?0ux)M&>z$N0mb#^m8Hq(m?U?A~Kd zAOjdc4GfTZ9*u__K#uK(`l|65h>Mgm8oIzK1JoJ-wevJUJ_5IbTT~Q4?gKT1r>H=L zx2Q;frLXyP_duCF(1T4+zKB2Y^Z&~zSmOd5f({xU-3|_L|MG*(YZ3bY|36xQbUQd4 zcL1%BX8_j~aL=;}fYUuB7>~E8RDint4B#_LYoJV!T5#xhgXRrES-D3AB!?`{@%<vG z@i_%7!3k<~9&_N|cCB*?*g(+Dhfu?gx2TjrncWb{?mb{l->)nFYJSA%&~dHxQfH3} z=-gI^jx8$eAP==x@`Bckb+>?3!s3<<ER_e7DqscQPX;m_Qt(5LZ0(q$@}C*B?koXW zA?V^Eka~z~I$Kn1AUq3J1_n^`q(ue90^Lgl7XYaMExm!d9&|qtlnFY#8>GDlYzlHs zHAUqg*lr5}sQW>Nz}){AETs#RGJqIi!pgt^b3f?3(T*u9-@yvykrj5fs7Qd+x2S;B zcebd=KzJZQi2FgTZiqNU0Hor0i;4i$(Z^d<M4-%Ws9`-|Q&8Ri4Q%%%L8$vdhQQqa z6)bfeCIvFMy9aD2%>AJAPCKTkyay}XiL9`*Mdbzy14B2&5uGh6pu0`GA%YO+LZw0C z5CISis-n9Gtp5AOR!|M!F-7Ga*oJB`kSkv^fJ)LW;DiUtz*g<7pspPxX@k-%IM1?b zB!S8o=pg*IJ&>+3LKjavsMu=(=XVc?V(2Y1kgNd7Fdp5YTV^;u@eB5-fUa)?uL zW$2vf>;b#sI3(3VnoBSPXCT{du?JL^LZ!MJ7J%ho7C=hA7gKhkxsbQ04eBqb#V{8L zLaasjbO)$g3Om62%x*MuSdC!jfU-V9R|41-(D0dtq3ca6a`=E1Q!{)nAlojn8zp>h zfaTD_r(_43zj%XMi430)$l<eQ7m{792SB3-oe--C7mlC>u-&3rZLAEM;1aO22Yiq( zs2F?B%)n4;3n`N!2?$!2c8l5}s|TG13{rm|q@MRR1JqJbD+5O%3Q5=9kRlm1@7@FF zij^XuT!B`0-UUm|gGpg2J3+?-cT7>a4puk;Ss~rZ&TC-1GsL0pM=m?Bf~8_%QlPT4 zvqc4T%Nl6)IST^=ylLN}(g7)Gz|<6Qc?oIbx2RlTW?<;(Q8^DbOdHKG21tntEnit6 zJdhxyI0CV{TU0>e5CIUYyF~>o-UAj#luzftHl#{}e1gbg<fJZ^7Npb#I$0N#T8@KK z3-;7?qZvs(=+s@1`u!mFl%}qIU_X7A2l)vtb?pU9y@5$#NnM~*dpoA6YzHg6hpdop zscRe9?rlm?_ampStzfCuFex%q7w9C}jvkfuV8bfW3<D<<c<qgpb|8rfDh(2c2!L3) zQr9}L4U5!3K6%XmDP$m(962d$Q6o}{0Ude^N-@hpDF%BAD??TfI!G6!em+P&Y6|N{ zE2&_0_dKxc9kfBN2i4b6^+@G5q$&p`An>L0kg86wMFk{+$Vdg?8ER-<^=b=p30?t~ zf|TGO4bZ~(6TbkYQ2;Sf08(r7hoAh!f9M25ng@T~iOz$NrY@*jM5@EPA=PU)q^S!X zVLb-&^IWh;I<!C@L5>8HoB$d!kEmm1NaNQ#!9N{z-|QTavF$lvV<Eu-auFz`4C<gE z1rh*x4if1Xz*F(i(73btC#WmX%c>1tE7SvN&@>)|+`bMP7w-Z0pg?=DAYsG#iC=&b za!VelC(;eq0uE*9R-hSBDF}_w0=i}m)E5-pgkBx*u7x@lbYa>j{zzDJMW96mqzT+| zf=I=k0Jo=5J+%ON@eKQB<S1SNmLf8WLE#%v3l86d{L>HeZ@Y--qkuX!pk^A5nikq! z*#ho_e7~mnsreCO$Hmr59X%?uL2=Y-rwNLBw6bs(SjreC1xmO?)>Po040v$7MP&-4 zrkV^kj1kQ+XjcWKp6H$odY=WB8z+Hnum-2L*9<S0egI!I4RST84f1lzClo%kHwTug z{Ph1nXbpb?D+8!e%-U5Ajqna;ut1Lri1Fg|`k((ncc+0;&hZwN0B9fNc#BF1f*FBe z#z2{%^bOL}?ZE=tW(BH_c`q+u1zln71MUg8s6eE7x~HgkfTXvmfFw9ib@Ogsz{<dR zj`I^}e?sRJl@`!JejP0;&0xQR_Q%0WO^68`-J-P%Acf%cW1T%JHOveQpbTCOQr}(; z9{fW%hof86AF6s1NOcKRbumbFlRv6zj&4yYsA90uIZ(yfAjRz}7>eJ{hqwc5atc&& zGDvX~WB?rGa&R-z0UA!AjVVxOHzatwd%!`9+BQrAnbEo(JO<tk342(-E)gsRTI3Ek z7?ir8xdK#2f|#HS=|LgfqXM#3@dRp}4cc%8?!+PzBS<62c+f5|(6Pj&IUskxTnkBE zpfmtZLZ+bcMg!1{3dH3gL1@ANF<**;#x>5pzVrIj>l>i`VXO?Gtso39!ysBfjsdBI z1_6lq()vGmwKvEU+>l;A!m%J%b@zbllID;9yQhFhCXmL&A?l%n0HA$kps@h3LKhGV zF{}<(2(kvhiC`7b(FI8GL9E6w5v1_tEzrPiiwdX#0`|?-_u%XY<3q<Fz*4*3L*|?y zbwCDqS{#xkj<=|2KvTr=78M;R6PAF%Be<Zk8_|`OkhC@xl!{}ZX)PL5kwYtfQ0@RJ z_W(~ciq;~l4}q!=2C0Ws{2)s~PCMSBq5!q@c#Db(l-Uh&73lgzRt5&t#2ExKr1~{z zcmU*FP|5+-gn=O8LU5u4jc$Na;_(*nm<5Olx~vP5C_%P?6D3GhH>8o(-2=9n6O>j# zgBZ}E5s=ZKL<u^wy3`PyC~v$2hcU<ykn3Mhgt{NZfw~{Wd|3ubSs**OA+A6S%7AU? z0mmC8dO^Jil#~Tl0nygo0}daELQwEvQwXxA8?T9A6)liqA-pDn6oRu7bTkO;8*7NK zL4!CTK6G>l#C)mn4mo9YfP2d=Dic`1gM=K==sez{!UJW3QWi)SlvE&HV*hfalm$B8 z8C05jgJKh!vS7-gDN7kyy$dvDIfK+gQWnTkkkgJsx?7;wKMv`NftcMbDj)@*lUp%T zmJ_&aO9z*;-4G{(k^m@mIfA7?Qzzhr2Wo*6Sy6-9=CCfM4Wy#B1{(&MaECVAJ6lv< zKzgDe^_?v$Zy-F7Af$~CVs%5rAp#&3$6HiDn<YUWJKm!51hip{0X%R9R^0<O1vU3r zf$eT(f;L7#hQR!236`n?OC5)dwm}UBjjn;1-H;K&?jEqM;9LY9i35!mcK3i?0M11q z9o>+DJCO09Tm(9xo4?fzZ2W76mws=+ISCY!pxAo33{slG_|PZ=OLc=MnOY#NQ&w0S z16`1OyhY^))YHdXRQ^Djpfm=OMWnIMB}i$^1e#`yL1_k>#$d{!Y3w+%dL5{GZIF6M z8UtAha@z4070?bmP}m%AQTYP3zZ>GJ?jEp<P{UsfWC*160y!5HIH2^U2@-~sUZALh z8VHIV5EGh6x_iL3fdd$%3Od5j-2=86EDX{CO(h_sLE#QMHyc`do&5_AbC8okt_PQ1 zFb>rHAaPjf1+s%1lH?HS6Kq2dWF%t>cq9X*L;_1g^gx~4Jq7GM3{@|4Ar&(y0fTMr zfVd9EhbCySR4!;19+D)vA*l*6Mhs4BJ>a2hNGS<Qizv2%RY0^slP*LdD8FD+2u{;@ zO$4iexCg(9AcZdl{{9EAjhb2jn$+B*a)Jfa<`ryF0Udh&iC>@x%y@Bb$<P0WCqd0$ z(4F5N-K@SsYz&~89&oJ<oeuM8JRXn>n)aOnz8QQUD0n<N_o#qQOz->-nkb#30y@&X z^OQ&DK}XO?3d3<0l`;m9mKLxo@O<fU7Zrg3&`getiUdp&qzxnq-rNe>cCvxth1U|u zZ0D_fR)$?5yE-qzT;$n!5Hytq+7JqIpeJOa3#`bo^D?L_wKN|*wWY-XI&}X$xYx)5 zDq}Pb`gGp&>AVFx<pOdl_Qe+qm;U_!a?TUbyyAY4Js$fUIT;wht4Gb2{rvyZ^#wTT z!uuL2uTl8OeGSjosC^B&d}v<-blNsJxIv5;@r$X~*LXjLxW2~uDJXr7FQ9{~I$Bgd zGlAxyu=X`(PJxtM;10nXCP-i7HAp?SzD71wHMmFc1giQmNHvzeh8a{b*yuY@#kWC< zvGg@Kp^CvKUx6yV3{p&CU*i(U4D5Z4i(o05^))~zZA1GSwJ*V`X|%5~MTNM&#!^Uj z#Mam7dV$;`gbgx+x(CGeHEeSsX&&6y03B!zY7w3WCx)%y#u=!B2N?*e;Gs1(FS4E^ z(DZp2tfvvI2ii~vRj1H~I*5s{qj3nVI~c6H8`3ud)v2KB`XE>ebY2U%ISA?w!21xO zWkoG2dzcs)I;N=X1}n6JnE_JR32j6{dOMwvNls|X3evIzwX>m<pAZ2NR$R?#s6Ob_ zDr!?>7ufC^aDxkK9L)Wo6H)kE3&B!2+sUBF?d}0Lm%(idP}2<2VFESi!NQ;hE3_p7 zG9J|A03Fc8->M5X{x!o(=I7wt1?%#?EQB=mK!E`&>R;|c<wK(fEHxjc=?7bm0O}DO zhxBGZk%-jK1Ema*EGT7wmYsdSqzGDj;Lvfd^&}`2Z3l-*6L@3;S*-{B9GY!R3=E}^ zmKZ1=pyq>O0L1KubXP&kFIX8Ez%5{qs%}VRf-a<m4Q_yRAcZ<8Shj+UhV&!#AYKOb zwm|*_x5Qu^sCPi(u$CCe4sJ+FK_nlr4R||qU=<K;P!~fQKA`?3O2Y@L5M&Mh4kuUz zGy(U3yOTIf1Sy2|BS9T5ux}<pT6i!%v|9+4DucA}KxvE{(p&3<^xvRK3);N}?T|+w zUO`HL(B#@Z1>E06@ghjo%fi1%WfNjD9n$*WqXME|xX%JLc|g+$;AR?h^dHoo(M<;r z4TFZ>Aw$EUZU9oh14nOQ3b>Eby#>-6Kp7g|0#1&X!O5{3(t!cxAy5&s87u`lcmrI- zfST!@Eh;&XZXt+5n#9b2h=M6dYa7<%TmveGdQ?_}4VwnD2Wl9oaoP>(wotstVinki z^WcK+HN(qkPr+FpWDz)etsrfE7$3Q_ArD=$1ZqTjbT_~z@F8vBJ>XXEi_J5jaRQkQ zfKLku@XkyH#|dJ%9@J?$4(Wh^GAxcB@)SsSdkdt8j1ng+LAeytLj!eYVDSMuULRU6 zfWi%GHmGX>Vs=A1g3!(YxLgFOf`%@Ep7C;!jgWF-E~H$5^?|_U0*r&)A%c!Cf$YH5 zAp(Upw!Rn0$<VGj)VbYLz#Vs#>;h5+?x7hT0G$lE0W_u4J4Iy&nBJmt07OH(B%k;N z+E?U3yEKql8i5uS2t%+1MX5*QaqxnQ=Hnb5oqJRQpr$}*`%nA=?BHI42Q2477=kSj zd!hDuG#&@9T7eqk05t?cBMh;C83JJlwy1zT(QW&W1<|bn9c}|kc%F?1K;0@waQ6k| zG|&wTE}hpwTP{F1AasJyRP114VCXyqx-btU4eGvt4oUOrya~Qx4|J3e=)ii%&I9l+ z*Blj)2<RXn4&*LZ5x74#M+IawND|!T0<CmC?xJD<k_4S12eJ)xT9CzY7ZnGnqz6QD z5BNNN(5XS-ql7?`8yH^9od(J!0<5}8xI1fez@sRhjfX*fH?VIZeYKy77=1O+k@=v- zpq=+TAbmB+$>=v<e4YOD|H}{8kos!=u)bQ?jGzBs{`(Ei{P4b-*aH+ka$oKDebl~M zQ6jXj20CmV+*boJUTmI9y}p`rJ8^wA<#v?5S`4(W77Z@wu=ds7LKo|RJ5(XiHfS(N zJ+{8ueyD12kIDzC+8d-AOJA)Esu*mv3skW)NHLbaS}asC*kl{1Vr!6M3j1nSATzM{ z)hxkMYrr)O=uQgga4+cSJ1CQ)c_Gj#<j}sF{ylJN8ttnQ+gA&}3l1<)a~@O|BhL%j z+(l{+!B_i&x>iK=)w%;%(3{uh;8frRZUumv*C3NXO>so?8Z`dP-wL{^9^9+}^$DP} zJg{}4pu^=mrl{zHje-x7gA^i~zMzR88upy@z;@pS_g|3O@StWHs8hq=3L3NkPc?zs ztDyQHI$RB6BBq)^?ObqE0Hlhzo|6vP=032^uNhvd-vLJ*$n&76dwB}dJb>|`Gj?F9 z^(Z|h*dRHmFND443yL0)EGT*)6EVkPk-FWWgZV)nCUsET1Ug6#Qx5HN&q7uY+A|4K zFAq`=86=1G@38mO5Irf-tQKm|RSskbqzML+gf+orLBjaDW}t9^c65-tW}wtUplc=r zG8)nZgAS6zdSl=w7>q+=*9_zyNdE}r1eErb2xvBv^sX5m6G1&L#Bcz}#Sp78I(s06 z7+o_1++8zKx^&GzgXEwz32Kdb;OLsI=>aux(7R^*;U}RBqNvm>lLlu(ti3WRuoR`e zG7%>5(o$itVYKX(34v`m1ul<YGratF9bBA%dIsP!gTh{!Y&SGIP<myM=%8YUOc0be zA*BIwhYYkWn?@Znevplj(qIv!#D(?2z@-6<GnhMM8-`Gatn@o8e4YzuhYWO02DC#4 zx+VeAAp>2X0O^o{q(L1r$PEdI4jJg41Jn)~NCed9!rCDN84Z%e+93nkhO<Kku^4*% zF|<R*(+SET0<3dFNbitkgkW^YKnL}sbjU#G`1^F;e38=i^Z(2ElSm!1P*{iTCrFC< z&;S3B1IHjsSzjKy0#XaUj}*Efk1+(=@dEYQ;N>tYcv&ZGd&t_(pZ{MvUWI7|t-%DX zG~E}ByxRk;1G?J-()@!gG(z4D11T>eVT(HjTflo^5VoQ$QZ)zJ8gUg|a)BHNF1gNM zL*XNLDYslhZqvfne_}cXvcwnS6r=&Ah+y!55@L`Kv|R9b3wXI8sCfrnS_Wl;W=lX! z)MbUJ>pww*Vx^Gs3$*4C)|xrT2p?z$E$0H6g0r^-ZbgDrbwl=~5m-fc7GyM}{4#_D z6m0byxcq`~ke9+i2b4f|;9Cku+&VbWxwGh_w4n8L-~lB>G@~_AK^+umD1cT(ftVn* zuvRCek*XMs)JO$gOaW?i9tZgsdn5I05R!V(^%EfVpc&>;NFx<wDJVr@@9!Ym@*o$X zHc~-T@BFQwnV~CbKnh?{3p((hzZG<l1FlXEXagu{iv##9>{~E1K&?Bvb#k_W?cM}F zha0Vv1Da*yZ(R<P0`+5v9D4@O3PG18gKpCRZE*nGQ;KF7XaEtq#;UVL<p^W|8zcys z@dB~BA>t4LBo*B~VD*R%H0!`N2y=sC0+eFFO-T4UA<)8g=uRn6l0sUo4^j(~MRb8q z2Oy=E6^xMC_T@;a3#J^}1)7hn9(2tFD0R&TsfVO4P!S1DU7(^1YCmW#Hi(J7=>c(a z$`qA(U_Zt1fc%8EXnZbMDi9_GGPt`3ygU`wg$7*{(lJG4I#{7IvO>iAR*)kQYj8pN z9um+X7IalBL;#d=N#EK!4Q#hGKh*soLtwdMDp*PYCI!lYM6RU+<uTaI251DXqerD5 zY}h?Ms69}_Koc|24tFPHS_Qf$3*sfHG-v@9L;%Ess(`QBf-QRQ1KZFk46*^d29)I@ zxb6XER#4o&l=_3hNA8sW|BX7lIoStV%Yv>j0S|A27%#3gK!!I#^G~EMdk5)(EPDs_ zx4U^$ionC0pnfwbeM6*qx~G7bk#=u^EOb59&FfNxGQ3#>x_hOgMFq5goxc?nxFEkG zOyKAi<tu_@4)7Rc2{dyQgF**scoSkDN4MyMLWpYcAY=|ybv8&f((oo&HAlDTYN%qc z(V!D3K=~*cq!?*<6Q;Nhsu*lCXh|kWaWqIV`tYU%bm$S<ZHMML(8wXyW$#fSGq4YD zMuMf_!<(QkG{`jQN>~sRv628}D~ZDoptCuk!<)vxz^Mu3NO0;RaoIb_a<D$=vUeCC z8U$b|YslhckSB0WDuWU?WcXmvja)#Ny@M(xuy3M&f&&c3N1jx6fF3W7S|Nba5%xt7 zu;h-Y5H@*1QZaZbN(eL+gO-HA_UnQS11X1A2yMvfeW2>S5fuVxBoO3k><d>B>pVfT z)u_!4(4Z=Rt24MO51kW-6{w!z#0ei$1($9;;Mrzag#bE6r(=o=NH^@HFKBVs30Xl5 zoqX<uEF$iP2tvw6P;a*zA`TG%Et|x-J{YVTvJe>6{Z3#<!pBrWhQQqK2$q76se<Z$ zP>wndssBMt#Hwx3j3>A?3{nMcKtOl=gN32(1P}!>9<=NNbaxSdD|}3K+jnq!0fiDM zCSYT#Fg|oXA1sABrV3kq0-Bk|KGhFOKOk99f`Bwvt2~g>j}0_EScB37w7CjX4oyFP z$m&g?>Wx9_Aq@)H(9LnkdT~(LAWe27W}&~Zpl_Q19dHEgg@7bMfdd-PHUyas8B+yC z9n?Tj?0}fiMAF>@o-PL$Y9Lk6)Y1)EU=9v<kPc`n0T~SnchKcRs0)ulbMv5p1@}T= z9H{$2;;`i|AUn7rNe+=d!8Y`O*HS=ACQzk~GNuYv0nr9^F+?F~)CikGkTu<SO$4ie zWCr{uf)v8WR6$7;>>KEqDvW;|vIH3{1szibC1P$!GVg@UltUZ6cowpNk~TsW$nfqd z;1e%Uya-YSUU&?dzh42GB=4O9nF8<Kf<B)P-arYRPlrs#!zSku^XZTYedL*a@L~Yy zq&#R5z>EUuA^^y=y+Df!gaMy=hn(L7QaS;q6f!w4(4qoi2)3wzo!V`i@sx-`A<*qq z&_N;4om7xPA<#uskU=4kG-yx=bcYo3EIkJ!0|V-y5J&_x?t^tu2xK%!66>H4$TpmV zLJ*5l2Zg?uK?a4|ok$-P3U<O66asB>Lm3nT-8=*u6!Ne5`TwQYcF<@IsK3kLu`d-i zDD(s*W%3nV4uZN5;3T>6GYTJhNM+h*)Mo4%M`$w^bfFQr84F^(;4OnRV?kprq&8zg zdLYeM(0EHX@7#27GZr*p0xDG@(x7H6SQ@c=vo;;28T$rwwMs{e%4-IkE2`boAw@E{ ztqD4X64ZQr3{sEOjD^_8(Jd+oRSj-z-eG|B2ycT_BQ;~esyVtvpQIrf4Z2qeWHe|V zfgh<E3sbxusu*nY8K}vp!6s9-d-D{?4D8L=lVB-$GZxg>0~PZ)$DP0>K1daD<4&M? z5oj~^)JJe?BDWa}8=@LHyEi{Uw!4Blu%PUSJWGH51M-L_Y}5>tj<Anf!7>b@8S>g5 zk}$!|SkNJ=poDoCoESi3J&*$lKn8-eK${}Fk@f6>rqA7AJ>;&a-UZeTYCeO<#XwyP zP-6kq4%rEo!ndLtbYoY?6qU_jh3G4)L9Ku2BHT{M&OK<85z=IZN`pqHAOav3_C5eq zAJz?mo4|Iz1<%ey+nzA@Zv;y{0!!g++=C*w8?tu_+-L%|IibT8-96yFRA6CH!v@;K z0vQi#OM%Xh<Zqn^HvTok%K*q$Ur;X%lvwDtq8hvvc$qCyVgi*Vpv1JA0da;AY{V9t zkgAZ?gU);fsb36I4{6gPbx}cGQfPq$nji-;@tr@m2xJIkWF0ii4GJt!T3QGahO}ux z5f3s2+A9Px5epAMwt-7HkSb{Efv%(n#{(#lAVo7M9zeICLEE%@Av5M6LqKr=Zqvdz z&~X8fIIK+zvV$9vG&`YtP$4}03$Bse(2aLX8dM?38vG`LRX|;U*F=y)Seq8sZ+)o% z@imMOUH1a^C@W;004Py&Lk8VCp&KC~JUmOdky1P~e{^HnTL@D1lHu?F|ByB<sLk!s z3t7nvn#uz8jXa>uQIE#s$Zc9kFMSVqfwxCDqD_l(zzcZkDY7A;hAO;Gi#Aat2<d>q z>;ox<w`nn3%3!B<+s?T~M4J|LzBIH=3p(^0(xwF+4+&}0f}}xhTF}YUh&HW@N(FL* zHb$ia)L_NhngtmOlEm7Y1=)kMH4Cv4wKc1m18L3fG$*|^+iDJO&8oon2fC;fAT?!u zR5C#A*!J9?|6ew(L2Acl!rHMic|ZTZ%z6bsRMeyS4a)hikP}G3$FxDtCkAbK1RZi4 z0xAeVr_#dDCq`Y#RsuQ_SPVSY0#^lF!xo|<$KT2hmII$s?xPX{8D?=&k?05k9Sf`t zm+W*=G3j<uF@dkucTq9u2H_Bu5XeBbi;6&pj|xA3E9h=o@L|}XbI`$ur1SB&3d2o; zlx;pLy!@@8G6;H>FzBdY4UcZlZoy8_ak$++pj+=ay8~G|LsY=W^eR9O7FK{9R3Fhf z(cmg*C#a8#LO0};`iRbnCQu>JLAM!ZAZf@Ewh~t%M+(DFsgF&Ao<@7zMFn(!HN(r_ zpw$sj&T$tN&;S|3%V(gKXrQx$L9VkvaUGb4<hqx!;Kl#oc=7<n6L{j-MaAOfK9C{c z<Lg-)kR&v~r(SsU)~I-ZBF4g_TL46B_;fSu1QkM^=Q@2<I6$XmbG)!iM>-~00d$G@ z%Xgqtf)QuzV?EE>gCBJEql*gY=zom!tUW*tB*@Utd^318=%Zrd&{3jd45}GG&H<}} z)_yg}%5*@=v`e{OgAyTV&=*9bpHYtGNNX+rR?xM%;Pe4M(pr<hl?9eQ5|EFyR_O=< z9hMJ21RHduwM(~)iVIRQbm(?baX>!OTBZYZCOho7eis$^k=9cDt@pvpdO;^=!;+yS zf9qVZAm~WzfNtJZrr<-O1G;&aKv*H5ox~Q9)MtTwtn~wEYP0A@KGymPR1|cqbp`0q z*>1?O)+eB8&;oX>_042(8mu@BKfn4PBn_gTU;Pr4e0o8b2=KB)92C&a%LrjXoc1!| z|Ns9l@BRD#|0N$N5%#h^GXZVS=DiN4YE%NiSM+sW>kLs5@#s9&?V}>%*?9nzv_xJ! zPJtw?0MH5479g*3yc9q?+?bgA8ycW#4RrT!1*l8{-4XzzL8&MKk=Be&kkXn9C=ofs z(i&J5G_46BE3*M9vxcTM@P+T7egUX}_h4-R1#u_n-Uuw$2Y~K>0N>Z%ExOwn$zIen z4ps%VcLuUDlr&xd%9Nl3=|P?X9Y_zNyIoX3=kOPRPu|Y}-QoZ`*&ocy>2^`c0VOif zIs97ut)RIe&_opU{t1w7r28#E2akh}@JAkL4gp;*Q3T5uV6#96vup6T=0XHfuB(8R z+|Vr3fvg1Qy%bZxH(kKWZ)nB|f@uX^z5((9$W|%-R?xln;NA|X_JoN`^0)qiXP=60 z-fSaq_NnORO@**(KxxVYl6^d&*{275DMjbR3D-bnH0T6*Xbyr&OaV(kcpi-hBT_)> z;8$5xT!R$n9<Z#`m+%vQp9Lr+xm{FpI$cyi5t4)TdH`_bbo;0jfG#aS8f|n@$$1$K z%7u`i1x5MGsi=Htq=BWXpm`JIZ$r4hAuNc$UowO8W+^Cdt_CY+^)dwKO=~b!qf&t< zZ@MQ!@@54nZ+d{jk>llNNV)IP{Kf)wKD+_wY;(x{u=}_`mqjvz^mK#ojNShMbX<35 zjfw*3e0T-;`S9Yf^WhaB=ZS+4xrZMn?xP~c-wNu(g1XW!DjLTcJj%ewh`Xq0c=Yn} zFo5cm4zSV9M=Zb>*Fe=UyjYe5I<O9;kTn4mWt}c65}^Dq0nY#6RrcVUT8@D-v?zaT z7dYh@9xy!V*m)9k$&Q9c;~{WlHy`2vd0GJ`2);43y9DGFGY`luCXPG!LB~F@sDRJK z-<<$D0Pv*_r~m}DOFTfwqa%(C_fZkyZvja{d}RQWh8-3z2s#HI>W*H~dHSGrU?nOV z9*xJqHg|sa=)4BHItA5IH;|>>pjz0Y@eSw-aF5PCDxeN7XtNdQ{%TM;1*TacCsl#U zsTT0v)X@A7>O_K=pilyrLzr8AOH|bPTYbU(Ww<It3r>x{)e$V$4KWu_RtMPy%jyap zKA?u7B8t`)6;O-1yF~@0va>}6bfI)NL=ZC80F?%bLj*vq<1OIa4D!YC78TI-%^)UJ zUw04K6x3o(j=vRjZUwk30hs_ROJw<5KfoLWD&0F>RIpd}Q^3~1`~tng0(1xh*hr|> z3eXX`3=9mNE-E#kavJ1fP;u>|QUhVupx-zHQq}FFQiJMRG5%K2xrg8uOAXAaqWrC( zLkhuy1$f%VB``-q+s2^N^5B{hKzF?meeDk@9d&j&Tt{5{0}*k#4k{d{sDOALjfZA{ zjzsI;0_7gl0Lel!%!~ABa4`u=sE3b3k_)&PI|%6sgIePt{&7eG05M-KgKjeh8_wzg zzT6qqplneA_1-`ceY`~lbfY<l2}+*e1|zma%vV|o$$MZGhy=`Ang`y00!mvTLykkz z9f%1{(%n5^%fJH}AXU%=+T8=T7%U7*McojMpcn#Gxjdj|#cPI_u@KLJoD1^N>nX<} zZU=FoZU-@6Rzj8%fGpsKnA8bL`cQMbd%*d&dkQ#rAk`TV#Ryd(o4Tif-G-qGylfDf zVZgQuL0kt)vLHS*1A&+?zyE=Zl|q7^0~+)e*xL`FptnGjvdBjTl&El*W<i1;tO61A zT&3~g<~vGzts9b^LFb_|fR5<z1mzh>zJ^|m1#aGevJ^NsfWn%yR1eg&dAS<0ehAb) z0D0l{VyI(59He^lbvG!daYOFBssOi|dcb8!HzZ>t1sf#q)<9j;4Qa2S%D?Ua<ztW) zFY`h6yQsjLYM_-p3@@Wl!f^ulT2U7j4fLiQC<HZdg&;?193%w6Di9&aUK#)nLG+GK z0qE)>a5#bUIH+X@=B0E)yCa}bV=Gk!hnfh))!=K7UT*@q3*;G46B9(gUI6N?pj~)` z*=_-w46+sT!lT!7CxGsg!DugVgW3z1BS2L)q`gp42CCc1X)jC%SLEOzJl1dlOM3xq zG*Wv3qy}<_J)#MZr>Owi9RW7ogH;3CuuuT;6%Y}IXjq7V76*Vs)(>ZEK$yP;BngRB zjMjiLN^4+(I-)hO0o>id-Wsro`1${JH>@?&E1E#0K8A>&|6hV!18PV>F}C&{sOj}W zIUEsR4CPdbuZVKY_8r)0r1%1<fyWoLt2bEV>wzjFzFy#ouZ}R(_}W0EzJM?+@zwYS zvf*Nn3TSTysQm}pMgbaB1=0I>L3u4b6cl%RR6rsg-TOPh(YHqhv~Uun5KP0a{sA4R z3%mLUBmrrvw?Iq>wQWK59B7Kb54_|Ev=s!Dm|MVxA8U93iBkyIqn8)dRQBlX09)C3 z1mx7iptdQ<B@o37Fs=u*>E5CO>S=>SAv6Q{@-yUvz<t3sgVq!yY)0q?Ir?~u3TR^o zs4hR=qH+aPoPoLzpnDb>L5&vZ<q(}cU^$RoAYI@*4ZCOuq=^%J%>?wK9gu;?TU0;` z=Aq`EftuSr1#DaQ9<XU>7wv%N<3O`D?qEmf!MeC0<6$A`29^S?)dV*qK{j;vsDMm| z^sQS|K<lqMrl^2A!u+kFFf%|3J6lvhi^RKI!0J0&RJJfOFmyu%L9I;)s~aK?5kOMW z-2+ySSO;zgb_(bm2v9lpn&IVtXgds?xIod5=-TwC*n(8IfRsQY0$CBPgYyu2a^GzQ zQ1^3>3TOuoc$xsTwh6rO0mOJA5(+-hyt4&diGpGTltesO!FObLZ-Iz*9*10%ogM~O z+{;>~1j=ze;I!w_cu)acM1wD@O$qw>|D_$|$P`dGfuiaq=qMy;6oUB2A%O;BzH9+? zlDnbFmh}Mm(rrje1g+BpDFOBQT2$^Jn4qK&O$kq+q9Av}QZ4u#ZP0d!ZeC$G@Jfs$ z;Is!Z6_nOIc)F*kfK_Z!0m*@m(*EEIS&ZSpKlOO$6crut`n5eOpz(12);ZvqhlDlU z8jfz!?XHk9Ch&R;&>`2L1g8v&oc0#578G}Jbc?n@HGmgR$bjwGq9P5_&;&_c$Qn4h zMg5@a!4`->)q_UX`P<boEa2!Cm4m7Wn-3ax1v!WtZ2nJhjSWd%$6HiDyEveMxCa`D zpi78AiMR(G*vNgNDJonbGY|_iK(PVKMVw$M&}1sOSr5t;P=i4U9>na1<lgQcu&v-} zOOPsP-s$d90l5I&tOx0U<{6OjplM6c@+RoQjQyZ?QwumHgPi;ld3`Y`d4mK&E7cgG z$7iD*s}1rpNC|9V28@5a1?&K@6m)$t$a&n5yo<=_V8``<3nIwkJWxr2)HH>thdLkX zS%^ZA|F9_pS<{WzM6e2ISpo?@943MkLMIsZsDQR}fVQ{Ymw_&J0<E+H=Xnt0g+d@? zu@k7-3`%g291qKTpk^sZ6eJ5-)&v?_1c^XoKxKGmk4g_TQ$du0aui5e_ZF}O=P4BR zJV=Ms|A8)Z`VC%n-~iq~bi73cG+GKuE5}<@z{BATpy~orD0Oon8K%wA*`oql;|~%8 z+l?%1&A~tEP{Sie{%xnaw}6#dZvh((6aLivlYxKgq2_-Kpp5Ro@e883vj=QzH^gY| z9<Uy$Mcoj&PKY3=w+c!r$nNt%c7nDC$c3P7EN~a1$Xk1$xDlj~R5yb3AlwMzVYm@g zVu6Aaa<K+1ydV+%0bIyJi}Wc0pe72e{QUuzY5})UNG*S1H95Ha1y$PJy!9^N@)uO+ zf&v4sf(KS}Zvofbpz=4y1*QD`0$#MUN98j(m4H$NsN_O1gri$j*#)Wm1+AY06?fqE zbM2t&A5vh$ZRO||{p1YM04^U<7SJ_G!pl>b299pgT~PJl@)u<ZT|3AcR0}w|MZ2Kt z!RDi^qHEfVRQ{ruw6Og;m%zTA3RMY;J60BHTs7@4ub=;4UV^L$2SxCINToZ4k%0lq z1f>ip6O<l6%$KkK{{R272BH*JX1>fp<s+A&fsm^SF1|kX`Yb5;p-Bkb%7)aa(25<D z+MpFXhzU{)t12-^hqkC(1bbBqoc)p2!n)d1R4#y3fKJ`T)j9*MJnWdF0$zC7`U^IY z2x@h7LMk|D4burJ;JYD$kjB^!P)!FBhX{bmXs8Nkjf7~OL6#+kv4HkbfgFn(2%z*r zxZ#T}5Fl$BL0iL6QxYN&K+6*OTa{QrHg`iB*WHlDGpvaUS`ybWMFp}VP6SyYA`n0s zkm5i%1dfkG;LVWa1_F4}jUKIk)J1>h?9lK71q7&J0qRFVR`lt>q(I3At@V$xY)=kZ zAtGo&Z2*dc7P2@mmjmQVP&o%`|3eNU1Gx%R-Mst-Y5TzV(3}RAx(^-if~3kF(5wln z8;`euo4KI;2-=YXQq6#<S3yl-Y^f5m9uRTRD99v`T12V@&3J%DXmGY8LC);%0b34k z?m=@KD6l|VU>U*VjF8;d4WU4>0&4E92Q7dz1O>v&JcyS;4Rw&q!K>6@9H@6d;;>a} zAUn7rh9RN{oU8G+u)r!H+Mq6mw6H)O1C%x!R3XS3{B1U{3P@Rl-$al?@G3P>Tg_M) z(oci5-2@?{_24!eXqf&*jyt5y25E!A>T7US4qkZDq5@iz0m_#U+F~E*7<AA%x(qKi zxIwyYkai^KI8ZiWXu}Y+76yC+8H5pc5ZpxsH6}f}c{gl<toZ^btZwKq2ILTTpY9g$ zwe6st<P092d%y$so!>jJIqm}8Bmg>35Hzsv+Iazbo*?+-LGWs+7!{PYU7#b}L6RWl zKAkZt;I&;Kx5Lg81Wkj2&k+O(ZeVy(<o5Ib%R7*?0cvJ|)4&7B)<YN{I`{yVI{FJT ztPb`CD=d3}>L$W97p%MjC0tP0f^>nh0{mKFkOazT1t{fX&vxBYz+H##J>U@ow9yLC zEE=fs(hW-fkY%ADqd~a>R91oJP4Q+pkjJ5k4LQSs$~yuXt`lS<B*QU50uh$SD9Laj z_dxOy$O+i;5jZ<RiU^280vQgEiJ*LhsJcPU$6+E!Aw0t!;)i57P%8+W>Or#@;0y<1 zy!h=5$#9U;4_k(VWkm>$oZ;-9(KFmsequ75`$oJO4&)SQhO1GjK+bJ0Dh0?{Ek>mR zl$EgLvlx{EkPN|0#_Rm^|I1Ry_Eb=k2Ir(}KfoI!V0`2{X!j31nG6&oSn42nW&*Dh zK%K$LFRg(ziC~Igl~0ID9(4W?6q!&{L1_uZL<}^5tVGTTpv;6fBZR2rLgx>|A-)8e z0P;0>{t(7Nu6CgFhahJR;c90O4@xd@QAzm3FW{q+@Zz@v1?k@bBmMIblm7kJ5ug4e zP}6?^YWj}=rE;w4KL8{{IQ={P{Qt7}8+>^#Xg)0hwEiXlwEo5ew7$k;A0KFpzu6Yl zfd((?+%Hf8nV+!$$y>nJ-w4Cj-&jBf^<7i~z{^n}rMr)c5Pz!@wD;+v;&H4YqXIfV z<AGRz12($(NCbHO4O9(ye#XOt^@lXbTJWkK7Znc=)(27$z6NN<3~d5akiS(EY!|4B z1()aO^ifgic2QC3JlOoQo`3$K)&mawGmbfMd}_JW>7&BK-}(*QDFrEMJ-|O7v_OoT zzaO;q>@|bo0d0^@7ZnlE;#LRHk-wnKc-%$B1w?}^G4SX%0Ifni_>iUZ)J_3VxOs!p zSFekT1E_wN0AFt24R-wv9#9jtL`A}*^Y~8CG{%b$_CNo>JPaNvh6NpBG~7ppi@yaV z2`Vp;q+#RWD?s`{_JG_4>H>q@1){rsR2&)~{{PRw(CMS%(Cwq*0_VAa-SjdY)b~C7 z`XI>H%`ZUfN<>~tLYGQ<G`=~(1q$XpDhI&y7L^?!x_b|p-UoJgx-}?mKtw#c_s;+) zk3A|8P=#O`HvhAqgMk4y{{xbMB$XD3>7WS!NNVX(*~bCe9|*d?9^~T|uyw~8K0wk8 zgo~K}0bAL41mslk{13>D5XIp69}w4rbq5E?BP}WcV0Xc2%=w?aV4FdgAR}z{V1+CM zZ2|l0c#Fyg(5f`ZnEe(g6V!47c?r~Vg0-kXa>(NF78OVWr9}lY@OX>L3aDYnTU6FS zncY*swsr4O0ck>OQGq-KYEf+mJNgs2?+zN9<pSTKJr&fd+6I<-4wC}e(A@(z9oD(t zzyZDrV?9{mO=N|gEh;m(z%xD|*L1e1%z^Mgf{+0P5UU#^4iP|70b0t!1={8g8~IrW zw!swKxke6L13pO9K!Ohv$e>_^^xI%l;9FGIg7x=d=nnuF4KRH$8Z&Cwfb|Q#f%HH@ z5d%sZ-5q<F89+CYN<dQvNYH~7bOAKzt|v4{frLCb85lsvm~t^Nbe=l+Oa9<1X~v5O zf3hBY$lTeZBEki}3<MOTppxNu3pnCHOpuLGy&y%M=el_ex~Hgs?CrdM@W1@Q_tK7? z7aSRXI5s|b02)EoJmlDMv9m{I1qTCzOUKFXDPY#+SDlbF0XJ_7*wW@hES=Z7MGU(4 zfQ@wQ{NZ@;hrHv#7t)TMzg!s)IW|6mn0noz<0se<P>RCtfaV7e9KYXi={(SRtv7`2 zVdoSTA5hdosMbrM!m&qXIVe2)KfMEmCumlXi-7@>2ta;^CPRn-*dj=_0nG}cq>5!A zQ(BAOgG>RH*Dqg!4&~`aDijdqV2{dDkm?qY5=aUHmrJ0Mquap&RvMm$8UT*S?gnu3 z=w|Tf=3T<Z%HUym!UMb&0o3mCXg$F{1=J&70yY-1YZ0;5p#-G98(Qc!9`gXFGwjPG z6fHq3H9;`~v317*km6oZLpD|h!*4r52M)fdwfOnJvjq~85UTZnBma~GE*&i@i@^?f z1g?M#FBu+i>^$hv%lnxXG%yNxzz&d^pjDgacFHp_FrY5moNs~Zf`%EO2}`iQdqBou z_4gvMwV;J&pehcsVzpN^2BaS0?+GA-K-OYeEUF2%^`$$g0s?hUz<H<v6jI$DDXk|V zp}P=l%U#fVVbCloB9t0HK0;Es0Ibjqw7U2^s)-;sASs*=sz};jdP2r@L4_J9I$ugd z29#iYXfX<wVn9w5tl+Tegwz7ZTT~W63tP}4K`0aCNJw1)D@c$V?^{&nft=ck7(jxl zg|*?QsLbVnA0P-SCP5_tXlWkQ{BB5T4_bi71-+>Tq^cWI@^|;BEa8F<AVG^%5Y>8s ze<~=s&H)(>>Cg5<`m-P(fcy#W&%!uR?|{T%14tk{xFH2LqI3rt-Q5GOeIT7mP;rma zp9QOcXoI>Kq7dXrYzjfv;5QMh0$RWHfFl5hi6DjG{wyd)Aejp(X>Vl0m8EBcl86&> zvTg;bB`#S9nSwIY%LwQJMNn(e65%Y64L4uU+p*#Z6GP{5&@Jp>g^dRT?t^NybGtyR z9A7Lm0(TUksSWN6))$}^N6>LC2XMZDHf&fWK-xif7K23%L2X1fP;>H(DQM|DYv_7r z23NzAKE03<70KQLP~)q63$&3l1>9`%=sfSyIYngxxczeoq!`3J&H~!j$ME8&>CgYJ zh9^OHe}i%j!gUYsF)(;QU1tHQ(m)EJsTh<%KunN&kgP|yfJ^5&m(G)ppspgri%lSx zcJBc@<VC3=H~@OLfKwPq3pkiq1;EAG@fV_opcW*|^WfsT6IP4EXjmphv<CXY8BiEL zxdJv5xwK!$fGcVAfwZ>F0Bc2*_H`ijh~xn(!#r@5dd9|}<N^0dcLTVzhdLU6`>@-C zrCU^-ft3N${_E^f>EK`h-%#ETa#btn7E?&o25Nb9gXUSmRWI-T|16+ZiV3J5?g3XK z%sna~US|)ug^1SBfCLA)8Z!I_+J+0Nlp*!dS3__;WB@X$MFm75>Y+A}BU(WBr-6Da z(0XVK*aA?}0h`)*5L9e?be_jj3rQNGgbeEkP$Gv03?jUCfO~J<u*elK{I(N(m1H!; zWnd4Z*O0AXmj!@lz+g2bXaNE!k2D^<0qPERZvi*ZJ-W?6jss;G)GD&Z5ac*W=z@L1 zDgjP{5O?5@H&BC=ymmc$3%>Q213&nnhX!cG*Mof43Obe&)c%2o5^DyL?czGH{%ml_ zyk>a$_zUDZ(__C`7(n^DgA01>PXPyrA<&}&V!ZgT54+A3Quwg$n+qA30EY~;i{}9u z3HRupq5@I{9hlprlEJ~i0K3jK0mR07ohe8asF#T`Mgj68NE=8JK1{KJ;YGFn&;Kvu zP-}irK18bd<3XNnssHo;|4T>6_&6wGgZjGA3;<$+XOcjcK>UK<%!mV<5&HN4|CbWb zC1_BEpk#z(Su9xLNsz)$=u)$SUx-kJ+kjD)gU4C}VBrm7yy(}1g*T+YWDT1`FuXmw zg*>{qsDLy;3Rci8ga@cM(0LrR8q)z3^yfguy9I~^i3HHx1av|I<Uo*eP&i|a1duk6 zBsda4Sr9ZK0h+A<=>(Y$l0=@ExT*W||I0|^K!dNW1SJr#Hc*c2#w@VSL4nx{nqhs- z0GbR^`-uoiaCm9J!VAQB5w8miFHk|~(aowi8*g}lRKe4y0w_43*X>Gx*pQ%M2KCty z*X@E-fjo#cXh7OPlHj0$PRrcZ`T76lZ0J-ZILW|E5KtU}d=H^9eJ>97eJwAfn*&mb zsvqQh!X*%-ON`nQ6$6{h4nATPWG=`gNNLr264G201*`Z9wj0_}16_9yDgQy;Px!{; z7I04zblfmVatgTX3fb(_q9OnqC+Jb(2OD-6%`i}t6WZnLYyr1jyCKbLNCyxq4Q?(z zU_?~`ZR;XdBJhE2I1cs@a$${Jmk<srUMWddhHioG0v5wloS#5PemitrcldU^9=s^3 zV~+|i*qWbUYmmaN%Y)_H!Fqo1;0X^{$!)L_sEwe;G&Bq`y0YA0<wwBEK_)_Cm_%o9 z7Q^psF0dsTlAweHvIH94$j;^jEAav=A;Q@lVCBYO<scKua<-2+erL0TEm^67$JuOP zCEZ{pL^zujth@xQoNQ-L6~*st7O*7}#(12~3|8{X2&9AvXETA7KL#r&+u3~t5(y*N zl0z;aH-Rj{l1Lc9N|u0?5aI0q?4S|ZUa)eIiDV^`<HGnu`ybd6Lw`KZ{tH$j3|2yf zv;TmV|M7#FNS3oR{<GjMNq&PZnH>qU1WRcD0xPKnD<Q(!Kf%h=z{<%E?Mfm1q5T7F z30DdpXMYDPd6NuMLWHxwft6ncD<|98mjv-U`zzRzl01-`K$c($?Jr;@VPGXhIQuhL zxjk4p$V9Rd$zcKf&i(|p<Z%TaXMY4MISN)ngtI?@m9GOUC)?Q{r13lZJ=hZ8W<1V* z2UemFRzifc--4A(fR&T&?5zY6$s4dGdwTIW`!!g}Jg^cXoc#){ydA8ZY-e{#;ScSX zU`y0y;Boc~uo4ci5+a=a9IX7~beM@`rEPx#Y5N)2lBtVemSD+}Pr*vc!Agj5_7kx3 z1h8_lLtBMF3-B@6l4~3BIQtP;$quj*BAopYtb8F@IoZxGmB61!9)K-z-Gj&3_rXfk z!Agj5_C2t20kCqioo&R2-`RJ;mb^TR$JuwlN=}275aI0GVC7rD%0WXX`0-1pPmuLH zkSqW?Xah8xrvdJ+!bTrqjdca6D1>I{<^U}jnBJlyk0vf~@DWQVWDbvi+Y}7x0v66s zp#BDEIWRi|L+2Eg3+$lLzC9}E*%=s013<&mps60jK-LG)h*b9!6^OHppwn}pQx-wf zYX|tXTfnn>phIE~qK<C$$^88PaxvswSJ0RUsHgIh_Y-(I6O0cXBLPc&`iLAHuo)3h zFCP0$G$>(!WI=sPZ2i!4;MhRSh``h$W<<_{{0uqx5!4|B4Io3OC_qd^uL?BK4BjdQ zQU&c*!Y8c}okvh_5;h}p24pm3Mr0`@2tbB_{0W{BfpL&$grHX{f$ZRhbXhwgT~^Rh z4502WWL&iy(hEkJ<p9}?Pz5r)dkT0?9YYoPYy?oqffHRf(#*yGmn=9YBTut4FqELo zTwDgJMGQ@Y<{@wmP0x@4jd8v_20fD&YAr|y(zw<skPSCq&wFVE2`eng<Mrbm7mk6Z zH4G1Q9t4g5flX>W^y3w1YUA3j1E2|sXbJGherF4KbP(=M)(xOhU!=(cgv<eu_U<0= zkd{X`cxnT@isYlr&;Jk$4|?>9E<Vc402=s$*m(>xt+5Ae320F+NZ7OUq2qB!&?z|# zj-dOc7#Mtdd9#l)Gk{HT>^$VtD{Bc_2;R9z<p4X#&EI`GulayBMS^)#RCcg4F!*#{ z@&JvWgPA9NJ8!<|kpB7q<vQrSyC93YJHEWcvOeI&OOTl@(0mG`89*fpsLUX^J^++x zK&c*@B0)@0C_(j-wmx7DJ8XRbhy|Y72N@4HkKFYEpjjSh0fe?d0d;-AW9;h#R)Y$J z{y6Y<4bVOx$ovm<;<Nbydna@X6f)%r5dc|<G||8i01D;vJDEVK?W`1Ho~XM+=M^|s zc%TI`D5^YI6+p?WvqgmgDhi>&vGN15Y6GMm%c_kpoZwX(puzwY6-dPrC={W3L5g6j zHo)fKUA3_U9xEUgI95Q$!_Awb0x}P2)dt8&B35mH3!f<}Ak}zd1+;1dG(Xfm1w2gz zTeYzm94nxUvOqIDpo3XKt|B~IhZHM60>QEJB1RNBLQ)_R@`e+%v<+6DMnEIv0aO%1 zgCpbyBtk&yu|&ufNQ8i9f1wcqnu7*0K>-NW3sMA&5U@FTBV-OdLO?8Vgn*2Pn>R%T zWFAt4fQ%#}LO>4do}vO$jW<G`bxr}#wsk`&ScJ?5N62%?Y~&?Sgn(St4VfEneqfI} zPY)3QS&0-O8X@2a*$KK&>BTZ}<XD*liIp?Z@P}lR3D8(M02PJM;8@uKi4~A~EU~f$ z5-SbRMGT<hZ=g(2C_?pu6v1KzY!2R7nF5a$5DOeDAmicYO;G`vhZHLyBZ-KW7El#F zMFpgqV61Ead3uTph=Rq+WN@r#BE?Dt$iNmAkmDec0Ah8wsDLbj2!L3iV<12a!&+3J z>Oq2?Eh;q--5^1TVh{^-a~!By2aaTr3ZyuV2!q5aXd3gyC8V6@(Y@n91p~v2a{@pA zzf}MF|39>GI1@a(`~7G00mjY)oyR;5K4b3u0J^RaVl$*h*rEciVfLs9fE@YXvGa!y z<FRf#7g&AOqS6D3kIoY_zyEaXJn5(jT7T977CL$PIp`=Ob_NE{U!7A_K;}VPJ)o)@ z$=K%OES(r8yL6uDoTAdg&cHAeWEsdv$L~KKH7~k!LJS5;fei*#0WZ(|1p5nA$l>zW z2T-IzT5KQ(fXnDTDj-o*+d*b@wx~3KJ%Qq{8n6(=dXO~OdT?nt1w4g;W-NxkKqf={ zRRQxC$VgOwfuz6&gUaofUBAHo0+kiG{B;51FHj)>asarf+oJ*!MfDfRjLsI760j#w z{8a!Jg7^z04YnR+9_R!waLu?y1<6<pe}PPf_$vqIFOZR_{sKvX4F;7(FP(pb{RPTx zxcs#S;xACH0r?ADSnN>&iK6-oWJYI;N(R^yDE>+T3qkw^k_KB3G7ofw7Svxz#$xyj zWHQ8G2{3<wj70SpND6E)DC53l1Why_euk?P_4@G+jl)=4$raB)nHSnhHsyu2k|C3W zu(}bsl?>_b!DJjj+Piz8jY~u;`Ly8A{}2m7tz_PHSX;>;OYpXmudZcg0Goo^N{#@x zi(#!~2yco?0Jv!kZY6twnc!A(5~%FyxP<6Hc6YEm1-HjQ(~01+8x$X`Kc0ZXp#@y% zfJ8y1ghw~Hq5(C{V5JVuRkWZ&22|&xwUVKFNoys$fKm^56)lJbu4q8UgPa96Z-83K z&ftm$v=#)`9s{|Gq*k(nA1IWet>oqW$ko3MBvwEr709EI>fhigNPUY6sK^9~LTGTT zfZAuEyEQ<y17@s%8mzdNOG5R66v3*0kiBTNFWTB>8+fdMSm0OzmB1ipfz2DBR<boX zRt|ypLqqy5AXkypN?zas3gz=J%(##vqy!QnpduFJM@WQZKqCZHD1$^HG&n*)O*7C% zZk$*bn}Zsxpraq5jWDG3r66OVdO?a{5dyLoe}tI8BLu_(M+m6ufSX6_R`Mh4tz=_x zgm5B72)N*fwUU|9Tge~+P|XBwM}rywkaiGAuoKd1gSOS0AAl4?jRTeX5CISisXfNw z4vtPpSJa6IIZoF=;uKW9fPx7UrwgEQ3aXI6qTseRI8GOUYQi2!(-bq4fttIZ3y~3V z3ThdGN<ok@P`w~Uus8+Ti$6|52LM1e5P(?VI0ZF7;pUOs#s)d8n}{|xs4EI;T!WUv zaWXK#+SuCQIOPRz=zwH0kgGt~E`uAzpmicp0gy$Il_Ve*Qmj~bf@9^yMK<IJc>;-$ zIp83NRjN0j5i$WP3ZcOf(gBGOka{ego)$=_rvMQlB~T_P0HJz8ieM1}HV1E~M+KhA zKrC>CfQ*NmH$??x9#W?VWF!%t9+1O8jXY3kf$9L%5gSm1G=MxkMFm8`B19P+At6X3 zHXv7Zx2S+9Nce+TpxegaF$A&_DMB*5Ara!hiX0&_&%lj?3TV(na)<z^l><7I4Jujy zroj=C0diZ93P?Sc2uXoNNCq?(j<=}fK$)Nbgz5z;f<*|}9MteYtA=FY5dva?BLrkT z+`K6&AoGwS1Y{%;5dv~p_Y{anP#u68A)v8=1dykvsDLO~gh+!UWHxwH79@v&Tm?Fp z0380Hu>q(6$RbF`62wA^kOW_Fgh0jyy4Vr10_#V%G5!4i^6oQm`yJGJ!_|HV^%$Ye z5>Wdc+-Ablj}!rgC~7}a04xM)zk{T~&1`UM2Qqq&W-Lbg9ppMl`<(~Yeg_$e+I|N~ zfei-tBUgO``wP?#!R0Sdj}cn2gB$>Eb>Qhoa)3R7(l22F3qkw^k_KB3ZZ9DEkx0g3 z_zUDZh`$(M{sI|^>MxKK*kEu!GUGegU!dw7m%l(gMriE^@)x)<fTth%hmC>31vS2Y zfQ2Cb0!f3d2Q>yjMGUBY-@Qcz$yf}3fm{di*B3TuzaL~Is=q)|V1q#w^Gn4aV1I$C zG+h1y^%$Xb1jt|D3K35~@&nitDDm|MEClfvNE&QCxTcu`9;ZPw7Q<g4*FpUC0_HD} zk*NLxNr4Rp)mSfOA>$dKVjP#hYCze)1zgmE900CY_NahFQTxXrGdf#To`5}p;;#o_ zA&9>~(qQYs1@;sbNHM)d1<6<pe}PPf`0EbLUmzn<{RNT&8w@h><u!=EK&2rrf5kxj z1uCaN{sI@zdsIN8sQv<((b=MM1MCSDe_a6!LHq@h23rp@uX_r(<VQ0W!(SkiA^y4m z^B2fSRDXe_zy^cL&zGQWRWF;a<LUQbAUHc_`}fCx!%LtUA=KVIa=#zaA%-<kV6$To zQAEH0G9&WrSnDdR{eF-oc>DdntFX?FonQl15U_qfgf~Uy02_REYzLSL?)PUg{QUoN z!Xe~-Ki=6fP$dDXLm@P{Y6o>T$?f+;^^(@_-vUZK;C?@d1+Lmbbq>f`VDkp3-@loS zfq|d0*|81C{r>g;fBXme3DmYCFgpgSi$F>tG&oj3Jx+4_{ZPH6_50VrV+F(l#|o&% z1UU<A-T?LcSA%1PqS>(rkU7g2cE6A#1n=w^s1gPF972O51k`OLx8D!dOIp8w2|PkT zEO3N?s(O&Kz~<4q-w$d5gZ84K&5kVwM+il;V*<gT0`5Gd1>ErmIact_j)7VhAn!wH zaIAp(jGzPbK>aJ6J$KMZ0;s!=HaiB@OIpu;4m?&sEO4xVj0ZUjY#zBichKMlF+F!s ztYGQ6&j!cJ0M3p%ghJvJJUg}pDW}1DH5<PE`2Uji7PvJD>NntOouKt<z%>G%Ud<Fx ze4w_HCxC?@trL(mxDf%WVUT9Wkc`D>oq${iX`S@IS|=bQQClY<DX_udUJdE9W8g9s zPp_r}><N@sR|{AO;xCXi*m_VI4Z1A`+WJQ_7Q<hNUQGkcUmzn<{RNT&8w~E%kUl#G zE}HQ4YHGlqK=D@vSP0@TkTlqOP*I1}t3fgr!(WJAO$p3jAR|%z1(E_A4DQvCK05}^ z+<1C51z=C0_$vo21o0P08f-nNut1s}Loyb_Ux;2!2Fza|BT@YYk^&nHDnKB!W5Q?g zw2}jkVwoMwcmc}1(AhEZPq0=pq}2;+3nRCZA<ajai~&eH=pJHlB1E*3*M9r)e+Sq? z(Ck?JbgZppkR^Cq$?DUY8NjBX&W<IZw2~pbDJl`*rZISSEC9>|w~}2zWlz?2<W}+? z$N(59uY<~NP$SKQbpvz&3{*ORL?JY|q5(C{$ZaJ<^^(>~_5r0Ha4Q+a0#`JkG7RJ_ zuz3U2O7;d<G=f~9MZ=H*Fp#UD!%&bVV^9H5!3Yrmv5*G90-Qmq4LUp4`31T9{{o2> zP)P;yC?r;1Kw|||wt++;G&oj3?K5&)$xywdwUS-nu>xX&V+B+KgPa96Z-83K&fr)H z=0wB_$W_omG{`tHQ~*@0Lj*u9q*(a@iIo=;?~x-!=Q((s7*xc9{0NB<h3B9MY*7If z%3x8%I5DVcMs6z^s+Y7@vJE^!KrC>CfT|9Vv%u!jx|IwXxX02;wgyKC=s*(KBr?cV z(9u6g_(KIi^$|n>#6pS?4_8nqpMTN*7CAyvAQ1wp8$f=9L`VcQLO`_%NEAYYa|n2N ziO|#*s8YhxK!)lit$}O;j}Q<G93dd%LCyl3N9zVMXu1+h1KAiHA)xIbum}N<FT$3O z;hb=UPDysQ;9EWh(hW5ZG`I+vt^~1=qVoeJIw8}QlTk9+97vpkM*Bd)1c}oL&^U!m z!nCMBXmFf@N9=l3K<cqf#(*ZOjzfkmp>YZt7z8mvhC}s&6u~BAz~-RNg`iEw=)mI? z!~(}DXcQA}-T=*xX@leRDbjQ$$W<iGjwyIRGMUmV<V<!25+R^rN{}BR5pn_=Apzjh zu0;hxgChibh#W{gmIwh&R2^?o0gaD>VgYS-45}BT2o@n=bMQup3OqtUEO3N?j0ZUu zY~B<a&yImgJ<zmRH|p$|GB`p&X#|$ZK(2z%j)CTnp#q>WT}Y`1Vj*Rd053>{OniYH zAv`a@)sPD`=phlp0BUx2wy0P@MIkgeLJUAd+&wBF^;jZA2Qpom0G*>e-lCEMWr6|_ zsu!dP79n7B@J5IXJVHP$aD;%2hnqJ=1!Nx5bS210BBm=r4(px*@d&B|FsCauK%SnW z0;1s4mEZ^|Mw&kcxvING1w=t+Cqb-kNC-d#Kvp6}h=&h2LLh@Rw~?|5tYd%u>5u;} zwN8=Ru?II|@pSA(Kp~3Su@?XfK{`|*X>h*>+}cD;S0WjU(V+r0_8}d69$1G8WF%^b z3M2(K7}RQgDG6E53u=(!8n8f{t^_v&@pSAtz@9+qD6)WsApQbLgRKX**AUZ{NXBCL z3*<V8zZhWt0vU<wFOU@2U{Jg7<#mX^K<zqQ{z99s1h=N}bnO4IVoq0rg&_U{NrSBi zH+!alPc8*b6CoLk;V+QuApZKo3Y)HEWne({7f1?hFsS+Tasp&}8Pq(&<uB-TC8SXT zpML|1qE3H-%;;=U`2h9=N_@Qm3qkw^k_KB3G7qU^4{qx~#tSg~1u_}puNN?Xfs91; z7f1?hFt}sy37K97Rn@rs1)7+I)_tIW1y}U=rYpgoK=IcDun@#wPgtSL%E47CV!9H^ zSPXxGTnF*j9hkpBMxy!)Bn9>ts8#aP6*9dHs_}673p6natwKQl0@rW&rYpgoK=IcV zun@#wH(>q(S44>EN+e@3`~`9y#9tR+{sI|^>MxKK*k7Ou?d3m+zd)rtE`O<jifc$o z4DuJa+S#K55=BjiATv5!RL+1sf#R<dU?GUVK+<6A!R7fBaE*p$EQY^8CPVyn1m-W0 zk*NLxNr4Rp)eA4T|NQ^|W#(=?15AXcVYl6f4KSe&BOwnkK}KF+15B`KScoWMfXU~{ z52Q_s|9Y?vFo7(=JHT|T2kSKK4pvab2b+e4@TRD2V1-Y^t^hN^15A$}{rLZqb1Cuw z6W(c9P%Q;&8$f7qlLa)YLGAz(R4-`*OiMti2Ry(8Vu711pt=;~EU<Y4G{Cf&mEvhw z1%FV?K?j&L9w9d*@orKC)v+M&LuhcUfQC589bkg$C2fFd4m?&sEO4xVS^^+vfz2DB z0jAmDSfOYdRsy<7ar+(Q2*EoI3u+vId=8<(5ds?8Aa{TXs+Y6@rYZ0U0kOal0&2p5 zoCP+I)&oqS2|p|YOq0P8LeVs=2l6y5<9*~<!8;8LYTAIj523-a0venkcN!L|m$Xr) z9(b&PSm0OzHNZg50-HzcQ6^BVU>RlV2FJ<(PQz9pPs8foMZ_trx2AdH$N!gAdr0lA zfolXjy|orle4zH$8o)x3)(J=&+=u|xFi6v|NXBBcP7uAd8d&QDWF%_q1SADE7~ESU zeHs>ArsC<XRe(K#(&{P!3qkw^k_KB3Dx;C6VUdi*@E4-DRsi!C$VgOwfuz6&gL`YF zPs4(XCOo~h9Iz)){FMO~g7^z04YnRs)FDm7A{mR}FGO!G1?Df1k*NLxNr4Rp_tr?C zh6QJCNVf{Ll?>`rb+)J^fIWfYuNbfp#9tt3u=SwA0;#u#WGsfiKqf<4$q_Jrfs91; z7f1?hFu1n{-lX_z1D;m00Jg=78^A|cK&N3RT!FQcA+26mTNt^O3~4^XWGX<~yL(h1 zqKH<q+RY#TcYrMfO~d}E!`ey)S%SBfe4vh*0c;BDG;9D$D;dI@qT&H=8iS`{9l%U* zD|zqrAOBxIo{8K_UIQ7}1Lbv4*$o-kTL2x{1C<USQ3wsLXh2Ofa$Ctzy`;60Z9u69 z+)4(qz!eRs3<Eg}Y#yy!$)M>B@bTNISAAH6E1F28fjw{u)S?1%9Ay3h#Dd;l01*Hc zk<f`+#KAfsLBt6@AVVOEK`iKrIuHR+L4-6csNsgx#y)ZlQPFgFJb}b1sGI`@6C_S= zK;sltGJ-|HgSOx}1-0%7wy{A45p?t%RCIutAY-6<No!++?!JZWz5=npaSAdXZXUU9 zY>>mc$v+6h7#yduNP9~_t|Dp9FakQA5quFjLS!K4<$wxkkRKrtA^<8aI$KmgMLI|n zLW3g&)UYGi#s-z3pjbd#R0q{dS{qvjp2<KgaD;%22RRFD9=UC7P+?5WK_H;%3@mMI zZE%DvK{|2*<SOWlAY}FeDgd$wA^>6`Ws?r*bjG3c$Pp3(i4aiD0`emyLOh@m0;-EZ zq7WJ!A>ctbLX)_l2}o#!fTkWnOpq~9y`(j-Rp1c<Vu2$BWIWtFa+}v6hf%P~Q5hT| zufYfFLl&QaTm{{G0-3#l3V<wv2!L2f5z>G>oxyVn5i76;GRN5;|6hi0B(;GIt_<)r zkYzw2irPSy01H7HCLn2W`vF{PBc?NujKye}fGSN$!$btuFaa5f+Asl0fei)~^e-<$ zc87q9W?aLP(CG|N(E}Pk1Q)P)8pr}*PoOloc)&sse}SaI)`QGLYDgj(i{USj>mdH( zfcXn#B&xqaQecBYh3?C<M4#dT%CI1Rfy)&<4P+LuCs6#w02YGy3nUG;9%LR;LlVhY z41a;jUx>f{ut29XKt`ha3nT?L7*vqFY$N&<4^X-X`3s!g@idTsuwYEnd;tqV`~{K* zTMsg?8?pNe$yf}3fr>GRzdpeH1u_!VUmz*4!Jz#3(jIh*$Ao2g8ps#0HIPxxy30Qa zYal}!ys&04aswICa)imihLItnhz2t2IpksF?PXXS$RJDbHjwMfunr@?U;#ChV8h4| z-V~JwEbw9E8(=25fjsBTkN+<Z^&vNq@eU({N(WGx457hQ1*m;RZUY&rm$U}*6;SE{ zH;_Rra8&^+!$8ggn@8&gGLEzEF0)WPj2wYHjQsF4qN*UUn+H_3fxHi)!Lb5rToG*I zf|}f*S{v=GJE&gLnz(1+u>xX&V+CY9$XQ_X$Zg_+dI1zPaZiI|g`#2P0O&As!7=0r z!8?o$DwIJ!htS{%0X41&HgQ397BoUYwG4;}G6t%bv?lHmc!Yph;0OU34>yn8CN9Wf z#58e11D05txQD?JLeVgC1M)EPt`o?yf_E4hRHcBt523-a0$Nf<?l3Y`FKO-EJ@8lo zvB0qcs?b5s0-Hx}I~P=WQ_#-c4UUxo97f)NJdFGdDW}0&$&U{H`2SLW8L6#gaE*Yc zmAnNMAE>S54PYTi>jWeXZbX1;7^GojBx5mJCx}+^8d&QDWF%_q1SADE7~D!GeHa;B zrs8QOuK;@jr8T((EClfvNE&QCsEkG$Mn*Cg!(WJ2GN?EOpWz2G64hTIDX_tya+{2^ z?!ZMIo>uZ4uqRObH3KXJ@fS!MY(1!`Lu&ma8H?dBL@Rj;EWSWSqWTLY1vVH|{E>0i z9XNC2X(dkpdjiE@Jzyb-zd+Jp>p_JDQtKbdSPXw5TFD(Se}Rld^%qDAY%r(*f$Zi{ zoP(#83?9`zj&>q6$}qC$K3FRm(&~k^g^^pykme&y1~!Zg5k<6;?;k=QM&6i#wUrFA z1aB+3G6U-{asx^$8N!>QQUPungNKm|z)Wx}xeQeH%xOk$CF31N29*w=5*<Q=D;iMK zjNDc-R4-|*<Q!1y0k@JtEO12wD#Jj|0-HzcRx*xZ<ZN(7Gl0X$3CP39wFi(J4|s=> zK_w$7@E|lePC>0Zf^BS2K?G{Yp&iTy)k|6%I|Ux6AQm`ILB@le1vZb|Ha4hCqo9qQ z431NZhLI;ghmm=9BS#3{VPsH|4)QsK21f{}VMnly4JtvQ5dtdnKunM^P`#wJv18y7 z0%Cz91Y|tiJaXIEAcqmt#>O#>91V^TiiVL7K!=g5cOpj!-eF`=T?Fzuga$_lc#R{O z!^lv*q&2TY;1L31fg=Pot^{%x*gSHZ*Pt;Z3Yyo!;0U2;7<mWsF!E8PYyxW_AKdoi z|4W|Pq&ASjl>wdxvJWUkQ5(n}U?E7u1SAb^KY%J1qy{pQu^0^#L<89c)-VAXiP|s$ zNr4RpH;_pmMg|v%cpAtKU{9blxNN{e5PyNB!PbKcSfqv|lCc>6LNt&qVEzIbiRv$q z6xd);p-aXvGPs<=(?B)>djiE@24EqGzd+Jp>p|rdQbQ8SSPXw58pt{@e}Rld^%qDA zY%r+oB4ZdCoIUY0kTt-bK=GFfSP0@TkTlqOP<BTeMn*Cg!(WI7vI5LsAR|%z1(E_A z3@QR3!^qnw;%Oig9!A#K3~L}m8oaP(FmeMK(sG2!z=n|_qKF3azU|1v$OQ>l8^|C_ z@HUVw60i;<OQ1B6A-pLn0^n9LxPi<8W`Y~Yp`fy-x&pa@jCU9rR62mlWC#teDnRWj zavR7{y`(jeSwN`=+&~7gz*PmP3<Eg}Y#yx}$T)_PnZZ>BMOUUwKpsY(wH3Jn$GaLC zRJMV<523-a0%}|lY~q5(5J1f+v|(hZUecPlf0$tx*??H!SOFOiau(P;a+|oI;Rp(v zxWAbh82I}^gB!4Yh9FmwwB5Y}I*hEj0XahO4kLpKWsuJyG&n*)jVpppTu_|_jSx^R z17d=Vf$Al#iTec}As`kwLO{mD%_Fyo3vw7SO<Wwq$e+OxLeVht4&-6v;!Vi0f_E4h zRHcBt523-a0@?^l?l3Y`FKO-EH}F^ivB0qcs?b5s0-Hx}I~P=WQ_#+R4UUxo97cYD zJd8XaDW}0&$+K7g`2X@rKdG%`aE*YcmHY%0AE>S52Vfyc>jWeXZbX1;7^GG*lCc=A z6GSWd4l{J}9%LkH>jWePHW=JWCVdzgT&Ch_CEoyh0;Sb;1uO*d7f2dxJ-9SP>>Wii z7Q<hNR`Layzd%N!`U@llHW*ZHlQE18F6!{KlFxuWf#R<dU?GUVK+<6AK}8)>>mSKj z41Xb7$wy%R0vU<wFOU@2U{LW##xOECbK_|x9{_s-#b0~CLJ)s}q`}sM3JavxKa#N+ z{z9~pcfkAwG7{BaAStlHpaKLkjO@{lr<F{27@27Wtd$ID^}^c1$gN~Z^ARQk8%BnR zB3j8a)*uffX9ZzxC4(%%+e$VH!a9t+fti89qZ78+0>Yc3vVs}D*kS>g32r6pgUX)J zT;x_V-eF`==>RIxAvCz60X5CYZ6!nXlGaL|14=#MRx*eMu4q7I7|2;*^Jv{l#xaaM z8(h&0;4tzG<Y8p9RmhD8yu-+#k`WYm5E>k(pw=D1Ha4gr0=47NhLNFqNo!+IfyXI` z1&&jY@gQe`%_Fys4Jy+pXk$+X$0<d_$S0t~$VZnTM+n|wWKfX~@;QVCM+m54N3e|z zDnX$U0xI)BOpq~9y`;6Vd*BfQVu2$BWIWtFa@*J-hY{1p#xacC4UQ0shLL|jhmlPd zBS#3{VPsHU1oAnA21f{Zr#hL#$WXncHLqLX5dva?BLp<A1acPGJaU`YpfMy0n%B+X z2%%^g`2+GWa@|rytiT$`RSSOne|fNl)CMxRGQiV7t^tK8Y6H0fECgwofTY3g2T<jL z)Idfu7NcQ;Xdsus8YUnkQ5z;8DX_ud1~Tcx$lwAIPXoCC><N?xR}NSR;xCXi*m_U_ zi`0-rG8V&Mhz4>7%wHfQQT+vy0vik}bjcV-2A5NK8ptVNPoVfK0W1XZ7f2dxJ*b>Q zYDgj(i{URs133ofFOZR_{sKvX4F;86WDFyNvnQSgas=2DDE<lo3qkw^k_KB3%I-+R z$VkRw_zTfM4uJU!WF)G;KvH0XK}7&$82Mfeo(3}EVdRH%U=3tQgBR8eMs6TOT8=Oo z*f26g6wyE~Ux+-6tm%cdfef+)Zv*+GC)QzP50nNngf~US0o*DE4<lQEncxO8AE@jx zNkVQQ;~ho@l@6dX8A5}r3Q)U>+y*jKFKG>A6Hw{_H;_Rra8&^+!$8ggn@8&gGLB(n zV{lbL(J=A}<YDCC`G~55z-nYr*#`1Hga*e7sBuNGi3=J-05zk~hLNFqNo(Tjz+(l( z0>=u-c#yNe=8@aP1r0|~(8SdS#|lNm$OoXq$d6_sM+n|wWKf|D@;QVCM+m5KMX-qr zs<WUG0;**|Opq~9y`(j9Rp1c<Vu2$BWIWtFa+|myhY{1n#W9Sm42}?rhLJxY4<qZ$ zL5>x?!^og21>}7Q4UQEF&;d<^+PR<_7Zep}+aRHONo(iIz+(l(0>=u-c#yNe=8@aZ z1y$Y@v~#7wu`+<e$O_0eZ%52R#3`(m95VIC|Cci>No^&AYXm&4WD!t&pth0)z(SDL z2}l~;hyc|vNUdZfV=-DMh*mNWtaSo1618;#k^&nHZY7gGj0`SQ@wAdTz@9*9b+Lej zApQbLgRKXZ(MYS2k&MOg7owHS0P`2fNK}7;q`(G)%55@+k-<eBo>uZ7CXBspKfpo| ze}SaI)`N;Vq}D%@u^9eBw35FtL5KZ8Mxy!)Bn37YRQ!=Kj111)cv{IHz@9*fuQy;J zh`&J6VCzAJ1ybuD$yf}3AzH~VVEzIbiRv$q6xd);0RkCD&M(5#N+vvvyn7<7l?-Y1 z!rH>ftz<~^5hep0MuvzYTFHUakcW|#ZLqeIL6+ccCBL@DI*j~)33(V9!keOUg9$#2 zd;!b^w~}8>`SJfHUpR6r8SgMMsB{38=nxuQ(SVv}<hGKbdP!>~p8=&Fa4Q+a0#`Jk zG7RJ_uz9p@CF2-IKFtK0)*rxO<O|5d$nPg3DjEWZT7XJMP~bsmaGZi#cLdwmpn?e6 zcmNe0ASTEds9w_A*hk=T3Sxod6l6TyJaXIEAcs-V#y$*=Q;LR>8K74R*YzPs2;O02 zP>~MuIfMpB2&iF4u#F8WK|!&A)|!LrC9RFU2Oc3H7C1sc#)F&%HjmskHmEQrrj3nb z7<o51LMR$WHh^9!{I&-<LhueFgX$uX&mlB8LIj9uUV{dHpb-KZssS-U#z6Iw*1X;V zj}Q<G93dd%;pUOsyaqXpg68#RaD-4ajI4otrLcKFB357xWaF+M|6i8nk=j58R|a?* z$ZJ3$irPS40TzNZOhD4$_5-MLL24i)8H>>{K{Sw;z#1kXBT*YBAStlH;07}3!^q$Q z7Ec3t0oW5L4X!z0A&9>~(qQXB1uRlS63JK$e<2#kGhqG#8HwsIkQCTpP@zl4FfzDY z!P7vV0`>%ozb1f%ApQbLgRKXZQ%DU-Bx5oBg=ir6!2AU=64hTIDX_tyvWtviWN>!J z(?IS3djiE@Enp#tzd+Jp>p|HaX&4#FSPXw58psVWe}Rld^%qDAY%r(@fD9wEX5eWc z6COsM)dp)ILmIrWW-xLC8Palu$-st@A)<%|vOzcUF!Cc~tPNz4C3qXitBkP@BUhj_ zkRiM&Dh1$HF?bj`1Iz?BkdJr%`2X^)H*y0R?=Ui`bO4pf5E@)nfZA2$HjtruNoydd zfKm^*fed1Ss|rvV267hIJX$x9aSS6TgR2UPhLJ5Gm!U$gq2cL7R22k<kwIk}$omi) z94ny46~QJhXbb^VYoiS#L-mr@#EpT+3Wx=c6_D{DXMxQlw}}fHj-a548x4*XiiVL5 zf<PV4^DkyMBS#3{VPsID4DvaI21f{}aYe9+3#zlA5dx}ZKunM^P`#uzaYNt{0%Cz9 z1Y|tiJaU`3Acqmt#Kkd;91M;SiiVLBLckFMx%K2}D{`#h9YzLKDIo7dXmG4>5Yx^D z)wrOjK<juw^^(@k^?}C<hy{)nkntdAfz2bgoeQeGDQM?<gJWd?hmj{B4<ie<AmS9( zO6INq@&Bb?GO4X(aE*YcmFxnF57bt&16T;sIsr+88xf!y2C0>dWGqJO1kp;ifwfLR zMxwS(KvH0X!L4M{hmpZ$DxOxd1=tfPtu7O=5X4^~X|VO6G8$<Z8Oc}-e<51Q1~7kt zj70SpND6E)sN5!F7#UpD;b|r7fIWfYFAcB|#9tt3u=Sv#4ypBzWGsfi5Upetn7=?q zqWTLY1vVH|{E;z?49?tmTFDAvPoVfq1}p^e7f2dxJ*coiYW*V_i{URsD_H{OFOZR_ z{sKvX4F(k;kYVI$v3Od^8i%o5QCk7N1QdEUa!EC;l?-Y1!rH>ftz<~^5hmjR(%#*p z0ue>DlDQgw{NDk#5Og-Oj||pUGRP9Vtz;1yW(Ke+sAnS!ptO=9yeTRi;HELSmCOKU zf?LT8>wf%ydE5cHmCW)KeCZh|uY<~N$SI*eo`5PQ*zI#L8eGwUnr7s-lA(G@YbF0- zgzpYxWMBYSG@vpJ<XEtI1Jp|X%?KJsZs0&%h6-{O^yFj6jkHh!P{9Zh0I`rx3FYtu zg);O8k!Q7ts-nBY1`;cvk_zNeNURt<1*yk(6&*-DmaFJM4dCM~Dxe|~TK$6xH4qbI zIH+yc-J=3h1iOk3Y!2#8aA=n`eu2jdhy{)nknwQy2B?+%85}Ez*b%V;aurE?_A*>R zp?v;DX$5kGlt3Z`RK$Y(2#JskXoP?YWsoR@24@pc(~R6!GE^^Vt>icG2m!Ic5dx|@ zK+Xc2N9$HHXto8*(QmK85yFWSA>e|)MFr$I$eG_DR(A{dt~Q7OsAhs5NZkp!Iu$D2 z*`fj}-Qi~=gKk8H8V3@G=mxQnGMR%rI65I+(Z(v|I9&sYQ&9B+3MNRLE`Y`<s6qmX zLTGTDG7!_o295rJa@O${70`GMhzT+Vs+Y7j_7ixVf>_`<1sM-FkK8sk$YI2^u|b3T zSneNr431M?q#IE|u7V$s47z|5Dgd$wa$+@zg%m3V(AgG&QsfAE0*MgN4R0VnLL%e_ zG(vuGFff2bAv8EbK5#HFbdz~SEmSXQSJd8tM+k@oju6nzbs%Sf&6}bEG7sqjPLNlK zxPTLMOC6|@$H~CZiCXNUUcd>8kOojHoT37vU>9)S21iH;(mDMgS3$4ogM>d+0AvwF z0K`IykOk1$mil7k2$6x@ln%O@4&+Bjgb09IIh`#kFQ5?up}`UI01_b}^;oJQ(CxFh zuc(FU1u246Ltt}I!vn1vx&n_75DOe3pey%4js=@Hg~nIZg2o20Tv2-&93iuj4%r8} z3VOdF<iv2O0O%e+hyaL%6d^N^XIoApWfNFG@@T=2|1ViWN$p31+e~=+k!L_5irSAn z0TzO^-$BygW;VESGerf`q}if^WGqJe9n`jj9Nm0`5xTquWF%_)9V7)d7-ZthRgfDt zLG2I%M~H*!chHg4;8qNte&hkLCs6t&d%!{ve}SaI)`QGL>PI3Oi{USj>mdHx0rMBg zNK}7;q`(G)np`h4AUAA+ni07Cg?1w<xbnu+kK6+G1d6{lfQ2Cb0!f3d2iLNQ8&Q#r z#qbx%br658f%yw$B&xqaQecBYwe?Fy$W4@>suY*MKzE-)>j+SMfh$Bj{m2zyPoVf~ z30Mf?FOW3YdT>pHI6@rBSPXxGTnF*j0+_!*Mxy!)Bn37YRAarAg&buMD#mg73++Z! za6N$MM$|cAPoVf~23QE<FOW3YdT@b_xDge}SPXxGTnF*j6qvt2Mxy!)Bn37YRPevN z2JsiDfW_r6v>Q>u#VMW}Q73>sf#R<oun@#wAZf7m;BpUfBPx=y82$pe4&tv4n7=?q zqWTLY1vVH|e!lGg_5c6NP(M8Ve!{b3HQBI!KcpWI>)j*w`$5BIph^Z(Bf@6KAfkwV zzfb}4?AQ?rto?qFC3yS&JrY=F#~K(x4O`eQWC(AHN(Cc)`B(v%3GVl=%=_{G<#_|- zem~yXF;Hm^@*ac+SMA^dwH_5vS&pS@2X*O>L+%9vwRn%WfGY+N6J!ijFGvxrY6qKx zw`$J;r5^C?7>EU~+Cg;=+`Iwm_h*Bvc8X@lDv<mA?{bkFTzJpw0o6qy??Y&Ctblr) z<j#&k^^(@_Pl3k@hy{)nP>l(47TCN2>h~vuV}+vGu@#Ux%NMm7$Pt2fb_`UBf_x64 z!4U%LE|S~thw3G*-yZ{y5D*I-A)u-r<SejxwC?wVTEJNP{n6kEp=fq&0c5%oGCOuW z3prNs&W?ea03h!}XmG56`i$hB)dST_TF*TM9xEUgI95Od6(DDU&6}bEG7qVv2x^-U z(NP2qZV=OR2gM4Oo_jDjRt9i(j05?sp3g`*4c4o9pZw$hOC1kVdo|!122ZcX2NWNu zy&4a&5Ttbik_I;-Ks5}~>==@<7_Adf4F+kQxWHN`AR|#*Cm<=X!QfsE>9b?tG8Ip+ z#sTaJlvbAwSP0@TkTlqOP#KNXt3fgr!(WJAjRnkKAR|%z1(E_A4DQvCesd_eXu{L0 zF#&r5#a{+sA&9>~(qQXBMIC71gbOq_v_%EUSPXw5dNn#Qe}Rld^%qDAY%sW2L;B63 z;LMGuSEB*;1d6{@z(NpzfuzCKg9;0z*)b$zG5iH8IUwm!0p>4|k*NLxNr4Rp6(Eq= zv1iVBTFC)NvCNKTfNxiX&W?p8z*@<WRxh?zGNkzklQ95k@9qH)6?k+bTFGxxe*E77 zwh%NsHjfo+D;Z=7-d1uND>DPw6x7)<36xecgf~S+0NgYN&yI0`nc!A(GpOuYsfyf6 z-UAr`1Lbv4*$rv#Z-5Sffl3FED1-)AG@zy#xwB(Xy`;60SwN`=+)4(qz!eRs3<Eg} zY~BF1l9|C3jUX3d9tPwpl4i#woI(8?=<L{uB;@M<3nW%RB^Ah{kXU&EjTKPY1`>tP z;8+2*&&X{hL-mr@O8&zDpR;6u&RK#=V34!G<_%CQ`8NY-&N7%25i1~9ku*DY01_)N z!efymMCUnp5*bv)g8T@H5QXQU2y6it${<lt;q1{3ju24OjNDc-R4-|*<S+0D0kOc@ z1XOi^oCP+I)~#gFz&(~$@@H^_%tD$(2Du74_XQcJhYEn|BZvTqg)~kq;0g-m^DmA? zBS%OIBtk%S1IUk%2#J732&gszi9%>_gn);a$lQet)eCCS!g}{0d(kE`&<5_`z#|02 z0!Ij_&I36MY#yx}$e`&;EDhw>;0Sq$G+hZEUxds;Lgp7i&g_OvL_!2WRVs8!va>}6 zsvacR*#e#dgbsQ_6hoy!gNqOW5DO_fcR->OGF^EIC6mp8#3^XB4-`z0IGq5EQ^+I? zY*Gdsr{JMAGH1u2dO@>Uus8+Ti$6}Ez~dCe0>>$66cglFuz6DkW_Ii`I8L7;O;>_k zMbhlp3&<q$i^K@zOm+nlA)sMOkRKrtasnD5H=wg)5E>jI7but=gX$%1cI*y3LO?8V zgn))$L5>BRN9)-!P^pJycI-AdLUfQK1mr6C>=<bN7%Bi7(}k3JAQn<Kk$|2tb|DNo zLU<r|g1dlk{f0F=89>d>&K8vu&<KIh;0QSYi4c%_EMuBFoD2-dTT~LbK*N||W(t%E z3P7k{kRn(P0h@z&O!EpnLO?8Vgn*2Pn>R%TWFFG60LVxph6O+l>z<+lQjL~HQRk0A z!vY$R`C||Tn?Jq`j*w!c`D2i)x*>jt%uYfDKo&s+KrEyP5kNj;Oezu)E3l5eSjdn6 zF9R(|?bw4Gv3NT6XFwr}+Oa<Y7J_uBK+@oT54ib>n65-J7NbK2YV1QgR7V(K)0GSi z45%F{kQCTpaK~QqE7)J41}U!T6zGk|pavIc`U~6)#M7}q0QLk*M{y5W2;wi0G}wBO zc}N|5Bx5oB1#%t4Uprv_0vU<wFOU@2U~tF&I>cX~b{#H%p-oqUTT^&C_FKT7K=IcG zun@#wAZf7m;ARhEx)RA)41a-K2l3Y$n7=?qqWTLY1vVJee0n(nGQA9Hrr`1ybh;8$ z+k@f@+$h1*v0nl91d6|wfQ2Cb0!f3d2bqV|u}3l%!(SlRLHxA<<}Z+usQv;;fei*V zI9_@}rk6ogH7<XlO;>^|W_;6?U{9d<YX(>d;;%Uj3=ClF!Br|^x)RA)41a-K2l3Yw zn7=?qqWTLY1vVHwUFiy$UIx{)xcr4ST?ww=@J&~OJ%Qq{9<UI^UlU;d0#`(c=}IJH zG5iH`9mHQ9Fn@uJMD-U)3hXaXh4%6v#9yFt8<)RSK*cqrBnG8JaJ92X1ti+}-?8(D z596_JJD2VrNZH?_(gOAbioY7bLJ)s}q`}sM%kwEJkWze$3X-uH{sOrU<gXBw8t?^> zAoGwt6{1oDHWFmk%e_DU|9|OZgnMWSRM$aTN;~*LJ(m{fco2+c=;k>1lDGLGV`q;F zsL%$fhm0dJbbGM0p5&i;s3SzBn!gpatob#lf(Dhqpeow%l1J|rxE_cd72t;3@fR!n zkZL4Wn9UL3VZ#;`2o1KG2V(OEG#P}=Rs5~X7(pHA<1H#%pzQ&O%`iO>n^%BWxE+6? z2eP?$j|!?R@?0{=_Tw#J1t4!AnG5neNY<m<U?&>`14HMj7w-eX!*0D>!0Nkuz*O@? z`%Va}y9ewjhyciWAR{0Sx&fX}Ilhyffq~&g1&T2UMZ~!aZtVe(PKXN`x-FWY+jIUz zcL<7-3Kp0eklWzK5aId@;H^?n*Y67W@&6?=bUGW6IN<39lp<cf{R<h$gE`NG<={(b z?1C(R>HZHQ4-TE~h6B>5sSzsN0Tl*~@!^gnkQ=%^SU{lxQrI29!g<kwf9kPLAC(e^ zjuMq({?;4dL<3R*R?N}aqv8WiWMC~I0TV9pdPb0V_Y@TnPxGP!{~VApIUr-Q`FlXi zZeBCIX6S|_7-)Qdzu0;Lk}<OQTW7*;g8Cr<<cDqsk8a+X(ku)fh9^9BGBPkQfUrmF z3H~Xd43){>vX%*ytPKwso^<Ry>Cr2i15(_*MFnh1<1r7ADV^UvI<Ive>OANO%0mpt zSyVVc$w|`h$N$&h)tO+$-3=BzpaFT1m2x0cuv(eH-;#i4<wq$N22d#nHl^{H0mu{_ zRxa~JwQ>hI0((WbNwF{(e%q-4au$>CkN=%5;BfASP^||X`KKIk>2Og==WhY+kpNxo zVtBx@^PopBZ#AmzJ769@w^JU}{zLJxI@tQx3@=v;;wtN2M*KsOdg*~X#o=B%0ZuI* zEZw3vC0Q8YsVV`K9OC&~_cMUv3?)^8hnaYnOR_M4vLdMV=urWQG54r|20Wm}D%uJS zaDaD%!_e@XNADDHF$xL9z24wJ1g$p(jdE}@Fdzakt|SI20AYo14>ZXfgoNOEEW!7} z8x(sl_d!AkRL=kZ4-PC))&((Nru|3Bo*<*TJyKdvLXvB2$-&p{Aa6q)-T+FRNYXL< zExCXH|9`0uEd!vcK<NodRWyIgE|97kR8?qJMe(-?|NH;{<(0qSHa@6#fUB++0Oemu z#ssBeaMa=KySS)?xFC02d{hElIzhE`h)M{!J_Xen-BZBjIhtu0RW!&^kSf||rc1Yv zN&ss8>;pCrT<UoVpad%-pVo=vDjK{?oL(~^iiJ>+LPW6u3R4dpd6dZu9LsX3c7k*u z#e!GKo!1K|AOVlYH#5Ko$nH^@0H(L7bb#pYJz#pD04QrecLP=E5D|~={R)+!(tD4} z2GC}xZYbUQpMT1Mjuw@0CI*HQ9!U8FQt<x)gbg;m+d;#l^#K3WgB?98VW7>Ut?t6G zJO#4$SVKi60|O%{t%0~6y}bMkAiFxiRyH01IrT717NQtj5P}u6X2^ilwy1zk7dhUd z(!dO=;lX1GphLz%9FSI!tVcIPH%E5>%lAu)7n>h4I&_?CJ?YS~MI{vMrY}M;H^J1x zS|n3cK)a&(TOWZ{fO04^14Czv3h4BbZV1(SfPczC{%r?4TU0=Yih#!ZnHU&Ay%1&w z2F?roQ$aETObiSiJu3cS>o%Yn20GFjH0B908g#xkX!wkofdMi&1C<7eLj*u9sEY0$ z6%Y?rLrqcf1KY3#9LS&e1z1IeSr|Ne_o#r5VgAG~*rEd3WBQ3-pa;x&;pYx&@IdOM z&K76{w}4ZE2P=absG8XV5$!y_(;m5;2B(vJS5P{EspkNxhnjM{1#BfK4vx2|fX<+X zGC>DaLz$o>uc1s(c!HRq_yQ#&NPLODH)mlmyx7?Tj#^|nP?3ENCJBn{ZipIKuwO)0 z9Kh0Ty3(A5f%DV%ORb=m!*Pd>Ju3F#kTREsMLo<~kkQp3)gYC}I(t+=n_xj{#T=|b z3{wL~x2PY?Euiz#k?r>2=@vDGNrKK~@9t3nsR12f0!kmK4&~`K<p5cY;!rcNy?s&` z4&~_<y=4Zkwt7@Rn`%K0)dy>+1#5t|W<cTF{DP5x3Mesxwyk#bsHlUKwWL9n9dA(q zB{WbZ9B%<9DiE_95{=zG;3)ilQSn6c1ICU+tp_@$sHlPTw>pCLcSGVBmep0kQf4qI zkipQD$_Z*ob+)L0cB*zvQIQ8L)Ie6)*`fkE)4Cht2v7rqnE})w0|`RXKZpftalmtk z4>LH^gO0!kIr?~uiVL(T=!P2BqXJTc>V7$}-Fv|C2{jJpep#^8Mwk@HU{EIz6k$;J zgEqu=Oi>XBD_o4Mu(L%)1L9(kBRX4DK<6cQLj)o2hf0IQAp#&4R7H0WSUn;`i-Bzj zlm*2;q+o@VeH<o`vJaGOahH7{Sx{c`V1e|!Kv@Mjd2)OQ6^HO#18P+}@Nc`;IR%{K zj<<l*Dl|+%sTaiThDdhrQ309u{kr0>=0}VU9oJefb@r%$cF2O#AV1jI_mx1-hL&xx zz~uu=U4cn~b3=~`$aGlZ05u&url_!k6&^!Yh)5hD^)yTzY+$=VB_Oyr07^YD_p^ef zzN$jKh9z-;I?o+bRQ`je>06&8E2MJb_y@LOsyfIihL>P1Z9#rWJ0F}-8jl??1*Mel z9-Y`)+H37WjR;VZ0IO!*0UF0gl;Jq4RJtdtAB+qPpk(zO>|r%+kcZKd)i<z|G)xLh zviiWtz|b*8<vmy-FS5eU78THS0^Kd(WZ2oF0=jOX8zKnFqEKm&I79%%Iu0paK$+rr z3%G;<F`@dpd%&ikmO1ahc8lvm-48MZmaN`_rFdXc;6e^kY{9a{3yAxlgBAYMftmqQ z*x8~2I`kh}igmWA*g#4kkRZglAXYa-93lWxalA#v09sHUZ&3lAwhwhbSalEB6jb*= z1KVw^2elhy2+aLY!BQG9DUiY4Jzzs&?tcJr|9!ARDP)D6Eh+~<jV6dAI$Kmg=U;Y1 z1R>6aN`u590w5MtMRyNaJ)+FK2ev`o2;@pc(sm%J#KhKGybCsWGu&K6Hh_$rO;G`D zT;y+E2vz}YEq3>S%Sl)ufJQ1hrl?#88#NhOVJD;ph9>JyNc{(G=Ry1ou16u_5CKrz z52^xMzaj$R8rX(M#-Km|wF2M)(cQ2?9#kTrHTSw3CMZCJL5*PiRSI-82BZ)%8q=e4 z0h&wCgRS0a3bGnxEhwD2K|?U0<JUj}V2vOF&>3T(00Kq+6crE;G$u0_)a(LnVgqIQ z;~>L&+rfr`M<hWx8<b8#dw`*-7d5C+lk72&{?>4?erVXjlI&5iln+b_WN<fXO$6Gw z)-gq8KUkq1vO>BQ8T-I?A2S05W;di{g1LV$SZX&+3R)6?+IocgCZPHZ);HM!%9cGU z+rfr)q8SD{B^gnyTmi*XiwZ~(k^n)h?iLl0I79%%>V~N3?g5J<Qsg$U4QkdPpCC15 zaHhu-Na+!jYCy>dG${&BJp$bYEZvqa-Ht4VzdFCW7#`>R<-&RF`?c0jj{H*(x^(oY zYz14#0=5pO9Y?|iZNLMiwe?^vZ>&HrgysOyI3DOkCmbmaGBP+tWgS@OS+GuG{PqHx z1wnp++5}D0phgvlNx;dVEqWj)F9%yZ32ZT33n*)mlGi}n@<4{o2N~9z05*)AyfzP{ zztsS&A1$xV1xu;Iq_E^Q(5||UDJs*!3dNBXcDAU1Zf8PN&!F3zKr0&HJpiaQNF0(5 zK`f|>?j98o50MV1fo(_wCk$fZN&zVyk`-5=?RlW!?FU<|4Yru<xB_j-0~yv1GOYI} zIGo6dt2U7S){|iUXmQmFmf8oC!V*`Y4RIY)RO-PBHy|sda$MDcZTJrkGNia-jQ}@l zAypXuI01EkuvO-@V3RFuKzSV&86dTYp`RMC3N^3_)EWj>nS=HNc1%$z2P>37R)`1& zP=4-g0VhFdf`p_{l9Fs0*akB@kYhoW`O6t^AR~?NQMPUe2lz-^DM)n-NC_m!kQE(w z0Cim%URJ#Uj|hVr_@E;0rNUbjKD5XKOR>I%*ahh!cqBtw37~^+v3C$bp$U=&g(kL; zF9A8PwFy4B4pWN=`C>)}hSEZCss^=rK*b^IpajU-(2*3*6Q~U*cnywdL4le{pgQwV z#}t(!kkN+VFx&wN0#Nb>`SbNesCPgdXyXFJd|CD%JT?t-2{)wmg|HE1H0sz>^QZrc z7n?u+R|L5WEDg~EbuM(^2Se4%T<DNIB$O+lV`-p+ctPO_p&35$3ow9(@j&O{e&QEs zQGqgebN(|keBzHh=+O%)EWy(Y9-YTK&vo{wfcAKLbhfA@FfuT_s4@Tz+93va)fpHJ zzj<^|0n364OYoxp7L^E)Vp(JG`~;{n0TmKVi1~>Ym2glzwycG&G=ug&w}6XkP&Ezq zNaH~Xbx?Z2HcjDZjN12v`598rL-MvqHv?`zSNucx8I&SW{2T!F258&Ri#B}>KX-3Y z0W0aAq5@LV-2>rvwy1#i^}J9q!tHT;u*dI0R&+t8x3+)>OfbE@3p7=RWs1hth(f>D z{KeyU&;}w<e1o>&yy()y@cTXo&?tNN6cvzy?j9AGde9D;7g~n6eXb7nIS)}j2ibwe z=iY`C`n>569-o7@;(&Y(+KcjHf-a`d6QDi^Dd_G|fvE@WS$Sb#fZON%V4qt<4jmy7 z=O8<<_&m^nLZ5g1#^ZC)RvVDdK{L-Urs-h%9JI0ol+8g3x_eY$>VJSHe9ZK5`}{j- z{bEZNGpO!?XL3+>!;;!Tc3|;&xIW0|h!Lv%Kag!mkRk&A2o<+3c!~lN<h-V+I#+<3 z(KyDoE`kFAIy=$bpa5zzfG6rd{AR{FQ9niH8`!VQ(I(ChfYf(GC(0U+Nq`K(F)5d; z2lgp^n!goQ>jUr@1omluB~<5DfRuur>j*Lf%Ow94m9JpuDxx`85TqXA+yan6IGnpr z7uC7fexXFn2{};NjorD$+Mpx`4_$DB4D8%VATzK!7qmNszhyRf1qEWtGYO;~;oJZ` zq00|(E_|f(ye!H{Cuq19JX?AW%UsoAtsnni9{GmUl<95&)lKlp(kUw74I?d?phZ?X zKk+I21P*r_&~X@l@GATWR>=GN|9|jR0HB&2oaQmC{s2~Z52SG3Z+uq22P<6v=l}ng z`F~IqnldmTdFCBhVZq=3|6dwGr{JMhgAxRi!na_B-#`j?peh7K1(HJ0UK0M68qjpT z9b{<%XjT#2MB4Wh+<F8JJ%IUlo}lteA@VTw&?!N%(q@PhsC5PApF!nA+kIfEjZcw0 z%xWMCN}TZ7Ur>7*+E4^__drZgaDzJ7poS=H1_UIBEDoOm0ZBksfIw$JKn5Of0S^p= z3_A`PdjT=Kr+^zd-Fv{TdbAmk7YvZ0>gNm$45iiJ*#nT#p!O$d7W^4V7}B%_jn{yT zLmfK?1q7%u!py(`ZbE`oK_|nYL(1S5FK7e@Ixqt=8q~CX3Njkfw0#E&f6%BkDCEFR zTNnrG5s)~nX$!K08#028m|+3i&;y<oZ2tJadkT0`uo<~^3swQq26Zt+A!t||n?jH^ z-FQs|tALEE<2Mnc@TDkZRSRea2JD+ah_7LM=sX};%Ipbp(n0IPfQGxF5dj*}1~EZN z1ko>nOrC+{kj3Fi2PA=#bU+5;7+jwM8L8g`8C*x5JbM65I`=^l2}wF2qe1ZtN;>yI z!jPl`nnDCQ^EhOB5yb3n0p9`K-2)!e2PYkns&2?+D10a$F(C<>bp#m=N;-EzMnjTL z@ndk-1&!Z>LJpjCU>xWy0Z1H{bU=1+LtKHF+yWWh-2-+HBt?LxLQzr#SOr8I)Wr~m zph*a93PIN3HxaA?GR=zLM36#o(gDRKxJYDG0QXT^R3N=9Se>>5EZhwfX7Fe}Ah8eJ z!T~kvJvtA)_^*n)*#z1Y#NTosslnLY16f!B?GtrRf!ZhJ(R@h4qw~B+=e1p6<1rV+ ziK&6=X-o&hx=LVgbi*AC5e7L}U>~?K3Uja@9tVRr4z+~ibuh$Wkb?!F4h9>K>EKjV zG9AnT?wde+a)(~bRlyy?x4;$Gd8CFZW(Xr3%mH;Uj&8{o6*3$w0Cs2RxfkD*aXa%S z*qMPuIMc|Z^E|E&qX@)(@TEKgtXA(qy#c`%l?x0EpiXiRnDL@o`Nw}yKLFzM7D$p~ zg{{qjRELnhb+-o#($X4;3}_j6CuGJ7l-fXsbbIi?ru?@+rm{|fq~Pj#IL~o{2Hg*I zPEi5v2y*FYQ8^9rb88#8VG6o7gb7qaffs3j)<}UkAS1x0bAVV-!?ZyI*PzQ(L1GxP z)*Sqk4mCVt<llCxdy5K4ne`U%N-UW0r{<pw{8JA#|6_3ApL*PZ;}=A6XAfj$Ru5Q@ zb`MxjCxq7xk?VvA9&b?r-3W`~J`WTJdVpLg0bVc%3q)jjYY!ARf)tYKMvxwa8$mn_ zH-fGfhPe?b=R?+(g2DxyEMFul;!c#OKoQg;25E}J+S8Cg#q8E$>Cv?+g0joYoV!TX zDn_IBB-j!cP^0$OFMJ9?o67iG&VUp);cfjM2b&lIYW;GdwSLu6TEEA@3QvO+cKiWX zXrOQbt$l^YF_Z}kUnmn4svzderO=hckf25HBpd~s%<%vJ|Cfg$tzg&y;!C}|V4Glk z<S|5H<bXoSjTEeugXWrUj&9MT0??Impynee_rT;p%h}ezB*DXxkOmmEJ;w=NfCf_x zS}9jAfWA@=v>T7V6*LtJ8BT_&1{oa)QVm-v2ii9WnwkKuo91r?O(vmf;OG`rfw=|L zyhd>-Pq!#HOcK;$1|9VeTE;L1+{#9CC{MTPJ$`hD?gK?lt2j7OqZ_>eq#EW>&^|nn zL$`x9uz@vzhJ>Nhrtp<=pq*|VJu2%#%344@FOVV}eF8iy<<^1pw}P@9xH|w^4GC&^ zf!cIy!BU_A1CMrtnzf*ujoKvuB`9cf7~HM{se(?15$F<tHs+PqgIxXc$1QN;gmuAQ znnD`S@Ge_0Br(JJZy<b_dgLzKFNhSZL-EoXVib&z+`-U*jGcpAjH`nI@*Sk{2U^pG z()c5@gMr6HkV6oQ0zoc@SdGzT0V#ZW9O6+}hvFqKBn)AE<POHCn@9y5yl)EXLL7%I z&VfcNs6h{6g3>2Q7P)T<l0z1!dItlvQ4*BymxG%7m>rB|AYs~cFqVRhhIBCWZ-Nsd ztWPnVI~cutz)cR&LhPQW(AFAgHzs%iHi+@!tR$qhhSKwZ*AL)Z`Jf#TNNY_2+Vp_P zKwE1nP(hF(kk%SVS@#wdkOU{VwFXy@+*$)|0|m9#CW8y1Ja7vYbdv?5wN?UUf>I^e zbZ}z_Y8be+2D%U)t1N134W!I^3%DIdxU~kdwYx_Jq(>XxJ_GSUi=3Fihv9&lk;hw9 zKo``*aue8nkk%T^f#B8}2TJH5djPGq22x0>8$o&yZUpf#+{go6IsytMaFYV1yU8DZ zfM2sk1#|&CNCC(bpdta(%tRLhH`hTI)pz%RJ>J;^zRw(Vy9gvu?tqr(^r(O=?4AOV z+oA#z<UG~gqw<G|fdSN12epkmr>KB-&w|#$cY`B;5x5QnEdqze`0*B%94NE91riky zS9Z3jfNoQV3R*)1`6nH0c*w}V?O^v5u&nhI@J*61;fu{b82G0iZ2kpmm>+ZC_y|!9 znidB+8DgS#3s?`re31R1nN}un$KeUWHK1VDZc+IG@^y;}=!*O978Q`7HAE0*Eyy(> zSyEjC(t~gfh}R7X4TNjnKwSf$)7S#`H&WXfvg{Vyyr-qekN+<_uYgNIP^tzsI-zM9 z$^`iZ$^=Cwi1~8wU$6#H*n{}TTU0<H0%d~S2xWp?24cQUgw)EQ$`CwY^BiI$jE~$p zJ#z)A@<Ob~f;9A6AYI7rh6w077*MqZN-5BE4IQC{WkZlA=rSviLge*<p#9OH>Zci; z<o?1J0D!fCQaosy8I%ja8bJb}6@i%R1HpSXYe1Xys`+~^f{g*Uo<L1XkTXDO7wS0l z)sct|3?V92{H>rZ|KK(msAUXklliDr^0$I^-h+(<wGg02f|>{*Cg{W=P`k7TJO~VK zkbzVo8f2ixD|n0+qyuTm9%v_MMQJ>^HFoeaI5t78bx`C$!w|%LX$*-snAA&U2p{A* zusmq*9_XS6X2>Q}sAs`a-jLQ7$T{3C;N{1NWr|?OKsKL1nmib-EwBoRHfY-$q7dXC zlx7)JA;=o&ss@Nc3=_dBAVcW*O#~@?`5e;P0=2xrzWH_u97Zrcv`q|_x_t>L!Lk}C zqs#<=nrEPhf;P`UOi-c%r802Qim?x@M5Vm62Cb_C-wa<?ng>n^pfO#LQO6;xs6k9b zLlR^qI3<8oL5JnxJ4O)eu|W+yP$~nZgwj$-bEq8ROOOd5uf3jf95Rp#;y`@>V!o_| z#4X4IZio{QtG+?zg3f*ft%m~-)q@(&C{YGh0nvtk7!|4zWDR~3!73n4Tl^-16oSWF zp(Em8-`u(gj$2qG5P4vE|3&1ug{|5K6$!^7!@HoMM;iDB#Vtq{6t}Qh9?<YIvN*gY z4U#|^st1h*A8%0sHJG60f*MUAX7?1xMxH&89XZHD^*$;EpwcIwzcmzGZ+1gQvO!UM zu){|skH6IyCJr)~$PI%aiy@10T~snUd{ol;TNTlafv&d&sqBO-yM->cg{&!qN`n^R zLIgmp<1H#DptaTU7L_wlCR87MkuGw<n8x4A2p+nFF71W+E0w?XC)h#9AxrZ?p>!Ow zSRcgfhHNM3?g85e&JNIJ`=C*M&<W9?76@or6m;=Ehys}n$_^>~tvkSGzh-!;asiw; zKtTsiEL$N31B`#X1>CR&OU*(atAVcy1ht}$w}4wfAcq}q0k?ucOi-c#$$}CK=GwFp zm1I~!08<28loq0r1T6?afebS0I3xl<%x*{m>h1ws2`>9Vs=6T#?d}0v4;BVR2vVqm ze4Yp`2tpvfyuk!1*TDq=j05!n*vrs@0Av9-#0j0y1=kQBXjKcSewqRfC6rPC$#$q) zyQhHNhM@|)91WUsz_xNiTn9=?AU-rDftWAfLH2Hg;+h+h8W8(AKv9f;ItHu)q79mI zAe9Lyp`thost{xieiOkeASo2Ti6Dh9`%ve+VS6J$U5Mi?Dm$Rr<#>zA9w-x(gg~<0 zpz*JTH<=OV2E>30h3L{+NL2z>18T&8?jP^wExySNIx_%tC_{IT3P_B(M+L;|>;c<? zWCK#85@bJ8qY|>-1zV%?3FnXhFD1@_GY&`-s0j!)62yGH8oEjr9Ak-R!RkPZctCvQ z#hz|wkxO6jB9u<}96PA(2uknJwj+oM3JrWUYZNR)!4x4fR3tP*fm%8sqo9o-5EHT7 z7Gx#3C;_PgEkOh&ZP+FaY)d>tR3e}m>d_gnFF_`Nd<o7_Fb>oQAaPjD3bFv-`c6o) z1TE)9NtU3NBVv9YWIjY8Mq>!75M&K>#VAA}hKXPm(9J-28*Ctj;F=Y*!yD8-gf<I7 zAqZ_2f|#Hv0(U%7oA97x4?y+iF3{mOFH|_e%dEhslXSwSJfTg0R&cWG-U6Ag>^zQT zJ|LAH$7-OOYs^^JT7{^D^S6N38zP^6;15zv+)^MGPS6AdlGhN+y97a|U|E0^q7ug6 z0-EJSw(`kUloe0-)^<(gK(i8@JHTt6=7CJXYGo*YOCPw0f>`iW2vUsjC1^Pgc-0b? zqhI8}R=%`5g_J3~8&KBegs6n@w|oLE%GrWk7j`#*yaHdn6QUB#-(nA%v|vPDXWZQY zvK>iPP|1XsL68myw$h>Y6gW%4q+aGi_@Gn|&Pv-*`N+9!HnJaB(Y7yxQZgv1A{7Ck zs0B>{f@*45vksJnkj3H6I*<fPvkqh+_T11t1-zuCdk=U$3(Ddh9~B=^3GQ85iqR+Z zf|gaFjtbPFpk5G&Nl|~$6Ixb1ISCF}P$mWiB)F`CaiCclBn~U9K+YI~Jv``w9axX< zr8~seFg|h*Pv<03l7~0!K$Qrze*_A8X#WVr1SJcQEGW5PYuI`4w}MtVL#k$&LPTBU z&flsDZhj(`(SX*hKsI`~s5o?lsMsSl$3eX{&?yF>%BTf=+CV3?tp{ldHa`HJvkGdk zLfe240Z_Xgd%G0Tf&{5S-oY56V#nVKIyD2FCPA{GCNrp;VawkNnw<gnPC>0fP%xl2 zN<jhJjoBy#^$rO%N^SUC#lhpjuNhwIoB*dyke|V6@Ft`w2;)N|0W1YNatIU?(CP-( zm;zNZxTis&iebY`kd`;JvgzFeUN5x^)b@JOzyujA2equAok^&lK^+Vb6J$5YvhD!z zabX~Z-5xBQryTjG9_S2Fu>hS-WzOG<7<vLLhBeYay1`mN0-&ZDw4nyt8^OfD0NR>5 zA9P%p0jQMF=kG@vdIEJLK@LFbmm<1DAlIQrsUFhM6G#>orMgH%PoUHTH4+r-ASNPl zg6sn~i$JOnYrMeSTTl-Rqyw7tKxTvb89LCRr)tPRBDStKbm$2t1si$-Sq6??=+G04 z5A`fq3Oe)zat=2nD<JX**fDsQgMn2*v~~A@yWNns5y(F%tu3fRkTv*69l$CenGwH< zAce4@Cs=pu<toUU0T>^-`_=ykyc-CV;c#`oz}apJ_&@*@$CJ?gYX9^9zu^IoUS6BC z%nTl&H3HCXA!r3ZIKwm^0#)!n-90K1pktXjuX}W!!#q3g?BDPIUrsy%&cL8r8<dKm z&3zE_rOr{f6et>@QXu9_!J{B2K+Xp072R?MrJaOtA$ugq&YuW7L8c)ayad(Gms22o zcq8I2Dj&HKap)*=tpINFAr7km)%hS#;b=r)s};0iy%R)B1G1GrL`4f)<AZ8psHvcV zP!JPQ<AbaOXG4%G#0W6BIReUtARVB&17^^^DaaXun$Q|Q4&qCY37`N1*Z43F)CVAO zSd9;|fE%)n5V5xqY&_mB0$2q^8~&BIP=z3C@Q>+&RkVP|zVVv~QV8yyAX>ipr%)0R zzU>7fzrX)~nSeVHaYKR+l%~PK^c$iSo`_r^@-RMfBGN=jL>k~d4v@uMxMwwB=>uCL z(!iaF)M=E6)S!vzD8$#WbTf<-5vXnkmwVj}h;Ens30$2^Rn%kkz8yzNc%V)Xt}fT= zU*G?~W_UUAFj7f_(M?hT9jk{tqH^aj&T{l1s!6am5u^<Y?O~(#%%S^GK}8KT-GZ2) z5CCbyI=SYfq5x`?$RoFHz=}al86v0E=7QQb5+Gy5`FoMtHlWl6asaec0x=OKAZVBa zwQVDY)V2Z1g4!RT=Bg-C+XhrNfXsn*Z9q)KkPpZ{<U$oRKmwXo2Oqx$nlpy9x<Mrt z$ZSyCMg-cn*>eaS4cKx$v~2^Eg0*cxmVpZpXxj$Hhk6z)1#R1a9F40829-mQCJV^5 zD78MwozOY}e}M^A2(kwM01;RP(M2$5S`CzTpt%RJQS0RqT)9UWHTN6<DMSodfQBD% z<(}N{;M@~+5GD73bRZ2f2!V1B^h`>R#y6m?m>!*b!1soEbZ&v{OzhqRruPYgwkL*v z`TpMnD&o<-e+BeB${P%z0}A)3fN9uyl%O?zu=6NE60q|qK|4G>kk6ys2A+im^`s%4 zLePfDV+{;d(DNukT*P^lU@IGsfSd|Gj}jycQ4Bth62$djJ-`c@hXgIiKHj1N+Gq(% z*`T?7P&xv;7P4F$+)HhK$k;9Fa+n$1v6u=v^9Hou5Hvv!T5wou3vm|6FpzTa7)ZCM z1hRUR^<2E*jv&ZVkY%9t8I0fqk3m<LAr8L)t?}Y-1$BE~gBFdmrW|Aj9}o%Bw-2;U z;6>D@@BblZZiB?&!QOb>LXd$0JoE=zUJhQp)c@`Kf6(G4bqqs9aT!v8YzXKe_!nN^ zK#|)5j&6_ch7Z~d;Oj#`aR*Aa;Gpepa1ewDgMtHh*n%`c%SVtx<i!V&^)6dfpzB@0 zyVgPGgSCK4NzmGLkN{XCNC31d88mCf06xwW#Dn$#d%y?5gCYvNlA*r<Y#2EBLAz<8 zaSpns48%l_3B*j>6cx}R2>h)MVEx@KDxjzW6=|TAp^f0=X$q4984NlY3*?v<70{Ag zq=QF63vWS(VSyy4sDLbn%_G)y_<)Xc=R`9Gw9}}&1+22OMFn)BP<M+8NU#|^Z~<a< zL&PBhNGiH}!0KTs3cQTs68K6d@WKXA_Xre<@a%>-m;$u2qGi&b|Iov%kyXG>r6>U{ z>h9g60`e_?_yI_QJoz4$AVI>|6Qqku!3#!EDbc$JobTY8d*GVUQZrIQbWzE8apW@{ zQlXDZ3aENb=5O@|4>o}Uij4H<qXL;};_sIS8$)`^3sH&UZw2jQ1rI7AlAVuAB!BBG z@OX813pf_YNOK__J}SZdt)SJ|VB<lt23pkxN}W_nb3y#A?cf>e*9<Q^A>$dK=miyg z(9#yfeEAeIQU#NGc@@G3IS4E-0U7Cr@sC4X2bTH^9ZZK7KR8OI3e-{ww2K#%<&U?h zfc83rnD8iu9AFPFT#yd1hYUC)x7y(80d#!~NH<sus5}C#TJP>r0f~1{Q33Hl<q>!> zlnW?XIioCw0_{TuIpBDU3TWdlh}qqu0&*c}!5{+z18S?y38~cvayz6L@=<X_YPEri z1&}$QwPzq25}}~b>+Vqj*$1BD2C0IUEudQnL3e$Cy28*R1Vn+%2DRE8pshABNVI_5 z1`2y<=z*B9#ZWLQSgQ?W8CV|LYJ>5io&`%mTWuica6`&6L?Q&aqPqv2z`G#@CQ6eH zEDg~E^;!26a42G^dI_3SF+AYW&HFl<g#k1g14%GbAk|spp@3Wl2GH?w44ub3KqHWy zha5rEv!KKM%NQ6Ke7ZwaEPOgcR5*^is0aiwFfeRjcyZ$G_x~?da3||2Kf$eBc(QJR z@Ies)_W4;<K60|&1l_LA0XiK8XJt!qvNi#wM`MbUwGPM_ZAy~07LH`CNyB8V0ZrDY ze}JP2mUf3`vX+S?CRr<BN!AMJ$@=4~@Bd%k{QmzxwCN9~JR0ACN-|LUAJqB<wf{k_ zT2L(yqW1}b+y5^>mGd4Ikcdb3euFAdTX2sGsQCy|2&N(Je;1W>SmPfg3Tym>jYc&7 zeN@u;Td#quIZz1;GWJ+Q2dw1};v!o9V9OehfE)>K`GaI3ioq>^5Z42K5<TbwBG3wY zXz2*r`Uqu$>;cKboARJ7htM7YOdLL)30jtlGMx#!h^l*v3YglX0+RlIz4aI9xaLkD z707iJrS9PN7Y}rL7w%)^_E#K#3rHT)u|QS<Yk$3i)_M?Mod91)+oA&6lzhBJ1=QpR z`Q~_w3TS;Shzar)NEX>wAUR}lxUWDGD82$2*gXYoZucIrnMl5h0OkE~{?>`$X(-SN z2axY^2QJvj-3<*!ptR8fO&eRl^e#}T_`>N0xEa~mq5=u;7D#epwE&$V1M1y^VgtIk z;l<XMpcDsHf@&&mCxB8McvX=76{J-`0U(d~^S4TY+u)#(0juKxU0>79D{zIGq0>jD zpxZ~KgxN=>0JK?^fdO>$5-65oVGQ*g?1Wa30oWs?y9b;);I<$&H9SD>aOZDb3qHLG zbWZ{3(jym@9MJkAkcr)loh~XF-7YFQ%q}V!osbAeifl-y5EN-3<H1g1eE<qPSfDk4 zvnf2#ZWtm*1zZ?*^d%@32!<udCXhlzPtHfh0pt;T{?`BCt?D2ZVAq2K6vP9o2l4O( zDvr==0f$~UZ`dVf2H4!MMMsE=Ie+UKux&`e*3GJOiJ5`)Ad>(uqlYY_dExsUC7r>J zngn@>VAynvhJ&05+0^Kx0-cp?l><8z6c8X&x<LU^(#@*@ax30+28mu!CIjh(L~j7H zTNge9kL}F-{U0<w0J?4*lw-OZ1i)F&MWq6v50a-!R4P1RX(Qzsibrvz4OjvPMIk}| zgRBE7L{1wTptPaR-|7hN9e`9I0s+JWs|N)Mp0t52AW+izjHkr-h2nG$8_@+F9||e5 zN%sqZbe?w}k<KMLLR7^0TW!HzN|bc&a-QOJp85nO$Vg1*`5<S)(zyUAiuw6lL4#!I z!P3p^0CFp3>3rwo@Bd$lLYm*8>;PIn39ZRN%$Ij?OZ7r}GN3XNq!e0sf|xI%t0u4+ zm<8#EVw3t0Y06_WF!vX@d5KMz+;6ZHHeFc|DQvoa|Nj4ff=BZk3y;nk6$6jX5)}=P z?iv-1eZrtN*yVem7_0$_?cV`zfYqodfaDcCU`;Rn5>8NrxTq*V+FdRx21p~WJ}P|t zt-GO(E*BMzV+{h;;IUR06%CJGULH_k(%Atvy7`F3VUKRO8U`@igY^P<s?J44!UNP< z0#)h|iS7mtP&EWviRYst;nB?rLReSh@s^Z=7XNv4`>1GmG#+FCYYPGCG4kp5Q2`xl zf;or2^FFxT(*n1wV17bwR`Kw+fFvP)hj_W$!2#B$;)m+f@aS#;S%@?c&Rw$nW!`_3 z2C+xu8_-B2s67lC)dRJMK}}CkGZ#ef69L7A`(036w1CF|Jh~eks!=OiP%!~I40Z}= z+9kY14CF9KiwGPqjYmK>9EOO3EkSM>hw-;gg%_S6D~~nIfW#BjzFuBVNK}EWeZ3x3 zsv?wu+r%I)EVdx6EzsqDptcO8Wdpj07Q_Q-1r^B1tw)d?vN*i;2$Dc)J%ZY=-BVP+ z)E*U(G*ask*60FVB?9p%#8I#&EjWlkJ8MB+fnN><z8&ZosC@C`Z~X)=2tjTEYwd2R z0Hqet+E}n2XlQgdI6#FVK7oWYs7VFlcN03M+(*Tizx4{ZMFz47<Sgj;HCQ{A=G!5# zVrYPZ@&M>cOHgP+!WL9-gLt4T=s+}Nj0PM?J&-^`y2K|$#f`tU2W((>3s@F3Gy_UC zuKcYnFmaHP-90LxvjkvmBItU!8e}EV24i;%SY;=qlh6%mMM6fALFG|5L>wXj>JdOy zboW3y0PwpO?f6^Ifm_U=Zp~|km%h+-`tSscoC9t7TR`%Vm_}9s%Y>l2>Ol26>z9?F zncyBs)@eLA0bHb<_vk$K;?d3T|6k7l#R6J<gJJ?n7#824eh)MnKmm;u4IsrJP0*MG zDMW6gSb!3kIe)7(Jb{4~gIXmuAgy5aAYMhck4jB<h)M-$oDXH?*>7+$3Lf_b#SYYd zPz-^XpzA9^@s2IR_4r%Qfi<H=xGsMy=*$%GfGx;Kv<TPe2vJc-8q)<Sp>l+)@wb9* z4+2H^OCCr)3QD#}NgTv{$qA_}VNx%DLX?6W1eUjf$iw*1d;peG1ee6njLQnHGoZae z+-*%z)PTw-YzseBVGBQCiV*8bRiFz$KuI1HCD4`thzV^tgHH8ffS&OOQU%R7&<-4U zBo&%HKolrnf`&|$p$k8@LwpUY#z9^KFZ_UUplub9IBfM0$O3Li&O^i+$lUH8a4#4# zbqz{CC?lL;6%cJu=Ry>Mx~A9^f~>)BB3K2aOu%m<NFj9f5U4N(XUWEckT~lBk4V6U zyE|`afhs^Bl>$(Eq@dG9Mdn4xb<otLpo>bzCw>74$=k7nnSo#9!YBTii=RCBbxwJJ z&RqdTNrQ*sPmsuuG=9Ak9{ld-JwU@Ma0{TtME4%3z2=~{LV`!<`R))EnQk8y8Pxk) zBd>k`|I!lDNCYKQkPS$s!^<#8!wn<_iX*5Li22eQA_YslFY8eG$cZ@_dZH3IWZ;Pz zRCeG_%ph4@iCG$!m|=<#iCKz9iCGewn14bVxv=y*vJx{m)k5ndL`seTrR0cC7Zs5g ztFB<C<b4Y%OUX5$tb&}3MY?@dL{O9Qq|4v`zij-0(mI_0>K{aa`Ue4^{(%R`l^**< zL2c5_mq2aO8Wj(Z?)@jg4bmDF2avo2yni4M>mN9P+o9bqDgh|{13CWI*WkJd)U5Y7 z)}T-W?H_m``Uha6n~y{s22Hy7sCXP}1gT;Gwdg%O8V}9@*XKSe7BB%16-d!w01`0p z=(Yr(F9=>w-sz$u@#4&7Xy|Hy%Lx$4o3MbC&{Y7L46-`{WOsKB$Zl}ZDnLpIiEbYi z3Dlr9zx4h8OVBAwAdf=A0J$|I&ffx(1hr;hL4?$wxc?71N<5n1Xn^8F0Tdq+pzxO1 zCkBcS<%^*B0CkqS8v<%Tf#9Md0J2X2-Zfw^5rEhs0FIC5BO2gNfeWZ#2fw)0M}>{Q zbt*hsB#t$#fJKXh2fQx;vf=e^knvCj;69s#2kQdx9jz`Z93VLkMB#&IYO|IWfv!0Q z$xC>!f&;Pn0Eb5>)VUHKtP0?O1$EE54Lv%Kzp%If?vMq5t8<uZkpqy0zXc=-@tguU zjUfdf=;SMKTkyqvP+JhxWo$eMwgYqq=dl+z&wu|9zckmQ`Ar5Wd=o(73(1xH#6jVE z_&g+hBRskrZa~A=1LO%0c=)Qr!q)>Dz8TQ)O#nLyRHuX5+G_l*anPoqi%P_?27_8? zvX4N7FUST+_(Bzc!#Bc%6}%X-(?!MMxQhyC`jFwci%JNn#sN9S0TkZgg+rY_Dj{$Y zXrBsn6*72G1$^Lfh)O^=2-m2DAoZhQV^E-z#$i3@2#>~t5Nmxvx!p$vB#>YXN@6~s zEDt8o^I6ITXg)Il=Q9w=`(q9%`Ah?p&p=kK03B%F4K8WG`Ah?6K0AL7oKV`qvl=cc zkYGnnDB}DrAW29<flI?W4LScHBb_cP5gx4IHKWjkX#on#2oF|>OTeWKIAP8|`~ClG z@U#}3@MwNh0ZKmwp!Aag%AXngBtYq>`79{?)Tm^5bnj271qB}1M<4<=o+1t_FCpot z+XYl=BV|l6{#GS;!pS(+umhHGG7#k@*y!dX72xp{s2XtE$$-sTLDCNB99K}<NkK_F z*jl}!{H>t*aY&d!Qy6T~ya<2mRd7+!?V^&<>7ruO?V@4>U#R4wV$lu4Au1`b^+^I9 zJ}UhDty_^b1$4Wpq;$Hdgn%jtP)E^41tb&#VS+`weN;jaE0Fm3TMH230F9Q;<1ZM_ zeuo^=2#T%(aGpbsd|v(*&<TzZkHDp2k-q}e!gc{)io)<x7u2bMa*n&Gq<|Z1pw%NF zlQTS^*Jiq?7#w#|i2#M*aTk>s5Dk(y0Odk#A<0u32eBNi!UKNf2zP0~YfxOE<Y!Qb zcKfJAaGvOdghxuZk4g-f2MUr9P-z0{A#jzdg1b=QwJt8;TU;1kZvwds<Y<t)K=kVc zpupvJQ3-*X7SipblF%KZl7iH(heQELCZan;B?eXI^<0qOG7zEdbmseiP^ql}64&tP zb^w>*3Lu^Wyh!9Mse^b~;kZKqIDn43sDPFoGQ7BS^80_olODaIfwPzyKn6*Gv`T=E z`~)rYbWxEw?!W@p(p{q>(Rt1hbY29*i`nNu+6=#Se(>lHQOWRVJOs*>KHZ?2uk(86 zxz1A_od;j2f|A0AzyJTgo(|8NV1`HY8xK(abO7Z~3sC;F*e40fpN~&M@~4GI_x=~q z{AmD^H-NWi;NzVJ;PSoO1yuSW<xf8TR?uogh=(nXH8|8k3w8@c{sbG{e8dBsKcQ;C z`O^ZCKVcyXyTcq&ck-5|LQVHzg$!jJf5CMM<Zu@i3utB9dHluqli&ZpjDl8|4j37M zhrb0R2{8#S4a*3i6)vEdus~Q54zi*XT=RknNK`}O3m(<n{4GZCTq%JR-Js%+;l<<Q zpm_7>6-}A}iEc>a1QFc=NYO0-kM1>RK-yr@ZQ;>)2;^^2bPISOqTB2=Mi?vx#b+mY z_!dk++zbs`a1qtvqr%1C0$S1u2?-4(H*0_r-V1%0o7YW;xEYeV5pGsMa<c;5%|A|~ zyBXBV0l8TL$<0ltzW;w2_V54ymk<8^|NnXtIC)O+XnX_OB@Y@8hTK)NMFq4788jXY zqW4LG(yIA!kazb$W%d`;fvS@|;Gt2FLQqc=o@mQq>mxv-kaXJuxdsP$6u6AP)fPUF z2(su{!wE?0ZGqU*%gYZM2JP$sTh@34<Vf)P2!vwrSTKkSOUaNa;NvaemENEL1)aqU zDvdxUL5D?fm6fIZt*;;}0gx0Tip&!J*4tp|ZiwmKJt`uM4DdPJf{qZCeE!zU$VxgP z3mm&!z$!aiz^h@Qs~$mB4O|+uo)#hiVnJ1a4%7oLqJ!K383H==XB&7KENBQ1;%oT+ zG|14b0Mx5!z6QkuE??*Jx2}L23R8&ibq;^)Ot3U|UuSfLfDRFwh^(Zu1-w2M;cL+1 zPv{b5h$lhI%AjkJAp#&3$04BsjWkd=fS6Eypz{bB(H9h^@wcAj0Noh`H49Wffm*w% z{H+IJ;-D34(13)EEGKk?sKoQP?nG9CShokVnCJz|pq<trS3*J`bRIv52VLk4U&f4B zjvb;B$KUG01$8s1jRSLYEPtyFOdPyEvquGF9L&uT9iY=JT1}9ZfR4Zcjls5nRdzy_ zY(v**LxL4FOx+C;hX{Zcb%Tza0l5<5X3$bk5D%fRn^$@=Geh%3M$HraQxAda?v4-@ zf6zDz!l-WE-;+?(cyxrQxR*lq2Y`l)K<Tg>G7Jh`Pl{+ux$(CaaD##Xv1@PPBv3aA zTJAI+%isZ(F4!*xiaQKyaKC1FxfFVgH@M6K6)eaNFIWB+kUXfAMJjrbdT8yCNlH+L z0lD?1I%GR8j1SE~U@0EZit%npq14^+g&BN0C}?~Vkquvf4giG?dxAtkLyI2W;7tbL z`Ia8=qL0o~2Y<;Qd?n3z@!(I^gAbWId%#Cz9&Z7!<OIdS@fH;xke$%d3aS^hub}f> zH;+O06o@(35B`@w_+Hwv^MWJe568v_parNdnui=aE_V8;IJk72><&?JxcsUUx<nK@ z3Dpfbk+b;_OXsz25rb~XDlf;*AC3oq$U7c<A??`t%a!qvW8));dDk5}eu51FISISf z%?}<pe!t<;d7$%JZwTAN&MDx9Pu&oz^%DR5gB?C9_Wb>z`|iNw{-8ynkfaD=bwj)h z5dhhOecJ`-=uJijhUN#1-Mr-!P|_WA<;*g0D*$0!H*YYiI)jc76@C8JI<PwI0gkVv z(c^Co25UttX>?)B>~R)epaccI3JtvB3|t;T>VxhcaAbqW;X$PibVW0$L;$t2b@*Gk zz;?c7c=_rlct<!W(ai*(y#M`Y^8v=r1D(e_4nAY<{Lt9~-Y)_TDA2Jx;H0w$EZX_s zvGa!y<FRf#7wFJ`r;Cb)OXrE1-+wxGo^;d%r5Y8N&Xbp)cZaBGaQ=d(o9-!K_o12A ze4GW-K$p%Fogpd;GhMoUR8$<l|8&&62s2LsY#u0bU;cp%Sc6J`P$GX>0_y)j5<i#^ zopAuA3=kih89>aJbN+z?02F%MkZKfBw}Qj22VCPr<_<vBGEz?hq8=K$(71po1i1>E zLXb7xcufSWfK*ucO#~@?Y4Z=X&)uV!_hv6>tG$a#1-QWIo&ss;G#&yKc%Tvg3J)Bc z>@)U#|NoK`t<1`Vto{WhF;E~Omsx&4AiLurt%MDr;SA6jTaYpz6u?+Y8_<*hu2zCP zZ0H`Q2+=~2gAUz;q7`Z?s5%ER5p4#LmEiObQUz@pAg6zj4y3#VO8>H;D(5xB%O~H# zD@{NqfP4uax`%O)lL++CI*<k2kbO>wh6vbryb%gk0nvs(LZJ#l*5FSfU=`4&P!G6- z$C2nj3c>SG&_V>{%a@K2UxShlh>yI$UhO+_+`^7I0#!N3A-gX?K@VLD4q_rzNZpW; zx*qV}5oB@bc-<7R1j-r+(Ax6jE#SQuAj6>BA3)6RDJmez?mb{lXvZ8uHu*x2IYQp; zAqGt@pgIO*3eKG#;N${Qg~+*}T_)h-64Y{n?jC~`m!i<*lKBmsTwvQZz{v&1fjS-( zps;C6kOlbmZb0G;RK%bZ6i5z$Zr^|?1i28KLXb7k-5?N!7$$;Mw19WW;WrVa5SCm( zEk&?zj(r9D8pa2mCc*$Y<niU|ugJ*-yf+uR!sIw)rzkWQu7GHyh6ku9fF&1@94NVf zY88+;Jh^}*P?8I1D-q~WN2p<-LmZ*Z?kV8ggSz*qfHa{c7s!e`XmSC`gQ6AGc;JI3 z7f@3cWDw{uP!J7i_=5ZkI)D*2%MDW14QUTUw^f3Z3#i!(ZM=fa1(hzm(B$&&3pn6F z%auR@2~I9B4%G1=aaeKzSpYhL611+lMFqrzn%mt2-Xjc&Tu{p$B^4k!0P0+bLePd# zYzn~!<24bi0_uVu6_AT@m<Un`PA-Vnwskwvt?dunzyE*P{{?wE2sB=B0<^cMbB_w> z#uo7UF%Z9dj|ynKY@ak}ynuZ>WV`?*;?ceT2Xwpu)Q1O+7l3KVAasjLKLY~;Y{&p4 z0UI&^n+|H(gCY&IVHI@D9e=AMeAEDB__2n7dhnQ63)ptVt_rY~jYmLE1&<ma6oW?% zKwJ;_Ir)%I1k?z)D5&;4-lDPslmZ}C=Nc#zlo=p;Ko=x{cp$Z)tP#Ki$q$`9;I=Sm z3vWAkpX~|o$N)$wOe1K=9jL7W5`$^?011L>bm;Ix_Y`o$2D&__2fRE0w7939zkd?g zKyb4f<U-JL8gLgGHe680-&zk=0x}=fyP#0U6a~2pw|60W7`i=pKsrHc!QN$ode=v# z0_3i8{#G`4{J^z<JO%S9OeaVT$)nnk>bbKA9NeH~2+*Jg&7*Ar3xe#0_~QZi?sDh? z_naM|9w9;!e5W4hra(pp&?bisP;)?wAfQZ;TDaR-x<%bukTz4m&RvdzhaF5Q$i*OE zbn^<ffKOUQaz1K2`KV-o0wbNjUmt7=WEmCIb|k-nmQg{r&~E`p9r8#)8h<MjSTkrD z6(i(yA5e*y%HR46ym}HeN(IpjN|msY0_f<*CuAj{@*bqJMFpg?vqfbJga=yo-3;E2 z4Ptde#32G86~|jtIuLH|figk8Ns#s)uqmipJmdIV|A1p3Y8E8p`l!V6w|;?%gN*F% zQ2`kTb2D^w;|;PB(6RC$Yg)i6J6lvjAUx2G>JTS_SfI1-AzedM6`)Itz#$6D3}O7O zXE{NG4zC$r!mhuCmoLa82ci5e3xC5lkRz*r4OTZpTH&B92MQ5rY=W3Ciy(stFsYYG z(2)a3qJ^bPP~#Pp0#QXltw7LHdXPbovStpH2~rEu11oDlYQdQgR@V5aKvtuIDo|wQ zpcD&gX@JCFn!qIts9gyi7So1oQtj+fnE}Z#Fnb{x4<rcE4sk6?#{0bG`~R0M5I=*I zffk{IR-rL6fbK5)|9T&^FoBAL(jJKUQVXI5lrceGgl0?-^W{}ksh39~e0Yd*K)R4H zK62o{#TwX<(gs?vBX*-girW_Gs5Ap;9TrH4h{d#i{H?#hQ;DEJftU`97O)9e*3*J+ z%>|bL3qXE^MD7v<6BKlyCJi*`Kuply2vBnGQ30t#&0}t`eF>ne#E{AbSN>MerAuIo zK-n2&&T&YV1~Cz(1;{>d;~Jz2v?dT@6DZojttC*_N6NpT){;wU7I?<*6(sG0`~h-5 zXc-`gW?*1|ZKFn$0?EDv&0<26Hkcm`n(Ax;CpQou>TeM9r32&&0+7qNA#O*MU0`=X zss~702b7>tT2EjV5N+L%<P1>=@)|aUAZtL22|*<Y_)-H56TvE4RKOHo6G19o-hm8g zfJ!*9Z}=d=1>+xwlx1M4&#<+1pq3N7!2!wUkRcI|Zi^R!>%mJJAl*7pf&=G5L^6it zX~=mLFP^M}Dh73TKJg1cbb&8|f{KWKtOi{X6?qD@2$6sKLH=zQJEy2LK(c)c$X$@c zS%F~IK$)QXIYH@Uiwa2H_iKuunjbNCTx`A6;iKY=bV&h77FOyzAze}cG7>SY4(dLE zC&c)-9YhShgL*dIQ&d1!!Y1!+I($^DkxoU08j}EWN()$JXA5|)yt@TFj}ECBpwgf@ zbcg_m1v=pn>KV{Yb5JH!ALtlPaD9PX16%R8f(~T^x3EAa!2D&&-wHbT3Y^nGl@KU< zfo`Nj7zv7T(6Nb((ABpfRo#%-@9t5F0Z$ttDlAaV1~MCz(=7N~)4^5uYlfEwkVT6i zKZA^Z3B9BM#s?kT#R%=>!7eF)rjHlk_E8JCO9DD^4x}9twIxs{C^3P1PoN{_|1Cpm z|G+lZ|Ad!vV0Eynq?`B5GH~MusS4?y0zT&e)JUBNI^hetslE^Cgs%*!y`bZ`piI!k zIiPs#Q2{v$wU9&JR1cB`1rMn4gS@F86wA<{2gMGE2|6?kVkF2uaA^io1v)knBAf#Y zdXSE8NYVkB4GMbLruxf}ItH}f1LRWBF<r1=hMw>RlY*TU0kRCF^d<DH2pAvgS+ErJ ztO$^ExFPm-LXs@h6`&&#L2iVkf0WV+$*rI}ZXl{aKEY50J}Uy6(80DwLHrT{^#C;C zgQe^tcPfCACg_}JMg|5%lM9q0K{p-43r0|D4aGLF3TUIO2fPFXQq+RdHa3MIYw(*0 zR?(sYrtq2wQt|RW*4iGFQb8#joDJZmDs)W31LSQ;F7SaeL24m-V0Ac1En3q`1=N&R z=5JjN&X>qqKzRbxg$IejG=iH~p#C^?0D>9PJMZjK0oe~ae;UbdRCj{9>Y$^ip$b5! z9z&VkJt`n4gX{q*0y|Iy-tm$FIZ&FvRT1n!(3L@u$OO&pfm${YEu7ts0-ew)xfbvd zFU$~LXNwAGeg?@pkUgkQ15FHrj#q}d2XsRblnJ_n2vmoGx-#I=BZlq(8MxC#Ku#0p zZ(RtU$p9TL3~?H09{|W{AagysIlCPtpu^Lk_6P$512beIA9Vi^q;|4_T8HU0(3)=0 zQO!^VpnHCx%<di)kT#GOkRouXslc7a19BQSQr!YNyc@cw2;?+~7S3)*1?a>cbYBrO zWN$%di;4lnX(mwXaD-2b3P?dW@7yVfjj${oAu7zJlCK%MS(~OXGk{7UQ0d0R-wL|O z6<o1^Tmxz?LxUQ`gfz%O5+E-@BIpJ9EHh|NIBDhg|1V`fgGaPLsSTtFn&LoA*f~a^ z*aq>TF%DwBgkHV^G91K5vh`&rq*Vj*EmR8RArKS1QwDShH6&6&mpyi~Zk)^vDoH>? zg`id>0|Ud%?~sEuFk|zjCTPVFmi?eIpfRMwOF&~tpuL;j4Gs06DPizZAP@m=JaqV| zh?hXu7en@gf_8O+=6oT`Z!|y)g{Fc6R*b(Dls_R-8pj%LK!%J!M#I+^gKU7Te}yUl zuaVM#tt<g8_5!c?!m^c5l)rT)w6+8p3B8*Y<j!s|fw`(mguitrvW^T<6z^fNLzusH z5m*PPA^w^HJfsI&GX>ua3iqrKe+%doe28b^(y;C;XxTR?A+h=vgGK=%yHpQIf~*Jc zQL|eNTAGX)ER+K)18vl8JO)vQeO~_9;_v@oru+vlXYFPEP=wX+hl{@de`$-l9Tl|R zA7ekTEI9rbf#M&sADE*7<U(@x1AhgF8YrkVjx}U7fX50!u>{`_3^p2+a$uL@fVS=) zYXm6;FZ$Q;=<b9Z&IMY>4U+U|JjfviS}|CnBH_`A?XH|1i@rk+*S=o}nehV+6)}L8 z4{CTc9;y%n9m@n-ZH{BQuW}J&NDDn3^TG-UsCN>Oz2gEZemgrr-g&75%2(Ym?a1RB zJp3&mK#RY?sT(78fR=QE4+Yl;xf?Vce5?s%2?Nr8=n&AxX2O2hy72q|ml@D?(Qu34 zsg#?)#r!{HoCV35uv998ltw%n-+(5!K<m>$g$iiA8L}I04|s%ipByNyOkV&>D-aQn z?)@{M$px~U9=<Zo9=6;$0yf4BHW4w#>;t+A0n~a2<sQh!;$saTAfXK5A_kbjb~GLV zxeYwP4004iF?fI(#6=Vy0iYNQ098SdWx9w3mbS3o6R1#!Xlei@u1?UJ@NO`HS$^2? zw}QIy2v>TrE`Syx5uihZL5*QZQwMY-CWr^JKLTOD;>G5Nj1C>=T2F$iL2Lfj>EId~ zt_m@~YsKH%4VD8Pp9`9H=>e}QfQ{XmbcCoF^S3r5D*>Na0$GyK>7tU;?V^$a>W4v( zet|H-qTN0!If#WpM*OX(!A%%w5f=qcJh1J~4xk0U4)FA2$ln5zhlBt;7Liu?fG6EA z86I%#Jm}HOdwe3OK-vOMF&>S_9Ka#`-J|o|PI(3f2GqSXzvqE6FYH)))jSlP8(=!I z+@*79-uM46UBP7_#9mN~7nCczI|abnd_dcgAQJ-~jR(Pw=q^zSz+Q%wfK2-eseC~@ z=0MGim*uE@(4qIRiM|+Ua6!@#tVRhq?xIowN&v@QRBAvpD2l-e5i+^wqf!GGfllj% zsMJ7ONzh#z6~|pvz$>YbyQq|ai~wyI?yga(L7T*bbo)wUUo&*OsMNq3HCm-%;HBmn zAf3luRB}KxEG_w{6exmLn`eOT-2x>pAC(gDf^$&v$N?Dv>X~Um7o492^=4dDK;18f z*K0to0)+|4RUrEHEKow@c2UUzr$11_gUpvedM2QZfYdVqNrSMDN&!edM5P2nG6Qr* zG6MqxNPY@9(W58<onw^IjYEINOFwWC3@tRe8zR&}6_|?(s367I3E=@g)2V(sQa2pd z>i|_8phWJX;sHKr$EBM$cslq%Cx>ny6&KJ-FHpZ5ymuSg5$OL2uJXXqkkIX;l7h%$ zQv9tC!IJR8rn|udoHSij@D&_j_lajCxewN|KMOU^MI{2}zSmg@_c8mZICMgy0h(4p z(GRO>d$)imv_Q>maH@so39MCW>TFP*`0^2GOw9#6*aO<Y0O}hvyc7nFC_)8avVk(- z0a*5p%OX1aGJ|ZG2Q5B9?OUjeLClw)@EVEGdTDu3eZ)QsQXhfFggv_VD>Q;)e-C&W z1!(>gG$049m0DD$Bh7z;Bw%$DWONz6+P_C-8h9d+8(h?aA`oQwv4)C9aGM6QKoL<r zfvv<?H4Rb>uAV@8k<LN}jlJPsHVu*mCjpsEcoOIVAN~ZI-vrIy!Zz4|oCQ`5>KlXR z!Mk~%WPrzuK>cjcl|Z0eJ_S571x>e*@(^SYc%rl)lu<!70AlqJXwnUqhNq~sF)%QI zHuSw_czFd{<iSG<(bDNrX$6H=3rGnl|G>ujA=L=1ov{s4oPshLs0cw?LiM@<8XO?; zmj%$w3=TC`iFgJE5Aa5<7Vv@%n5R9uE%tFRFff4ke!jRe^ZS3$p>m)>-B0|2ki`}t zr4U0-gdq$8jTeAqAT;RIYzD9nP|qDak_+m+eBzIUO!!02EEb&(K5VWRahf^U+{WV< z4B>}pgI1dP&W1Px<VTPlU{$Qhwu90fNCrY9Y=>AX0C70P(go9CmO_k!ZU|^R&S8XP zX~Byd;N%ZYl0;huas<SA#D#Ft3}n|}3$Zvzh<)PMInmhzo=JyBF{l(mShoUv$S$-O zEkhT#Z<`Jstp~+1+$G%&8^BI!0WawQl@y>P@q!Z`*Pvhrdla<F8YyYOJsJZU)B&pj zDTW)(`T%S))RX3*#0g#0?7{j1ECpHR1=4^wCGdxzOyk!($Uhy_v(D#l=K;^Fg8P&| zQ$U4n4|G}M!44r%sR!y-f?HWH4$S}+;UEjZv5c6<fY=91E()OR1)UavW<pSOp~W0X z5A^sGP=Vvo&A`76Y4Qy^0pB_syv!eDCs+fhSOOj70}_C0>*i>D_#d>Orw6>9rMm}w zOb!2f2p2QifPA_IoR&a_fPKQc0eKka_H<C$5A{uV!w#?<EN~%pzzg%Ks7Y6V_iQ3) zZU%2L0dX*_%t2&SP!jsYAK3$5VD*VVt_Qp_=@Y-sNle!x+<Zd=6g$xLpiYFFo2Q_= zS(5s07KCI|gfk70o!Nj={(vq9=t}@4IcQ+Bf)ztcW>9>CjDgUg(Bk;SF9-`H0T?6h z1XvU`uVf%w$~_HHg5pk(;Dmslq^E#ud~l@zUbp?Cej+#wp{X0>Jg`321t1H%w?L{B z*olUdrXnRI))U}C8dy4l(U^V1H2&6u;8T+fFTu_4Zg>E)pcAGG7G43MR%!PZuq)vQ zDN0X8%chXFD?~5u004zExRFp@4{s#EkB$Y8b;i{r#yaEpTe)~Z3o@Vvf*M)S91db) z%VZrO$HKy<Yzk7?;K*c`CPE?(v`iITAcAT%c$lD6OZc-Ev<^hnzz(1`-sFC8T=s4O zuY&~@*5J5oJRV`pz_8OE)bRstpLub5GKy1449(s;q|k&M<_;M-E~rC<rWb!J19(pj z@u9gx6Dj*^P~^m}S|lez4vL33F}D`sL?`~%h2ZT=#5*xT3(1MClfM6lYQ<6;fI}YE z%Yvj-&^>mbGzn^hfpYggRt5$JNG|-@3))BwDM+#J1_7lKP?`WGXj3kb|2~3mc=S=R z0p0Lu4e{UG8ifC>_*+?F{sT4nK&cqIJ{`n_BxsNX$Y`*0S!W>oZ|Ouz{l^UVpCoDi z`&7-$zzOr83CMrO5dU4RM)=Q&zcmwF(?bIc<S7FFyMXM!qZ26gA3NNCmOUiJpBJ+K zbU^;ohWJkx$$wh>t!Ke)1>*h30j}AhHR#j+@Bd$BeTEKzKaU1gOE_CXJ3xv*Lzb$8 z(k3)8K^+|s^W_YbHU5yX97u8nr5;eiL=5F2h7vXTTXVnzXrLqyD)c~#!AT9)%ZKF* zSox3H&W6-jAdMhfe7YIHr`dqBjU#BwB*P0EXyO93OhJVnh<-60B-jhNVTnLd3(k|> zFqeSpWvrbYXp0uo@6hOi6}6zy0@(`oR^xF{1qN<hg0}N3yh!Lnl=vV&fK^e`^Y+k` z2l6z?86f(_tR7_7QPulk!ytZ!#TmGb1FHt}dr_?xV66=Yr92eH)NT;{>H#$nUfzQ2 zQ31IQcZm#ZcYp#4R3d|EXhPYi;0G&_(>owJ8nV9#>Uu=01vD88&6iNCKzp}9%x>O* z7Kn#IYPt(p;02isD5FdBw>}1EiEdVv7VyL*Xix$)-~?6<x<eT}Fwp|uM5_&1GSdlJ zfdwtyK(=7tm<UQ?AP0jg3CLzS$hc{zj|yaXtW*lTcnDPLgT^vJ9VQ|E)?BcIphkkS zJM=;s5ED{OK-P6ZLxB~P#^H^#x^5zhQCOfuvKll?V+Q)CHb|g@ayrN_(A)yk4T>sU zfquIQ66hc`$brrS3UqG%)^p%Ns&3ZxO(=m5R!(`KgB*+{&{;rp8O&G$or%8{v;+n` zu?`AbP)H*MI;gk=3BOp_4$DoTC<Es`P?Hg<pDhSU8lbEX4z0%HpzH`PfnGfBgy{xl z2~g+cV=yF?z)ES;Imzq%{{LkmWSK0;(NM2}vImIy(g}K~DL9>idcWWk7a<$cKx=+M z!*P)F7eUkXpvf%gwmE3{fKva<G|<31#OEMGp&0|jd>IZMf&)hhx#m|x4FRRsZjd3M zOxn$>SBw}rgk(^V8$tQC6LJOvw8H>)<EhV(eNJd*c#&epl`mM$SXM-WJB*?Gt>6Iy z@yj86W`H6N8mM5uoX2j48!2XFe}ni1%^g;xn86OZO2siO7&PR~0cwlyQBeTXTT~=K zboU-G4XL9=nnB$hhzRHkMd*<C0(Q_$`5qN84cqdg&xY9Y1CoFbd4o+w8uHd-V_@KK z1zlnX8N>xyd#r(>2{z;nQs2u9y28byvttFw%Elua91IN6j&a~gS%iAXs5eMG>x>kT zUs_aVfSm@T(R<QcRCL)G7)rfBW6>{Tp##8R?}O%xkw=<!K#ptyDS<c=SrKfc8Fa=C zsBmXpTMIharA1`|)N+suJy;b4K%uk+BHDR;=X_8Hb5iT~|2tkBW@6|(Zg`;cAgKBT zt8P4W;0eeF*LHzMeqMApfXkiE7DyO_M)knn1e*!1`(a)M>t}7a53;QX8UUb!#UK_c zwt`K9o510R7^6mY?+&O55K*uROdu!UZvoAng6dbWO^pXLTtG$~<nZY1QRx7Cxd)tP zJQ@#v0GlxdDs1G@dA{>d=fM|qKt@1@F2LT90NoJ-^+p5OgcgVitQrp>-hhZA91#UF z;Q*2&4uFk-IHCe<1l$n`U^AwuK!o9r5Jxvcz!l+-0#qYrK#hP1!;QGoj2f6bz$Sps z9c)p_0Gj~w#|5wfJy21wKR~k%FD8LZK=#KAcZ4Gnz(&CR!SN6j7E@Fp!f;3UqZ=XN zg)kxl)d&Zu5fEXx5j-Fxkm5tY2V?@o9|2$!VE(888_=Ty5e54L)aQP2stGkDDv*+u z2Z{+Bpe8^>!6tx{RR_of<d9eq0CEH*MjgOLz(e8%*o-ME5Mj7CTtP-4d1FBk$OMQt zEWjqfyrJ+26qG$G5K*urz}{d+Gl3%%VS)jQ2@y~eAfgBp4mQFv^g)ka(a)?*44~5$ zAUWk&1E}=sh7^=O&@AZL`OxvWBWO;E!SOgKO)&WM^6qA3VgMHlj-7{mdSxxa15JBW z6xcvz!grs}Yd+mmpu8z65^M|%KAo39*-rq>Jn7qc^F>6%_x~?1LiZqm?Pr|;a&zZ# zNLe0H2ad7cEh++_JP6uN2lb!^I|HK2rU2ozs6ZHkEfD2U$9q6V*kE0iBMqSX0T#$7 zkga=J3$u;`YMlhsIuQMdUjWiW6o6R=VSw6VAmwoD3Y<V80PT;5qFBf97%T<xT@~Cq z29SPGb02CQ2gC-5bqo+riwcAx*rEbf4!3RvvUShuk$l&^<3b|?!;42%NMfuPKofqT zwWLtHAVo6Hz!cyO_F`s$&a^;wYJlPb)Z_uD2L{mQMo@5pS`47Z15^~W6On^~p?iyp z2nPcL@=lE&6@E}f)v5?S^d58y0|#tdVUG$QSV{~g1u__PU=<q!18Dy}8(86#gOL3i zKOmxDYKjUE2Ll6SzebA+2O9%JM~@0S*sv$yQE1SiBpl$y<saC=hJn;~wy1o8@IZo~ z6+ta3AXYa-93lWx0XljBH0}dg%=8Az1YI);(%u6$1$pbh6cskG-7K&ZsX&H6`d&RM ztYE31Fh9P47y&XAvYM$yg#qOLDJuV2L9LG0$O=1KR4#zjx2S;Bcebcpf$%_r5a)te z-4Jnz0FsLC9<X}EB=$eB4Ku+5PT;d?ds#!uAPoaZx`5>qa3$Wo1yWVR8U~fMuyO=% z!=R`FoUM@393-oQ&4kvdkO~}T8?0df5d{}q;FbsnB*(y$z5`MT4yn~)Ccqj75K*uR z;1c{;4O+us0aC*NQh~!ua9G0tA`CCVn?Xh(mEZy3S{+h?L#l6>BVY{!h$zAljvy0| ztC1gIBOuiXr22+C0@g5q2*VxmuNuuC9^h&nVg#gGhZ_NF7(j&KM(hR|f#i=5U=twz zfK=Zwf4~|B5K*u{K$XCYN{|W2{!s7$RYed-K&o%JBVY{!h%np{X6Qx)AT<mi6*$}o zSi=A!3^(F)6*zgp6NLv-!vIo&!~6kj7(hh9{s7mg8_`VIfRwBt)i=xpSi=A!3N`_p ztnxu7Acw>Qq=o^c0*8A8)-Zqw!@Z#cG6E?&1cE_f35gC!1rBortYH8V1v>)ljaQYZ z0pWnuFaXt;;IS1@O~VRn7(hf3CakE0WoS^t;MQM8Yz+fY!GO16u;?!%a>GCn+#uMa z@_`jp_rV$l5Z)A(7p(Ax!2>W8+%T}O`2PRpj!)n%1fV(ql-ypvfow#B@u8IsSn4A5 zwpU2O0;}R6#Rsg9gQ(&l^|nBZ3Y5Wn!3kW&oq%sf1l6#hkq2mH209W4TIPd}z=1Nm zr+{nX?ky@T7**VTRtARBYVfcdND@}`-vbF3f(L*=^$fJm1XT_oCbW(MozTJ#I?}Nd zUK@cbE6_%1m@r5Ow2}fDeW+uK%3YArhTvYJA0(iDutT=xy`BhlKPaf6?guenmO-|; zf?UE4sqqjtf^Fzg0R=Yb1TGE+2BcMo5XDdjK%EP^UYH$=s+YN-RdFpUplS|mD<{Nt zFh1xs9H>KIzJr|?1x}?3prQbDZwWiNk?{Z;?4YB1piEGThLrH2jZ@7J89RGao<Q3* zFmdQ=(kWmG$iOIgLFp6~kb$716QPEIPOE`3yCIU@d%&7d)|d9E++by304)={4hnEc z6oc#p#RKSckZT}eNECyrVo<yuhg8QPCTPL|RAcw3++c_9$^@zEhScYvg=w%ThF04k z3S=}Wim!r<hD5P8<j^gUA)r77M=^{8^$18D7R4YtxFN1Uv>-r6gAVxt#Tt0uF{nv_ z63bu}5N+KM7ef}I-T`U(^k4B}^T+?-J={=*AZzfO2vz}Y!{9X$q!7Hj1T=tYVb9C} z8XW~45ekZPNH#}aJO-(wTT~!4D62zOSwPy%0zI(CbC1diX!-z|@rhrcV?_a|Cn4CQ z@_`M+5P&fRdsGgfDct~5`U0jD!Vv6H*#T~(3%017V1=3jV!XIs1Ue8G<aCe%kKQQ| zGkdo{oYC0=?eMcMd%z67R2$?fc;VW30CcG~s2c@pK{)OP#VBb1!2wnV2A9tJpe+bH zSQ!{P&v|rCQP}`ufsTN7+|35MZyU6ejg^7Hwe!Yt78TG9+@OG40WO1G5SMTtcTtfj z0`=UwTU0=1f#<J|yQpY@j;cQHqGAA%oC7xAqccXu;<$^718CjBaTgU2kfcxd78Q_# zd^$^1K&!kXpppq7J3%)iXMk2ng9JA)ytrQY{r}6U&|yW8Up-JeeCY+?Dh#Q^2kLo& zTn?h)JupZ=PXK3!k0BgX)j)e-my3|QKPVl(ANerrK;@hVt{xb)nt;_87#+S3c1YHx zpjd~};p>E32dZB{);$2#ji7^CAqk4O4xffSl67ATk$ea3@O{id5@Y262L`MbgwdcZ z0<jJ)Fa>y{Y{0c4XlWglI(*9^-3(Yq4Adh8m1&^jav4~v4<-eQ2QoT*3s}J&zWHFo zV$ci&)o-9fIU(IYaK#3xSewCz&OoI>;t&B4i}ViPJh0t0u+AFD5SSn5f~5*!QlOfz zy9eBXgLU|3fZRVtWja`4GO|KMaSbY+Demx11KS{o+~HHsgmm~|ttCjd1b5E5(MEzh z@?q^mydA#AEO530otc4Df<i}^p&dR*^$cs$!a95qQE-D4+~E_4<``BsYtR%HybTTR z-hnSM*$Hlczt01AhkAMMS%K6|fsD*H9s+ma;Je#ioB%1i<k8Ez9jpv)9Jr%~WZVLf zx&t1)y!~KxaO1$8F@$lIFl8C2%D^2igt8!zGNhKhh8v<~52>$U!2s)MK}5m904noe z2!KpL?p{P7b+kZr1JWQftfK`HhIcQ{=7K}08}8>0Q0uB0(pQ6YF5yzJjuu21ZbTQz z2qb?rAa%4LeM^`>U>z-pDA*t19*PH=2@3v*kbrb9VJ5&jS`bmN3E+rk1DSvv5)y$R zBOu;@^ey4ufOWJW!f<aK&H<-RM1K*fqXp?&!W;qXXhB55jsSb35zT}Oq>dJ(ZwWI2 z*3p89B22K)fn_96N2~Ay){Yh^L&Dnv*hXM2KcIB9PJ%1<Jt`ew8rIQ*@TRCVfO}Wq z5!eba6Wr0dmi7JrOaG^+9jy|`Wo)3b7?k{wJ6e$_gSD`#1(I!Bpi?M_ss*j$6yVJ_ z1y?Op>}ZvP+Qg7nIC4j;3?vL`g@ej>P}3W97AdTK-va5_^r(RPNZ<oHK&qgX1c8oL zDadF@EBqRyD+4kF6j0z+IE*v!9j%EF*MX`Vkn4~;T4gBx<p@ww&<SZgA8!Hoi=Y7p z>ezsopcWXUP{QnJ!NjTF(JFv;wDLg#4vAurdtkL{9!MAx#h}gt$eGmYXyt;8hD7n^ z5!KN;Z-}j<1#V75>upH20_(ScvO2zw7I^JS0<22}V!Sw#3hNSqdt#j}Dv)Z1b@gRL z7YJ#N8Po+rnx48)0NS(;DPKJs58MEAr-02sbdVwt9i#wIw+P%p@&K_=J4g-~9VCz< zP#=f`bn!OmS|a2Q63AGPqylmWsT|yUnxg`;2;M=0_MSXok|2vflHd*!R1#|kX<N$o z|1VRaYbGEqF_alGx8(2O-CUqK7*GcSG7#AUo@K<|Jp!-#f0366uJm9XE9i;|kH+H% zA{ZEUf{I0uX%?&u3@;X^BKICp`b#I0V5WfT2Cxoj0}nKq3AZ1u*vIHEB_MZTOi-*t z=`TgXt%LQKAVZ!lDj*te9kh>+bAoIJvUNvOkbDR2FCC1Bc#G8|4$>5c)n||hfHj4| zqOiG-+7ws~j<+dX1~D2siUlf0k<5f<DM$edNzxwhMG+8DaFYSt6y`~RI`X$ZsEG@2 z<)Al(pCp4DdcC}t!Rp{`SG1<^UXU_SQ+N$n8QeJZrtnmdI#5%%1*{Hk99mO252h>@ zRT)}S*d3${sR@~Y)D(u5ERX<#HH9If;9vk%VlP-hCLlKeCm=P2AtediFR-RCL>S%x zJOoNs$VMCh)ql{YFr>)}Hv-lah6uxrs0SH=<c}RlO<_or6&9VarZ7Yl><@4o(iY8x z2&ASkq`?X^0oD|Th=NT3H-&$LvNW<c0+5=*kR~hK8?dG@L>TUk9Uvo+ywQNv6oxce zVUB<`g(0F~M}WOihGxPBq^2;eY=T56tSJl;MVMfc2+K&Irf}L*tW9B1*2CKr)_aQ5 z6oxHEFhFSvLwHkEG{Eg&a8p<T%mg=uPse}%|I+0aWVsr|%_v3h=~&dF7gXedGBk*W zmqjRpDUhP~b2KF5LKiV0ie7_Aq@q^>RP<^jAQeumC`GStEX)*G(F-aALH2`axc!8R z-V4ZO;m&wu>rjf`doeKUU_~!vuBb%?M8mBkRP=H<APrS{AzKG6dflQR_OSkqfE2xu zVh^6|pzG1VqOhWuDIS($@D{zlBf&;P+qIyOf<!8~IENO!kkSQa8*CH;A_`8T;G%b8 z9N45@)&?!SMQ<iZ9jL5~0IP$Sm1sq;6G$1T=rsf@gJ)9oqE`x}4rClBSRLFrw4(Pj zC}n_@J<<fZ4z3KX=sg2chLqQ5AQiok0umMsu%Z_t3JwNv(c1+w0lC0AfmHND3P`wL zU_~!P7+&DGqZ{!9spy3ik#HkmMK44cZUhU+2qb@eKq`76MI_80u%Z_t3ib!M%sK=~ z+wcK^2}ngRq=1B(04sVSqF@uiMQ;Ph1muwDKq`76MI_uCu%Z_t4EKgD$Ot5F>_94d zAw?w25wM~cA_{f{*c-ng<qF&zFOZ5}P?>~C@vx#7B8o6!XEZD$fr{SO_puhepsa_t z=-qrDYtbu!QuIQ2Q&c#><t@1AWdJk5MQ=dV_x~?XUcp)P21KA1y`Ulw<UJ4#FN?4g zy%k}QjEhn9E<i4Nf3PqxyjUBBTo$4fy_dparof6`P#FlaA4J3LCsg!;W=-JxC+twH zLn(Tb;nu;5UeMeJ$T|=Ww~kQJTY+5ko{vQG9kl2@9Rl$d>n70l0QgiCJlR2uUT|Ru zD|*`_VJQZ0(c1tq8oQa$q8C!Sz-)sRy%14w5(O8%Vvs_-mz7l&RJg%&6?&`pT?Dk% zdshXd4qgbN6}`tn%0NZ$Hn1|dap*<we2_X&nc4?d2R9C_=&gV$OGj0PR`dpflp*Ey z3rIyTq=1A41FYzUh=PLwT=epTOh7Jh7(5Zfo{$0(?iX0m3lWAFIA=h~3fTw)Z-fz$ zA`)%{tmuUZ!;R<!8G+;v4PTH6kR>XRA`<2gSkVg+1^WYBX1Sx8a0035g%pr56JSLz zL=<cSxaegCnSkt#14u<Lq=<xj16K4xgyG&e1Su8Z1?LB(q8Cy`!W;oBdLg1<M}WQ2 zfM$XMXiX(FU4zObaApOsVSp9A5K)8)c44rL1S)z9ZecBYL0J!P(Q9!FYtj3G1=Q+- z4FE%UQ&e8CAXeIdnc$-LYRLEhFa6HrEPAg7p%%TMA`j#}5DhPjuoS(210bafM$sz} zh1BZ30V;ZpLXiq5)K+hJ5X=-<(F-aALH2`axc!8R-VMlQ;o%Tu>rjf`7lAPAU_~!z zB`(N15DmAEP|^DW*}4#9>!3w%fIq|@)^tBes~1x2!IK@d=mm?yie8=&Sc<`0^s+*X zh7YTum<dgykkSPv11owVqTnP7E_!DNLmk;A4=PgOxeC4LEe5Frm6b_gb?~wht?2au zDFYR~)?j6D<Isy<6_7fRal&AAaO2R5-oK!f0aErx4&*wxGPI)i8b}#Zk;MVtx&SG% zAO$2W7+^&&L=+qh;G%a5$OPm9#{#M7g%pr*zrc!Kh%mgs2}Cy{1F7hR6p?TvU_~!P z7;Xd~$Ot5VBp?;NkRlT14_MI)5e54LTxOktq-}Vq#{gcT2nh*D0SPk!R`fzd!6tx< z-Y$>{$RY6qspy3ik#KLoie88?+#Bv7BapnI5rU{eAVnn15wM~cA_{f{*c+^9CPW|= zy`VA)lvyGDJXp~S5k;7AH~^NBKt=D@D_DzOP}aj+^zOZawdlQoQuIQ2Q&diX%Uf`( z_W+m)E_$Q<zyE)E`6SMwH_8{a=miydVDEv~8bLQBU@3Z=ydfDEqv&lwE_!!>ir(%1 z$Ymi)tM`r%%oJGB3n~La_Je46SxBhpRd58=MbM=<9w^qK6ur4{>tIDMS!-h=kgdDv zhvYkG(R<Ys;w@GgPe{=VDfZyW4qEhrMPWtnL_b)H!CUlpL5%K1-&ch^-~cIIV79@E zUWh0-iGqt>1wW`G`K3UG8$4H`7rj4xp{?HMk|1^PLJ+O!y$DhUDtZrqmBEceFM3yk z)Pc&>8DMpA<IsxUCYZ89RAp#IZ!}05QeJmJDtaLWBrF(UMK44Y91P&1R}y3ba)DET zRP;g$NVs2MMK44cUf^5<B`ahj79bV9kRlRp1gz+V2*Zt-3^D@AA2X1OUPuuM^9QWx zg@}Uv0WPxw(M+&FDtaLWB+LX@(F+j;n*c6)`9UTid&2;{Z49#P0a8T5y#XtFA;NHP zoPm@I@bW(aspy3ikuXQVie88)*b!iFbfK9r0jcPPmrW?GUWh2d1P^amMgkSRRp+r5 zy`Zdzx9D{`kG1ICfKv2AcvDnXfXiEO(YpZ51Q)&cJ-`2d8F2(>(R<$=wde&Ec^E|> zmZF#44U%y&irx>%Mehty(QD&{R5+owdK2AYrof6`tYsmgqIUvvS$NhH**cV>_Y>UF zu%h<{bXg*ZhL`k&TD>Qbt&2mp4qEg^xj?+ddeIqD^g@a~c(Q{Qy<kyT(JST&OEGwh zUVeztpu0?<6OxcN0CLd_DP3T;!HQmpC^(6Ni{7OkP)AM?0Trq6T!mir)`HZ5%E}zD zI(S)$R`iB}l!1y~SFkd;ap*;_9!MR?I9aedxN&GjFFQ=xH(`+L;L6a7-UrYG#CjgA z3~np9poOe+0~fG6K<bc-l?ref4JlS2B`I7ljsmt6-G~)PWi+HDg&TpRfHeXc0SY5U zRM&!wQ;2K9<qsE3-A^Hq=i$bJ3r&bRaAElfl%A1&RRAt;A-;l?&2YW2!V)43FD&PS zj6f>$Z-9$iNMjjNHp9{ptgwWLg3}S$v9V|-TtF&rAtf`+1Xw#2B8o6U+6|WUL4~F7 zDXfJhD6`-#EJaUYEi5OX6qXR)6qOEe2?;JN8^BC(VY$iW`~R2P`*9YQo19P!OGv$e zHdBP9u)O5}$!ZvdCFnRP_?m<YP+`gMid=f3v{Ox-V5YzdOGvHLq5`7fr5B;Xk^y-a z?E)8M>re{IqmD4^V1*^tx`9w(X@P8=A+mMQ!cx~B*$J?-NI|6)==3?nz9i6EYS7Xr zQ0)Yg1s%u*J2iibN;wN?2WbrWMoT0W%@$ew(+@U0WJFot4GKSyQPA~%ASUSWW6&<% z9>^}<i->#4rl^#G&6Wn6jkb%o6f7kGlL8q`#-i>57Vx6(e6V5nV3&|W4MSS5N5fsb zd0@LuVGGKU7j@@?rL<sDpjCEgi@GyF?w_KP4pu0GtdPo!y3@cmoB}T!f6cIC!f`A| zby&Ou^~0b?bv&>EC*sZ)6-bhS6-CHrTtJF{n2g42$YBH!QE(vzE^Es`*#Wt%MLy#K zt@uv>n=wTNA`CBUjnR!jK3)P+F2jrK8Bil2!f+!#KuQvL+ZOks3KzfzU>>Tl4$TDI zhbnNqfrJE7aShsU^CAag0(^xPEaZ@PDIu4P9^IhDb6B@vU>soJ0J0NyfC00^_y3SM z2Gt8kUt_HoK=F#VUg&+z2uUfB(;L2kXY2N;M4;3Q5Z)A(0B~ght`|JOOmMw0%kKOC zm%=;2YllJW(LhZK=(zwO=F63!Gx8xTZNdCcsC;NK1D3k}8@YCYm3E-S20EV%QQCo) z_=3tMP+10&MJ?^z!TI1e^eTWB=m8)M%@&OOlMtmHsN9Bbhy)o0U8f6Tc0-O<=<b1R z=tL>)+`wkf0-N340#*Q9i0TTK0v$h3lZ~A&EDQ{#{@{(B){qbc`2ZAb&>gBE=1VQe zE=$<z<Cn##e5fzMN+am9?b9FP08qIIw)F;NQ8|o{yc&5g%A)cOpax`T3%HoTy&4%( zh+wWphKW;sHL?Q>WZS1bC^|4#Bin(5X|o#H7GyMJHS#aWl5W^ePw;|s7-#rx`*dW* zwi+4KI)q(&0ICPTYm5z8pxZ7%j28)(;5Ejeo8G|PLDul)$ZLzChl4d9hpa7zozWwN zw9NPbcwI514EJn2a01Mo0zQievCLS51-=hcfd#e?Qv$?7U1khw2%+x71nC5=Bt}_g z4B9XWD%e0KfF!}oj6r1|XdfnIC2@-i$Rdy=cpoNoB{6iFaf=GbB9J7(Wyby%prL2j z>8_v_UPsRx28PZal|Rs~KcxTM0Iql-O=+;xz`@A?TKNlV_JVdwurV-no;vtT{@^QV z#)}7kvL1ZM+}Wc7I+O);Xd}q^kV8{ITi8K`EXWwBUXY^BbKN`!puOWDbFLr!FMsg8 zv}5N5N5&tHjSoPF#<^%7a_qR+*`vY(3hj=Qpxx*!3=EfFbxr|q;((hs1#D^aA(qZ- z-696vd%#|C?EK+)@Q1wP!57kwoxfZe4>>kIf|z>Uq2njm5Rk*LJD~Z&1IO<-TsjYQ zUh55Ed(t^Y<qGJ?83@&SiGMz510y%6^zTmx@2CTvthNR0D(F`J<_G+sO{Jg!ZULWA z0}%jOiL_;l!5Y*yJHHcja`Fom3q-@eyW<EXR?eWr$_{9(Add=pbc16BG-3m~@)H!A zn6Yw#4ZM>cRDXb?0$P87n4nOE>IEr+#R|yYPQ0<g0*@6C3ml*z<KgB_Q308U6e}Pj ziHH?YP2W951*96y0hqCJ1QIJC3KlEO;8<A+K7I!hD<D@vD|kpGKm|Y+K?Fc7q*z&j z94mch$g%PV5-WR9V&wxgRyIIIAv8EvR)8GXqXJToC9f=j#0seD21Nz5ss=GZp$OFr zQUr??usL{R<qtChg9|vXfLP#I0T~ZBZ;A@YJfv6w8A(K}`~jU^Fa_cfR0p8O%Ja@C zDhoiKhET9r`OVD0z~2u!&<GMMAXjy_fVW{aKdA47us}yWfle3z3xKRdij@ExNL~TA zxqp}-$BM~Ya0xa88vc+HOyey`eT&Kjs3?R6$4Uptfjuf9^;lx11rjTuekLd?kamxO zLJ_JLqzD!(U~^Cd1+4`80*@6C3mhvT<KgB_Q308U6e}PjiHH?Yx3qf-#3QH<z>Jj! zkf*1pfGAk3d<Mq~=#)vw5l~xTXJCOG2Z<FB3wqoKL;z$ZQmp(yj+G>1M67tQMt~y# zdZ1go0j%R$0F4hwEG0yPI#pX#z@o5@jueVH6OhfZhntguVopRX#2m0F%$!R`$nH6T zY|eLmn0pdX%qalvneX1B0v3gt(}ipfbeN`1_xt~y;12hB!;_$hg!l*2+dc+47_&$h zW(lOr0%=uvup%EE1!=azWMI8*h$y1B&0z$d??Y_jMLswR)D}XD5?F5=A`I^;A2mcX z0{P4)$RH+0ZyO>EH=+q-1k&Ir?vsjOy=|nvGI(&*0nG&5Cl$eZ+mN0!I3&P1{~sg) zVDz?;x2l20|G-fKX|%wH0#Sw{!L3J7?-i^PJbQ)G+n#EG+}jSngSEE}idVe7ZTUMW zz3rLIph9Vn$_p?JTc`!$O;LHk3}2{q1Iz^XwsrKs|9`o3<^TVn(vgjUVJ7%6{O>=T z4={Ef=sf0e@ELRG2hhM0D1$?K-Jtd?xT&>A<pQWd@ZYiXhY#biZaWuP!>vW-3aCu# zJTde8Psh%aj+&r`)&;Q8$;;2Xr+}q7e?gmCpr#ingu1t=AQ{_yoTU@PWS7npol{iK zFf%aB1X%_$(((IGN6m{aoe+aTQecBYZK0Qkzk&S)s_k+4>j1=GpjsQ`FK}aJj|xZ> z)pn2>oh>RSz@9+y*AcJ~#Cni4*m{t8-BZB*c{F1&`~@-@;;#cRe}Rld^%qDAY%r)b z@v`wd*k7R96_>x}K>P)&2tf`2SND5VK%%Jr0-4d-qOu3<2^4?r01H9<1(F6^4>Awb zss*S0Eh<RHV)zSWGQ?k7VEzIbiRv$q6xd);ef-k?2iRYr`U#i6Kqp~94@d<03tVCD zQ2~jf`U_-6XN$@PuqRObwFWE%@fS!MY(2OpngTv>5zSZ(e}PPf_-h5sUmzn<{RNT& z8w{$^Uj9WofT|mRmk5*tKxGDm1~(``?QvMn!fbqldONtgL{PmTMX(0NA5fk}t<TXK z6iYxM1a9VmSl|W)Xm}jtEU<Y4)FoOBZctcL(j~G$ZhQ-BBPwtLT_RAi0P;SB2FD7h z9ZqhS2&xy<g@MHis1!i!k)XxO9C)mNSm0Ozl`<e_fz2DBF41gotkhD{C3=7yE7h9F zv4Xct1S)+%-iOfOSOK-eyUFYlLG^;VFtAtwm0|c}WePl2KrC>qfXYaav%uyJP?u;j zI9B$9=WfXC5_KRqzMrZi#|qvq5vWK8c^^W9V+GXy?k2NK1l0@b!oXq$R089Vl^%Gk zfLP#I0hRC|XMxQdpe|82I93>uVg=+XXmtkZ0YL>o)doZW#6oI+8`y%{c;|P5o2&k6 zh*&}E5|t>!dI#W63w#c12DD2A7KL>|*ip<u=@RL{%>j2>;O0z#c8S2EFmv{+BD)8r zOY}qu=AH<YF3}2Tmk2BhGp8Kc9B7xQSb=btC|&_<NiVP7M<#H>gY<Mz`ahk=LHDAA zXBh=l!JU>~-v1v!XO+X3y@2~Th=Z11s(_Utx<|;zBtiN?7=0UvFuZTG3}ggS-^K&y z-Vj*d2GThK_e{Wjn-nw?kPiof^n+l&g!OG8qF@uiiA6~TIdVV;G{A<tA-y2T$_mt5 ze%~oV0u-{A0^F$~shhJ-8M&KNfPG~iD3b7Yb1XnB^FX~}$fP2yo6~^O&4KWys8oRa zHsDFc0x%QY&2d%w{{Q8^IpF3cr~!nlc?s&!KnGbt?LcsI2TwOA2UO0Wc5^boLXhSq zNE+M<1T~+Kx;aS3Vl*#7u7fl$Q((<Ykddg(OOO=UU~o6*J;~i1aDxF)Hzxt?36y4Y z3|I)_FOW3YdQbxdshfjjEQY^84IGHSB4GXk8HwsIkQCTpa5rZy$=w`qm5ZmF69V=G zioXKDLJ)s}q`}sMs&J%k4wA7L{sJ`-ApY`!`3qzus=q)|V1vQkoNSW2Ip9hQPdCQ{ z><JWqxqyWr{sKvZtq0dGh;9y&u^9dWRk9F&Il%k{G7{BaAStlHpjzssCeraw1iCqB zgD3+s^J4=FA#gVb!~!=GK=~BZNC5S|x(BG6V-0R3P}I#iVFhU<fM<TR<PhaPfo=|{ zTmpF?LW65iP>+Y)ZVps0Y26$Xc&va};8+2bG9YJx%^RR@jxjh^DC*`EAa`@7$RNiG z-fj-4R0Vk-LW5%k)Z-zyn*-HLS~o`r9xEUgI95PqB*<A{^9HD!qYaJ~in=)x$laWO zQpmA_x0?g16F}aF(BN1B^?1nb=0Nq5*3D6Y#|nrAjulV|4{{dRyaDRwD1&2#qHfLs z<XFj&M8pbOH>Xz&+&~5GOGW8cT!40SKs6lvbOZ$yb5OcDu5fd}9V2)*=LEEy0~UpK zPi{ycy9cG4^H&t+9&pD9ZjJ@Cn*$bwnKJ>|9B4PEN0@Lor$QKPNiVPa6G%4)(%XP_ z0Kn5cNZlMw32^_VmsbL$yc<_HhY6w#(ak|V0t?a|!RY2dgyG$s{o-JU!F`Q<@Drpv z0&^p*n*$LAcT&LJoLV#!kPm)>byFa7VX$rvL=<cSxSL}wjvP6l!;oOz9Mm?41^5`H zUEq!&J0#Yi(>|nkbB>E4cXK)qVV%tZMH1d_PT(P|vpEtd-5dySii!ZZZv*b;aDbWM zZcd!&_x~@i_mkSq0XKK>baPlh<qT>!hXE`EX<mY)!L2}0^9iY&gJdj5^Agd``NIUA z%>fyS+Pnlwfej|Jn*(kz;OXZ4U;?eQLTNUC0SiI=1(F6^4{CrQb#su6#qbxRoAUwY zFOZR_{sKvX4JNaj1FmxMbaUQ-J%Qq{7hoZXzd+Jp>p@jGQa1<5SPXw5x;al^{sI|^ z>MxKK*kCfdIp9hQPdDcQ*b^xJx&s!1_zNTrwjNXob|bnuNXBCL3(?KF0rMBgNK}7; zq`(G~+RXvw08niVp}~y=P}`cWvpH8lAq4K`fLP#00w|w?oCS8v0CjUNGl3TEP_LWg zEQl!g33PKn`4{AU2n~)EP}`c^ZVps0Y2BPN@K^z{z_9`<WkAjXn>Rq+oYUY~p<Xv< zEkAOs;O*vsN*|E-Av8EvKy7PsyE#z3q;+$Sz+(l(0>=udj08CgY~BEMa}I-Jg?il_ zaX#c&!Q0INm7O5(LuhcUfZEjLc5|S5N$ck9fyWAn1&$R^2@i4>*t`Mi=IjQ?3iY}< zjXa20LF?u$;{-RXL1#dqbaOajuq;foL@@`Yn-d2&2iy^XH`E#8Am)HYVcnCD+{o@h z>E?*R%>mEbz|DbmbHJi7b5<go1MTK4Wh30pnaBqAbT4nhbx1b{(%XP_A<(-yPTbIL zjv+`n&Tfu0L>Z!+gM8d1q&tGq&4CERyE!+wzz&1^8u^e#NOuJ0Mp!ooA`0%LfV(-< z&`dx+WD(v?0j-H*g>`cvqF@ui-JB3E<j4UXJP7ONK-wBD;I&k!vpEVJV0V+<&3V9y z+|60E6>B#K6iIlyIayn=c5^l`fyOLhi`F2#DJm<N;EUE4fSKTKPC3W-|1Uo@k=o4x zH+S%KbLN1`8Psmh46qQSc?psRw*o=UC!}r;lCc=gOGGzk3aohvG7`0U36cUEOlCI+ z++e`d&6xo91WL2H2P_2f7f2dxJ*WYK)XhOM7Q<hNZcYcxUmzn<{RNT&8%$<52VCXi z>E^V6J%Qq{2CxvsUm$6)^`I&oshfjjEQY@j-JBYjzd%N!`U@llHkizA4!F|7)6J;> zdjiE@C14?lzd+Jp>p_(uQa1<5SPXw5x;X_fe}Rld^%qDAY%r<a98eAb)y5DS+(-bm zt;y}?K=qQgXe|d6Lf~!=hy`vWfbuEGSzz-9sGE}wZX{5zn-jx=DEA3;b3pkQ<b4PY zjulYbn%r&<R4-}WoD_JhfLP#I0hKZ!XMxQdpl(hwI990F%{j(|94mOcIiS)9<b4PY zjulYbn%r&<R4-}WoEUhlfLP#I0hN&;XMxQdpl(hyI990F%`sp^jupJ!98lQ_@;-zH z#|mi3pWJQ^R4-}WoDg`dfLP#I0hRC|XMxQdpl(huI990F&6)N8+kcRsAj9}5`*`;M z`S#!N5@<01xFZ5@U^_s&Ibcy(w;~9|9F%TOIoup@M+9z;1+<$37KNF^g<=j$H%A|C zP5?@`3)am6i^9w~^bg5j&~DDYpWg`X<5~3+?CD<K%2SYT4y3mM>q4M+bE5x!`)>$3 z(#Z>?9A`Jj1fmSl%|Sle8PXlW=;lC#;oY2%f58rehaU2Q#*pp^%#E;a4n!2(Ndb3r z)}xt#e4sI`n*vD&ux<`S6l?;xo0Iz&DNtEKhy232IgqwSiwcBBp3SlR4R$x#-JG9) zz9F52v3mv9ZVo7t@OE>WS77btc%XE1AiOCm4&c5Gcs9oZ%mjCHCj9>P|0QP`soflK za|cg1#{^W)pmuW%z(SDbB}f|F3IsKukh(cY#$q%t5#1aeSo0EOBx>^#Bn38@%x(_2 z!GNcmqXG5=O0!u7EClfvNE&QCr~!i1%|S92!(WJQjsnbIAR|%z1(E_AOlCI+T;<~F z=E#6Of#NR-un@#wAZf7mpeh`xn}cL5hQAQq91)nmKt`ha3nT?Ln9ObtxYEMY%@F{5 z0>xiEU?GUVK+<6AL6sm<HwVdB41XcIIUF#5fs91;7f1?hFsa=fP!0gqTo4-ENC361 z$?fJq^^(@jVF85@xSIoFfg1^+d<t?F*t`Mi<}iaB3DoQ6lzvB)`vkf<p!^H+K7<Cx z3aD*OZZ`+2m$YuqA4d4bct+?U5};BB<Sejx1Jup=%?O%fqh2@X{#WE!!Q0INl|CTv zLuhcUfZEpNc5|S5N$ci(fyWAn1<os=G7{u0uz3U2&G`(D73y_!Jij2v3f^uGsO$uJ zA3}p;1=OY{x0?giOIkPQ4LnvrEO4xVN_dd7z~&85H|I4tR;bs_+58C+D`>MhH{OFA zsGxK5P`VWr&~6S&_aqy|9F%U(1h_fijtIP=UI6XpfJI^56J->0P`WuDaC1CR+ym?8 zfJI^E-2RB<FK9RC`WwRCoWpOxp6=zHv=`FNf%G<DT?q7UPRU1THzygS9A`Jj7orT& z%|X6K0n#18=;lC#;oTfAkP%3GbC53&fOJP-ZiIDnAfn(-3b>nd;se-g@bE{zJOI)? zftdj7=0HTjCV;y+Z6A;Vl@)ZLKCGJqX=}8oKxpJ{PS88Btz>s|ggzj5bFR$B+RXt) z65ejkoY`2rIS&|-XLBIDDJnM@;j=jxz)WyAXXU$Z|6eL)lG@DyH+S%KbIyRu8Psmh z39t~Pc?psRw*o=UC!}r;lCc=gOGG#42qSdS8puf0<|Rl9Y%rPK9B_jHPdDcP*b^wt z<~?8`h`&J6VCz8*5TtGnlCc>6LUeO>!2AU=64hTIDX_t0c5}d0E}m}A7O*E!{Ivlr z1o0P08f-nN3P<YZAQ_9{FGM$I4a{F4BT@YYk^&n{W;X|1Y2oSStN?og#a~OnLJ)s} zq`}sMDnX=f4wA7L{z7zf7Qp-kG7{BaAStlHq;_*aIRI1}Luha#0o1l8x0?giOIkN) z4k(1c-5d}L+(-cBQ;@U3<_%CcXEwNzK)r5G-z!A9PoSFv%D*7*LuhcUfZEpNc5|S5 zN$ciJfyWAn1&$R^DFbpA*t`Mi=1d013iY}<KVBfm3f^uGsPqAOA3}p;1=O}Cx0?gi zOIkOl2OcXR7C2TwWhBU1VDkp3o6`-B73y_!lAa^S3f^uGsO$uJA3}p;1=OY{x0?gi zOIkOl1s*FP7C2TwB|OMkVDkp3o6`)A73y_!&OAlL3R*Yk<0EhbwX;P9+!28{k2gTO zIbcy(x1t5b9F%U(O1L@TjtJbG70_-DSQKWC4T?D^-JC?YISyF6IbczkIbWV2`3u_3 z`EZ|bH|NfMu%~-@S8srHb0ED9SO);To74LQ+Rdo}DaYB(NrNaubaRleEP`}LFuFMq zVR$!38Ds=fHwXEm9!Pft=0;dI2O<jYq=36Q4<CcQ1`mJai+Uj46PO9GZVp5gYy!BO zGygGCpt6E4uYq-QAZ?8n6$p*o&B=ZUww3H|j`m~ZZqD02tlb<?B;oDmZ0*C^&8a}? z=0JE;R0_a-8}MvS2AB!%<{WzX?f*;b7*e}A;N}jVZcYlQoI&m8B!Gn=%}bCpxD^O$ zJ|T5;kc`D>ULv|VF|g(($Vk-YB}fWvFqz#PaDxF)Hzxw@36y4Y2v`W>FOW3YdQbxd zshfjjEQY@j-JAfJzd%N!`U@llHkizA4!Fw2)6MY#djiE@9$+Dezd+Jp>p@jGQa1<5 zSPXw5x;ZW|e}Rld^%qDAY%rPK9B`$Dr<>yd_5_N*Y`{Vge}SaI)`KcRq;3w9u^9eB zbaO0V{sI|^>MxKK*kDq-IiMT>s*NEuxRC&ATa(+(f$Al#n_~hBA#gVb!~!=GK=~Bp zEU<Y4)XgylHxj7V%~^gIQSKAy=791q$omi)94nx<HM!j!s9w^#IXduI0kOcb0xD%d z&H|e^K;0Z|aI8?Tn<ID!Iacs?b3mmJ$omi)94nx<HM!j!s9w^#IV$j20kOcb0xBax z&H|e^K;0Z=aI8?Tn^S!YIacs?b3kP$$omi)94nwUHM!j!s9w^#IWq8A0kOcb0xID_ z&H|e^K;0Z^aI8?ToAdMrB3974Ib7Gk4OGz8A}HO87tn4FO7~<gia99VoI_V(=72jQ z@MiV{Xg3Ee3hSPPqL_oy&8dQ$V}arx*lZ416lM-DvN_Oh4(DaU-JGwNz>e+ZJ+c_m z&4KhbU>yMTZqBmn&~DCDkaC>eoO*~dL^lWd;y_4u1f!b+5r%hjY(PdJb#suf*n@OO zU~YtUb0DJNP71i2^9vH6@bE{zVh_?iftdj7=0HTjCV;y+JFX!o7UYXXAZ?8n@VU9D zdvjW@KmwH9lh$0WA$N1wny_|rK#_#En{%!aYd1##rJDocO;O<h_ie!490o8G+|9Xt z<=g+4A^xOxbHL3VJl&i>3>aJWe}IJ`%}bCpxD^O$J|T5;kc`D>ULv|VUl^dXIUplZ zo0lLdu)$<@bHEJ-Jl&iRU{9blo8N$iApQbLgRKWOK#;mQNXBCL3(?Ja0rMBgNK}7; zq`(G~+06l0xp=xcPr#l)@fY}l6zDnKAZf7mpeh`xn}cL5hQAQqoI5aofs91;7f1?h zFqz#PaHWN(n{xxxUsu3FkoW>ggRKWuf=JyQBx5oBh3Mv7fcXn#B&xqaQecBg?dE`T z0H`*G(BMV_sBKMdHwUVhv~JEBPzZs$IUp9ekpRl4AZLNi8=!8^X$H{psK4MV6d{M? zf?NeX{t<F{3seA<`5^)z7SeI7C?~BQxPU1433PKn`4{AU2n~)EP}`c^ZVps0Y2BP7 z@K^z{z_9`<WkAjXn>Rq+oWtN)u}6v(kgG_#V+ZA=HLdf=v4Xdo11fz$-iOfOSOK-I z$?fJq^^(@j*#nOi5DOeDpfVEVEU<Y4)Xmuqj+I96-K&ti0&-P1<YpbnNyShBP{9ik z0I`tr3d%`qQ_dpC3f^uGsO$uJA3}p;1=OY{x0?giOIkN)3p`dpEO4xVN_dd7z~&85 zH)k_ARt|$Nv4zA6$W<gAD2;N`+P~9?SV8OND4zs3P&->xz#S2I^H>9V(i&J4)~(ov zVh&0-=k^JhIpB^6+#ChyNo!zHm^pbU=Ad+QCd17!z}n3Li^9xNLpBH6%~3k`?f*+g zS5h0Q;0g;*Lv;-(rJ#<-uK)`{8VDe1a6JyLS*Cz*Zv&k)jASfE0|C)cT>@($fQ&?K zAb_O627_v-m%2Z}{sJ`xaUFFGy5j^|s)8H<F7oj-R2P6ffzqIx0~Uh#3nUG;9$Z)> zZazUW7Q<g4*Flb$odNR~$VgOwfuz6&gBz-^A^rk21#$TcbjJy_TmtzETxjBHs7?WU z0>xhwz(NpzfuzCKgG;w5Dv&a53uI0hVl0NgK(2%Ms|V&UkddhV0!e`l2ATMB<u7o2 zftrH2`~|w>1e&u!{sI>}cp9o5U{9d<s|73s@fS!MY(2QZK%A9@WGsfiK(2%Ms{!UO zkddhV0!e`l1~*jGfB*kK0U3BSzwz+stWk0B=qypO@aV2lvDl}`z`*cg^I=fYQv(v) zZ_or<Jy@fn0g~77X#LMW<v@pvig*d<YX*;Q7Zr{F4<HN&k8TGI56F$VJ}P4Tt)TP+ zk+L|}(9y)ezz9-r;nB;>!vHd~18j8j5s$+jy)`NZpZEn>ohE_~e|J%VY5-ec;lYZy zVA(}Q0c3~*<Z5M(PyB*DDiWXgBVAM^_JOX-e35YoT%`4us2G48Y~j&(yuyfqVW&LU zN*5K57t26pjpu*RZNx4r5Jz`AIKUmw&ffx(gg6{7ecS=GCx_vs-hZSp^k{xl0SdnY zQ21qltjpM^1PZ_6Ly+*x@aW#Z0~&r2Ao&P*`0>NSF9H^R1t{UiSDFHGLdLNMfo5pf zWgx;1Y;5z9io>AbfT{rpSB3}c31?97x~K$z<N`n!D06fNuzbJNdeMP@>M@6o5*6N3 zE6{DjuUkPXAQmHs0Z&OQ#B#V4%>P-?aLe#uHPB#SfJ_;?sCa<P@qk1M=)P-kz`Cep zc(8&m^J_l90lKr)0;I|UG}gk-z`y`G?os0KxBs8`1zkYV1=fIUtOiJf2C}i>*w64_ z1>dI$HC6(oN&;jo3*6X)@MMtiiC=*CRUZ??SpuK<1zc1dz$pfl;zCpuKJiC}s0e)G zkGuGZKjIMBEeRkKGdx%&^gsc59GrMw<Q)M;Dux>)Kx!k9-RJ-k$?#wW-<St=BP=Q` z_9473dkBx$F+&vQb?~K?P-77xitzfegRmR`_WJu?vb_F47s>0zhv7y-{RR#hs3Wk1 zz$bnk9~FmAAC-jTE-ERY%N3#eRv;TIgJCS#Rx*q&KsNU1p>O|RD*pZdA6x!Z2IbGo z`#@1yqXNpG4$UBs)~HaBKWAXcpI~E=@+U|QEPpb%fUF!G`4fDz3^c?MsR@xtEB50_ zq`31Z_;M$xvDosb;(mCF0_9JyZn6^T2^~;CLi6Xj1E5GHA%B9eZ-KfITmG!whsW!< z^C$QQC8)885JluqwS6dF_wORh>l2W@ei;#((0m3C8K@&j$)6F(#@1jM3$~REV-=8% zRoxHEpN(%oV{{&!dsIL}Y95_iR8D}Z>FzyXdY=j?ud43`MJq(aqkI1eaCY6J0%|jP zbVF%aqr|<02UKshfMx%K+a)a!qmi2?ZlyI4RUpfbH7K-zYu6UAogTfs{2(WEc7QEv zJOXmyVNll+p_l>2^<X_90!n}_DxiiINEAXdbfed|uBGmv`t~KH`b2UQa;@va-;(zK z|9^<vzyShsb+>~9tn&2$SH4h(b~i9cfh>1XsW{%EasX7uLT=#(4IqJdpp;P2?ZMJ5 zdZCkvffHQ!clxL}fa-sH{#MXoI3TNBR4TeTI(<}1x_Os$GBI@es1$UAcp)kUnin1T z=N#+wQLzB&G3W0IVq#zb`J)?RVRw%T$g=MjTTk#$J=77RV#eQU4^{#S3s8W0z+4H5 z5|A(`;<|Y)JDC`|1-c7Z3{P=>`hKnTlLP<M;|?7)DyICckKjgt)q1eBo`e{0!r%H3 zEC=;4BdUjwx2S-+Vj#aCZ&3kt?m$d*w<?}!e!$pqsP#Zch>9_P>uRup-7R3Bz#6Yc z{H=>&;vgftd%(tVg1p)3qN39gqN2^;IvZI@XNwA`U(pS*xU)qC)LH3<2sS@pL`Z|g zAp#&4R7H0WSUn;nwfI{dz~eg}y?azPfKp^PTD&7d6dKSG>Y(HRON&#$c>pxQ1WJ?p z92giFz_*EZ`lzV5bo;2NyvW=3?LVkhRsm|5R=nWf`RzZ*GEhna70K>x&~hmQ++@o5 z#2<GM(!^%n4k^I4fKweP6M|jPc>I7KQbSwfh3)Qd|3RtcCF|e+|1qNs6nif>p-R16 z1gf?XUWNF{MFr}{>>Us{f&v8GP|E<ND6s9Ygyy1>fFuUfAK}p*qLSd#?V=Ka5)>k! zpb&YHycH4@0id7=c)<d-1{C$6ps;C$289PKC<H=OJYX$GNT7V!3JVmFE?j}a@j_$Q zxBo9+{euSxD2X12q&^Vyr8#PVK&8N8B?FNHnGfd2qw=9?9xUa89E_|2{D_osyhQ~x zJOHxmc#8^XpaR4M1sN!vfcgp^EX@xYJA1%#$l@H|FE&49?4AOafD|VV{M)W|P5~Qu zyhQ~xIsh{4c#8_C>jz?XLnOQRfHi%;uK26@5u-!Lwbo0WJ}NArQiZv+^ff~_#3iuo z$5fj4n&CJk?|@7>4#`a*W;Y~{gT@;f7#I{!Ao3L`zjyb5ZRP|CLvt610+|aco)}9F z!RfL64><Ti?gfR`>nTvjgE&yfgP1QX|APktK>3;*;sk_sAalEWzy(h8$N$|^zy(C} zr~ir<n?L>sy9=xWq7CX?h(b`<U{eUP2EU156_8-TZz4$HOVR)TK?nHsvYu@Qb*g(H z^;_dXP%ic8JnzwY4AQTew*A}xmtlYY|DS+uJU|sx2QS_Xs)Hfp0Rb(b#8d<7uYm|y z)eG;>8bIn@a1Rh^Jb;hCm4^{jdw{AXi(?HdAhoWG3etE0*l6&0KsR^@0Hg-oX14HP zEieOF>jD~v0O{#&_@E6DPyppwg%<%^pp7*Na2^GbyeW-L4E!1wKJmv~{N%x}bI4;q zC<!qzFf@1={s4*mNaNQ#;lb~I98?YpfL-R%-C$q=I)Ie{WUhusw>=0M{_xljN)jOK z(fWgb%E1mF72cB4*9?XSJi2{UEIb+yYJjzcfLv(g)9s@o;L&-$^HAqO#~q*+#c>uD z4p2~9YylODFK_<CHU7ZE-vW|^RLvOU5Bs3{EIhg!Ko)j;q_m#op8`tV+$GCj<{=MH zV2w{TkRvW{M8xL}>cpo(E4UQ}iW~U&4%ld<_ynne#V0tBJ8{Qn!zRr5oKjC#d}e?n zhUEB60Ba)@pBbB|7M~ysNsdo-P<%3PK*VQ4D=1%pvSv4kfaOnh*mx6;{Hey@DukRr zFJOsJu+d2I2~q=#&kPxmwb1wk4cTC{fmQiiK?@xq2^*AtJCvaLj90myiNVA0gvU-$ z{sLi-))SCKt-{}84|bN}0mGAyohLndMZeX7iZjrFW#h31kP)5VJvy&p=CpMiK`{fd z4w8>R^#Ca2D)YB?fDHxb_+t$xkp21@)Y$6m0QvPLKe)jSE6R}D>Pq}Am;XW9>PXVZ zp`(O5ka8))m#!d(WA&wCi6f{af%#Adq_CT?56^6X`0%ARl6{~cK}tmmC3jyh1^d&Z zy8%s3p1<YxzyJSVE`Vu=1O<AO8i2GLfSLyA-5R;lYEXp=l1B|;S^id2<PhG09KztP z4K#$;f*UGGK?om*mEmuR#T~*<FeiI-Hy}dzaSg6?B#j!vyFdzw2;r>t&=8)9WFJ~6 zO2I?;SOdtP;NU|I4oUu2P(Koq7A=l796$~Z7o-F??+>nOL4v<!1Mc9M3UeOBY_Jnh zv)GAhTtOpVQjVPX=7SUx5j6hmKtc2J5!7aghmo_07=H^dv^$FwzpyOw391j|O0)nK zEm{6D2UL-HG`;~fc0uC<pf)RLd;rvD12wKe^gazx*~h*bRQBxww>~|(_cOGC%Aq~b z9yyeT6@ulk@c~d<7t&6FG>1`!-plw~`M_N}Q0o_D(XobvHgN3*Y2zZs2*8##9sxNL zJVt;}3?3r@aXnaJC1V9Bn^a)SMy33%x1qTOYD0I!3{F_#$X10b=1TZm%)kys6plA5 zL51TMNN6-3n*cHeNBP*d1{8rXKYFlch=M{6)_;W2m}42m{H@PWUCVlampH9O{H>r1 zU0ySQ=6c-9nHWGFPEaQw(wY0jFW{q+@uGG0xBrGGK_^dwqRFG1wMUYf!3Wyng+;zc z<MDu8P!LT4NA*5%t<hPdlF<3R^PER#h)P7~DGyNN3v}<|aTb*_P%i5R^$t5-R5*^i zs0ajrMh{$6Bw#WTphlp=aTgU0P-l4q!wcTkpa6Q!@bU_{kU~l+$jLmMzXc=@%G;<a zU@3hYR5v&^AV;ie1+It<D{(^3k~|=V-9$y|&Q%~czXZ)-!DF_&0hA1o>V(jeJFgew zmJZ=>dGh!F|Ch`DLMk{UZ^3HNVE)$443O45B*h+Uh(OCC&^bO(7I}FCT&y9hMC1?T z5K#st39L0_5Pu6OF(8M^w=$xW`r?(~P%#9TIY{0?j>ka$7JX=Og{lG;kAmRF0K^j> z7-1SvGVf)=|Ns9%DLbbGEoFno4SZBQUaVdLN!j254c4_{c#?I9N(DSkJHXPk1@<)U z07|)7)3gOh202YzuK4!<rTSmUB&J968w=3<r2%OE5;DKLPZLz8Ze9v1Q^9k-`zL@4 z)EdydD~Ny<rSSP*1xPsxp1?!u?};I|CpC^We1IemPy-R(KL8uue8l1~sAPny0aslb zh*A?ad4O3Ci6XZrH9Wc-5<rDrH-kqv?_p2`U`<CNnC;1FAjRD!DjFV*$09&R;3zNS zmV*)zD4(PIRhYlE9a<u~sAwE(c!BI!XnPXmS9p8U09@Z7r#>O%_9R>ymh^Uj6D}z1 zkbQZz7+3irh}zm&15!wY4{Me|d<bt(f<hG(BuHh40IaoxMUEe>JqZm8Xp|y5T?*tP ztP#YA+8X&-1j^)uBj?~!kkel}f%7OVevkv0m%k+r-hRReU}vNNM)NQatTi%W0-^SZ z7AWtOF9PKqcrl^S4yqbK^$xi5X*~cLJ1{R1hNPqfaQ1<=AwWV%g%7N>HREr63r$}T zbB{Gtw4*jiI6>80X9vi(*Xv<LJ46|BQClGoaZLpF(KVPxkM0HssLBA3?h=&%P(2MA zRN4iqO<x>Y3>hx<I1U+v292s5Z&3lQq5&~MxjCXcfCWT*bbGLHo^s@$dZ05z#lWS* zMMa;#H4f?-7nKO`)PoB|C1@7Hq1#8rr8`8$0W|k87c}>v0U9M#=kEpWnFVz=jzd}| zAd8N-sDReIfSBD7mvr}lUGe=Q@+iL=f2%my#%_okVZo}(-^vdY2URZJJz(Qtqx=dT zAu96xt?bB3I$cyUx*@i9x~SxIyQpM<#_~a;E-E<?CRnuFM<oX_nlH!Sx(?D%yX4W! zYFhwG(>>5O+Q9+|P@I6q<6dYl0H@-w&=v!@(F>geg7=GL`CCBpXh{WWmgqWUSQyl- z0yT=D5d&hrJn#=Z@ClQ83F=Wm!xJq386pn~3=kh0z98ny8{ixQNq!#P4e*47CCPXk zcTuSTMapp(l^PHYir$EB4`_l2V1W!CclxMEfZ|r1zZEnt2l5asLD+zFc!1M}MYoTN zO?QZj1t>v)C!+*Fn)vy9=7Sp`uNjWJs1$%~IqsrT0;0P?Gg>|>HK>VzueAO(L$`}c z4J`I~ODn*7GeCNdyQt)V=x#{j>h@77P&|PY+1)-WC7d7uP~_%-i~x<e@sxUjQshf+ zP_VhEfQ}phxuymjV5$HA{|5yGm=givfDR*Ic-;kZF-REXVi5hZ6g1H6qLRVwqLKr) z6_mDmzzti-m@z1sBaIn@q(Rt6r2r%!qEdn(nbD0`e+DS&g1m`Mf5uBq*w}GrF0Qm% zKlj`Jmx0ih1Y}j2N8=mtTukR4$jngZ7L^^Ka;bX{nBJ!iDj&n=g33pTh)4JS72xu5 z4|wtn)V2qeGVn!G`LO;PNEBR9LTB^9^(QDAK*KqC{H>?KnG}>3K^7is5a@swk036h zzXrCf@d(I~;Qks&7NQtjNP@VqmItIf0htKOE!cW(x%{n@P^|S}eF4tOkP-UhE#P@O zP^voKq5@i}3}S)|1bGHzAZ(%qB!?^xpJ)L|K=KN7q6IYXg=3a;3fQ*pJz$&ACR#E; zIVPRIwG8asZis7Op_j(rng<gHb!5@zIuklVRO0zt(~y;PLW%*zTqkHsqZ=X!Dgz;` zZpbtcL;y(zbdm;9I>zz0?f{pL;LIcrEoeaVkl<)WuEk^dTR`%lvH~&%4O0PYDgA^N zo#3eKZr}h#2)IdjA`4ezDF(H(H6Ns~8=7((k1>Euz|kV~nF}tiVVy0gogf`Z4W?*N zXA2r>;LJV+R9Zl$u|Ry}X}e0O-@zuqJLI4Qh<n-&BnwJSkg+*M&>WpZ$GO&%pg50$ zt#p7XLd>N{Lg&~(y;4xZgwA_`n20$xPz3~@%L1u_Mt3)4W)M8b28~q^1xik!GBE-= z$HouwCCCJjFTrzcFb;I$5hM<qV*^>h4M{MF)CDpZ|BM(|1w>nS4|pCDRGvYmD3OXp zs0wJh#5-#TatJgj<2Mnc5Io0*mKZ?+0V<Wk`CCCpH$Y@T5qhkF0j*Smc9B7)(#zD} z{~=dNh-ZLG+$rD^^H>u|3xh{DgzM2gDMJg?Sb&UqfQm~{Row|%N!AJKUwY4kPMTDJ zC&54@Z+sfcq{%6dU7$FIOoD-@#V$Z6!A^OA)_#EYh=5${(RdJ)kU{h29-!H*0`QdK zweAp=fNmd^0Mtn>;~Aig04l@axfT`-?)<HwtH+RofdefVyg+qCX9qYK;(mb!KvDBH zyy@e{-?ARshDTKa%l#AoK?Ctt8gd|lEJO~(1<*i*6vU9arxP?r30ms%f^7z7AWEfD z8i*FCfe32nI-myPyJ^sU*`i2}_voH<1G{TYK+&b~B4RqGYjaY_b?pjJY1bX1qJipK zlWrds6I9naz+9W3iU?|t?nwgRY1t_#^?9d@iVDcJ5-(Ow!*uPwWJ+Btf$CZn(DD{k z*G`)H?f=X9Kk=k3asHMl_;?pQ6(cpPZBUvz;06!2@e>_T8>e^*sEq>|KXK>)<yg?r zNjHcfVf+L!U#@YiVF4u5fW`pe<0oLFk;YFzYM`BM_^319@smg3CN!wA4eHHnh=ayc zLE|TrlW|p+BK$4p;HCg#$T<z97&^M305SqcZ7DYmQb>Tj0Il1QR`X*_7Yg&Yf;L=( z+61o|;Pd71o;$oy5JH|WhfBjs1lW8zs{eK;;qsqgi9PZd<0OzmBK+nw738;<q0r$6 zkZqu#KxzgEl-z&492U}Oa{T-)k3sWrE1-oNZ2SUNhVt>Zf~rnX844a>nt>xNynGLC z{lL{g(?WLxB4!UJ;_^8!>cGnkkU}DS?lA@I^GI;Z1?F(%CL0fbiz{?o6-gQvKU%m$ z478pBJPicSYajw!e$xfzH|B|m{C0ut{03@n!^2pk6WoIUC02NT0~?K$-#}`hVN6_p z>jLLDSk?iRvmT9yG(ht%&4)NZlNJh~`c}iE@z4yAV0Q^<)YZ(R^E&42_JIlDa5sd8 zA3Wj1^Mwe13rG^;A-FUw+{Hj0JouFQ>UdBY4jE+w+l(U%dxI?P21NxJV~Y<xP<#~k zBjO{XliczTu^vI=Si=r1@c}j(DLz1INQw`{dIV681^3>-orTG9xN-@W@#8d*VrWiz z0IEN61fJYPP>dnR2P}W1j~{P9_A7M!801%~j2|O>xiuD-FVV)2dq4__@SzPTc`&?Y zKp8&<1qn(y2wjf=3UM?!EaS(ZpnyhccLT!dzhZDX9c}#hB1j<-PH*f7MGjTQkI_7Z zI(`f~)C4r24XWBe^Vy&j2x`ZJ=zaR2ykp)2$~!G8AQ6x5h8N)M0~t#IHLyWL0H8b$ zUV)ZfA_huN5Lxi}NaGQZ4TmA3U`s&bhp>c}#oziCygwOa63BvM4Gvx4at>-=FE4Z; z8DuSFAQ_<yJVpZIBF0ES0|p?oAT;J2O(uUUXvi4i9FW%T4$x^MNUKXhf*!1()e)cx zU$F1GA^!2`25&D184c}ocAh%;Oa9<1X~v5Of3hBY$lM9(@*Qtc0i8Vp>ed`@Q306` zV#1sS?ZtJT>*g_lE?(`te(=Bi!S~XRofjM#e>gTi0BLv8JmlDMvC~H-#iiq9cZf;~ zcw;$825u5$F>CW7md<P4A_mY!t&W{P91s4GcRct)+OhMOE8`)@#z!DsE}GXJI(~u; z0XYe~)y)qcIDWt3(s`isT5ky3!_Fz-^{d?ws`V28{DU1nD#`r)v%upc-4KsLMpQs{ zbVIxg5dhh8yhQ~Rlpy~fZ&3lAzXD=H^>z1v0|#|nG>N~J8Jr}bX2Ft5B7f^|n57^i zyL-UK!3M`;Izm*U`CC6DE9r!E%DN#IcS065LkH|2P6V~9p-b%{0-)^!P!$-P38MI0 zXF!&~cSBPrD|n?(CnR~`j&(>Pf+a@O5s^s#)^zY`7NAIlDMXBlMDVvpfTdA`4Cd>Q zju4e#{?-6wC7qCU`Ox6&Y*7Ins{&oY4)G*txUm}|4iP|70Xk%afq?-r7#76e>dg+C zSvLe9oCV1q7OAkUmJq*#91oGnPz1Hvd%%N#9^Ig0uU<TB2Nh+YcJs@xzac#yctL>N zFbm{w0m(!1Cb9}x8{s-=NCGrr(Gw189`rzpq{f3_JHZQxUsQqYngLzDjcc<sXru%h zo}kUr;0z5}uhaS8vGa!y<FRf#7g!c|QSos>-m&ka;^6{5rzk|l2fURTobIQ9Q!<)q z7<;5atJ^_)q(f9(W`Y*_dm!(Q4pDIdn+M7^FBd^pgo6?~cvOlVGKT`=L-P_?>J4-) z10?)!fZA!$RpG}W`+7h*?s$s|=-40-6BJjFfd+<d*oZGk4p|&N;tP^M8Sw?Jl{*ee zVIaefLy{4Q**yikv#EOz*e0|QUkA|WpFMPGJ;+d4wzh)~`+;&I$Q0=Q0uZwsQg(Dh z7K($1{XnXq*%_Kp!NY#gTnnN==7NU(Y@x$``jCJGC3#S2frtHI9H`?#;;>;qkOkb3 zf&x)`fXwag0T*PD(L7K&hBBH5RsqolbuL69C}Uw$2(kvhiC`7b@(U6GI7|d71P}Xx z?tO)h5_iM1Mgb^0cJ_ex-W+cMkKuuW_IQg5=l~fI6EwaC8I9%a4rFP5#Mn6nEQc&E z`2AAzBgXDMU<uA&pxIML{%t=xVUs3M>$*E9fVccWCVD`v2<T{_1!z5oL1&1H&I`*{ z%mEkQAhZFOeISQHMg%{Cmc@U7jtHIu4cZidTn0|;kem-6Yw0dgF#t`>ftJ6cj<%?@ zeEa{h_BVL05tLoQF}~^-3LjcigQfbRgMMIJx*H(Af{c)3uh2kw2V9JRcDH>BLfYL1 zoh@z!wVEL%8(1AjCuC<xH}8QU@E*4u=qyBch)ND<W^q1fs#yV)YvlR+FM_*i;4LVi z{09mhqzsM7C!lnRTARx8w}J{C@QxOcEGT<`s&HA@X$Uy-GNfYf?g3|MaMlB58fcx; z-2=|z;H(Eq;n2DSWHu=4$&|)}3;R_+!2yh|%rXW|KSHuMIKY)5e30kBe9*Q-Xz2yw zLp=*(zVrr7O+pGFZb;#SsPMp!=>a#sAh`upmZIc8unLGasOKOGK}8Zag<xOfH4&@= z;vW1af)u`d4jmANZB>KjoW_H&j0#E}(5-3EXa<!nASNi;gJeCr5g8REhb#`ys2~ZH zj0!3yKq?_g8lF)h6#;z2Spt+%1v*1icwS6s#LTEm{HT>t1-e62B)WZ6Bv3PIb;Gy+ zFE4$EtOkRuZvme!xkm+bVG*c*G6Tf#-lGDd_ZfitC)4Xe{S%0YNB91ME>QP=j|%8; zeNYhurXhozEh?bp6|gB>kOa7k(%k|vy&Jw-tVe~9k%0j;K@ZB<AghiwoPhO9KwQKO zF4(%pBcO|#z%#fYS%_kA?*zp4U{x>$xv513bWlFXL<kLQ5W-Hu*rLJ<vK2Cgp8_67 zL2?<QrPQMW8i?g@0j=(X6e-}q0XZLOco?+u2z2TbtGgehu>^KX<3VuK4boWht%Ge5 zoB%ozH~hdSe!&(M5F6x3uzJ=LDIljqceMX$glJ&pMm3WG)Eoqx3E9DQr4bY*E#OFk zo&M1RjuFr@A5b<1Ep=^C0bLXXN!k!u?9+x@RJcLTYgLCgzClq3QVVM*Pf_6ls}KdN z0BvDqWMJrQQ2|{;&<&x$>zw$v9R%I0$jAWN;0L-SpnD3~4V)MFr-Ecy7#SEkdQ_Of zhCPP$LO=o1*#cfo(G78PXNwAGO+`0Euo=9Y7PQ!+8zK%7KvL1&16B`fR8LW10^3jr zZnne2y1PNZ2o%_mu)rTu;BC~6KJbdBM+J1qsYAyWmEWLKzgl<jftu_fqd{TS4XSQH zmj`t7dipRiboQu#t^nxnQ2~oh0rQ}hPLB%cP*adWpc;$6zYA;-xc&fz1883`BcgjS zMdcINx)QJ|kagfx)4c<{9H&?Gwl@=l;WzL;-xvCI-~M;DK&*sNtp^<WryOwUXi@nH zGNt92D9F)<mkbX$b{_QT<(=ydO1WDg$*A#I0eA)2caP3<;8ccMas<}FIvH?RK+-Pw zZ~#b<f@DC!fjAqbMa2SKp1_i72K)#ajLiCpUjTGsON)vDvX1Ts4shCUQ2|{I0V;1m z^o!scNJiNL&Q0KKrNPJmT4fHg@e_Xp#Gp_7F)b<(Dg0Tl5hbsJd;$(>qW$=ZU#AD` z+0GuYn?PGwp&1cj7$hG<Gdq7BQYJ?D6B2-s^7Tb_^|$}vJyM+~K>LzeJiz;sVSbE+ z*!YQG5W)Zj57^a>$341`LIX6N3=uyB5{DRg&Zn34w=gI_YytQ4K<n+nl~?B!a0Tzv zc?wjYftqumff`UN<HefVZ~tHBLE3eo)C%(8%eRnrAB+$6I9Tcuv^xs6yt`q75Xd^z znD6El_r!WKz#f(BphVjW$^ej37*wivBZ{PJVCi?@rW<HoA|nInAY{-sV$e-opkfcS z(hcMeNMQ)N2?`_%S~&<Rp?Xw6>X4grQ&g^k&D;t$6SR1ck%0l!>N?ob18$M=x2^(9 z9d7}bRv^=kLy9C26Iw2U)?qO+Fo0Wc&_WAT4ucjO!i1qk7l;BGf2d=M%4G%yhSDr> zyG|Vvpr9RVAY(!6`Jldd37S2@^eadfJX8$zFqjWsf!3nZfCv^)0Dz@zAx%7x<G3Nt zM-;yxH+4gjFtqSSX|{o-A$p+x?4F_mG8jYEOA}}f3yu5+XxR(OzsFm^*YrSrR|0Yr zXh}eK3pkg9%U)J@q_P*(sst68;4@=ee}Urwl&e5yA(g#n-3XPvpk^D$AjmPNAY(xx z-VJe1caI9lDTrF+5I8k#gIfpj39RgmcSDrDn=5day$3<2v?Ow%mc5E@#FxESD<Ea> z%SK4n1Fb3qh1u~Iu!BL&mllu~K1}MR257zqG?uf&6=YElw2(ho04`2Ji%-FY{EN;? zklCQXg!rzZ0;~X0phJaW(Fe+e1glPvaUg}=@TH$U;I1tw=)q^1!ndA6?19H2TqCGL z#a@TbIo8<&Uh@Vr474YOzXw!CLE{lr3Srctn?NzpdI~KbarhJxz1>s5%_&&jdbtdD z{B8u9-V)7*9KWEwOQ343@gTTT?cM@5&7<=?IM7jR*6(GY7<efUSw98x04PF{i%}Iw z+`^<@ilF8!>@kMbAKVasfR<Kwx2S+9L>mD#ILhC`3~rUd+6bihLm%u9Pz-{T4AC_w zLI<^42c{*|ZXG0-A)APBF}U?kL<@-Y*1^Y8!mR_}VwBdwGkfZ`4irHtxN{5m$O};G z0Ngo-p8f%C9e`FTfLaHj5#JYmrQiO)4EO{Yfd;36Zj?Mmu-NDp<#$9X^+D%pfHL`H zPzGzg51x4d1wE*R1TQhVd9OR5m-@_*_BUor4AftPG#NqWfSt$+DG;G;vg(p=|6jg` zj!uE)yBk0`7(SmlMP(AmH7&xR{c_j-Ko8uoaX=|!k-C6h1xV32fLTJR5IF%;qrn_d zjBFwzJZYCD?iC@0C5{HORRKztxNJk+EMWv{rgUxr5BGwy1bAS#a|(FjJ~T^!hGapT z@G4%^6@B~v(&0TcjVRdRN+W7{-~PWm0U1XJmGS=}#XYFe2x7i;126J{cA?PHV~9#Q zf6Km~|Np;igf4c&mTE&(%J^G$egFUeW%YOPm?6j-^jfCsBZ}0^tdCHam)WAYd<D3H zfM`Lu7lP_9cmn8d*Z@}00;%#qOKU;p2%_^1Y8VhK3P8qz6e3y>J}L#E(b|0eRyOc@ zG>{6Ek^m$C)`GX~;G+Uv9N%*b+#bNy^A1r-<8M6<Rsu2@8mid6hPA3)kcX?u;G&Ys z-(o^`d*OH<D7;@5e?W;Y<n{uzmjH|8mr|(h1rj`QI~VK;P(uN&z2Ksf!r$@^Tqcv# zSYQHs0v@shSTb_tAeUp*C>hQ2kWD1rkEAyij^q+985OcoO2(b$)GZk~L7BX>L?r?= zT@(RY+7<vV5PehvK&7F_i-O#5|6dxtLTMc!r$mB9LbvEg3wZ0mN5utH8aVT}UI3>- zP$;962Hm{-EhuUiK#DnNyC6B|+y9r6sG}Ju?Sc>$C;k>~P@~`-tWltDfl_QDH402K zkfLh<lRj@Iazdp>(znh=HW3sl;DVEAKa!sGPi7&7C5}dcY6eQu-)BPIqz^h_3pB3- zUh!`MO8N%Sq;CL9`Wi1vv%dX*Y5p8qor;^`N*A(e-~PY6i@PBZink%4&foGB)DT#K zw;`a$-(vde|NocYUP7JIV2aB*eW^%6%X%RUGI$5dWuS<K(4Zk#$gnNyK(9hPL^Y^F z2C0V7$X81Xq=V<bTEOGIpZEn@z@w+1_yu~vBA^**{^<w#w_WU<f<B<y4H4<y0-jv> zey#Nr|5VVVt7<7HXc*w7{4=Cdh84M22^ug0IR`=`91j{H28n}HE9|Jb78M0hq1L?z zOux9Fijs;dj6kVKKr{nP3%008zy_c}hJE4}m;)7wyY-1b<}f&Sfi7?R#2?wC0$~V3 z7}$nwK?-mV+rknYcpHQSD8UIp6Py4j!EwA`$@upF<*_IK|G)h34>s2aTEOek_~r%3 zOPzaE9)RgBDmOrM_Z~34&k!`<w>%jX?GO=<?)^WY^L?P<NRUgxG;F>Pv^4-W-v^R_ z&G&ThoPhBrZ@r)Hp;w|dApF38Gb4FTQIb%-D?V!jV-UE>juGr{wHAX$iF@O&SL z>%scM1d_TygPWjegV31seWoB=QRn;ME<>L01Kt0NJl}_`2(~y1G~WlZ3*rLEiTf?! zVPKFbXe<>pV+PrjhnR5$?Fi#<<>dwq4teyl7KcF&3ONA|^&W7jdvtd~jyCL30R<r_ z)<HD**wW4|Dxh0%!4o{5od-aRra=4`!YSZ#wi7bG3vvL&Srsav)nQw}qrM)U$9LL; zB6JxvAA^;2H$aX^ZGl?02TZ?UN`~e}NJedexQtc62eA$f638$cE%t$KTZA0x?+QvW zy?azZz5=In(9|EoQ8)AvhCspz7H)_P2+EM)LI7kxxDWuD@rgg82RtPFi9hlnI6z)h zCV+k3y9I0;C_#d~)p-1c3IhY!zo795P{w_+E(O$3Mz})&6gZtQkHft90V)df9w_WV zG3mk5*`sm*98WMmgVu9(_NW|z3c^+LbWZ`R?A`*F<2(hj6Jj1iw+9dBIZp6t$DLDD zK-aW7bnH=)2G39CbAgh@amZvHbTAw==m}zijDT6d0pdW-V+QqZKmiRB!=_*tsL$AW zP20foqXYl+;|>ka86Ehyo$rPOm}BP!7j1}zE|$lT6nt;~$pAW%x%nT1BmdNcE*$4! zIuWy(AZwW+lbW49;PCH;kF<bhIzj8bK)p%^P$GndGefrriu*l49=L!Wgvd%duW1)> zV7MNnMSBW(deib6lEbgV9R3Tp!$Eox4hQit9DW7raL5o5$fuwH2B$4n1yxXS1I<kl zNst7{8m|YbIKgTf4^99pLUfA_l0d~MER`)_2N?kg6VUP#sBNItcu*$DI(U3`2Y}Ax z?KXX^%fw*#vH1}r=SApk9>+n+M+%&LAX5rR`no(=8XhvXoaAry2G2G?Edp5pT5}7T z^a7<`#1w}l*d!CMa>xQyuy-I{h7N2(Tm|#V22g(KhLu&I1*qU$_rf6&CAW1q%m4=n zF<u5w_{iwO8}~gbB8&_SprJouu=BdW^VTqrf`|UVJyPB$I^ZTg=z9C^9`JB>_Y@Tn z4>Z{ho+tpV<Nz52Ug6La12zcU<p<?#&<bRf$#%#p2RE=Pkadu-fR**2aDWCWD2L*S zyYH8f7a?y^0d<b}TTS8Sf=q&xF_4qaA=kO`w<?2GpvDzsDRPSnc<sa#=-LTUWQCyB zsGzuQ0hd0YlgJ@FQ2!sYR070;F0FtFfX0>()0H3|B1u5jPPj>c$|gi0>;OeOM&a22 z6$KSepp|Z*qz0L7J%eC^@*6b3V7UxrE+P<UP|!kFYwUrp*4Ql$@(iT)0k;;p$mtH? z0415}pt&WK^%?E6!CFz=i$&ukkVeowub{N{8Z<)Ol!BoVMV|oNKF}IEkhaGlZSDF* zXam~@T5Sl@b{nLv>6aM9hoJT^Xe~M@1@x$ZA^{PxpaC!b)=OZOAP+%OH!KPv;R4I$ zu;>OwET&pmEe??t04V?o`E-NN_X8E4J3&Y4b)M_&0neL*+AW|JfG=LhfDRIZOt*q` zK`e#VtDr0i5(Ld*c7v;5P+0{Mfh*(b-U6;^K?Z^(InRNlAsTobIZwK9o^#}%dZ=>? zcwMDS#}t+Gpx|jW1E*#pYg&+T+Mrqr(i?!3V4&;@jzEyCH3$EsLk*7@`L~?{ozln1 zz+k-vTr<IhKQ;ek;GcS^`5(AWb>R2~QH-ccK}KuCt5OgTqehwo%|Rd^!UF*A1Z{9V z3R#$ja3Q3s1v}9L#f>0^q`DEL2jNB#55tX1pl*cJnjmk0TnkB94&br@T5BprgK7s* z>U>%F<^O+=Ue*lI;v;CI5wy?*tO?N+2KfQh6b8}YmLK%45agyXXvOCXj!1BQ+=&=( z2AjkRYYKz=sj#N->?ov48Ant2dpHG6VXFwpg$1BIK<lP(UMSe-1e(I2g{dzVM}GVN z^3xaah%v}%pf)13wFYH^3TY@4R7iuEFF$<y|NrG7$czCfbAlopTI+$BuOGuB8+I83 zq@@g62oF*KsR{N#nIOfW0s~yz>!~8u_MoNmprQ=2G+-Khcpt19lo27d{WldtwLNG< zFUTOsvH(!bgHl{~i^>j2c?fa}qCA8w3kZT+2T3>J#vWSB2a^3P!08au<p~Nyi)T>3 z1>{4pA*jKDBn<0&fl5nI>IV7H2fEA=Y&tl3clLlU7XTG<pi2&3Yz;y#HTQtm&b$Z+ zg}4Be=|F44I+Q@;5rQo$kdBi8WSP|`et{`a5y*9CU|U`o2g8yMNIOz53e>s-x932; zDA4Tgi;Qqk&V8x;4V-vD0SSsv&;|$S%A%KZAS)MOQZIWT{0$&=kR-4L%Jk?J)l`P` zfI+f5Ko|IR9_u{#A~_J0FQ-6yo!yfl7u`$&cVj^(=|HuEPR@ZcyL(hXhQh+IyNHGJ zBWT+M$l0LD=uXJ}DxkHGkm=(J@E$ABPD9AjRU2>*qz7z8H|Vw&(D+q%iwa1be?4R{ zs<TA}wC)(mT1Ytu5`;%8>j`i>7dlXEg_JJfO1c{^fYrdtX=o*jD1Si70OS==Is+vK z5FZ>-u;c(bX%CbfK=g}c0g&VXNkn^8K=cd8VASMLsQ^t5poEFkvyOxIqYVRbq<{!j zq<%DLGV?_mD5ZfmL4bmcH36GfST&%cAeRrKhG2+F4d{-cYW~(0;I+U+H35B8N<ec9 z#r*AkU`?QS1jP)f2>_{JLB0g>Ag#tppsgf1AT8PaO|ckSz*RO(2dvrWqmlyBkj&q% zM}!8j4KW}M(fm#S!Hcj#1sk{{11iozd$o`{G9fBa{H?dZ%0O-h7fDo(H>9Q%$O>5S zp!(JDB2vp9rUY~<Af%cAhad}B5vVQK4H<6fo&xU1a9%_<7j(8HLQ???=O;vWxJD(C zzjYyaIu%(9x;>CxcAY*dA)s&!=5H;=)Pmyt01mLzK*bu0xjY~qEItExz=}W>OLq^X znwg>k;-R@8MN<I}s{4cZTOVSi0uK}|0X%T``+(f<&EI+$tOb+~x*-EP%`X`FryT5b zQE}<;QE}#P*#uSq&5fXP6MM%1G<DUw5Udtdys@^+;vLtB02kHJA`G7IA+ZY+g_RMI zP=XbEXw7_xBvcTT06^giF$XkiM&y`Uh>8s;hg<Wv8iT!nlrWFCfEV6_x}L~JBS$j0 znGecAxD=qa^g${~ZAF9hAX?EN9!4t~)J;QoB}zd9Y3zfVXvpqFQDTkS-Uq2A)ukXk z2$zC*7%l}h4M8piB^1bjBFI6I0tVD{@PIY6jr>8ahSv-)4?~)dpehs8ri9j|Am+>U zKfp~2P>_I9=S%(H|Np<#f-HW92|^pGV0r%E|Np-}_xjH3Q?GA$^s?TUg0v(+85Dbq z6H<Gg^XNSEg2@wB-GP)NHF!Y7cHl*spqUec7gc_sh6s8(hNMcEC~{o~@;*csnvg;5 zWRM`WQnwB$b!)>;o*Yfx;2t+9Z4PAW4)K9rXbCM`LCG1KQlU(c3!zL<o&hmmuXtGv zS-%Y$D+j0OddLn=IA0$kk1g>#{DRKceh|l7Jn!^|By`pSYZP^m+zB3@22To2^#1n$ zWejAl8Dt;Gg#^9uk_Dmxl*mCIK<W&>T=5E=n_*HfXS@PC7~XCKI{>X;1t~bd0|i({ zl;3%M`~ULndsJiZqgsRPf+NtyB@k1QN3fvL1S+|peuOeX(FA3Jq6x|b#RrIqoR2xW zMdhrR7{IkXqzFN@M?jmBSz(f(${$-(09GIbuymW=uw-IDZ31ZVx2}eFL}5xn=B@=P zg<a*T0;>9z`CD5tm2z~8wpl{m2kKiPyWNAQTQnCY3F<a=_o#r>bWZ_yq99Ebn56+c z-KGv8OHrCwO8l*$A#_Ol8Kx9ut|&+;%zZK-_et}&eg&_a!`3tr>F`kz=5GOwd4jiF zgOVOJC4s64P^d$z2oMv!!Ge6qw-A5pPOvqg-O=!UIzB3b{H>rB`C!vQ<K7^1po`-` zOvK<i$UgASYmh3?AtjJ8f;F&BI-pT;=%6`h78|tlTA;K9<f)ejU;O_MzH$Rv9)o=F zG8fYA1tlIZ|1X4(<U>$B50>JCtndW60L%|W<wHXNEM*4Ss{?W)=t@FJ=M}_*It|)t zhU~HiwW3fq;vo3}bgn8R0|P`M$dlL<f(?eW!yyVWOa!ZF0k6owZz4$H%S)i`*q~h~ zkD!ZQz<IOrAUMlIHnf6EBWCw+|6e|M{r~?<`<LMS4hyxH`lx(p_5}xx$V+g0;Nt63 zug`*#JJ@Vi*myRmSBwyal?I^UM35*%7FuV+@-svdDhSHQC@OhChq8jw$QDQgA3Vzo z*TMsz<qc8c0oB>ugzIdWBfu?Es7c@!DP&LvK1<$>tN^t|3Q|dGO$gG1Xy<`=80|dd zv1gboA<a#gGf{@0u_{4pk%GpLNp&em55lD&9)?Sa9)Vuq^6mf2YDj7V`59W_fqV*O zg3O09L8gM3uUCL>3T0$q0C7MkCL@?wRKNWC0(rF!cz-=;j2d+Q7ibvP1O0q-&~ow@ z<_@4HC+w(?{SDoqnPSLsIiPkbXfz9YK6;BvHv<C$Y`qOg0=C`;Y&!CK8_>an{H<m1 zF#ymBzsDMGz}DP=xQI13U@I|BNe3wguekv$X07l;tm1&2Y5+O*9Mp>kjnRU(4}tOv zL=KvXKm)R%{fi8cy_ullHwFfVZeDJFCI&;$rLCYnCD8N9yLo@|K}A8Mo`^%KK|I(j zDCk`Ljwve5;8~re;2s~SL<Wz9fCk6lec+l7AC+qUmQCR8OxT<-0cIJB6Y5~1AWN}1 z!9}H{BSfW`ztsYd<vATbD%t!k88|JE)`a>L#d1%WC~nJBIzm*E`CCteBNn^QV>*0P zqWN3Ya9Z9ag3a;@m?&<`p{HTR;j!GO!$-xNzvT&dQ7*P%->Z$y^3^a=+?Km^gs3?4 zw|)eB3%k#4I($^D`CAs@v^)T24N9=v!9;OeZUVVGyakWtIvqYL+WajEI4z&8gU#oi zFj3r=t8|2@DD$_9<FQ<(!$(D$zeNtG<#}4zERTVS;<j9*BSb}*zjYPZWbDDt)8V7S z&EIkd+$ep`@G=v+P8wb)A(q<tsBrPO<be9|;F1Md1#F$2AM_BiE#TAL`JsnqfTkiq zr3<7i0<S3RgbukMxBK@0br)nr0;CLdA=he7=;${{0EfPQyKnzr`a`$LLTm$R%^*T+ z2uLev7bdE$szhj&1Zf4`_lv64iG#3%@7aF)|8gF*MTKziaw4=Y25C*k(3(Po)(ntV z4GgV{L}=9oX?+VhSq)S;gWBIOgCTufIR5||Ul%d}1ec$M#%G6gLE-WxX#9t0d_SaP zygi!VM1bx;3ji(G_5j`Y?6J=nv_x{V1*i_LQStET-p|nks(@=$96<68(5lx(MILsa zt^=gf1)af<sBwK%<oH`b3qL?TBo`HrV+{#C;PtI8Djpv2^Mt`hHy?>O47o(w<5(j| z6$9u(X%7$B8AYJWrMrDpKms1%DRdvu)!Sgg5<Dpco`LLiQIU9Y#u7YL?V_RqKK>s> z@+PpMogoCeYX=na4IYL+L5F?8?!Z0|I_ygUX14+8AhT}pjo;>=EgT9So#(NiA!Kgx z?f=VP(Bq#2VE1u=jwl5$!WQRm0ZBr_0WJ;8-S^SgBZD(^=N`y;hS1HXpk@!Kgx+TY ziW_lrP~1RH2LoNh2aX=X_iKR+J`8dN>;y&l=|es$X8f)G;L-|IG=OY6*6;!nT~KS_ z$D&T?VPJq9i;7SNF1kQm*ug_CDxmANKt&BGoS~OpfS91;zazj6r0xI~)J0(>DyICc z98gENsDM?$&bA0qG2w3otzZH-Ye42g4@-o!f?QM#Izm9#YJpZN!Igm4W`WvPEh?Y` z$vPo7UxCiLU|?W?Ecya%Rs<bt0T%$}A*c%YJzA|N_@{!px_bPr6ToFYsGMT0W`ztU zfqmV0@P`5@i+~1`USyhr26|sk2B!z4phhn9b@^LB@@SEPbe2*nq^k__DY$3H2I&vO z_|OmqOTC6#1a=AQ4l$5JTvP%;&2&(RL*|=7w}gOrpzsd>g+J^ZMvw$t5Pk?FNE9-? z0=;$z)U*ceM*^7vF%h&E8N}<J0=~todk^^BR<uJHA!pG+4`Bou3K};6ZA?&u9>NHU z0gx%sh7pJfIvfiWZ9OWWhBJ6P0;CFh!Y1e_0|w|>BGA|ZQ6O_ck)&E`2&$4_nnS`5 zWCAFVz=trxIH0i)X!9F(2qVY>Zb$+_q#BU9(4%u9U4KwALK!0ftAJ<&oe%;_Mj!u! z4haFVKK)m`*!=N7*aJ|7AZzfO2vz~PcnQCWAcf#V7-1RKgB8-FcTvH(d<=953MgbD z5eMopfOyAUR9rwbDE2)-NrIzW)K?8WVCJJz02c)fxmm%4OF;K!b%&^wfJVqb1$j3^ zH)!}yQVo6hPKCc!6P}mB#)Ay{h*;#}qXIo^uoZOp6(}b_&GX>s7Coy9bw>um9X#El zyI{gO-99QApo0sM-NDmsIum3*$}pWAf9pc<pcsllg&=jXF*?{4Y@i?oB_8l4X)f@7 zlR$@$3V%s8_@*>aw;ptbT17Ybb~RYhz*k!MngOy{7?KOYbq9E87hEhu%TQKuDbekt zk^nuY*3J-IR9pZx|5k$H1C&-@g6@Teb8<l$AN^vrDo7g*+8gs=1s_KXwax;OAYj&6 zK&?A(@a_NWiJ)ySaO~0e2IMDDe;hoH(Fr}5qZ@ME(mqpAeY4yERNp{EJi7Nw^n!|* zJ>bnepo$5!%?H{jXi@o()E@^)K$-@y^^Ncvr$^-<xWfuMb_NvpkhN;Z8VY*BZ3GAx z(H{p}*?0uxRPY`c&_X7NVsO<1;v!DuhU^q-0dFaSq-#)BgV=%c7i=?VJOE*{2kQY5 zkgp({mOw!Way&NOpjwE(bvZa~gHp>&8R%YOaQK1RKZt_92eR6>1*8OG7qTK)3*aBP zDG51(th)!gNxbs}_-Lpe6;O=`S`7&HW8=XelAx^wTOh(%PfuB?51Q|Q7}v{t`5z+# z=#XHD_G1Q8AYbA*(JUXN6MiGYOjMmOz!l4PkIrkDH!+y$gAxcd(z+WgK-*iuw+MuS z%)oNV=@gY;pmc(A#j_?zE$m#F#$yH`LvY+9a1E4@8D2I*Yd)~wx*I?`kdCGJ39{kl z>v^Ea1gl`Z!3Xj@EMdTC%uQ0D^W*tjL4&8r7ol|iMF~lK;i0An%GWT9yE}AP7(hqh zfi^0^1U*<4SRk%}Bs5sU10VPfO2OSd;EhgL4txjgraKN<%mymIkGH6#fXWR9P{M$C zs=Eh#!W-<scaXjK4t(zcpTGw?^L+}K1wOGIv`Px(Sg>2BfXzcX_8nv-5y!rR9M(Mr z;t|vn=P{3c2dy*fo&sKO)(SrMy+;LdAYcUesK0KAk0A{=kmI@`{)PyEErO`%?g57f zVpSvPyfpq+P~?O2t0(mIYDk*+08Z8|ko?pQqcPJ2_`JZ@cxbkV-Cxoxn*E#TR3V^) zluKB_nWPgI?JyeCV$iYV{H@Et7K7pg;%otatceHh3ZX43@4;oA2DsgdT{rHO1WA~n zam(h1jGd5B1(^X8hqo3%fsfK!1V_&l6)?325*ycBe>w0^1#Jod9jOOelKLF%v~=*A zBT&S=W_Wq(59Ic6y&sI=b@QN&E8sQ5ptJVCd*DEf7wI~XJH%U5K<C?ebhDPtVqyRt zJ^~IKXw~J>csw8%lqIHsRY7kO-veF-54lWyiVA2b8ryy1kW<V->mRUODGoV#7bJ;% ztN3H>Z~tFD{R?q_-gh+jgNAj$?guemEZ2s)AC$Q~x>@(l#Or>LD!BVWy*QZrK_krA z-49X)aw1mugS3Gpk=?Hha(^{yfocJ+EFeV+?qmXT9e4%v0|}%R%%JfzP%N(o$Fd$g z0fJS7M#sU~op*%<cm*?fsc8>5?{`lDZ+ix91_AF^0yVlp1}z2|)WZNa2;9X3r!GjU zMCA2FAXTlez_|r>3P&&RIZ%8cRV`qD;iv?&G?2?~55&S{P_oAz3Lsf<DBKc93I$M? z2juwKU}xEa9S_Sw;9XmgP*@~RC=@`wEs#OrrMo@+V1san0{BFx)}LshaN-+EQ3Y;E zfGSvQhnuFTqlE&vxB}Pdl^|oV*69;LzHG?>I}TAodV<s=1wNj7{U12&Uw(oNaDgHi zRIx!TI1uwC3#4BMlY03DdH?_<=Qp6GSkS_6XcY-Mm>0wZg+4e9g1RG{zA`d!Lb?Gx z;9IjnK@6HvDy@Yy*T5=4sTH&zx|_G|D<h~L2c5^--J=2$V}|U_@9Y6DDL)Q5`4?ml zJn?M-%OJJjAWblA=ia?n{r3N56J&G{<aFp18_4M(=Ihna<Od1~<Uj#khK(czE`~t< zga!&^?Kq@{ISyG34{{-rV?m1H33%=oq(A|67D0gmnqGnh3Roo~P%^(zAy6QR5nG_# zQu+4(Wig&W0XZJ(Q?wRf6F5Dt{`>#`%Upy3=zi}NUH%zTT!X?Ku>$|0BB;8CoO<Zd zJqePurht1D$6LUAu%RY}fZ_u(VgZUO(6V)Kx<RbKcTuV6^ie4R-Thw7-+BN%ZP5*h ziB8A@dr<lSYr?(o9<(9+c#8_mHqa>47O*qm0l>NdT(CiB0S>Bt`wvYOP=|u*X%N$+ zS9HrKh?hYb3E|~;3MgKNB%CSWjvn@e18r-8ybMki$X?EXTqNWInw@(AE)$?lI3zEF zHR1L$C_<2uDa6YlL4=nxkiC3P736++KV$`14xaEqeg%0Snsq=-kU3yCfJy@eA$ZB{ zqXJ!9-s%Z<Ib0nm*+a_gcY^rKZ1}S39!0PbxJv5~l_36BKClvanZYaj5v8bx6d5=w zteuKzMRfsb-qsXE@-}QKH3!&1SiJpRfRMML#}M^^j*I}c>!7g?O)9Xe8ob8(ELshv z_5sD)kU{~6w+|_xc^gqfU4PHW;DM!v3Q=+7Z+QZ-6W+~T1yW30^%SoJvhro%SMY)y zP<{jz%g{UtV!lj=OtpZLD2NYDyCCLEdq@ccN`#>D0-6Xx%$JW*rCweJRcgI^z!eAf zd<TjcXqg8ommrB8)X@he=UpIUUnnSo2LetycAf-n0s<8;aDA-cB-OnIT%3W{D9bZ2 zFrc2onkfJ6|I4MQPD6IJ3R+bx0%|-G>uN~Rg3Z-g3V2)%P7!!ry;|<u|Ci4|0oV;M z2T-bo5ETdh7BkRYqd)%s|KHsL?wsPfw@C%Gx(U*)1cfaq2)p;FfJ9O6Z31<NJ6%+4 z(C%%rfZf|<1HNYo6!+az!2KaK(=hIB0vQOox5)&2Z<7V;y-g-y^FZbK%O@WoNBh8% z1E_fgZl~RRgDV#pqh9yE0i=+)91trH&H+16?L-S-BhYp4&=E##^NVJnF~wk+Z~tGc zlLqbE0$n@Z4I2DqUBCldQRAXw0UEHi0FS_dSPq>WAPOu1+Q{Of;?U{A0&1m!bapo^ z01rxnuU7>T;L8^}d{op+G$3jW{yzW>(1H|0tm!UMaq#Fo{$dx%4WJoFh&ssp9B4Y> zV26*2T4^Fg*yC73L?3u)5@Z5=G7MxZ<W5_t0`NtR9<b3w(ABBkU;@2gU!tN~Y6U7C zUw44K1+f(|aOk6=Qqlpj87>7IE(DDTBTK>Dstg*01{vmYtRVo|t<XExL2iBd1C&WY zfeldub}qyQm@!KHtt$}&=EoX5kc|RO7<hDcfQ?!UH435~+3kw_EhX^#ZsF1}_eX-q zOTjb3AhWSJK>^JP4!E44h0_W0{4F-PouG(t0?2GEPLM-$f(0%oEC&}gu&Hn4P>?0o z2_UnvI6(%@2?n^Fpn}s0(!@FeWHuHjNTE4F1D6vPg2&Xbg@PopP5_yW#R(E<PEf$* z1UZ~e5GU3NAhWSJK@80a61bc&8@$X1TPTPU>jaS5Sezh&<^%y;PLROq1Yu&G05Thk z6NJ#5z=6vNQ^AKtV+#dAVx0go8;cVJ_*;)57flSfoFIhL3H-!50c18qH*d{TP>U2) z*>H687Q;EPng>$2!HP~k{#Mwm61aH#0h!E#)ELM`XA$^7LTo|A%iki8yWC<ylv^OP z8M=9|JVA2bX*kD&<>g|WcJT1GMB}!@;Xm?<U61BB9-#F>4xsfx7NGS%7W>RW_4i~E zP*)4QI%a=IA80VT2DB&#L_m(hb5Y@ktq(GQOag-IdH4*Vj|v}uE971gP^D#Ytl<GH zIxP_EgTO{NAMrShqz0TaEIe2_z&A~Ut5-0AS;zA7w}M7<Aho512kQ!5kVB8Z*amhy zsExr|02Nw{5R%Y?sG5KfdH_{bEAs9CO9N;uIAE;w;o)xqNkZ&{OT#KYQK&v7huj5O z(dh!Z;~Y#laDc{TB|x5)0IeSaUvbRM-{Ju6j=88v9Cs)H_gs&=s7QbqFEWL|qshIZ zI?PNAAngJm?E;{annA<gE-C`Y9aumrL9r*$dCn2s-+y5w{_VfvH^Y-4#ZVXdbi1es zcyu1$1?mjEI4BB=VU$49gF4p$qzQDPI@q}yAf5)?xm^4$umdYxR5XyBs{wMvi(P_H z=hiYooT~uRu7Gf^0+MqT;LdFpLvb!B+Ca`#fIC+R;ape*)(b<Lmw27a$=?DxrU(*e zn9k(}Irp34Nzg*b{0EHS0EW2u6TcuVC4wwObxjK>q`JX@2TMC2g@|wsM@c#^moy4M zLIC8Fr}uHV1Y{YiOMVG|`~T7dGzNAFMAU$KK5C%szYf$y_h@_rTJ`{%{|B9H0LtYR zpmb8P&jOUo*9w5rK#fX;NB4e#eo(fk0WHh|5wKjY4$I{ckX+sZKKdP;HDKkU8h<Mn zJONi6Yslz_wxlZ%GyGuF8;^h-1J3JEW#AC5@L=5mT5I14E-_s|u7RG}2wCg`&Jf34 zR7yYz43wJSE1cLtJP+g}(_xEFwu6TOK+<5v9L*2eJAG7KKms1!9GyNY4&6Q~F8u3# zR2({eR0?3GA9qp70NHZfMI{GBce|*Rbn}+|XJmk_M3d+UQ4uede9h3!8vUOUdRdZ> ziWq-uB-l!j37`Q1kO?3fWMhQ~D+BoADNt3>4e{U!a4F=XVsP9=1;c~T1vSkN89~|& zkUb~>+HuCu-wGNBfFvcbVh+$8o{x$SL_NrZ8r?oBIv@{fpm{I><gw!}Dk&hk+eHPm z*ro*LJ&ukL6?Xns&>>Rbbut;uE-D3`E-DEy4WL1~63_}4kT+OAWPlP4bVO2L=-dC7 zH^8k`q*Q|3W@h7W0m*~hjj94xhVBB5N4TgIfI<!wCm{M|`oI7GyCKf7>1KWUmyw~< zMa81qMa9PO()SV-i%u7n3{Y@``~x|Q1>FSj@;Vn4(EW!0K^_4cYXmB<apn(8Q2yxU zMdXheRLdV9u;dT0>8SYwqzslnH2gu~JXrHb*dMI<!}<^L`NLv(<`0cbP`p9&2R}bO z^2gC%bjcr9p!|``g~%TY6F^=CRUO?Rf`a;^VghFU0X7{qe}I(1@<+m;&L3t!vE~oy zpTy^ng5jAz5|H!9dv1E<kEP$Km_HoDf&)A{KYMom+h+}0pw)T*MI`&T{~pb|SAdoy z^S4ZAU|=}b%mA7?_vqdXJ`JsV_Y8jqhHihB=7;P%Ks6D!AInY<?Fi<T@^$k!KV;`$ z&v)=8vny!d#Aa|?p?mTQVFrfoDwgKwjNElB`$0NNxw@H}A29N-XEXfIc*5|c3+HkE zDF?v^BJ;ak_<yofM5WV5g~g+H_Y81AKQp`pKKkQD3)i>*p51PsR(*+p2c(KFVTabj z5ce{A^s<7F0Pk!D`NgC82uHMI++k3w5`mHYZv*o`8`%E_ATPuG-vQzv{C|Nw|39F> z{}<5w&xzOnAon8qALJK;{<nqspB3!?9Uw2m{4W4nv4QYEM*vyzFCjvH{BvZ1N@qm; zbKvzq$h}DZ2l<7d|LtJ@X94@)0pw+v|7U<W2>&~f=l=i-{O^F~e|Eh72e}u?{~*5* z^gn1@zXvG)GlTtq0_0_w{}n*%dJz6^@FOe!J1Fpf1)Bfa@cJL*UL^m6{DQ;(;f`U! z9?fqApoO3VG!cX_fde4`<Zu3#IA%~GxB|rS=xzp0<aT?oe81Sr!Qave($KvdQo?O! z&}U%i_G1B;gWUcs;DQWXG?ub;i))L4Ml*JU%FyO|7KVM`0+6@cyz|4s7xIiBF8(n5 z=Fz+RM;Zfz<)Jze&U2*#$5~W3K<4+Fx-c^_yyipjZJ3!D3@;g;giMLL8lHR+1afgV zq^RxP{X>s|0ajK<fHHLVZi{pV2A}TTKQb6#7f}0j{(7;R`P={21Estk-OUE+3=GW` zDGViQ9=*Fk9H@0rbKq9_bpCts1(Zm-cNaj+G{{6TGaO-N2C|tT4jwZXK+IeLF*5_z zOlE|c3y{qOaqyVw12OXf#LNY#X0B&~`~3#8nIH}xGk<_XdbdOdI6QBlni-8SQy>E= zJV6{hW-fu482~X;APXft*%4-XAe#x|;4w1*VrB=#Ob=8uH#5Th-hgZ-h=a$>Ka8LT z^X>x>GaFFNj7ONc1KCUv2alO681d$1P__f*<!aCbN@p`DOL{aP5r}q-bBsS6>KN`A z<{0W20?Nc1o}FJoEg44!1_qzb_b>YYef{s*y!Qqu-}1NoV`gA*Jl1LeTB-=zf&?C& z^yzg`k@4*A)sSOg=yqi3JoNpNvf#Hvj3w+Iy)G&eulZXKln8=`GC?aAd^!&t2d(I3 zfNryt={(1Itwh$dd+!TSg%HoudE@&9W%d<}B~pg}_kwi1mIfIk()@_AGekw8`61(L zUBkDnw;lMW9_@Uu_zEP*`LokUMWjR=q)>qK6z6-z?}}g{#p|6vJ2|>tR7AdCXmwE$ zC^7cz-V4ga-R#`%EG4FJKX`Wcf<|XhoNoy7n8a%{&)&TY%orFvdQG|hGcvs9gYrGQ zw=yV#N{AQHf4}~J`Iw1;q4husKg^?!SiBkL+1<*J&cNW(?ZM*GdG`BNWevjvjypiU zboh3ZaW81_qLkOw@PKRUNzl2jE}chR6c2%Y_!8_xRnAi`oJSSEDuTI+S37f51YYwY zf(E3h^F-&t=8uf6J}LqwoZwr}4G+9#>OAP!dD4;dV2KOV-Q9BCVJyv$7{6ccy!!pB z;$86KV~{HxLF<YcN?9E{FLYj0{OH1YpoEX}pbO_Q7sYdm9~3{nW->hR{X*+O{ua>D z>Ym-b;Bx!8iwfvmTZZmHXvrN8DY;A8y4kfE4KINPz&k@!R6KfXR1{ux{{8y@6Tg7y z%2SLCY5W=|KJmw#0`1a0=m|O%859f+K86oI`S9zUNaNQ#<iqcL!WR+?&^+MLYg+r4 zk-_jSq5${l{QqL&pRfOs;&dt~szEkN>|<hJU;v+t_rmx0*Z)vgcL%a?2e6dng0u*L zYz0?3dqI`T>%13F{(v3H%K~xag-`r37eS6Z<N-Q@38cKi!|))?kq3SFoll}B4X9fY zPJ9W{*e#$f2)D5F0;t})aPdc{i;6&Jj*1A#wE{0P|9t%q2^Rs;){~43@bEzo6{r;+ zy{2h@7?El-P++uxJqk|lFC>r*G$GDFSquY>!3N^!FhGk1P$_fk_y7N%ovolU!=w3# zMzmv$V=SnoiG_3=u$DJY(DFw97r4Ah0F^NC@@5B!2MRN4mNyE43=G}$C~rU&JeKkX zG}?u$ytx4>Z)W|1mNy_iG~XItdhz<lXn8|gc_aA~oRvi_kC9T|fI=OS!FYdSmN$w& zP|F)oRD*Iba(Q$28<FKr$q%q2d8Zr&JCexq2INR+(tx^^jPmB^cSLz3dX%X0=G%Al z@<!x4$fJ8fVen!Fl7UB#5M|(63<D2?Lk@R&11c7f${SFbL3DZJ3@vZge+8E}FF+*> zyu5Lk3hFU{YI0C14{8Qbp?woUukr@e_{UP-fJP5-l{W(B;P#EyH)weS;zJXa;iVVV zUq;It(#o5aU%**e^yEQsRwlB20}6F$9`@)p1?|y5Z{KYEj9T7+q8h!t$^8V%hTv8i z{`L(E#F4xz5JwVO-hdnlO&U<Ql2P7tenylxOAioLJhXjAFK?EBJ-QbZ1}`j-3=AR8 zKwAt0gTV&kE^k1^0#bPcDl>>KZ$L|fK>aEEkKppA093-j%bOD*9<|#y6X;dmfCdJz zlsDj!YUJ)9Qh&+=Qr_(P2rX|wd}yLFy!3+m!)SR!T6tsn0aD&X?j@zX0fjm=4}0{Q z>VCj%-#ETUEpI?kjb7gTeM@9{Gvz(lk-S^>fE`I>c>{7JG-*KHN=A7j`5sZ;nC>B} zyb*hkUf!6z19@~WC=6blc!x0X$!?+yJo^saz{lW_!(HBhiUp+d22^GcUEa7t+c)Rm zfXka7pc00^1+=lu@mOoXG#a#TPSB&gvGD9}y}`}^S_bIA^8MoX3yKFpi(XDOe`0Js z2_Ed=cRA5|u|&bMcW;9^1A}Mx)(^4_46nnXe2-pJ*SCxeuiZVn_kso=yZu=@Pkg`7 z%3i_)Ywj5yc+GEk0CYv+0gw=AaHi8mMFu>8BvBF!H3*s{4KKZjeGN($xML9%*q~T! z`3LS5gJJ?L7U3noXLm1nehazOWCt}rC0_H4jx7;6wxs(ST+E32?f@4vM7F&^i3M7| zc=VbozQ!yIO<$pwg`nhvUKW0Q0ZI$VZLcCw<f4x)6~E|s1$HFwitS)W5?L0490^T$ zP`4t=!WUCteuZqJC1!w$;}xR(QrS*a`NjGQz5G&s3GyvCAHCTA5@F!wZA2Nk=Owy< zmtK7R|8m10<i*JoCQQIOUgQQX-`+n5mv0TA5)Iy-x&h*W0-l=VMGQf78ZQD(U|?xa zfoFb^O9rI&)DB2{D(eNbJq6-J6N=%b7rUR0wx>vIPrZBw&Yq&2Tfo_q$T9>J>d<`Y z(QA758D<&s@hNH<0*Y$%GGy%&P_9BQLvV~wyFeVto3a_~NFvJ+kRzc<1L{^p8A9~< z^vS1)-2QYEQMvutQ}o>a1nkkhpfGq*fn?wm;tZ_CFmN*1K-@Jks8|5i#L)3+P?>>N z6N4AEU@dRlq2*2eV{m!H0b0`oFK-e+JZhIW7W67_K$DwT${X-X3moOm2S|DI>oK&v z0r8=U%J9+)??<EM4Qb^~<s(RWGjlySD-&7XfI=Obhdp{tb01-rH;oTb%NtNsqn9_f z4~Q&pt~>-glK0Cxup@~qZ$OTOCJm@t$tZ6kA0o<|(se|YH(?La%bOCgNB4rl-~|Vg zfhxoq$ctg1GT1=e<qfD<Kq_xQWd_mZjR&;6;lB?qZzh0B7<hT}0>q<sd9#6D^Y5UA z4_L|@@X96}<;@HW@c4AseQ0?D;zJXa;iVV1?~ax?q?I?E_rO_MRC6^rD-&7XfI=Ob zhdp{t|K7zcZv^k6mN%fNMlWy9-X^lVNx2JlByY<qup@~qZ$OTOCJm@t$tZ7L-a(W% ztgDDBZ=T&jFK<}xfIPYv6b3J5AQ`x2B~b>>#V~L)IOK4bH=tqxsk{M|8Q9930FUmr z0$b3&!M*?=28M3SZci3t3vSS9(e|CEzF$)OWq6?Zkv;zu@F2)Rg@gRtPI???Q31_` zd35gsE%Q-k?_^Qo_GbYtEG{v3H9XLI$&r7`0nQVhEGjOF2SLIf-P1rSyQ^5ZtrX99 z)~K+!C?4o!QR((#;pS32*vX^P&7#uT_Tf4M=&)Uu&bBYtL3w<igCzrlN8_;@*BKZ* zJ0F1J?nU>Fum2+;YX?9LG?4pOK-}Lk;O<{=1LpoEH^A<%fVjWo2EzTPuVc9X0mS_W z2HgDtH(~A%xe0dv28jDDZX(>zjp=>~J8=5>G2rfJxCL`R%Pp|`UqIad0?GZ}*D%6A z0OEcF-+@m58{qEW0&%~B6*&FRxP=J+?yDH??|`^JW5C^?a2po>DYwDl9|3W{$8CiB zPhY`s{{e{m7Yw-j1@6GyFLDR${s|EG|3Gp-H>UevgoD$+#DJ&&190~rfw=zysHxO= zY{eZ!_<LVQ34h3HZ3*aVZBNitK<E7z%dUI{ZCQ~3-2zbJc&xbq#PH~DULeB&Ivy6Z zQoAI<qjz_PEdv9zV)f`X{c#mk>+NKPpDYDhM$LT{RPo`crywSSs;L>Ey_cQMAR9fJ zk4S(vmUwhGgDVZl%J7n19^K8LnhHFyzw6)s|Np-^u-KO>dvtFG)m-1)SnNy1x&@ja z*>{^YKeIPHY59qN5@hR3>qY*S3Xla57lRth;89rcO7i9k76$OT@=~^Lj{gS@FLnB; z2y}+1h`>Eoq9X881$s&XYIu1;!>jBvIJ_2sf{DKcbX?T2<{uyqa+rDa?p|Qaz|hK3 zA`Ca$^vD%PhL`t1?fdR#P(9`d_MRiiYv84zU~Z|lNB3k<_4dt)#U4pm#Ic*9`GI}6 zQ1c^@e=qP)I%s&H^H}pYM#D?3A4^#~4>@)obOA4_b%KVbOLqW^OXtP!7nM1{27Noo z2wI28P|9U^(zW#x|I~w>Cma<Ia-J&TbL70pc|!4n;vq-HgRhwkFMYq{*m|+V3m$sl z^^uOC-~)$aDZ67g!~X+@C&8;1eNe;C@Df_G_;LwvvH%4hC|PU;9r)AP3<_9SvH;ck z2#gvZ-q84Xb`cyO8Z*HMLPFxB0mMOzj~f{AVR9J}AE0^}cYJ`V>){h0DHrj^2Pp86 z;sX@0#KeaWG(N&Efa7BWC<<WtgJTv*5~)n_=-n+~hn_!{TtvhNsGWg3K0wWn;S(QM z&f|>_P~aiO2Pj~Pi4R{;kaymHaqJv8J}f{{0E>?aAP!po@W6-<kqe0U0JWQN#|Nl6 zHGJa3<s9Dl00kaWe1HO$nE3F6#)sKiaC{s9MFFV3l>nU|jT{%P9N_x4!4A2;?Kp>} zzMXv*uR}p5gB%L2Z$UP~915)=pgXa^^)0An39f-q>swGulgRoO<YIV@0j?)N6$ZKW ztv@up*v^2%%L5clpzvA%Vqgic9Vp=yaTZH>WuL(tULcc^!V6?0p6~*-WU+)7sAWrJ zc!69@z3>WvhS#-I;PAQt3MNo^X@Jft#}Zx-!jaRL#u+T(WqlfNc!5kt3NMh2c)|<R za>f!~pq4a|;RSLrMd5|C-N^vl3jV(@5E^O=PJaFG(cK&|hk?PP^#Fg%Di#I?pWe*| za~K%@Kk(??9RRwqrPq}6G$@!}B!N{=t^l1J)!ltSlYs%`F3@&EhVDY>KA0jF$R<U$ zZYFI;!*87~Djc09Dm<W3F^(6Fr@sF8>1B0cVPJT9jS1BMfZ4GA6xap^kPW@NH4H)B zjcx-Fb=(EKp^*XH7Hh6Vv}76o9{}&O;phwjnO~y90o`@@ViqXxbT^}D2jxlr7B5By z1`lwn5VXz(bg~r3OJ|T6+^cdBhm@ZHxpa32=){`t<_UTX44?P~7(BXnLp<O7LKh?w z!SIP+&_zY##fp=lu{%K*6$PK(#Q|VVyCd`%7+&;)B?Npxm-of^s3?5mk2&#)U(iQ| z!>2a~?qZ+L|301XUOYMtjtz)yI-nC@KzAL0&bowc%=GDe4pO)itgsv6mdPNC5D`%X zjfg@-L@;AS#1F9dSivVJ`7(lbs%`-17g%6>ba#V0LP+D&{4I8j3=ALzprCu{0=j10 zf}<AXLePCapiwOz@S-6O{+8#U)_yOR(Axk`I?W2;@Pvn6)(IS;7YLTX5_%FIy(KCV z(D(*z{#g#n{;-Hb4LXogSkmz6eEy;ath5{A6mkM@_i<3#U_J5o|Nqy*9^Jb^CcRh& z75wx6KX_{y_`G7D&hI{*A74bB`uhK6E%^HS5`MJg*X^Ui;nMA*!qa-PM9{VMKq*i2 zL(u-Qb1y;1yn&(>yr{#YyZMF%14Fk1OXnfT{x=zq?#*B!v+vhBuYbR;_?`1u^E1ZI z5)}!ME^zP9;oEWOwnTx~f~}WIgh4w7MUK0Gk4R>K?%1nQ5$Fz45$XKLd9L#UX#1Nm z=dte>9XU@pDjxWLo%5HA;vq=isP$Tjl}GPxi3sEp^w}{`#@)$|(j)$P^y_~_>2dlP zBg1PSkM3p#FGSzE9wrY;QIMh5<_IB(55a5Pd{lTmy1T(boZl~ULd7_svH9ZK5l|uR zj_WiBP;v$p(#oKtnK~f_T=NkF_};9;pfCcLTpr!cC!E3V>O2JrSsst>&0rz3@7KOx zQvAeu4ic^$piQ229^Ks;(U6i47BdPF3=9nwEDWVwpz?{o1$0)n2e{A&Wfjm3oD$G* z>-JHR`F^q0M@6C}7UTkOED_&kcx?vK1a@|}2McKMT3O)RAx6lSa!^2m?0eY{x)hHE zTxx>W{~g5=K@ms5B~3ys#3Nu6J-WNW9;pO-q=JQkzhyb7nm{csK}q%d1!&~8x~OpQ zw@89|u)U^ljxaL3O#1i#f9pxCsT`!U^AP8$5^aztpkcRrfdy!Ps5^^G=dthCl+7K! z9b+u<hAl*V9ST|vC<0pY=%XUg{DARwKL0iWYeD|zHK3EaUBJiqGrXMt@Be@PZJgE| z{LQhDyXC+o(#wGV|Npy!77}`Zvm_{RK&Qb;beE_|bl0fJD1HPDgm8j3BZ`zrfUFYW zJjnT7@wy^dNb!8<564bW!3Nz<%iq!kN=}fv!l&2v*I`i5g7&t19D+;)K>`w5^7SHB zN$kfUIRaEQ^qTGjYlIw2@)nX;Kvf>RJp1?3;wOAn81i;ySp6LYikQy(FCH8O$KwOE z`g;eg{+58$-=1LmUMvEuo~)q*u3gEfzYiV)mwd?eH`Iprhd@E`!U1GM?`{DDuniI* z3Y08C`J06L`xYd1qG%^re?uJ-2XV;u10a{~wt&<(4&d4vTz^A6-#kMHrT%_#5UB=Y z0BhRKq07MV;yhRaOAUmr{#Jsx8)6%3B?OOJaQ*%BFeuu(A#RxrvIvwVz=@}usQTOD zAjo^j^|!@bP?CTJHg)Q6%+N~!C!OXCsG+y&0FKa`2$sMSdKmThb5I8AZpIdL&=Mb< zTR`>q9<b7Gh*QW3yx;r5fd{X@K_<O;1{K6sf6qEZjr!Ze5>mS%)!$$tde`3pDD}76 zeo)3mtG_MxflDiBmg3$It-mAC>Tj?-BFQx?VAkJYA#C-x*j~K#Hz+wH)!*O(4rl$% z;DS+qgN1O_-wV*{?+BvmZ&0`mK>gji7fS@q*aIp+nrEQZ-(ZgrS$~5f52gOr*-K9S zy~1n=*54q%`}Epc?EwWXsQ&KQg<5}uOFrcK+Z~c4z*R%9>CfFDjo=dLg)T$}ROP`7 zdCdA7eAtMDXXh8tVI#qy?osFS7fQQ8i=FnUWPr+U{+0$N&}kzrDhEJZc!l88Tcg6` z3A$n#G&6<NA%mPZ!T~>T1l;EF>HGn0)`zHYbo;3Av|cI+Z9Q2U*!+kQ+%fOG(E5SD zWi0~(gJ<s^l@64$Knmh5)V91X$dSE!R4&MX`s=3ub}=%%JP+zJgSru*irurjMWq2$ zwneaX-h;H1MLfIrK*a37U+?_z{et2T&il>J89QrK1XeJXa6!%hG5q$L*|GD3OXmU3 z3!UFN&zIzL{`h{0^P=KU$L|+hIFBiw`+lwU1AlAq|Ns9%mP|k@eu6=+2RjK?{(w~6 z00of)ODD9m01h^=7)A;7l82E2a-0&#hL8XL|9?FP6v#KAMFY4l1{pa4t!x0DK?|}H z)R^??{CS)a!~i)46qKL<2RH9IAZM+R+Pp_Pt*LJ(IEkUg#ljt+LbFBX0&>0wIUDRs zq>SGV>H@fcZvbU*JkAbsBdGcP{Q}6PpkRaKd>u&3-3V;gi{|Yh({apWIv#^0Oi-a5 z{ui{hrv;pDAwyp=pz|&cL)+%>z^3}BaJ;++YnT@|f|4=EOVA;=;Pxy^eh7i)2O*FH zn)j%D0A&C~e(;!w+_V811ddqr0Sap5haV{UfolhrIFJQ7vUiUPhb%ZhyxWc~KkUGg zAHZVN%nv8f^8?sPpu`EwmIAVnCI@nU0E-cw9|U5MdWfL>zz~Vr*TtD1KtV~x{7|<I zOI%Fc3QDmpDjabruIy0(yOP-a017sg{GbH3>qYSvkm-2x11Mo4=Lb-_rAB@Th2{q) zkOP|cs8oP503ttJ0CDM4KV(EAkM;c8f+Y@kL5}R*qv8O`5BIiU%MTy0<Oi@AHS+_5 zH@F!HUb+FUAHYsR&JP|~@&j0m==|V;o*xQ`%@3fUq+))^+l(bH8aIJbY>SEmdVT=A zlGywJ3O1DdAOyDSMe;_F>3H%3C}AS!2T;1DMt%r`=7&!kAnhfF`QSwlu=Y{}h)bXR za04YjyxNE*4*r51*}F%j0+JujZN!!zG^`jHy3yK8U@>au2MhH40Co~`erUjwAHZTn z=Z6OL{P2L-`~V6{D&~i{4Orr$a6Kr+wy0E~=LfJWiOmn7U_;3dOklfS1g`^`jwe5W z5+-tf0Hs@M<cDx*et5JNk{>!i830i~JOFX&lOH^ykn4wA>#)SZTaY7r_o!@u<cEFh zu;qsYEcpQ}M$P<CfSw<~PD0KPJFw&juo%(#VF!AC2#6xGy#xwMD&_~jwOHaJaSbTN zwy11C&ktZ%5}O}D!G@9_KCJ=S^}=~I$aFmU0hBP2^8+Z|QX@Y^K=Z?;RgnB3umGig z$N+KalOJ}V<cCwMLE(Znwssff$lg6FFCh70-D+(4VFs4`02ZTWeprE?AHYsR&JUoo zBtTP+$o&Vf7}5FR1A2ZqKx}>h1tk^pgWW1DaS^x@lww;{UZCd(uq%np51?Q}$q$cK zg6w)>yaHr8p8Nnxn8^77ly1q$4`J~0_#;6b<<9#rPA&&8hM56M`1~zPz~}LQ0C7M^ zHY+1q$(A0yyBWAZb2Xbm1GR>iUetqh!Z*L5kLa?1<_Lut7+#wrG%ipE9}4S+su3iL zlyX2C!9wta!=vKSyZZzu^n~^o?91_l)v;!f<3S;13R>da*$na+{BUlh^Z27+{$B?6 zzrsRrIRNp01&D*i{{;~LgNBJf{s-wq_#Y&S<_(Y#*#A(CAa5C7df|qu5hRMH5iEr2 ze+!V^ozDy}y<lI4*Z&~LBl#cXF>L;ihWURf*#9d)UWUa#!y=Gmce4Rn{67G>p?foE zSODaIkWPgEL855h011Kp57h|r7Rdjo8bP9H8o@%S{$ByI8{+?^c>ND@Jd*!G9>eDU z7?2l1>3<2>{{|p0!~EX?;$VsY0B&&l2XzBM{s-wq_#Y&S<_(Y#*#A(CAa8;EkE#(Q zilz}PgzA3@klhgfFTv}7kmHg35Aql`|Hs1ozZmTQ9Uw24*c@vXSPYUtje7x)-rXG_ z`@1)Tn*6W%5PXnJ4KKYYUX0gGAVWcJnhVL3Aj{xxLabh&Faarl#(|vCdH;nb#C;AR zXMx-|1H?d!8<6`BK->pvf`Q!!<wM<fc@bXsfeb}*AILI7?u&=IuX7PN{7!(J1#+JP zs0hL0z8?_xfvQBX`=ETN`;76s4`e8k`#_cvav$g#GthktFBgK{7XWe=EPby4anRfd zD&G}AWo-9m&_Wu+OE2bwbRyC>NE9uZf`q{78>$iNnKV?5AW<}pU?J4>{elBlzN;_9 z8&@F5gTew@zJojl4-2I9lL(EUmkYrDzX9@cN&K;9gQXw|r1TBC{Y9Apv=N2Vqu11F z5hKHEL&ToWX0THc^8{FHCvdhbSb*2rAbUa1u7YF%kbB_HhGzlP{ToRjmv-KNp$73n z0?6ehM#q|WfEeIalAy~F8S)qz_%%+v2mmF--rW{zpgMLZ<hqSxFLvS2m>{D-u38Rp z703!ms{(S94N`a}Lme}7J~%vIfZR|Ld#u@E8R({~#h@tf-OZr_?&E>xGCX=s`4)oO zHejc~Z+CdX3Gz(u?gVA9O3>ViN3ZFX1t68k_f0&Sk2f?ywu0PU193OVHJG6}VFGCW z0CaReWG&3UeV{x2K$+soJg_GUKyK%6i2|=`JOSc(bT?;!Dm{*F2bS*_zF$;4`0XGg ze~SfZ603W&gBk-vcNhz32_1ML7I?W+t6iz0NB3@!oJ+Sm4|s7>YdJ?LkKqAF&I|lg z4|E><e$fZw<JN;E=AejE_{?9&>YfAIyq$mI#WYZmba#VHfE-K89l*mK$W!8$#$R?I zjlbSSMc{Rw2YTpkm=6jww9s8W@9TfWcB<O>j0~@{K!;v|4$AK~fI8FK9K=2Dq9Ots z{O@+)XuiRq?a0vyx?a^qMFu2@Zd-|pfZ-)HyX;Zyk_0;kDGEVLEoJ86jYUw9gJQ8B zGz-+(42lj9@XS5v?gdb$KuUk9(DWxc7aVmzK(SR~e5^SDwD9bOGALE{?$%HSSJ0p+ zgoXlWmoy^r^~}ZV9*|ie_bh{?Dv%whsS0xb4R}II0XjjO2JKKb&Vh6&8$j;iZ>eO2 zOwir{aY2(I8KC(_P_k-%!1(<l=q3ooQ=mnHoQ7|~$>=px=h4oWoCiznJ-er<fLmTw zES>+pUsE;$uMY*ScH0Mvno=&qZ>|3w_@^H0{G@n+^J@tY=L^nHil-E>DqeWaWcar8 z<@bxN2TM42gJ$v!-@az*eBF72^F@gRXrfg>g@Iuo=oXq?pi}=}%mIf-kID^Y&=RLO z)-Rci42B2N`1Kh1ryo!}-1@eJgY&iGQN_a`gTG&Gea+tj?i%#gs0e_{o|a5d64p5J z;xfpf&f{3Du$&88@Ds;6GZVD6U(iK`0~8t{3q86SJUUraJbTxu1muDW|HnSPAu1dm zy}VE7Ff!~1IUnrdT_9gO?f`A11mC~l+xaj{Avmij%fT@SOonHbWd($0mA!}sr;Q^C zIIr#k%}jz~1Qf|};6n#nR6r5t(R@S!wB9q$F+LWtn|{IsP}!m2(fN5_IyCjoo&`>Q z94i<Y82DR2Cr5%x3|vtQUe^h`V&a4&=YbM6kM7MY6d4%0?VwjoT<^U0{hH!GQ0$fn zgZ*rH=`~NMj|wO|^1N2=ybivugM;%zr;7?ti7@9u&g(9U$HA)(dBBGUaDaAFDuN~$ z!D|R#yqF1Dp8#DoIr)P&XxH@N2g^Z9YE+QVUyq&n^*?B_T!|=X$OBYrLzd2i3%A$m z9?1+InFqo`Lqk0}4|*JYso-(&g*{wlZwuou1_lPi4o6VICh+3qEbxk{r~i-_H+yv6 z_vpO&q81WA3em7I28A!^ZlrynTV+87vo*-^&AU4w;S0JWj`Z-AP{I+uLa^}V?&MMF zbWve>tq2ZY9u;utvXlrRhc1ia`A!>9&r?7NlsG{>uihDupas=h3?AL!poOeetYTpR z2U;mxHxuIl&_zs>72?6+p0I*}fqz>O3+K5KZcx~9fUnSy;oru^zy8FI)vH#m^59>8 z(Bt3>MOIL7f;K^b0+Qp!21r2u!4{Avb3n=PhyXkwL51Z6l=gEbG{wH04pO?CV<iIv zLrMLy<^&MKqr3S5D9L~mC@7<OD06r;|6~MT)O14;da;nYNAGSB2U?VR^qQK?1l2i6 zh5QRIP=-KP#)_iMaVMzSeIYOdZ`liS7bsgsLCRi`dr`|?kQb5agDj~3I3fO<0P+^d ze=k4`kM8CGP^@9~pNA5X|3Dms|3YS<`!5TWAbWSCDpN&K2KAr8biDopxeLjEAopVN zAKHCk*-%faOargsl2`@ZdjsmM|9^0-xnLCo1H)_SW6c#H1}v*Uwutk$fUcMK=-sU$ z3U6xp^x9@lNAn_RO;ZWj{M`|fC>KM$oBkDcc~^iWG|aR>%ef`t272_GN`MUnFK|Ls zw8r4b_S5j_WsL_#XzNMPJ&K+V9<3)!*pE9(fNGzeAV(i#;b!#cYzF%be(e%8dBXPI zIfJ??qVS<8{uXucDj%@vKR``~)|31#k3d1y4Yq;5<tk`R3)B`+7`>k1(cS#Q1jz`n ztGdBH_UP{ZU<AIOiQAopzXjBh1J}qqLA&Z(Pe7Np!^S!x`Mn;I-`PRCynT9igMtW@ zdpkk95kM80;Wx;wI?JblgOSs-n;m@rnF>6;feUQZkOQ@vzJX!`CEh%GO*c+uWO!}5 z6Xcth$N&BR-z{!<z*>-h&H-?_(|UrxH4+ri=-Qg5qDA+MjwxUNzfAZCUNa7BAA`zZ z&}uW#rQV=oc*|sPp|Jv#s`y*_m_WOJ7*>NrxEtJ%fvqirtj=;ZJPB&bu!EP*zs`3x zywrNYk$>tTaCrx+y*;|SLHQAM#{y{Sz9G1}1K)wg@mjF;0A#ZX=$K*f!ZhfrG#ALK zw9aELoYy+fyKr7F5#{{n(s}9oB^S<1iod>J;5?{!(XsQS3+R|)#Sh?Jlq(oZgABj9 zww?sFk371&IY4pl$kKWI`*meY@D>+NNF{LcHK*Z8@D1&q=N&tLIC6gIJi+;)MAVV< zK<B6LmpDHu9{PTr^QYp)?-x5yIksNlZ)pK}zjyZpad_hQ>9v)ff)>1>+@uByz3$x; zP;=9QDd1!v=+WKW0nJVPEykdZMelBq06bNg9-9m@6g6GEcnFF%7Lan3gCO`@K7iZ> zvCX5qTf-QUl0o~+QByK#u@pQ~aHeFCYp|x|yOY6QAU-AQOaU(rMhY{JUeo837#Ut` zW2WC^Ncu%pd}tCVERbuQ6BF^4v!G-ODrdbx+b=qsK}i_LeQvO0K5{_;*m?iO{t4hj zZvaZ?B|68NJ3tK7GPNWKH1cH%YDt+YfL->YaspoGfD8dSXD!Hcoy{P_AsuY^#ZMmH z-5a2(6n;G%r{Mu`)rCl;C&1fb>^-_S&kzSUZ}M20pE7>G-uVvHd<3UyP^JPmm`hnY z-*>)K{K@&DgopDW=Rd`3u*=Cn-Hz5%Xm_818>S8(-J1<SWvm{wVR{wR%T;_2&eEWa z1G#~XrSmH2<}=P0CA^#mIp4V`9)lSTx%ceuzyJSzx)+}S1yT281~Uc*$8H}L7H}%; z1~r1f_tt@(TgvCyZK7iM-`dE5fBtd9x1H~rzcIQRzHR*ux?t^X=L^TqgRYz}OJY2_ zH-FG(VCdF!G}ck!_G9Th_x&2!1kmAHU%~DIyRwwa@GUswAMJeC`4V)`+c}U)FFM~V z{&3|yS|Z@W`ND<sxQpU>N5#L2KVCDt8oqVueEa<>IH>pi|NsAg>rwvJa!?+FmG+<& zgdF=o*SCSoa!~1fqUY;>&}0+XPmbI^DlB0ArTmWFEGmX4twH;o<{UIU0MBQghd@WL zUn~U`bRr-F;RPLRxuuT^=psHIa1;k1f)C_tkSXAXIY^@bs!QxZE^$#2==M<&aWrO8 z0Xqh?)2sDDi6Gcfh6i2?Ha}zp?S@K#9^Bq}5N;%f!{FQfpbi6VHst6oQQ_%^ZT$NQ zI>PJ%=Z6x0@V$4OzZ5?yUQoOUy7&&1wxC^FaL^cDdd&%{2>7QS>b%f-(1r75=QS73 zcO{~nA00X0Ix2qseu49li{h*AmpZS#W(5a7G;_6n<Zqb`x{k5e^l%>|!^>&#W3NH& zKhMr@9^Gvkpj^Pw9l-MaB4{Vxh3^*>Pq-SMY<|G#$nScw`2!;;`9f-^*5lw@0S=JT zP|xl*a1Js6wJxrKwu_zkenIhr;WyAl(XQt}I!}MU><I32w;tXNs=Z2CJ5M`yo^t8D z$a%5z2Iqwm(AKYCiiaFQHPL0o%boW+LF<-JtY9onG`!UMjeqJP&+fJfrr@*q3qUS> z#@PA&`*p?hAQwIdx$tB2cgD_--!Fngs`V%2&>5D_kDVVJJ3+%n;8SWuK(*5K@7Fo6 zD}D#pc0XaYor~fD(AsFwFeRw<{@T>F^<;^LN3ZDQUPcC=Ue=agMh1`0=ZNIu2u{8) z-gJNc|C$4&4dkzG78Ou<b+#4Ug`D&R;g#G4E%@GNVZy-R*?24h#DknR=hOM^g;W=) zkc8cJJsKa7)(0d$IKhd=@WAUt(CI-Z`KKQA>~4zyB?E)*3YPEJK&#q+eZQpm33P}c zBfsm9=1+{E5guqVy#`Bcza2Y&xPS_S&U>8aN<=t6ff_iV!-v3Y-481s?z{mSQ&hb8 z?I2?b7brHtiNK`w05}cwcZ1VFLpSy`@S+oI8c?{0oCZ|xfztp3Bn@zYc!)G0)`6S` zK>acp_UUf>kOeC989Li8+ygNjSUTIT+yhmZ`!;|^_#2PyxW~W%8kKu_zWwWem_{fK zYLCLMTLraA_vJzBX5~(BLn474bmoBR+%8a~0F)emgPhX4+kpdQCP?5FM4$pLa1$c% zLkOng1Vq3<7$&eCB9H+WSOyVT02i1F5x4;tXn_a_h``J!h6s4T1(F~F4RC=#h`<iG zfCEGzK@?_&K15&!TtE&YZ~-pB3lZQD1BHU^{7z6PfSZY+R_BFoa1c3&fy{%8?t+L` zfJH$8bg~_+Yy(_i2SnfnTwpmwKtUX25J<~3hya5GOrRAaU;!5>fd~}91(G2GE8qe_ z5P<`rHt{8pp^gxN25C^*Fs(=U<pn#$$q6zr>%<^Re<;8NSRn$S(OyHaVPD$7o&rrO zKm;B`1ZIF29DuC51Q7sDP<Zs3mUMuF4m3&fVg{&0>)pLU8x*Lj{~$8*;8ERYFRCGu zFSJ2cLj(2Mi&Tgx=;~XDbtMqfK^G1}1d<^Fpc}y;0znV~(3L$90Y``c=-vv5fB{4R zbO=2}Kpr9hIwcw+zy}ck9pefS_}hv+9@V>>!3pGt6r{5vp1s)F`SrgixRJ!b@W9@u zGY2%ny4%7D#Y0m%zy60wtw5Ekgyg&%-e3)&L0C|5CPPF8d{9JvA)+3rqUI3M22@c+ zi0BSfQErIn2UO9okaVx%i(=b-h-d<;=t+p^3{=t05Yd7FPyp$Mwt=D_bUMcF7I4B@ z5dbn7B(Mr1@Bl6_6CxlH2vgAk5eR?_ltTnM-~wq7fdg=XP>8?}xPS{pz#s@_s4++Y zJi5)m@WCE@*a&FNOBhHVp6uR1lD$McSQNC~2jt=#5K)5!uqdb|0Er%gh&m*LL_slj zu^H^s4RC=25P=tPf%Ompg%p^Uc@TjKaDiTs0IX>YavxX*?mj<=oeZfU1E8Y+URXdx zEx@86m$-vW>D^rb7Jw@ggeY5qD*79e<R5@VL7KTBnkCXec7OzaHi7*W02g=x5$J#m zT!#o801JRyfuI0d2r>BwSQKQ?28gl=s~H$xxPTl39*%-`)1U*^kolib$bH}u9-W^( zy4xOrDo&4p<{Cy0h7v1}?%glI)t%^vW=00XZ(#dgENKCCoJ$-%x_5(=d-R%K0?A&2 z$UbZP`u{b%M=$H%W=4i?j^>Aq9^I2crgU<3JFqlAVD#wj26G)*JbHI0fKIyUHC+fX z08~(Ubk?Y7ytn`=3_2fx(oTR+uWn^CBLnCN4+)Tfg9qpY8IR5w6^qxJ(7F~x!44;c z?nd<Ju2Ind?V%QU(bW3&zfX5_2FNnl?mh77h9%M<E-0CSOc!}A4l)OZ9h)uKK*9p` zZ16!G_<;zIm>3wkyLIk^r|1;!Gca_XIQT>U;0tNS6P?Eo{%1Y-oEg-X0k=$b?3y1u z&^&ngZKsY(cZ?m&<=34tDlE-ECF@jr-8s5N*mi*WWSS>B4_<!6`KnVyrTI8dw}{>4 zN1V4hLsVFrRaEMmQ&d>$!A>sa0i6u_gN?t919XrOxXlgr0O;mzkM0;1i*6qk6;LC~ z5!{h<HT>V|qM`uKj^HL;DJQJ+bO>^R_^DDh$Ij!9oyS}|zqoS#;csDPMEGqon%|Bc z{KtCm88fKc1)ezQwlRWu?tN#C3P*R25zFPbojEEzAm3^Ax^Z;NFd=;RnDcjMj0y+X zmCeU^x<!n@9u86AX--k$0C|$99yCT_2pXSdVJPM9W@rZa)E(4|Me(TwI1PaBmM>9} z0X03pf4>e^VR*9j7kH8l+^a4H_1Zvvn9l2+*P6dEwtg>V>Acu^!BO#;Bj@=N9aqkO zoF`lqA2=!=cT_y~S{@enAR27NYaS32j9m@?JAS|J+WM~~2{fjA4>SM^$tgaaFG@i3 z(V%n<%_TmauU=F)f-{N<G@}?oa)?joyB7zWzy2qXH@YXIBv;7z7HnpQzm@;*|Nq@g zpwZfwEPwz1?>zMVR_g(N*9)MC0i`AeaBc)mz9@KfK6?Qw4_@9wR|uX}gDZrDsYmC# z7fnrH|AP+~0htEwutQ6NZg&<?V_lga)K~`(d4kT@IZz^ul8qp_#ict$MWEY7MFiAc zzR2IQiGhKk(?`Xp(?!L^1(J1qx_5(;mrLi#gFodDzLa)hJn7hZ#_`}ER>y-+;Q7b7 zn?=3(;R8p_lP;YnFTdzyQE~1LQD?dQ3Y0k<K?zOMvDZh1!?D|hAKWu^(L8zi8RxN1 z6BWl^7Zo1IZWi{-4<NH*-8?FtCz>DBce1E}vlPgK;NU7zLOq{I0i2j#D>*hl0*yGl z+|9zk(EPB@@IdoVwj$7&hXN?mb-Spjz_K|wV0=^*z8z#N6>U9GA_FQrSU?3<z;PDP zIi(C9-7YEtkihZmjZtCgHc{ygQDJfHyx_?B0aTu8l!SS9zIN_ru>t$ksawbT@>{SE z)uBF=LHO`7=MBf+7?Ag5<S#$wybH=z8V=u%)|V<ZKd5j1AzmzHcoO0Z86S{B$QEUe z=0}XLwG2;!RDzF$RXo;NqQb#>3Ur!Si3sO4P<}X|cnHK*`~W&;Ru~jW;G_cDN(=QS z2gsXZtp`eELEe-BdD8)QdJV{*4iJC3bjPSjxOA7O$hfxNE)jNZ{Z`87*!-B$@PJF_ z&6iw^3=GcQCSc#bbLy6{zWf^G+s?b4AL^7qamN9QJ9wNv;QZj&8v^pNj`-!LoOfM1 zLsT3<{wNh{eo)c;L%fX3@DgOO<28@rC6EmN)I*M)ms~+1e4!)(WH@$%kAZB1rIf?p z4%e3oHb1Lx{wG}wAHC!<Jn-#sJ$MO>qv9#fLnZu<oR>Hcx+or0Jmsi(3UpLd=f&?A z!DE7k2V7b&f(L3!Svs$EUhRD8!g;gvlMCl3P_A$&5#hY(`2Dgg=RHToYu~Seh919l zegGW=q<C%<qpRUJ_~_?G#?q431EBGL=WZErIK2jiu7*>$js-MnU=66l$o@In{J6gP zX?^o&jm{U}FDTyNpK`GEE&tTR3a1@7K00uGF5!3J_{e#bf9e5+8~ocoyk;`|#=q?# zXhf&=U<o@oUA-=B{RVM`2-p>`z@kVA6ss!^p}OJ`*cG4rz^=H!Kjjd}6$ceAI&ge) z;P_a==fLp^;))Y4{M$b8Z#(1yaswzp4zwNwk1B0oEUk9!yzJWg4KyR;+^qw4%v+~! z6YI<GaL4XZWOp0`1;w-a=CA%B(-mKW?mzej3XQ`q92Z<TE|>7Ta9rR#$3OL;!cG2d zCtoueo^<WJ1@<rhwgaH?+SX$wY~3*`Ca?3sBechyyP<)C6dsBoE76nhA;;z;JfPz2 z@&nGJAQPIQK~h3gkR0IOb_u*93tVIy9%#J=uINhHTsjZAbRGny%F87Jj-0nRFDm<} z_$Z!sR6Nyr1LSgx?-v|f4<Tx;ZWl(567ALlC2=0Tq9Rp@w%v&;aFtaGt+G6NO}|w# zGQ8GoJy2rf(JT6~5}|Z1M5z}->1B{Cq>_7azvk=z*8(2BtlL2PLG8h<m4w=Zh6g;F zk3@i$uEc`2)uSw4LBAi+!?W|MXXlshzL1BYCRm%tLk5P<QwM*^AABXvc&hUnMl;2r z@zDbY1_sTGmmw_`7Xy~dFF=D>H7Xp<4;VoUQodhkJznDJ0d6Y6dM*N>g99c!0`==5 z%f>)$)E9=;U;o3@J%IFZK<XGCL)3A+G#3Gl7xk!=JOJHI+M<&2fI80OZ+QtCM1ndI zVl~)_AgjTn>aK?0pbcQqI7&y=*Z+>)Jt{p9LGGBM(f|r3L`#+U)|^zGDvs71YC{fm ztRJYFXnttl=>rN`dr-)NY7lTc0yM7PdXc~NGXn!dE9l-;0Z=u{-vT-&9khlI#Dlb3 zUtVBfU~ui8qhj)ifx)-CM@8ci1A|NFO;}6ereo(R$AiCE9S=T2v__q~Sp>mNh0`vb zH!r^c&HFibhX_L23OtU@zoqIl9DBV$O$AP9wQ>{OR47s5aO`ygRRE08rUFkl^dOPu zNA{f|DjX0G@gRJ1?)x>v1FfI<TOHXL7+O!lT0s0Qx@_R%B1J%c0oT7T<=H@I#gwRs zfOLbK%`Tupc4ZgHsUV<EC`j>Z5!cplCFlnwf@%zo?iv*y$Ic(FoEIP~AwY3@<RK(r zcA&=Tp@Y9zp<x29v>m&31R+gyP{UlpvAaZ&<?_2uP_y}`Y@K?q6Nh8B3?p(Q9n?LM zXg<W_*exP>`4Q)*&JYzDa8uhyMW*=?duND>MDs)TP9GH+kbl6<Cs45n3XM_?a7cg~ zM<o)+T~xpgA8;tV<^{K<o-@7<hcug9RKV_oHYh;trV=h#6XLZn`1m@oMo`dz+7}Yt zJ}MI3E-Eshg6=q|`uzoIs~mR(pVavO`*p|eDJo|`ow19MWWso{^W4FItS-GgUqL-F z$JSq<MSTbEgVwu&2B<;qHvq}Fbe`>I(LeZ%Rr6ElMR39~@Mu2E(ruy-4l0x8U$S*- zj=i27-8zsKnC8XHPdSfv=BOArHXr11>Sp1;{DAWVsBPQrqGHhPqhiwhfW6a2#Q+jg zCY>%S7M(sSHlX!_5>F6a2mY3LMo`w=qoVKxRCt7_SiqKA*p!0GV*VC6R)_+SHc)@o z;^hWr1_sawmj=lF;Pm9$dCIAqMZEdp1I<%V4{-Q)UiNH0&EnK;A`kWi56Ba$SUthv z*z3pxX(ogGz|$QA>dUF{G(Tnsb=W#zKs>|a+Py~wlI&VkK*`Rf^Cu*rT^N5lcAj-S z_?Ol3;8SpZ1ZQ{WZW9e~emw8e`4j920r&0_4Hn1C?_4@dR74z`|I5~CI`+DPYDxxZ zj{FG?Ndd=RS5S^*xctBc9JC_cJ}Ls;B`PA2FcskZ+Wd?iWDF=6z-h_h+fnusY1Edy z#A`876V>A79%cpx%hN@mgIhs|cQAPN#<GBt(|2&E2^@@$-6mF@7r_qYaO?)PzFS>X zBtZRH{uWSv28E!SW3MAeHw!!1wVD^9j^#i~2B2~WQhd~?@IW#QNAq*`&Kea&@!{N^ z!^!{(6i}uVaOyT;1~p**%YwWEDx)w$1Z1dyWAjl^`#}S0sYo|;!W0rc0+4VK(L7{$ zfb%E+6v%2CewS1KPjvdID0I50s9+|)Qnci!084&*i$KZGr#nYQ16;B2w@hV1O^6CF z)j@~hLb3)?VFFzR)BJ$F`5}ArPe#d0-!E|<YCgsB{ifu9&^qqU8l*xJC5$*w!iWcF z7;&J55l=V5Qc!&W2_v4)8Wn|39~BksVI={&2^=%5veCjy0v1*i3(><W6RH%JX+dZ9 zf=bF4$BMuHe`yFB(CBqhQFti}8f$Su@-(zK6!-?34ujVWSc*dqmu}FA3#?-J!QXNK zbQW!Qk4g@>#@wQk@Cc>RapB+()`JhgnG>8@9J_VcnjbyTya*n#0aXla@QOjIPQ5n( zR56I{0=XJos66I`R160|nUW2f1d%ERP&Fw5sU|Ti2L9IPuxb)qIq<h!hE$V?3gYEa zHU<XA=I4yf&lz9xu!BlN7ZnLa0_^4hEhYyQli;C5(D6@Tjw1^wra(+kIRMVb;B~-) zsO131Yu;uHmReBu2QSP5wNfDGHiNVmJO-D^;D#~yL~zh4#GuNe0^Ft({ho(t`7X`} z#WsHn=)75w5|Ccdz7LQRX!G|$;n)AKgIW(j_G5q)LCskXQUo1HjfR>N2Tdzbb6P-3 zpytdd{QCc;95}VGmgGSj(4b%d83zuA<_C<RkO1=?SwQUq8*rO*ii!cK%}GvMPnOuW z9`>B&q9V}gqap$dRSQU{LWYxVLBZev4$cr20a(@v%Yy`FBs35}T0pfNXp06Y>Ajd* z@b$lE=W)kwc91_o`a2mNyWLq{%0Pl8G<OgN3#35iXg<MVc(OS~g@>Jg3go^bewPdX zPl8K2Y(Zp!HHeIJK|xev1(L)Fp0fO}|6j9$YB&K%1_6f<XsjI`Ty#zrps`$bu%|)8 zj~x4$85kHKJ2ZY}gSJmZvc9r_Zl4eUC6X1$i3A)18_*MpVGbe$K*~`PNJ$=A2!OPM z^9Cpcz#K>s0SXzyMFeLZqKGI_;rV_Il&3&ND$)r5(Sv_kdqv)YT8^zHpiLl<!j`{f zJE(UC>I#6QIxlqF7#w`cs`(P!=M?a0KEcwhV*oC0MVfztx>Qaa-7*HqZEw&3xj^$F zq_J_NN*>gg5`dJjBA^XUpe8sd1Ro%UAb-mb&>4r_dsJRPLlIs}z0LxKB7e(Yr~;5S zP)JI=ggF~rcy?axt}$hRbQ!>XWpGP4$CLr=a2`Ak=Rk2dxaMts0CF@3XrLODB<ykK z1CV37VKYzmprj6SKfI{W2D`t+5hMvx4stuZn8?fd`u{Z#C?80mWCxHb@WcS-fD!{F zbY8s703}G!O$Hz{L1id-&IFQLeKJ9dAzM^HDd-bF<S^felb`q_PJH5z;DB6a0P6L_ zMh7@vI<kP5ov45k8MumtR^}x2ZO(Io`!@gKeVgO2nG8XFo7Vp&im*Y41P4%$$N<@T zgO}_~3=F=#PAnkn!7=IFEy4xr2!lq-Ih?y$I4-{c^?^Y>a!~sTqaS<?G!pFC8wBh5 zfd_%Rp$qyT>5c;up@@boq(@&Wg6PecaKL)<pwa*D7hw6vvm262I?o>bD}V5<G~?OM z_pq5V=v^1xB6iJBA85V=kL`1G=h(4ae%lJFPjXavO7xq5O7ZuB#y>!_W->^#W{){9 zgSsiruxYbbFZVMrFhCNjJ4ZKOrJ!+cO1xO2BJ%wjz7TIMQ4s)zIDd;b3#fgAV+;Zk z_RWWQoFTn#aM**2QhRW|ffS`|@S=2m8YtyPu%;VBi&6>Xv;b;tf(NQPGQR$YNq`s7 zz1Wrt(kQ^X&IqJ24swwPcpF;)Qn$1OTn<M-yQCbj%6erQs04x3L?Gp$90<B%0$fqQ z$$*u^9FUp_q#d61z#K?T1RBu+wP8RbI!J{o^#`MH2VVg=6hIjtlsaX=qhl>90-%u; ztb>T)-fXuG>L8*(H_{*?=#>6WP(!}AMnwRr6k$t+lp-Miqn9F)kWvI3b)W$}P;kPd z?ra)*)csBdM;*f_{z%s62B7E@;9YMBqT`@<tAHwl4vc7=fF6yHQV`JyQVy~g9*wH$ zXweAL4v$7K2ULQ#sDPND%V8i_!GOY%ZljF2BX&ACj09a&96$~Nja7hB8fdHndrE^w zEYc*l0DKZ#r1>Y#rmz6Yu%}3Kii!ZJ%_G7N%BTWJZ5}qTg9Ln396s?!@*3!aq6<`m z2)KZ*#)&)#4m}Ca#v>=7gUql506OyhWXjk7j>lR-dmk9VqvIY(qvN2W98WP$bWxI$ z1WH!0yZ~zHfPxI%dBoa#AP{7rW)0SPe=I=;D%(WtAwh;H+b$=990aX!At_tprR?wj zXbUV+)~`r-c3$)B{My|Y@(eT|FwNr`%90G?%N7A5J3su}K{q^s*2iymQQ-j<@SsWn z95&$L5^$c{#m&ILuoKh(1}(PNPX78Ikx)E)_kMW7z~E~5#PHHi&=xY#c>Rqe(0F|> z$P=F3Q*nC&Y!AxX3zTGw(-UZM02@Ez-+lqqCpq|n3p5pS>fkG`=0}W;5C8uMPuYXo znjDV&E>}QxrDyM6ji;c#Ie5R9;mH@OL^>JVB0+I72Rx_oH2=iuWDb;^#)BE|-7YGe z%@3HneN=dxA2P#M0eSZBO@O*nz}4_Sbn(TD^2C7+V0LH#vttY3>(Bt60d+VBXle0_ zBMAfRWHzXi*_t0Q8h%4f75pyOpl-f^;${IN-AtuK0UP6kq+<^L?H56=YJOl3YP5pd zkD$(W<53P!+0YA_$Ac{XeE%XX9#q7(A&OWDq#_o)?gvI-FK=94fXf?;7r4qBDrHqr zx(Br*1waf?jzml*fyx{3{ZInCKzF9W%bVSC;PQq8R59_ed-m>K@eIAZ$%q4|Es!TX zyQkvz1a0E!;0tbO+Tupe4xrLh09xLNc=qmnfQUB3lP|W$4y==ri%6vG0CqGaJAm9Q z@f=b{3V^dPBav>VSz76KQ4xfck)n_?lB3f{g~zjZZvfPt97ttkaLmAlFxD~>9KMeH zE}$|J6u=!&heHB*LG-{n8Alljb~GYU96)jNn<(PkOr=DDR@XzyND+7$2}ux*M}I)w z2<i{O%19@WOOFvMBO&D@_&gZ_aBJ*KcVEv-aOv3a5_{>$q5>KxLuwS*sKDC<ETA@l z3bsWDGAbxd0v7)5EGnHWDxiXq1#}Wdw~GoRB(bxiB=$WjGeCtk=z0^R)Sem%iiRE) zkW)boUtDg54N>4+@Jeh82CcF>_yR|IXHkJBcNS0&{sPG9ptHFQPrld`G0;xNnci7c zAjuu<W)4v7L*4u@oH#dACrNa(sDQTWg4zQjDv+ekf}YfmIDni7N)6B}>_I)QaF8>R zlez$?IRHLN2a>*_>*eA7jVrIfDg4AM>?xdTsRop~LC5HDfEb{11u+B%s{aKb{S98^ z{>JVwaQzSMn1U+Oml*Yb7${bHL7wpJo{HNOv?+rQzTkn@|2)X`Kd5zs+~1G@1u-O^ zK=pqpaZaXElLZvqgu@-wdEE&jK>a-DP)N8#dbglT_7!M@$4*Fb`tQZ(5W4yRt-OKc z1|E2BfK1jk9_@gJGH65{;?nmoJV7o+&JD<oW0d@$0V(l4diOcJVPJp^HQo*Y_2W_R z1N7{jqjKUcq-_MMVnCymphG(D2ZO?-N2TO7XpKROO2%uHxofi5^YOQ2GeO-0vSvpB zWRn><J?{nWk_Sx{gHIg+AGuM=+s)8<@Zd{%#)B7s8eRfjli3-fA_6LaU%Y|18WcXD zn0}!X{PjQBqacIhp$3Cf9o$T?aYqlnm1jJ9@vq@Y(0(;YyK9e%!duYptr`_jAb^Ym z_5N=Kef{sa7c`g(H<vvC5-xw<fWl>p%7-@$44tPB{+2)ZTAJ~6=RJ&djz-OoA86hL zPdIUO#~492n}D}tDD~!Xbn9q2YMyoJe0ljP=U<R>;58{|s|gQyAiP9{r}<$$c=g`1 zdhi|AunDd13YN|q6$#MVF-LHiasG1zZ%ioVbm``B)I8}5TG#EPBH#iV2?Y(nnY;x# zdyk67Ta@AvG=BzNCj<_#ZW(>Zd=qGe0Y`U<K4c*R*x9PRt{mMW?1)J+$hH`c=A%5_ zBKpwvY2djg&_M>Ewf!!j)p{Tg@HlE7G(6zKc@VZ1#*yFUB<f-qhIin3fA9=7e+xHs z5}M;3D6T_P;EQ24_=84F`CB-l3P9RGC9Z<uCGa|+7w-eV{(pH9ya1y`<q0?uPf@u6 zO2h{tE2J0?f|sJ9@11%00KD%9JkJJi`tUUWkg8Me_2cN4;Q|}4dGIoH?@VtUPq&TL z<>#Cr9iXEOIJ!Z*ZJyhMw%;^AwFj+Lf)7N2PtQAFD$@EE6oa63bVzeEJ}M&ME4u{v zTc)vqHc0PLfh2L$>wb(3FTb&Xg1|*Z0Wy{k3K94qFqi`w1O_od_JN}jl<rITLDdmx zhXi<)#cS4P3x+y&=ot*4;};+ksy3jYK@2Z~5(L_Ws=;f}Dn0P2X&~2w8j1uaR6&Ea z#7?L}Cg=s4Q&dFQLGA}n*YUS}1Fb0lEkXnp4IpuFK><$V-~|8jBUAy{zXWGWak>c0 zOeyH{HqfF<j*BmvA28!xHUPS11*tRwjW52K=Lab$KmiMC#)5(m`;a%-=}2vE&?+LN zeOn+mV;#Rm8;ElO*DEC|JdVu|*g+Yd1F{;A2bAx7-ayu-Hh_vN)M$q0dvL%ycFSlY zGQNOgcZeort*%J(PnkM(@VY=7q_wHfIq!q6(rZ4-<JfJZdHFf#b<lz_SkI>OQ1c^x z&^TuELw?W-6j1zu<F&H{ve=9BBY%qu8v}#K4t~&)hoHH7&@}qXI?yt<6QEiiv}6@> zW+*JpU3`H!6cAJmRG<gEsxO@b9vtpIDm>U$(YZ<<00jUjIz)(z2XJaYjRy|L?h;LS z35+`)Kt(V#9=><hs34WW{E(G)pjhAmxkUlC)DEdEhOJA4thH-AvH=>fp!p9_ihdah zE`jP0)7jlRVx6E}lsPIK-8SNv-#7n|0$Gb$Qi5v{P|e0-bol}JnrkGtf!8B|#sz)A z!}gHo59nSBsQvKz-Nzdgu`Mbx(5hDel$OaW%_TA22wLdDfvYsHhm_{^WR&J5f}m6i zYF&8rn(}%wGQ3Xk=*1g|l0*k0Xxa_3OA}!Scp3oQ^nD@i1q!ep6_mCLBJ&eJ2O~-3 z91OS!asgHQj?EA1K?#k+vH4-W3#g3+O8taeAK+35rS&1u9ik5}gFp^(<>)p+YJEK8 zyw_QxA^>WAnCM@A#tE9YM_Qs&51M~~G@rl&wBYi`h4W;I9Jn-sRV(0Jhp1Lw%eXKe z02M{YVMi8&R(^u2Jka@@pv(mFQm2m!ynOn90=|6q`%Oru2er9C@e%=TUV{=csCoUO z*@MpU0&YfvcJ)50Z+=+Mc(VByqvV0_7dTIRza@DI6hNRhH7J7CfE!9%R2G0*1LqI^ zmp}Mkn(=(+--CZyq16O9pt@!B8lQuA@B9Sso#yB+(PO#%uK6(|c-hA@Mo=r2@vz|m z&TFui9>2?Ja6=DPx=eru3aE4e1q!IIpY4t|^sfQx>qGY*Icgq&MTTSZ1Ab8Al6V=4 zJPC=?|H<&^yz0^U*{64z!$)X;17yVwXUr}T==8>qh*oEbnMe2T4<A9Ttq>LXN(*~e zP=m9?9JKKkEC<PFpuWM2W_P3+deF(O9~l_HZ8Ol}k07=q3%LIPazHrP0RoU^9uiQS zz_Q@Y;4ji$Kn@h}QIYt>9|M_d<?!fkR``VGK#fmm4xHx#cOXbHs4)!c%77jC$PLwj zAk8obg4vEN9^K6#7HIu|M{l#hdj^Iy{_vA&{CWpL3!5y;K*!ftJ2NtXjuJ4fat0l7 zVR+J`^Z1KxuFzf=$aj@aVAnHz;*VfmD*&2Wk7PZ|52AHI*$K1|2{a?Z(CMP$0Lp(Z zpe%LVMa2h1w;rejDewU2$=(_j2c%#L00&zns{`0jK^GMPkM8CO^gu~~28sY|eN3k_ zJWxQ2L4g9wh2TIr>k11Lfo=|u?#Up{us{K`!GQu|ed0&$GZU3y_z+gVKu<71aH~1M zR)bO-%xW+jWHlrWb~i&@0824QVPXRbY|@iTu@gL0Fp|o47g(r3oCZo1FsFgpjw~LX zIVuv}-74=v18vO`@2NE~U<GQ*_ihgO&%m&M!)YdlU7&NCUp%u1EjK>m)4duLG9JCV zD`5Hg1-An%wMDW{=LMZek)r}R#m=Mi{foVJU;lgb?%n`be#ai6Jb)K;j8%<F0608B zgG7BG<?u|v>dp&FwUG=yy(KCM`?$a-_I!Vl2~yO%`^Hc3_z~=ol+8|Hn*@G=MM0$* zXw&{&h^Plb6f~sJ4iRmDh;o9>{q~~J34F3XC>MD2iaINUh9X>4B3wadJ?E%Mcyzw^ z>3jw{<a&kE*Z*n!;Rn+AHC<E`Jh~^Z_{qTV5_Gn+NAKo>p9~E9Ip86;#ts~E9#}%| zq8%bAVtGJ8QKAAliO{F>`-^5<uzwICw+KnO7+5)IO%*5?fkG|>qCDaU*!!SB0eOF( zBiN7$5K&N|fX+ebfQX(z7XA04$PwB5OJpG455V;PN=G#BYy1GI%>fMzfR6E01Bd7P z7aJWxM-DxIQEB`2e^=`)1_lPM*4dyls=0Q|U|?W)=>=-ab~jHzE<iv<`wVCS0vcxk znf1cM9-LJ&Ji2#-6oU#7&=3PyuE!A+zDFIqT^T&OyFpq(3*lW^U>r~;ZUzZ=dvG*A zJmA<J%F+DDp7T^^0H{QVNbo=;c%TwIG{{!kplk)}J%Nt+`~(WJ5*2}6iipAK?=R#W zAcLf!$!XB4sofdx!0`!L3G?m6IeYLa(GX{Yj*$TkNOe1a2aH`*ctGdGzXKTzJ|N2Q zq)+Fw7qdZHU=1gz&AULoIp~b|^A{`a!Ina2#65a<-$1tX*^5Y!3EhxD<T&_J8g!x} zX!$GX$`lB<^#H#MXg3uPXkHg|#Eb>VW<^weQmFbsT(~}u-rXMWK|Te$`E{yCFWBck zy{1!aK(!onDbTkUXCZli0oa8g#ou1+f(W5F`rC^oc3=M^x#ru8$q;1-Yref`00|+2 z2I4~x=57b}=7-G9512uL3tq$2ySw2%C|Dr_lb|6ZP$}XF(vAohaa8|-xXAw70r%g( z7wo7y#ZYyExX3y`An7~{%H|kOX6kleYkp`CT7?X~RseJ;_y<sc!pGMqqw3rYN<+I) zW=0m;qNRfbhzXFy_wPj@$b{bAGd_SCJ*J<nKoMhj@`V*h7~v#{!JxrgaQ_EXHhDk| z1`Yl5*n9Nuz5p>8GNAtN#Sa_E_%pa!>j4YVZU+`LKQ$ia_z3rtN9TP|B<!*I`hN## zoysv6RtCs&zzn1Y>jaecsDx+dcc0#M2A|=L(f2RBEkOmyG2h<h83^I$FH9^U7blbo zb#v?h)exE|nt#aiw^e~MGRh8If$kg?k?&W#W1!WZPv`p=-z>m}w!SVggw}1(Up%rv z@+Ejsun5%p0^b$@9%cvkd|uakcDMgPDH1)q_cMG(I=21O3v(+_fym!-2fSxtKS()r z$Q4w)SK5FrckE{K?CuBY1eJ(xEH6R#BkcrLqS~NoZBQ!@+zbSJ=JEr>OU*y!`P~sG zdV`1d9J#|-z>X_rcVuQWywrIRoGC(7c+j0`26d(f^1<kRmLP|gn0t1E9SJJA;qBo| z)<}&U&+h49mvVJGu)tjH$O2y7i9EXkZUbnZ1GmyOKQ;ej<o5^fQw8@}!7C=gr$B&0 z;j-1&|1T3EhoDbD0QB^5-LvzHPwzH|FYqMs{e^`&D5fBDuHc9gMcy>Wq5=-F*ZhVj zn=KglryT6$QR#M3L5#?N_c!pUfc7eaPXoe#0D9|z5+P7$z4c@%Xc3L!$(NlBNN!nU z26Bsw3fPBW$A}?2#zjQ{;u>MYlZ_Vh+ZY-6r-Pyha>fwowCND&!fWsW?vSG~K*v8F z03F(U4YsAZ^9JXK&i9<xO9VK7e80?j_xm-@Pl~S;e}T?!X+2RA15L!=U&w--(|OdR z`3>k6m(%80ZgBw>7vQ59d^>+;DS$3*Spf>q<|7Io`(E)gFo4=7;A5x^FTMC@3GcUj ze{s+h<W)$pcXJ$k$OQ^m2bO~mxIpU{4!&gd$UJ#8s)ozs;7j%9ACPUbr@r3+_wzx+ zlsuq?-W6Yv`e@+w`VC(|<rPvd@~0U%QG$1lfs~`9N*ha*R5?Wjq#fM$2JM>yb0F*W zWxjwWai*vUd_kGSfsf}v{ef5*fx3!AqK>##9NTzQI(bz1x4Ec*S8;$#3{VTT`6DCB zCKK?yLJ2rigX$;5KF*RzP_S%33KsqrP?7=d?b!hi8c_{X@HS3R#Jsp;3JM(lmebHV z9gs$kUQ<?(l1t#rYhOrOeEt8j1|;9RMP<Qf28R6tCt)3hy~d!j=O}0xgI)o|0$%2_ ztwe>Tvjiy@f`<D+(FHEXxQkf8$4{5C^RH(z{01$>N<fRf_NaiW15ghGR5HPu$f;(K zeFmU9!K2qy&IDB1flo4g;RVV9;Pfv#|L6bz9=$Ot9Il|I$bX;CcRrmDJv(n?F}!F2 zt2!b8iZF2A1-S=Q#DNY_6EOS+YAA!+?x0RmhZ(4${QgCN(bxZuUG1QjvLjbJsHx1~ za*hdf%Tzn4!OZw_1L$zOUe*d@Mg~x71VvxBVDqDfZiD7$^_<r_4dJ4JEFfXf(Sr4y z7dj!cQVL&@vW5*P{x!aWvWBREF*yD~>E^{9V^I8;xPYWV8a#SU1wqo#t+$~34;p=7 z{bPi*!4zZ;IFCU0-GccLOJ5)*yb`dbA0UZtvk}-*aH30xSQ-qn6r{nU*K`U<8k*o% zm_koatT%#q9ApHh$HAi5JRX7J@dSv+^+A?E_Z>erLU<gc!K2qy03;3dxC+b-zYUQ* z4l)PX<6u6hbN(0<@8I?fDEEO~#(~+OfprK#YQT|+q6W0ERm8WuMFkX`pk%`Vx;;x^ zA0KGH6NuQ!0-9O{*LdIp*Q4`(7F%c*n`2NGqvQWADj?UqxNQtR%Gm&9$A!;GDZmsI z+BZHkFnDx6GW@m^WZ;W?hTuT(21$Vwdw|v{L8O#TzW(><JPa}hq-iI^f&c%z1r$&1 z`)|*{&~2^wo%4F91x!}igGITRh4Wlz0cITzQZoTLMl3<L%>c&;(iZ4oh*Qi#k|5=v zQW`#NG!5jb&ZFI~;Cukm+sWAN$^uIMV2&dT>;O8@{yLD*E>Ob<Tz-KTCTuhM`X7FR zB*;OaVh+C15*#w1_<)2AIEfxH0Edhx$T=XzkdOgOae^HK4jGUp&=H0W-NMa}>N!t! zg7@-*MLk%WA2xIcvp~cHz}xdd4(sMP_>!~vAtR)+>pTeI!P@^|WuSZrcbo~x1>h6` zjy7<nRfjkZoV`GbA&vt}<rsba|C-sO^8v_NjG#gYq!&5Og89e+wnqi*V0RYKvVnu& zFM<v>tS=RAJpeti4_wQD?kQmaHG95aXk}61Z}|vringeL!Uo}1k6zK!dWd{puMZAH za6Shq^yoER1Coa3^8<#^d_G+dlFz~Jph5xX53&TB&wuNI-GE%c8Gxjr`P>HP25CK{ zd=3sHP}>x>fOG6_Q2|wRpiByG2f!!796L`s9{kDbc<>?8iH$ZKprdF&Cz`(Rv{7;H z&f$QZ3(C^`LjrP;j$^k72W)M_BhFu)A}Wr(fuN120?;!-S@^f<sC4S6@NbJzVF4YO zid4=(<4wG~9F)imUxDg`d!XaoT2GaVw0;A1(LhN89DO_>7O2??UhTla-x3F|&jaE4 z#<^RDvGd^N*PSvdPTe|8m)|!303G?lg3X<`K<;cl2s(s?<MIPe(4j0W{M(@QvX2T& zr;iE?uBn-Bv?OBy>OX*nj=@>WPZu0n{-87uNidLo3Sh~J`mm;&04U>AE3ruFfD<ez zfL@%_0o#w9Sbl4Rn*v~Ievk{;J$hN6X%mxJdccVVln23y1<NELbsCM`EGqolPJo+@ z(9nmcl1?8L0gwa0ffWQwC!kp;&Qm3V9y|UsFff2HXs&_dwH!Q5Ih!BWYo0jnq5|$N zf{y!xUhxR3s^NJZT#AD#I#2|HW^BN5)T<2+KwnS<f|P?waZo!8EO|i})L1+QDt|#5 zK_yg!W49QjgantIU}12{=?K2G22`X%icU}!4YwOyNq}lQk6zJaEkyM3gKg(;$@%gB zKgb0jO&-0b4qA*1FO`3Q+HR~SAhymA_%=G!{-Fo>JUyS@eHq{2eX{>ABs9TQAv{n$ zdPSdVfyQrhpyRjTEDRb4s8a)VX`sC~P@A0FnWY4K2Tr7$8FaA>_$U?uQ0fBh69+X* z!An}fO$l(v(nke!3Qj3M=uRzImiF!Z>Ui9h0ji4wbV?W~Z?~Q-1+Ahsy!1K;+JXE3 zB1Yrue{hQd)RzQz!@%2eOZg1HHCi~-u`)RD&p&4P-?Q^Y=a1$OjE0w5kHL=Y{MY%j zJ4c11J4S`4^9ARL&ikC-!TrUnoUgxM<UFT%Pw`*pC!fxv-!HXZfOi@Hzj&n%2`zAw znfHh$zAmF@=S$GVpXDz~K|u`eG8zb=b{S7=!@G?CU-W^@289B+OH{$aP$CH8fdazu zI2(w1%?awHcN_v87uNX><o55ELB4<oh`0LJ|E+gRWI?eBZm5Gh44}{{@i#mG9{YIh z50V5&0i<6F8U2KGOnE$#PkLmYyzHAK;L&-?<KQcMkApwe7%!stPz7Ea?gE7`v%m(% zgO9j8k}r8=UV`Yl=5g?yy~n{{YK)&+4)D94;@@@vJWUI30D^MIjc-WpHgKo*!8cIL z9jUpbq5;lm;7%<_IVhvSn@j0h@RAa;a1Ep#+y($;axe$dsdf1V>C{?$!``VSxNuv7 z$VCdE4lSt9&cVMeM1=>mFAWsL;P8hzi93)5vOYinJQoRyS5Pd2N6El(^jd>|J?Mx^ z0no_`ASsX$;8;5Ng4rYUfOyV9F^_{Ult6v6udw9;px|795u7Wa!3iq2K)tdTSJe=~ z2~rLUPEff8mIUR0$Z;CbJ^di<@Zbb<99cXuJI4!DK;_+0&~gM&pA*zH1u2G}O!?xY zI!d3@ObuMofO@1au7T1yI0K1lzyALpw3tu;G%?fp9@6LZ?fj9&@IpWX+|<X`=ZpcJ zErjTE-hUyY@%2Bbgu0`QHaWv{tQFGPtOFlx)}pfGJ5u5Um+}X`qb0uOs^HKv1C_BL z<)F}lCq8KY?`8%?EJ$l7W4AN7sSf6VTAt8k1qu+5*e+1*0G*!r|AI>$c~}}0e+?Ke z>VUck6n~&)QZGayE&|s(Amtzzf#MG=8377t&{f7QDj<!Zdgq5@w|Mg-c36uYEDWl5 zemHiAvp^&QSioI<cp`(l7TmJ{4S0ik7BOlN*Fwg+L9X?{aBTpRYeD*6q^p3#58Ukn zDTlchEV&9)hd?@BAdMi`9sqSO>|u@ti-H_`0NlL*i3hNNY7P#cUe*w0MuvT$4cCZW z*N))X6mXBxr}KLj8>p)YG9!x_!~%K!#WGcJN>Tv1{|822jR7gQfQ){zTp8?sa5D|0 z9Oizo<SSKBst4EdAdNd2e!x18?4aXd?GQajkhHP~i=%QGj;<rvQ!kZ%!E5UYsN?$< zu=V!aPJCrx*uMilETyIha>H@ZuvE?uND-It1A7riohBH(`3oJl1Lb+pd@H!xd2w9< zR3(B70uIn<2)LIGO7t(@DnrTu(0DYoTma4EUQ-6e2WZSy)cPeT|GTJw^1n~#cc0FG zKAjJ<7+wggfRsQ6oWSd=K+QZ*PaQOJAORV00`>7h15V;9pv?dO#eI3ufKwY}z^M&9 z;M95u)Dh@zQDOLrp6xk)Lb5&R=p>LyFE%KGqumdb?Lo>x(GFTl1eW{^a&qTUPznNR zL>n)tWk5tbNE$R?Pzs54P{H}(I|IZ1gj29l#dLY1qYqk?;9ki~Mhyi$$^aCHpqX?? z916?B<In({A0TUyz_F;V1c^mZs}U&{1(ZNh1dhe2UqP+U92Eud$RRWqJv(1yF}&~s zs{%zNBq@U85tK7LJD);g5tOSyMR%YQBo-y*&||Sg((zauxD*G^pjaSHi0$~#&cM*k zqoN3&B~XSWPsp)!$PsQ0ic<$@goC<cf(#4{FZRoWBRtBZ^EF7`0xS>dk%8s^D}pZ` z>wFD5aSGfi0~xW4f#Ki(ZbQXu`~UxEWa!pV{LA^ZQxjAhfMk^eS(GbSIInkBvUqgf z1?6zW0j}`G^PiuA0W{d8?7`9*q5_(}0=J5x>3oX{$e>-I(h^*2fRgwX1#mem0h&pd z0WW5UHZEGgin}pK+K@+#KuHp^Z5%Y40SfFEka;R9%%BSrz^6rmS^^B9Isjz1N9Su$ zovg46G*bvJUP1Go0U$joDheP?D$G8;tjA?Ry#&yV3~22F_z+3(kdz~MsuW%#^MJCf zKxh`DV?Y*jFnBzV$uTsG$uax|FR0{bK9T?m8HFE6ITU=3AZQ^5sQaa1_-!Z1h8KKt zpa|n{`T7^q0Rm|O<x&m9OArOV3ZR^I3_MrZqXN<onJfgw4wwfS#RV~83n;=kpz9>S z=OaO6AS)=s;43Izw)};b(MbKN#y21zf{!Tw?$f&rv{DD0l|XCOj!J`a(_vT~fbKbz zWn}Q^d<s?p?oWYYRZkMqp8~h?K%-As`coXB*$sx*!rjc)EGppc6nJg~)cXRZF8Bxs zv^NF5vw^SmK#3r9c+02rE37ZYqtbe^L>y#K>w!{!&>)?UibV4R#+PdmQ*_T?aDv<p z8_ETD9B}mTARR35NqX=e9;i71PD)VcfI4?2;Aukz#J$NX$6Zvw2ZTcIj{sef;iJOR zoui@vIyAcT75Fr2iO%Dk=R0duWJ<(1e}Rsp{(g<~qvFr+S2<rOo&X))3OUvnbapSi zclP{+j}$22VH0|4GWdFDzM$S&Xjb`)>mVP4duOZAdS|+zvZ%X%$}dnQJH6u<S#_)! zadTrk|1&c%D1+z68dFqQKs-=rg3|`LmjLd(m5OIxRApmeIQU9H^CJKDgBM>gUO4yy zG?fiHss=KV?eGUFCxIriJ^nzdI(U2Xs1zt8mH2pe?*}Oc<so=;l2aDeyW;Td?gwcF z%}x9OP0FxCCS@Rkps9%;ph<uS?BF>W$L{_&Kf&Sj;3rw(MD!dYbSMr|*t2+cw{swS z*vPYczW~U?-~nY&@V&Su3HGkBXZL=PQpk8RSVl$$I-cy=-4D{#CD0)VV>+;OfLimg zYO5V24=!jgzHEM258A;~-^ruW>7oL1LIt`L8c>}OjBo--DUuUrN+X;A(gbw^m<e`* zXZLgv$FsYA1F|J1p56O*`~fed0DI-51lW_Np55Ca%J+km8(!K8mNf>Y@y<h@-R&Sf zU0fX;Fs2tvhX=eA@$80p(}4v(FxC$;t=p4@f7?mW0(FjqB?8EUYb+|S6`;C2yCG^B zv>A`PsDNg37(k}5fX_+<*H@sj2PEX8qTt!x4{{0IU=@yoC1|5|0<WdJSyZ43!Dfnp z`o$0f1U$RjUm%AED4~A<1$r-J90Ba@JL2F#0j<~oDF!8UP)`mlryvDO=mMVI{UEK- zpa(O-K@TgyJ-fGq1i{&`p^k+C++m00N8WC}gAbV-AO2tmt>pnFh!B)%+wGtn1YdT- z#>{7UsS{Lwaezh%JiFT!{-R}8jlYP@3U;Ri!Ve(DAU}XID_AZ@66Oa`W(8@5`T@*D z^FupGumLoz!~?G!KD_4N`Hz8tL7AiZCucdQN9O}bp$sp2JiFV$2>_NNK$kZ|(}D<Q zlySV4hG}5XW&suSsH3dyJAR>g_rNbS?`n#{qYR`N#k;u@$le8Mg?bmvB+9$o{M#6s ze{kUNDmY1!;#IH)>|XtV?$saYUbPd2dljS@#j8!?$X*3$g?bgt1bfvNG(o>ar2(|Z z?Krfj;Mv^{N~z#-s`+6(XwD11hE7*ZgptAUwnyi4pWYM|j(wb<nO6`2ZsIwD+isvX z4TEpzgDj@d7x`kK20p0i?xF&&)IGbwsoAGDM@0d=XbG$l)SfgE1Gi71ZA0+VC5Z8$ zR0>vw)F=dX44524U+^QD4{rN{#)!FHR9H%QcK>H&U;t;g*X*FJf6Oc@svsfI{+Z4g z6&cU&_6YQhlK{;)pbj0#+86hQ;lT@13<_RQe-13CECvf+3D53+kXC5$f|=mpMaul3 zjMH4l!r;?cqEb<UWBt^DW;;gy=?6Ml!0V?#jWcko9%LN@xH$w~M1?-o{lyWM7kg7w zKnGeNZdO+XWkPVd39`FH%>z8P4H^da0BuhK^Ij_=+Hs&((d%r(|IKz>4*ZjjgLYAZ zZc+f50**e=mEOuVDgqAQjx(0>w|)a(=>~3y`F1{qkGR*UD0G*os3`sfZAAj#$skiA z!g-MMpW-z|(8Uolisw2@R3tz*sDn(_02Rq#@A;@mz!!;WAX<Am$6Zu3K#qnKEZsgT z8r?1`I;|&5gb~fq2v9S$4YU~XxQmJf$Vkub>7bIc+o(H;rTH0q^EXD&EeqGbUvK?a z!qy$4V)I(E^%6)i$mo)H$6ZuxKrC>w1i8xtWJu?=@7I(|R4hPuZGoDhAu1lP1zRtb z2!py6KF3{DJV1JmGl9tN5*5%|UmwTLpN^a#OTgW>0FWV`-P1u;KmRtZ=C4fr+oD)H zZ+*YidbLEnJ4YqtwU$q>i;4;4-Zg{f=Zvo_TW=qCQ3(JUS#tNdi%JNH1->y1<SYk} ziJh;$Us4WH3HWx15#lO`*MhBYA+B;c?xNxV(gJl=j*3Hfh>D9M=r$Jv@LpJx5=oGq z2AuymU%4orQUvXfHBtQ3dEK$oqPs-Jr1KNlbOVs-oM4NgH`{~nNW9wljuRAt5uMjL zK}jU0M2z!aXNgM0_luk-6n}ib%K1w1LZ^#LjAwVd#&5L3+Tb^&u#PZ<PCwTOfU}sb zXZL=PVo(-~fE~kkKnRw_B0!^jjGo=oLHfZX%ixLUelQogjkO(?(eUIk2GI3vkURzo zP_*n-!Vb!K9Itsmvqqpo5fqfr$rJFFHPGnCA<k1JXqihNYv$4dc}ND4!+g3+R4P0> zpS&&u<uXp>Tn3f`IS3=Gft-}0qVSrh^;-#Oa37rGV7VUL>#0#uQG5x`Za+YI*t7FV zi8#n+3C@F@?-j2rf<+b2cmC*P^XzU<K#!FSXsmz=K9F}`JmZ7M3P>^7C|Im$3BqDU z0v0PE{YbF_=7J_r=+mC>W>M+#QDHgwfLRsPhH8M_81n5VWTP_&Xj>kSBcvDR+ue`W z3j>`h0NzIkX{S3P?KYQDL2PnnY5plzr-pU2xs3{9uQ_OsGs`|u-%Hs<rPD+OxhDoL ziNSRexcRpqG>=m%p7{^l9eXdJ`Hz45xr;9ue;$0vX80d8Gu>IEBEope@T4Q>5B@0! z`CShEKiSEm(&+>4Fckbl%3GkGNyR_d+9gm5dZEM%jzd$=?)@O89^gS$uuKL&XprNG zBcyE%(gqsj0Cz+B!8}Bc0uML%bgu!o5kU2{4mV^ScnKG%b_4m~HAgo?^8v0-NGlzj zn>@SQLFROOuyFgRut4S#!Rxv~dO+nDA`o61b~Es=X94wsz>76Ovd1AY0qX)Be8J|C zd7ylj9s^|d3K0Y=kb?l!ZQFnv1oAxKAOHmpNGVbfr1C-gOrZI{=^$<3^y}H(4`zb` z0MQ--3Gr_;;NKPiT2jdYYIlS3DL6ViuYbR8c(V0hsXVwc0gq#p@PlUHKp6=<v;3N+ z+Xr-a3&dBQr@)1)0H|<f_2_)~TA=l0i4e33_vrOeVL8qS(%NjJ!cZdC?W4ldda{%c zWFI(aUp_@{tnqK-;NRu|T2KO-JOsBE!0jN<?(HB)gC=Msc7f)2z}p@`^D$?5!0jvv z`Q{WA8F^4UOJN_#;9VdB+Rg&)LjX79Kub57J$iNJxfmHjUo7N7wC2E-HfRV5(w72X zCk*M|*>D`>Z#l&QIzIulcmjk$3n(<2YgAYm_**W4WO_x<a)Nfo)u?cQDk2TA3&7n> zM=<%qj01EYb~^)d{DQh?9H97xE~p1N_=PPOIEF#pGmv6XK?U#HH1fa-Dp1!3q!rpi z12e%bG)VI9eE%Yp<Lm!!50*|IP>%!L@oYU=!jr~db|8(v-bE$hwPrU*^FgjoAC&~d zOU)Kv`KKQOv5&i`q<~UvXNXG1aTk>w5Zf1$_&s{}sDOr?L2;0<4^(UK1QCwVvAbI@ zf;hka_vvM2=VD~Ql)mrLdFaIjZm^24;IP`G0@`_qsq)Z^mE7PVE6?us6UZ%EP^!Q1 z7u>xES?tmI>IFY1IJ7{cHXx;jm%vT}O{j!I%m6PS><8(Bt{;T39a*|vL174%aOCKA z<?-x>%J9Ilv}boaNE((R;o}+;klh5zqccFQY{bw?H3!&DAlHJFLh>j$ZVz%n^QdQc zKS&ca_P|VV?7=eyXawgU<T~gQF%NL217#r((B2F%@3jDEa{@bLF8~K<EeOon(Co+o z%8mliT-eP6zH;}qOzX*#&&bB{sDMoaHxWCpah@x&133X)4)AX)02K}jpxf*~sQ_HU zwSI%FvgU_65Y%%#@Wb#Mcz1h=ib(6p5|-9WB@S?D18{CGQ2}pAZ@pBa2Fjospthy} zD5FS#(<?XyLDJC+Ue>SwUyHV$1l_>uq9X8`)uY=5v^CR3MZ>eZeFl0(zW`d%g9gOG zVf>d39QvUA15ymiKcKO2u$&_&EdOYDcK3s{LSq2TgvJ1*P=oe>p#JC%U;!;w;Mobv z{NR9i&DPDp%xHKLR9e6*Fbm||4N4gfpxli}8Ho_Lfl>xYDN@Q<&Vfi7AWcwrftlFd zH64`ayNg)Bi@LZAS@waPR?6AU#LURQ?SSDoP@Mu@Ef0=P&+hFY(?FS50JQ+^W(OCb z0-yp^1YCe}fQv7@1?W8%Pyw32jwnDu6)||dC@4r=R6y4j@<0ku8O%Wuh1X);EGpVA zDxfM-1(duXx<KP*7trJQ1~iUA+eN|gD9H+sV~}D{9D}xtg5_e_VQ~x^PXlR%h9#H@ z4olD8?P#;dFPNb12l}+{Fz1f<gZ3|j^TLZcOrXN=uxIanw3*}0te`o^{U{U1_g>6p z1w{dP%8}_b+QjiQpU$6I3@>hhl|Tk9plM+`Y~C2UQ2{ie3z~kp&kCAxeEwoNBgTv) zuOoEE@g*Z@sa1FT1LV{W%5N_~sl696)Ce;0MIkddp1eJ~_k$FJ;t4d=2$tIlaujIx zxE-Vo=j1V17HRI-xATi<?{>7=V@*a-^c^E_xE*#_982?0=y1CZ(+*H#2A@y{IxkKK zaqueWxH!bhSLkp%INm@_$%hQEr~^+JgWIRz>Ea*E;HX2HExz~S5i>mM(yxP}E(bd2 z|JbMVxliXepU$7Y;0a?%7LY>J2n0<SgT}0&5eS(we*Qv^1r&kbUp)K&<$qT*Xx^Wz zc{T$BLy7ybW>DqL_|lmfd|MBPwu=g=Y}Y|#K4^=kRqy-%|DeVie{1-+|NlYduLh{W z!|~Gk`~Uwtz|+e<Dgxm7Wd&sy70|hrDxTf#6918!@1VAg!hcBD7?f|pv&V^y;Pl|< z*}Wg67?d7B`4%j<lo>hSg0$kARqh8#B2OwqTi2f5?VvGF=s2G}Xs;}!&IVO!pt6Ah zw4)I;0*Nv;3~y%&fI`BvyB$;+Kn-CBotNeVzQ_iAtPLnxfJ_0^*ia>4d!W}$f-Hiy zRzay6)bEx+o@M_2LXHVs*h+wAjAg*n%;1KnXZLoHuRznn8i*-oP>y`d2-cth(x3y@ z038cz2ag3oyaIKnMW>634agDTksi<Pc2JoIbthB_C?b78yG#^3dSwN{5mcj+un#ns zybDBtw}K$AAL?cO{EvYli_HhL3(7G#i_H-_ZS5EWowp9lVgd>M-wx^}XE6q6F?;l` zQ32g!3=$3i?Nr$g8rFb_gZdMpFVq>o{`Y7+0#XFo?5GOr1a!8jbTBY59B)wpoqq?4 z4v$`lkVmg>3Al9OJqdMl04Vi1fEp48j^OO-2yV$_F=jDkF=jCYWHDwbguYn+`^$fi z<|7W>E-EhEE-E41E-EIaprexvK!+#=IQ~E2q76DErFRarX&a)FVE7Hxt+>kY^}lE1 z@dcnY6Co-N-99P-niqXK|9f=ad!fnj^}pc(kH#aQJ_XX!3-BgXr1|jXHyIwCAu1N& z6LR)}YHDav@&CoPKVSZXVhWrt!BfiE?rn4cPbEWUw{ui@zF&3eMw}q=|3%@SFaI4| z-<8ON8u~seppg{tbWrQb5`B0}Kj5`@w?MZCOY<kj&J*7+v>xJbnaBjbsu^sz;enSR zF8KV<pYpu{2bzC4bcUz|fQIQnG0=RIq0>ht;<$@S42TPAz6HEk@#o9`H2!NYDv<UY zXeq=~P)K(kf6@Er%YX2NKN6q#q4)DBfOdQ{FfzbbbAyilS-=45FCm>Z>GJo>|JDQG zqkm?A<w{gQg##$dz3BM=1$x_`0{A2skY;fA)}y-{%!VKR^Z!K**dYhufeUV~HCM7Q zl(2&bDPD_p8@7G}GfTJ)PwoJ9B@8cp{|8$?3d(~VpqzL3H^?W@LvSvjyZ;8*jgZO? z?8cTqaQA~0gOVqxvIEPV_y==8<PaQ?W|;fIY$W&3`Ss;L=)@lvu=N7GS57c6z=INW zqy+~fQc!}9(-2^U1SM!L8*JUr-(aVhcy#XuDF!(O)Q1Gi+5Q6=587De(cKNw3GNrc z*x<u7Kq2bU%>XJYK=xH2+h^s`y}JS3zD}@x{4HmhL1)$M25CUC^W<Nc_aUd<fDC|n zAH;q+k(q(P@IdQH{;8nTr@-kQbSwkpp3;&4P+WpbXY_;wIxqz6T4<{S?8D!`z`oD) z=-v%WNbrcT2f4%?o(m0rf&v3eTH$X2Rh{5O@^TrdXzB%BISe|#2xJ}1?O-<2$cjgI zGsy4obdEK!V!+PhZ#e=Q+V92;tT}%O1Xc&=?p%~4`~nnw$Vs@nIRQPrW<b*`Jds@a z2@WPu0s<*Ui7*K?H-I$5JPl@p(#dWR>&4t3pj;BiT6+|fOa)z3Fp>-?w}WCCR93@n z4@1}v3NjSiXZ^+>0-Z>?CGR^Z29LiG13N>2ciRz=GoS?z$SI&C0FMxmQ$Uf1;*`@r z-~j<rjN%l2G^c<x!vX@#c4YC`3n~{G7(Up8PHX~M3CeRQR)Vq_ij@%vD?y4;tOVtM z_}X)i?#Up{Fe|}q(C*V5a4>_)85D~^WeJK!@4v(S22zY-k?}9oU<PT1Sp;S~viS5a z{_r2ZFXs6RhHs$A7GUt`ZU#BU5Oi`G^gIGkM-Du(4bQA)AR$n759$>Pv>qto1&tcE zo-Ac;e!%$J3z`X^zgYeC%YTpVZcq~W#4o^Tcqxrvj{!6s6#{Ocf_sOc2~W-o5d9_m zoF~3t<h<B=qEzVR0np((ph4T#6Z|ck!8vue1_L9*zJ;LWi~(Q2{P*lU2Di!qY!$d< zbMWYH2E`z>pwsZ^ZU%W8%1!X-T^*3izyLZ10n}Q`05yLMK(o9a9=*K2pv9}8@fh&N z8Ss${jyph$6G80(2A^&p6^ASZ$DpjDECt74Fd3RvlmT*)V^~&MRsiV8gwk(cKrJBf zOm%nj2J|v^2e^zCt^W!h?gH8MLirmwE*(9(cY~CB^qMAuWTD#{Q-6H<58k)!16s`u zIyuOrdosuraFjMbVD#wj26K@zkKq?c=DGO=9Id<)529rr2V`e~GLHw?Sx84M^nC?8 z3RH@K6r&`tOW#ow7)UeNH6GpFU^Xa$t)5{B>Lr*?{Q_Dn0^WcR>Pj601y64dXgJlh z=?eqHYb9{wYQlsGuzDK0?AE9AJ!rUZ8c2Qj?gfSn3@^CA-VsD@ow$ESYHopxbx@lN zvRs9&n}hKr_|S96KzQf-7bif<Vd(?x)=&Hb9H02(I6v{n90aFO7ZnLe8ilvI_}i8< zg2E4Wh8!p{gRi+W@PIn3@G~f!1zl7;;3;z5Cy<bUi;Bl5{zw-UgHQYsF5p8684M4k z@$0ePJHWsInn8zj*1&mzAABPTH2H!~5(HZ!;nCd;3RJiN+}7V<D^P5;01FBDfNk{w z+X^|~j^h)*0PC*(pkoR3z||tCb;JP9$>15-ZWk2?(A@<tDjLUKRKR`5*W$34fzY7* z5AM@|rnJE|xJF5m2Wk@c>HJUva^DU{_*Ooj&QC8KKZ6q!sNDie;n4Xx&{;3-U%&hZ z&&_$@O4J_RlR=RWvYi7|AVZpcRnUb={H;g-{{P?2^#6e2$(OtS{ztUEJ-VAgt^oIv zzF!3S?a=p2il;!Mr(Cef(%0DTp$E+sfW61T-vU~P0XmQgUhrK1fLibz{{SlEzy*&0 zTG1lm(aReRI%5Nr$&m{b(4akffr3_u7<>YEZvs4eO+z6ECxJE-f$~oqC<R0EPl}3y zPp@g<X9kAXvN-ck7)U)dnV$LpN|Px0haKd8P;h{PmT>;*04XOu|A2aZ@boKznSTty z4nxi+f}rF1n%{7M_c<DVGrZ)u1GJtS5^S9xAw{u+V@Ouf3zm=IumYXthc}_z`3FxZ z7yp6Q@bgN3VqgGUfE+uZ*fKl-vcTfSN{DwGkOx3OZNCn1UsZG!=y)&a>VUWp;3N(z z#6ikEdQE#kvd}_&!6#@TUjCke0hZoDrhto0NFfg9LQhUYIS31q{gC#{1bB3csCagM z^Xc6ez{Ci-odVQVvw8<A_7D5^E<1n_e*QxF-IxE}0W9EdG<Pryc$oxvVxW|}+t~1u zwE_Q}LxyiVUo?MU+zqaA50#cc%d!72p1lPn_@kb^`+mU8d~xaR7tj<G*bGN*Ux>-z zB|4>ij@`ZthTp6?z?)G|cAjtk&S-d`^<ODV=ZVf!ofkP@b{^w=S0cjsvGe`+>zwZu zzkk2Pc|q~V_Y0keTW|8Wfa+Y&-hCY)yFm5oi!_M+aQB3P`NyIB=P%sA{39stGkOaS z2hZMhu&Umpm)Gh8mdHk_+d04+ShExyL$b=V6dc2|$|1Qj6jrs*0)=nm5pdPMPfrka zck5eF$<W;<!GzR_v-0fTr@#d2#EI6uMO64k?;vT#vwI&%xks;Q0!S8`R!TlV(~9?7 zXj<{?o(3`nmR3Bw`@mdiTH!z+sDP#y$8g6mN9grF3Z9+Uz=IXbW-!AO%<~tVZ$JeC zxL#vX@#$U7!2*|j|KiPSh-4bS9*au389c<VK*c}t3$mzq^zL?mX?n2>BEzBrZs@h$ zfVA2!fNTWE<*e7Bw1kMeuGjFwQvz!I`xm7MK>-xgV-OM?KE0D+Q44Co3HbD;s7QcX zaS9&2ya(Umi)r7^kMP!82x=QH92C)xL0M%lHoQSJ;`Z62#WeGKkh7Z;n2}@JqkDG- zGdQN_yhg;d#~VmYdvxyxDfj3#tpmwIW4h}dG^R6OLu1;bdosurSWJ6#cZ0dmm{x$t z^x+8;CIoc*mH2eKWppBLc)kw1e;hK`<=V|-(f9~_(DX%@&Qq6PflhnquCZY0{Bik( z3+MUfM~s~!Dwp4bDo4<ecbg07;(+Ijo%=v-0*~H04UfiSp!IB?oew}KGQQaP{LB9c zklmmp=5f6302ip*05$XWEnsC}@Mt`?f(vxoBI^vu4MCvn^|Iypm;X@p1)$MvaH`ms z0Z|{p4OX84Q4hMt;AIF@Jz~5qz_s(3W9M1V&hH+bzkPa_7xXeQH1BWdWny3`Q2-rE z*u%sCF5O%-U%D`U^y&QP()sFz4!C4E?$Y_yMf2_dqduL_T|kRSe|5fmvGLWH|II%b zOC3NPjG@AgoiASO1VwxA?h8Fk3?7Y#6BaQsK+JOK{OH+v!=w2KQeJy`=NY)(K@x(6 z49K_0`y0{Suh9o`zeOL+{T;p7+@JFXoLjKD|Km&S?*9qOBE7po=6N(8wpfhU{W4^` z{{h(jAK>nH=)>mzHIUqn&HaWr+;0hSKgc|f#=|d`;B|k@Q*y)qM>+#T^L~yD(DVr? z{Y0cQU`ao3Uf~S?!WZE1FOl=;-3`)gc+%DIBqVi#lF_A?;9Q^Gu=|G?3j<>*=ycZ# zF&2gwFJFH7kCx=zUVZuR)4LdCimTxP<V3pW1*i-<d|)Xk6rSTrh`~>=1_bW>!;uN{ zzeFa?{}~xr{r?iT|DPlL57Lb8{};IY{{qwhFTwr?nS$>B=V1RYSVqwQk4f~uL>9>Z z8d)&^S7c)K{|nsye}?csNHe<spX2iXb4>rg0Q(<g3cCM6`LpqG!*YWDe?+4HUu1*) z|05gbe~)ae{(p|!|4$MA2Wdw4|1+@v!_bm?^mDLRK^oA!dJvSA8xISBGd#Yep79W? zSHT4?N_s!;*m=gI^Rq|i-_8>rouJ0e8jBArKq2|w1+-r2DaeKVEoZ=$(Ov;%7KRsZ zK*89%*F%+s;l%?8`vZu51;T!z&cg8G1cW_7gN5P69thh)lZD~M1_=8Bh`j{DKA^+G z@L~ppEuhE3@S+F8-T`7aJo@q<w56eUZ34tm9-a4GIv=@o{(P|&6c(L_J-R`St34VV zD?yI_=F|D>1^2Trpo1R}N@qO+34HhHya$qg1Jc-d6l9<WXzT=PAX=>z_7qYZPnh5s z798Nw`Pp;d9MJjR44_5}==Ac``(HrkzBe#}1i-bv@PjY^TMqEItOOkt)?3GD`0a%Q zNKtq5573BpHv<y`Ll+B2N3#bPs41}f0{E=M%?4Zy3@@%f{_@|mw~op1+Y26uo*PUI z4Bg!iV0tnjdN@Ec485BJKzbH~^fVu1Hv9&1&((Y2)|LTSn*~f;2Sl3#NL%CK0uZnB z9>lA0FO%Q}LL5?+3~CPtdo;cQwTD3d?)>Mme=*$aFLLjF`S07iPvATgXcW`~G@0HV zzzp%3i;4$m7!m9Qn9EyKAnn^J;0Z>^e*PCL-hcVu;iBRR9;=0lO?mU>e+Ojd6EwUE zHdq2`aEr=Ba0(1j34p3j1F3E{;0A}}28j10K)lX-FS<Y}sPPEM1JRCgj`2vIn=oMl zHvfSR4hFT+48OhTy-S+^(0p<3H9lWp35vPzz#7o~*9J1D`3A^Gy}Lp48y<~^4}f@` z_g<_5<!;>mgQZu{>OzR8K<Q)a9rW~?d>4{lK~v72kn}nMq^P?&ffJPWGB_C+8f-Y2 zOT|5#k1;_~S^k|b|Bp2{fCjo>7kKv8F&ln+5e?C_0~})qn2|KGKr~swH8H)m_Ux@= zHT?EM4Wj7+3&^G$EDQ|IHXIBk9MGhB*t7W<8$|Qp+b|m$J$iR5fKJqJJe<J;S{Mya z$TJ_nGAk&4VgBC=PqbkF-^TF&9f<$0Bl7D6kfQEpM5=DE;b1CJLrK(NAz=@UP_jaV zlIQI&|Bp9o@G>yef|Kp;6A)($@G>y$0u5_<ATr_F`{0}p3P>R=wlkMnqub70s^Qsu z3>vogZh^BsA{@I}IR2mL1Y7>P6&9S}f*(o*gUb8;2jPM9Vm8DGR8N=6faV(6K(Vuc z4H~7K$C@wjGB7Z|W`{7CJbHH*fcqzhckqHEB>E-aeWa)W+X%7mIKn<{EcP*%>Z5y; z3G9RfuoE(noKV3BbpnV1a>5FT6B78KPUyae;skj4eID)vP<mK;6Fofy--4tE&@v%t zerNzG>Tb>emuLk{;Ba6l)$-_WJ^(J#PcVXon2@uG&rMK*Rp4h}V0vu~%_0^Mb5Jxf zml`3WQ5>#`8Przh1a%S*bMS+G1dYU(oA1IZb>!k2Q9s>9@!!!K=>99d3G!b_In-}U zKnl8>Iaon)EWirQfr%dI-YxNidZHMjp#rR-ffed=q_h|WQGhTJnify+GcdeXfO-Rz zwV{QH(hX2H0m*_!Z9RH-GeBZ;13zXqX}bf9RCs*8M)B{}>*)S%z5((tf6GQh{@wsm z)ZOd=_JRk@&!EhV<}0MkTyq`d$^rof28D&}3?99^Eg-Ip5WsZhgWK@T><Y08#axt} zs{&Dga0@iFKsLRe0ShfyebxL%0bCjX_uTgz#ou?Yq5Hf0I>g`YpmS~@<@yegqVDD& z;LHR%pBz-Kmw^0j06HwC+kzb&o6M!+9_T58zr_<`4wvD#7X=V=JUBpUCV&H?iN94A zJe7}@l=xdg$J2TC)^QttdtrX<%m4kLrGStjWYF*__(Tv_!vl~jWnUb<{^dVtmkB6p zHt+~DFmz9l5N2Qit?C91k9h0==?3Y8Ez5WTQrZ216*Ms7!@-DBe7JOraCmk;1})YR z0FQV$?nq={U;qz`XQes@WhFZXzX%2;SI`*`prszo5`y5S!~;;|_HJenWMBZV|9!!G z>&t)7=3_jN+9>}jxVY5-SC9rE5>nh+Ky)iWGOhwhH?*98aR!w4n~x|!nj+9fo;t)F z0dRy!fbOR3X5sMY#OaFmoA8A7<_ai1f-D4u2&hg3S=zf9Zt06Ch+<GRyZ;K<y{Hin zF0G)|>%1$V(kem_R9cC8HXq}F__G}@3@VRafVOxv9(DkE5?pgZJo8fV=9m8yAmuyg zxK&7F<ApcGPPCN851z0Cr5jMY<TbBHck>Ab5Er}#4&r+TA#faUfWo8k@C#5Vg66V3 zI`2ilY`p<303i19F)}bf?Yn&$>_<d;05`H%K+LLuIDG<$2QnrPW(>G)0ud1NK=bra z^SaT^^MJT60F)eicfSBt>5Ydigu(N*AosZ%9soOW(^ZfY;pLMA+=-z2#uQ{~?{1|2 z!sE-Jd|HwQEssS&3c8!og3SZbs`z{f?9T<@Py+=b)LlOy?lORc+5=%|%0+5pc!G)= z@TgqeVQ75G!yWfxHpE;Da9VU=V_@iV;b8A*ULXRFj17<g>;UmV0jPT&<VjG#K{2F1 z83O7ufSl&p`Q5YgpT}->xCNlqgyxq(>32Vp@1I@*`JTV!3n(~yt3kabA&{c(c2I5W z*xe7SZuwgtLX?1-NbfI#O9@aF<JjE~tF`!B*MXEYA7+89T|9a5%YVo2_8V*r44%yG z7eE2i>7tSWnj0+u&0Bfy0Zo#D3KO5s6qSnOE#S>?AQ@1HM8dOoo5U4Rck=s-^%ucY zB;7eG381O{2#^ur<yjz&0-*AR13bO+BJI+b|NPsT(;Pe7Jw!p*D(^o5vc2)RfhYsR zE|7JgyIc-j{qn!_{fn8P5N|vJ3NFx4b(~{->|u}Q!%Ps@bAw#ZzrF46c@_rz=6Rr+ z@iGyV0O9G+2p$8V^tbf_dOeYR5fTHDkn$ZA0~0`sx|<h(+dC^@oyZTO;FOR6>95`p zWng##D!-u3f*6RN8{mrM0Xw88*eoCh))N5=``*nzKzcr30i}UD7Q=5ZG$48e!1ag( z2UL#%M9&0>9tknfd0Y@ZprY){d2sU20NYalvnK$e2b6t1dN*5$fiop2CS45=yif!s zsNOm@!*4IPL3A$w>s|rVT>#My+VbtuyEy_?_hV36Yd*#fDG^H{2Fw6=SQfBAojd_z zKm??HUjgEQ3rLP=$GDeFpn?#V?Vz~@dA!@B@eSDK&d;8m|2@Hz36LTP6kn_m`w;D4 zaH(b?4yx`}UtkA??rH@P4>X4H?!~mr;J^h%;^}kXfSLi$&!EOTSZ4%CZSP_ZP<HO! z>;U3*KKJM}QF##!(Fz)Sm~akM8&rrhFn}7?31C|`Cy0ZZp*1Q3pd$u2Ug$uSgK}dc zSosX7@);224N&C<Amth_{zBpa6zfJ1hb4f6Fas8ZJ3#7tcTWHXVejSzAYNyUiULTt z#Ebn9-Qf5?3v$~HsAUcie;j}+4*)6mcrgK@92DV4!ODL?m1{uU_5i9p0i-<QMIuBw zyWzJN)4<9lBp^Qj07-%j5)hv|fRtOjFoG!OH2n4={VX_)K}A(}H?*W;<Zoq!WF=5j z$rYjkRQPmvgGwSt{_WHMgR-=>2uDdWh{t$=g(1yags~(a#AAl?_**~|ryvnlm`E#h zNJ-T2+l#knK*0b?2TahVKeIvUw6{*o@Y{<EU`bF^Gri97?5*Q5{PtoGSPZ0r8KU8J zq-Sp(zu~tRi_m3W1VD@uc&+H!TPI}r?L|FY3CuQKh!SDLZ!eO-k|5ideLA0ebepKW z;D^Xc8-9D?at1Akx>z`DSW7r;xcFNk)7Nz}hTmSuLX@F~6FbD`93}RkaNvaS7)!iC zJZ>njw8FEuPQ~!si`%DRAp-T_i_Qz+%%BP$ZICnk_F@NI3Dg^}%{+VS6b-+<n1?R& z!Uv*R$?)5YMzAD`2lXJbT87_VB%j9gAP<WT8-ME}NRLC?@Y@SJh$2+)@j|@7QDO)3 z5I=;+SmFiZ3Bq_zAf7OkR~qEmTW4<g?ZvxOAkTuF#Pr(8v$syy@Y{=9U@_zvmiO$f zGkneB*;{8~`0d3muslc)Jf70egG0#1@Y{<yr_j6s>KpL4LVHcNhTmRPK~$jnK@{RL zs2{{3JjRkpkgFwOyg(378p<n8^z5zkH2n5L3+^7K*N&dOb@qneUWh^LXaLs;9k3b! z<R@sN1$oIC>?JqDZ!eyogarfKOH<B)z2s;3?ZwHHm|kLsM~lDVw-?JHDp0*73vnpa zOY#sNG+Gp4JaDuqLwVq6i8lQ9A{_1>NVEhRetY2z76W+!mgqo!2nG8g!tmP*Ww1O- zv|Km~_Ctc<w->As7a~fSE*1_RMjKAJHxdoMy?Af}>`_#2s6reE^@cix2la*~j0g6H zHk1eUMy}zv7ZXmvf)(P8WW#SSYQSP3Z$PuxYmhh6!QRL+{PrRSERW(1PKY;(K<OXi zLWDOOY&h7kb~9C3K+UGQTElNIII(DA;%_|)&Q{w&ZL{uXP?74v+zj%%M`sIoE49b* z7L^SQ4B%7ZJ;3XrKutW5EU4q>+1svvfrSCY1udHO0Et1AcDAU1x*`xQptb`@4Y*s< z8={f{S}`PX^2>i4#!`2T-f^i3=tg5uKd>9r8w3qvmV(O8I#B!{2L&dmGGzvxA|v1d z+Pm`N?P*XH?`s54B)pJ64vs*S;9)MU2Q3!_cW-w<hFO?Pb3A+ZH9_>eK8DTQsStCU zA!?3;)qrYdu-yhAyER@ELe#WC)GP+80ad?@AonSN)JVMWg{WzVsHs1O#eMv(pz8=R z!h*jQ<Ur3}3L?mm+z2W^4vHZD7SL8dlo2dYt^t?HOYtNgP)`k%ctFRnfa4z}@qkx$ z^tKyA-DUt9>_AC87GM!j8y~E>vju!wk4Ntm@YDw={oFVPYHF9Lc=qlC-F*id|2_(e zL{Mu696<q~!1s7@;UqY5^gsfi=_qF4GnRr3>4hkGcm!k!sEq?QBmrbd#EWi-A)v*C zhTmT7J%VWn8-FV(ILOOHrqFO8DHB0c1t=`e90iAkx@Y4t(4+^XbuA9|38?u6_K5?? zCl)Ubg35u$BcMhysGk%In#_qk3^j{9*XxqwdXTe4!OrGyiTwQ^G*ed$YX2Mt2Q6Zz z4peiNW_b42m4Mnmhe45pp8ek)2gPt*DX9DhD?rbqXCVs848Of#0xJMzPzIz7y5{(o z|1U590=uHz@Y{<!hrq7*0IoTIK*r>l_**wZR8)fM|3lbZk^(ZP`xo>STX^>bY5k-} z;~Vf`2dIDY*K^M;r2gdd7pV|y5hYg_3x`MV>H`uC3?0oN(x-R#3?@)-W%CLN1_qzb z|Dbiu?~j4JU!wx*Y$&6fz`u=!!=w2JCx81Da95M};SmM~k7Slc55^P!A9(iGsDOGA zZw`X|>zvW;T>uJi{?^H$wtaWA2Dn>czycnWWaMwHfe53Fxij&%rm{0Ics3tl1*N;^ zFXkWo^8c7C1LI53hyut4P~*PqAjpwhvD7o52~OBaUXbbnQp32YM0obLnuF7pi%J4= z-2xhS02e2avcW~A05rzH0a~Mc=n%NjYP|&NZD5SMw(bTEAT%H0g1GeS0kBJ%U(N)n z?$l8Mxz-dE{|7*>T}!fS?MZVjXp?~;)WM1%Cty053nGjd9BsDYVCQfB4B9T=TccuT z`0a)M0Z`<jPB1d@w{8H9x^^SQ>3pajP?Pufevlq#gKlpNP=uG1bT@-WsXUmQK|@xc zx*Aj(gUWAEkpvzT-2obwgG3FaZtv~}5127`gT`DuI(xv|b3s}_GqPYUoh>S$31d*? zR6v@XFE$+nl~nvKd60;(fJ8(N*f}gJ#~3(Y@<N@-XZY<!2w1!s<dyDjSiQ~PdKz@e zMDr2;m!Qnx*?c7QWj{!yw?@Ul@Y@Ud{h-JrJ*nA}7I`ni4?vPyI;fY88FK-kwpa5J zLx>N%_JNZc!%IDoYG_ge^*sysfm};^QnMz_wV<T7Y(K=o+dxzMm=2!L3~DUasDL`X zxA%h52WpyPE&=TaK@15u)Nrsf^S4%kv^5_Qhxl_5SR*JVS;40QfO3?R;kOrEdqLhL zJyIM=^X7{~`yhV21S;(?{kR*HPMVK6Lmc~c4>(eoUd{vw_tvO@Iyw*bfW3~M=0NQk z&*mfkuhl_bayR_;Vmo$)GeP4O%||>SR!o9e0WGUN8jpZVe?-Om(g<8G)~E;=etVI& zhrBSdBr%NU?S+KVI#3%6GmNG~gi(qd2L4viIJ0N-5kZK*cI^fS9ox$|kav4)R00gY zy;!>Y%YU>c1pd<9l?0c2_JUInsF1(A2jYRZpb=_J4_pH^OPh}bLR=~UaVhJ|Eg<3E z8Wm7?`S&jJg4&q`2ZLN{40b8jPL5~u5if`f^LBy5lJT{uXY&zTh*;DvP$~rFBMxXa z&jBh6(bLaiP-2t>_5Z=@n^9UU%%xT!X(_{RFSsGnpfbF>`v9at!wl{HH6M|N*!gTH z%uc4)T_BzEhTmRX1PdZnBdejos9^Z*#TJMpyc&r^t43h453UVBmNP&q5EqpQwA#Z* zB>^-K02+w~?`VS8=%9kDb~mWfC<*s$KB5S5sx{bRQ1CFm1Z}SIY(63jkx_)pFuy$X z@Be?#<|A4!6F?2j<|9@RCI5E7{K@`uK1fM#jf%42w-?XA;>{p;A_A$D)3f=A%FA0I zb<IcAUe5%z>LBx5W=!z;vegi+h>>Mbty;=?tT{uHfq@0IxC6uh4FG=t<*vrV0g}k0 z>foua<GbLqKoIjmE6yN;*Dt&w<|D?RVde`+LCps-K;~<J3ckj}KQPTtN0<*<ujLpL z?9u#2!n5;>XXii9{YDH744^}b_vJHyT9(gWoY)DPq}`(;0Xpc8zhx!p-koDDDxk$F z3@=Xa{_@|kVc&I-TnV>lZ#`&`eA#wTrfLHTg03F{`Lvs>JBS5z2pE6M6-EYz&U4M* z7&));w>*Y&m_VFQP!2PQ!^i|t#scEVKsl@+jyaUW2I2%kIqV=#E|kLo;&ebcoFL9( zD2EHg*$3rtgE%*#93Bwo3zWkP;_x#=T)+q7XhAvrAdV}PBLLzgKskaSPBoMx1maAC za)d#gjZlsVh;s(Y5e0FcLpfp~4igK+QgIMR7Rr$TaV(%5Nf0L(%8>$b@}L}P5T_H$ zkpXd*KsmA?&VDFI4#c?*<;a6LZ=f6n5C?Pu6DWQZK^!sAI*yM@oEIVO$Oa1ra4f4C zetU6XD`+XLXysl8hL>!hp%kbbsQa-5BB#5Df#KyVP_My)p~T;_w;nXH(E*W@1j(I) z%0+wj)`R*VIS@HkklZGyT)Jm(J!p<103!EsHv_}VX)K`5kLXnpy9-GjXmUaWqV6V0 z4iqvzy`oz|>?9<0pjin9h`NIyIX8%TQ$cJiBy}8y-(K9<0`})ZkenPuT`h<$grpAC zv)KSq*9nsQ#te2}Dv13Gv}(_Sp#<a)(Bwr2L|rCG?i@s&D~NpvNgZfeM*>8hCrEA? zM4c{(Jqt-4ui>{B77%rsAh|k-I$jXF2uU5N4J`ms#|e@PgQ$DEi-F;#7m_;A%*Bh% zVE?`Z$>~AVodvO#kko-%DF-0xPJ-mvAnMkF*uOwaxuE_PH2n5r21MOTkla0Rr&Y8U z#0Is@K<Nn-E}-d<0*JbaAh~T2b-5t+DkSqjGb0`lb%h`~(Do0XUQu5Vy9r61h~c*v z3J`UHAh|S%d8QzC6p}hn!~4f3uzw9fa&{1Pq9C>rk~&aR_yR<oAV^LOqVDTX28Neh zNa`dEzr9!iQTGuf_l^;q4z7aOk3j3$py2|lsT&~bE`sEaLDX#pu|ad*uy6rY*%1(R z8$ojOAnK-q*praV1I-B;K-5hH$(2FWm4et=Na{e7LL3lvg&;XUh`LY^+X+dXENJ|D zBRE_FL2_ykb*3P;6p}jd{0~H(AxI8%wSiBss3?g2iGhIu<_}N<Vgf{+AV?0>GV|#b z{knsJ;pHi)x<t?3dQe*;1ETIDNDj30z^7OADu@l5_yL&*Dl0&<OAZiq7eR7upt`D8 zbn6ZVhS#+qMG>C8^`KcMX^5gNAc+*8UeVbgMl?tTsIpQv{PyDK2Cz40fh3%KdPN&S z3`>{_&>Yxxh>8Y~go016XgY`?4pX6O`0d43h>Elwpx9=W-vXLIj#1&*r^vv-0J*0L zG=K>?L<-cOW&qu_F7FuhqGlU-*`WaFJ}l5koZ+{fAUz(Pw_Z%#0@@x>$_Kiu3A7ci zxgv$3L?3jE7>EZtI|8(5LI5<|#{fCwatCPZnycZ-EP)qSwm{5<SIyvoj{h%sHh`9R zxM_H_9w-s;=yq@bG1!kgNPyi3t<@PldRe7@{QvLS*#fTgJ(`b5fO;wztGy?H*Sj?A z2UYY8C4wINLA!ZCZ3oc&=X%hg2+al@3=Gzw)_L#l6`<Z`<6+Q>1fO13uk8#Bpp?!4 zUaAIe_uOCq1-wZQ)UbkLQ2PhTe9&$YsQFG1^B;f)BT&pg!2ynhMVmnFD#MdtlUrdX zL)$yxJqMu14rmE6$iRICATv7ezqq{t6mYviI|&&|Dvve)05xpjp$JMdpa#y-b)bf0 zGibqdw+GAji>(}vUEt;pe+y`2xaB|zNF}HuoDEV5Jwwi?cQI%zz@vLJcu7O&bFlwk z%-Hnh|1QuXP0&1V=dl+r)*&^ipz#*64o|#6TnUObpa1{=dvrE~Tn|~}7y}yBJq&dZ zsDkANxu<tG*d4v5XSXsifUSN}jAUtP_v^{f@P~yLtZ~>1YaAAWGF<2L7pvDp8iyL7 zb%gvapk<Mc$68bxK-?GWHX`{16e6Io>s$-+N{b3;RY|u1=t84M{LP=3nji3Y9{YaH z)$l;;C;ll1TMzJ0J*;pV%-eRkgvIdNYgfmP6QGO5K-)CBBUw7{eZQt`2;Mpmx^7@6 zXcuTHr{T%g+x$}xcAoG2=c0Iw^Hd2h=QYmrE{ew$e<}WZ&1859bOJSa)ne=SQkKpO zofkoOt#ckMi3YV)L0dVxjk>E?zF%v8&e-|u`z6Is;63H8h6lbKVg%<kM{ul_vUdJ* z?EKYvz4<$%W9zR{R>#f@o!1mUx^NyS;p05$!g<U^@tooZ#gCwS8@^v?Jy?>Dl8QiS zqkau2B&Mi<_Nssi0MJeZ!*7ryohNTV<o*ZDpu>7o7)o?NxgW&y?A`*_b(}>7l>QAb zf$tJ=gcSfUu5LgIcxVz51lxuu>x1G9l!$CVJ)F)K6;PBSry@|2fUHM@Zs^tkFPi=5 zxgWId6r78Spt)%3T5vAf07?b?EkQgC49A)|Kz%>o-gThD0wpNU8Gd_Fvii&aZtoJ0 zZfE4J#Mch~V?Fqc8Mb}6Tg0Hd#DL}UtIO}cUsJs1*yYLqih2H)^$ZLQ&@_JD@Y@UZ z)!@}wprvHc0P^TH^;-`r55O1vA;M|yT2MIEGC&%&7Yx6>c)bd&R{}IR*J~QP4y5Tt z*lJ{D7Y)C?I08}jf{}p%VTC?eHMl%OSYZXW0@6X^Zw=&TV0anD4R`Jp!*4GtAZASf zotp=VPmf+xYjE*}8m%6kH7XJ>eu8r<%*|Ip>wh5zM4%Y3Xf4R;pgVh^wE{@D!i(J~ zy03xizm*^#uU0_Oor0<R{fl}Oy*EJfUn@a%=i&-bSGLzwAFK&<AD`+f<N&*A`0d4H zur1A?I03CO766|K$H36d*zL&zJ9MY>6z3uS7Isi4@DS)gLFiSDoTvC(QlNrNu=^W9 zf(N03%*cXrpdQ?z=1=^{f~=ta&7tN`@arEz+F4l{7&;F%e_};exr`Gc$c8NF&Bnmc z{DB?jPR<kjt#zCX42})3SO6_X*|-86j?k2&y#^Hgpp*V2S0aanj^Vc#?I4xi&7evE zbhhHD@0VHy_*)t|QO->SaS;~WHvIM?0HO_C{6Q)m{+3WCWM|v~jsHMYflD%oDo{S* zZ~4LqPjq(;zrA2y@#Q}v<t|;v!0_@tBeGe~48Og&w;Wu}q1c$rjI8Q8X#EdF6^4yW z%y1iD7=C*(aXGS$#vmL2qPYHp;kOq#5VKHhlmiVoz=Qgu;kOrV5LFm9x+84-1RDQG zvGL$q28NfQgR~H#3hGt<T88e&l0<|GP=E3UL<NRz@(9~N-N}v1ko^}7&I_o;4QQTG z;DshidH{7m%0U*u&c6Ylw8X#wI~X2%nG`&#N>o6HErN<tco73KR^r7YSeD~&*$bLd z>8%%gxt0wc1NRNTz2HZ2Vc|+p3dQC^Q2Im!!9&AuFRm^HHRhmKJiuM(!OXwSfxVMx zC)&fD2yPucGW_<Ub}4e0eP01`5pt2$U8AD#f&)d{V^I8~Xgh$Rt@Hhh%ivIk6}z`s z85mwZW<^f9pdQ%2CFpU_-va7wB0LZ3f8Btn!iaxQCV1$Ax?dZYAp0eFH3P#-7leve zhTmTFqo|k*b~d)~XJ3w7V!Sc@_97JI1dJ5o!NkAKfsOd^-@gKCE`Q4`(416n{o9wI z!4t4|`CC9c13Y`{m0yC|ywK|O3uydfF}BDT;NOP0O&50d>rF=JCT_z6FRvjA9MI^< zB#<e+yEj0p3ZCVlsDANxG1Nui6ag9>$p$F{9X19!?wJE}6A(wY0}teQ5FT(#N*U~6 zxE2eLmR{4H%RokgYM<pOrh<l2L_tajgkSSVCeDM9vhpOt>7W6T>x-ZPpag2(bT@;? zGa+Xq*EfGuYJO19c><zQ3K8L;5si6^kds8lN(P3PFMj|34|YC(3uv{oXK%g6%MKQJ zLIU->Gf;G$S_<+Owq){P33A|pdf0j}hl1L|WM|VE%b@1+w-hshW&-OKUM4fa&rt0= z2#N%de@m30spPBSw-=ihBK!5kQU->X-iToQ4qE@V5L7|JQUDj&UoU<ygjxoU&Yy<g zUSxulf#Ql_XrDtg`~Dbydtrd$f?vxS7+#)4r~s|j<pUYryBpMM1I;mjn$8R!-IGD_ ziF%@2DI4T8H&~62R{VmRweaHiMFdK61C7WnTYwxEJ3ub^@bmwFXlQ}P&RS4Zn1NKB zL#X%#TK|HgLIj*&u%&`qi(ml=ZRcw)02gYY(FE|F%Z#7`0xbubfRkVeRLA%E$j&WX z3`%gwrEur_7cD4h4b+W0G#{ENP}3SC|279!kd2@tcF}?jORc4YVlJptl!fA$CyUS> z1FjOjf`c4Vx|i^IHXlC=n$Y?GLU%r>M}?!01L=Z*dN}!?!QEs~_r#<5hz4kE1G@YP z_c_56AVCJ|#e$XvAD#zF%%(PA_ko&uM)P6u08*l7`0d34kP^@VfS{rbJV?ObGKm4X z4$=p${{^WcB~5ztt_BsNL?z9=3y>WJS`)zyw$8L=At+oy?yj1Lti;Ih+lv=-k&{CZ zSS6N}_fqlm|NpS@0dP<7zvn((*!Vy(sHp6`|DqclEYJ}maC-axqJ1tX>~@3u0==eN z5f;4A1;;B?HMeIkct1-7L^&we`t+(ES^#o6xRd46`Rv7SaPo)h;PY%g23|<={e?0} zQ+G3{H4Z<-*4m>Rvd6twv<74f^wd0!g`lo>iM>bnZjf@1Ueh>`EcAS=ti_-cNWiy$ zHG|JN1r7Q@F91UlaAfglJS+fO71enksnh&YdNInF7Ha<)Hmah+z`!63x)ZzvI;s*n z4>GC(n!;k>Zvj=Mpivdju@4N`y3n8uX*dV8FUv=z#IxH)1xqg)RN@JMGHbVu0jx)T z`91j3N*4o`%P&9!9yKZgkcw91`-RrypfaAnWi6r<2j$OGvq5uvdsINN2hZQ8CiB6u z0y???Q83!0BrVX?PcKw6Xap0X`TIO9nwd~EgNCjGpqfFwO@!uM*fbxVi=3!I&3s{~ zW>D5aXs*SkxdTNrs0VOu7R1k>B<Rs=>OPNw0etbo3)|Vq_JDc;tD#CkE1VJbh(hcE z?OH_y&w*JW&Co&&oHhQx$N_2Z#!-O5k^ra(d;1qMJ_|}AXk{3*ngO+@WI<MR_o%D^ zP1SerQ2{N`>O67qhy1}8(u^lM4<G!^dhjuG^MePP2fIb&yG!K3gDcHHrR!vSojJO7 z*uj?{K<_Gf)qI$z+eZHKbIyOAADSP?cV2`v&jgyEF}5Dyclpp+q9Wqi+oA#*N&t28 z6+lDRplRrC4#QIrwGyE5z6Xq;TNgP$e7~T02z310#paKUt(U;VYWyx2T2DdJ-62HX z4Qj##&4ko9;3Nzh1_X^C?)Wdyz|bwIc&PEy0)7StWk(j!&8V%XN<~^hXT5`zFqD8! ziWUJa5CmO!3qDj;0Ahn5VjKq4I`}XHRC%<hKwOOBUeMSZO01TsNPv!}K}6{L8K4NQ zWnkcMea^tZ@Dj8t1sWNkd8-K^^Lx>A&ac^65<e?Sx&lpR1%u2cGA2#ryF=tzF2B3{ z3P()7gU95r&I`>C<RQ1I3N$|g$K!?05ET)4+AUELK=CE0x2f==1XS3-`{bb6u}#xK z#ZM2dk{aj^cu-45;Nm-!<kk&~FT+z8UtWBLh_%jxU@f48d7{%tMTYa^_lt_BK*{Yw z^9Mw7yV!aNk{Ccy3ymys!*4GhPeV&?p!O7H$t{c%-pmJ06E;pmPHtewg03X(c3?qw zI<}Mt&Miodv=>Fw;EC@YDDi=|{zCl&>KX`xZ0p?vUOW$vThrQ^SQ1|cD5=5Y7BnMw zZ7T6`OLF1^M=0oi<%_RyB|vn~Lek)enNS~tyADc*-(KjE;7&4%yMr&8An8ls;5#N< zsqo-Srh~7b>OiGk=Rt@zq7&jJM7al=o{XA;mJmV9?<h-%F6{7x2%6sfF&Q}_LR<?^ zi4ZQ_@mP~0Xzma>DL$VJjTrvc51^#@4dEluKxqfazTQ15sP*`#X;_ltUr>a?lOkyB z)Ei_rt;#`2w1UziC<<|uhT#3?NZy4c#$-_O2X`r``M+=y@tKCK#K;U!jLf(bBlE#m zP<61x2;#!ylTfLMlo&ysm9rDk65|f=wegfx!g{Rm#0cu2WKTp*j3Cz{B}Nbz?s%+; z5p;bkQtZ5lnus$og4e%KfHdt;+l8r9uq4I;P||}(v4!Ec7yBj<AH^h>iwEC<q7{-D znQ@kkXx@b+#%oiceg>C}R)*hRh>+k?vJxW;JTbE1PK+!EUqRKu5+jHUk55905j4dM zt<tOwzrAqiM@x*5aU4n#Bj`vxs12aqyZ8D~6C=pANQn`|g*zT=Vgxn#4Nt!0_yQmN zaW?$+q8(&x?;aIscjCuHSa;%GA9B38fcAfaL}*vsf#M31!dP&oFo+-Etz1Y7D*+X5 z&}E<etq!06|9|QF8SY8Ypy{GsNX7#76X9J?&>TCcQI2!8O`y{Uz3sUZwNv@39~wsd ztxaG5|9{!>6>cqP6kZ!@tpjMB`M8S;w7W1x1=4=||Nnn8?AivTK|aFP79*_f|Nj5~ z%c<Yt)~bWnKlea<3#!vld<&_q$+q?nDE>iZ4u9*?pa1{AT#XncG&cP9!WL@n26W#- z%4M>xZADnS`}hC<FAx5P`xdmH{8%@{x8MYf9LSIyh|gNcnk7(_zF_D9#U!Nv%isFv z!~g#;89$=!n1hWkfk#UId+w8ijg*u^M@m5P?Fd^T1nxwCf1wU?UUv(4hyp(NV*^@Y z0ZMxwy`pt}pmRlc@`7&h(dq|}{)B?0!D+SEG#(^<2_g-ukH9zIc=a(bz`C8g7#{rp z-)*RPZ9lmGDWUkA^K+*pOcv?(NOYI=c0mdhQ0hc=87O&r^ol;|MYwE2AHroI4IaIw zM?un1mtC9yJ-B^CFT`aaBXGM6B#XyopF1Hg10`Tomw{5SN3W<C$m(y9K>5~-a2ZI0 zN3W?iNE+%gbC}D-pe_R$f!k#uSv)R_gt`or#!+1cO5`5Bq8oY;VHShrGLQz3Uek#n zX{gKQ_Cv$0rUw#cAR}<Q3?z%qWxSsMkAVi9zrWbi0SPwHkO!*cK%)pAy`sV(+rNSD zlYg<l2OMm{pri)U;L&UPr5oJP04*|m!2omI{ceckKt^;6Ha~jMZP5Hop7UC#At;G~ zMFUwt!VWCW59B#7bULzlHXeBaT9VrN9C`3@ULVTfBk26jaL2G<&(3e2o&P;{S2Hj$ zfUa~j1m8*du^p7D>oh<Yofw{cv8V&I)q=mJ3p9`mIYR0@NJV!WC=)q$_ks37Id)HX zzrey^Ey7Wf?btot8_Z@bsden0?hj$}w{(Msyt}6d!}!x6{BRf_bSr~n_w;BOA2e3w z*gZWS#@`NdK=WbFm(v*-7$E1oA&zshY5(%y@p#(=4hGP1G7K+4XX$!0A7+MlNe&?k zss~<zR<C)$53*uF$b!nF*I6FThgl&SpSHn`1x*sY_V;K$%m$G<g^&VG1HCr!Xg<si zky?+C0#%x?B|VxCb3mk~Af!OOCC}b{8$erS8;^mGPy?S@>eKlR?75deyO1IcRQ`c> zXFwJ#{`cJ12ahw*IYzc^ppo=ySe(6UgT$E$cq<n8kYs6)3dH75@G&8vVO-`m@IiN= zRa>C&?cEJZJ|4ZBL5J*t-SeUlR93-HK)&1x+U6X=2|2>21GJ^I@vsBva6IslOaTzL z=YsS=M(r21f~TQCCku9W9{`;U#livV3(GSey!i9~NssR451@mvCjVe!V6fy7;BN)( z-G`oEo7PImaaTZvLNn|bTQi7V6TqiB%wUBa9|hWS)ElD0;n}+#be=M3n|a@~FaJB5 zL7wpF-Hqyp7n?dkOV*A+JOVmL6M9(RtroC9z>e((ITm!1<blp+3y|NT!Pa;f9&8@S z!J*fQ6qTUmbKv!|9{WLuT|+Y7i)x7R#0FETlSg+m!k^&HKl-548Nuh)f%e#fgusVS zg2s9wd#gY~pe;zCGyTBl%Yqo7EkY+CD{^0eyaGCYZe0g<_s)eUx)-OL!NEbkdzm1I z(Gufc&^;bt_ktK8_cDN1<ux9j06A$p`sKfN?Cu4v6o-bdG{n6Zz~?95fS!2W8~{4( zxp#L2#Qzo`9@zgl%mbb005$J)6WIS8;QjCduw%A<fK2G!Jpp3g0}u~nUK|edK-;CE z=2b(?1MQUV?#35?pe?tEhzFlKwt$C$0koqGM1xL}<bdq>>fiy_DWDN=*x`w#Ezp3% zC{I93Cm~J&l_zf-QOc8fO_1`$22q}z2C2YOo@{OeWmykUlL}IvD1gGEce4TPROT0o zZJ;?V*oo@RjiB-*gBMbsfL5G%G#(Cs9CYl_49$iwWkGr%<w+3O;%3lhE6{~B;DZR5 z`CCD?2ei~MfT+*_N3{WH&8!WFJiJ^1&ExP9bYx8{*pbj<>FzavBbxzy*c)hh3@F&K zlq+VFK;;U^GakLWQT_5F3RE`1JOnCNI3X>ECWzSx*Fwt`P!NL79R&qn<6(I4p_D7v zV9^OJPxd47r#8e`)XWbq4^Wd>DJVUmdaPN150aihG$^|{K+@9>UX1jl+5}Bcp!NA4 z`$0zoKoZf5)%93{ta%6M_~_o<2O!nP0uT?BKkVTC02huEP|ojbegj!w{Ks=Y=l~&z z`JhtV17t-nywdww4@sn;DQf8cLp6{JL?Y#HH3lc(dQip3RS!yd37`|CJ$iS8&-LuI z0o^<0!H?`spU!tL>YG3<BJiHID|H}mtp*)%>C(L!)aKm}TCopW_{^{iw3T%ysAu8` zDi#@_XYK|zeEILy?P4n6(b-(U&%gj;GkA6Tm~wb@c4vT3pKb;@13b9B6LhmXD7SX& zsCaa~2ieXDvc35@_$c!KFM{j7{0CcU_zhwosPTa~;P*-Wm;a7kEh?E8SQt8*)A$(} z9Qn7msHB6=pz3T+0r9(ARFW^SFmysJl>}XF3R;i>vJ`9~>MY{Rrbe*mL35|qYeAlG zMkH0xNlg5$&Y(_bck>Kzep>+A9A?A8%-^a532RV(n+MT&0$eX&0BsQjs{k$0_3W(& zO_$cx5*-^K8^8_%?Z|Vh{qo<Zd-Dv?G2yEhFfuTJLk3@D9H|4f(_Qpnk>T0RqUYJ| zqeo<1l!EMTJ`T>h|6d%h!5bG5b&$9yK#hw$)VRpHz{2n{AGFlIw;nWJQd9#DWc2dw zF=*zt`M3b6(D?tt7iKe>Ul9r6b}h)GEh^xnu~CkCc2VH~rBRO^po9xbvY;ti0grC* zK_A`Spi@75dO>GB_vWZ@fDT`k0B@6UL_XX(izzEP^hG%+Gl0)_=J4na00ki^9eZ?6 z2B+$74*`$PZcvK%=ys6s=xnY4rFCdlYdj3iW}wTo!AbAl3kgteMO}vD*;@~q^Np(} zI(1wIMR0FDXdYLi8k{;nd9`~rQCW3a4JgpaP95POyPJ>mLgHv;72ecgRRc*K<*2En z6g71ef>KA|AGFaB$bKu2{r3>%y>%5h*`Sv9;KChrehVa8LA6%1f&ip&2hmI(y}K(Q zg*%4;a;XR2Eag!HE!<)KMGx5d=>I(TKZRQjDvI}3qO=$Csvt$N9q1$w$X4*#AQi|( zF$<)402Rgcm7rj6n*csaq4|LTsMp)p0pZ<%@G35_FnD(NHGl=XF9?8+3~fFEqI!2j zkH6gjIw~HNoL=k)rG3aAV-<+eE5Q1i1q8uH&wv=V04&(e0X}fM8FU!ANAGUX<`<9N z%`ZTPfy&|+MIgiA#qX60uu~6!wKjv!>h|nz+W;|d2UxHhbZEFoXR`sw*xubMK*#ko z9tItJz6)d?r2d8;?JWi}8?vdnx&j=3=w&Nt3Kw(>2Dog^fS0Y1+cfGyWvdfJBYN3- z781OmvQ?o1nh=o+3sBy71f6RDtEE<h<EI&MUV%rq2!}^^2?vKq=VWl$bZ!QRRJRKU zsPF^@CAQ#l24$h<<KRxy{})Tk!NK(a95S$&y#e7tWA+3j@GgK7I_Rbc&)#~_(#h;{ zaCo3sDsLdgARi=)xs_x33{<uuia}_6VU~g{Dj<cZg&^o!bwmI_3c>DX(796G-3OQ$ z7>GLXJ_!_%D1{<8nRZSFCt66M2=Y3#Pz0rR&}9^mWCBma9-a4I`~YQXq%-a7K`VKJ z%P_r+5t;WOfrs!5a%6%NX6I&bGKEGaC>?u1(`xT#cv^i?Rt0JWALoXI_Ki|-WHMX? zo$m;X%pWL``2rG|AHagxvT5UCxEEi3L@L%G?Z+SRLghtvDLDQ+z)f!OVbw_K5p-z1 zN9X1bpezRU!VeI;cQ<IH&ZF`01wn9W2Fh@d$|d?`RXL(GgO#5@5%&Eq0ow;!3fkQb z+8u%%WDCFt+iwP+b`Q0014yWM_XAMdr}1!y5QcqRDE7h63pZn6U;wqbKtcNbMJw1= z_*p8Y#_$2f>=ICw2Wm}$Zo>fW3G-+?+`$a822zB855H~&MG53aD=Uyuh}Q7y5=apY zy1xUueo_LYqPrP%L{@h<==5>uQE)8$?PtM#HQs+^49G{pfzR}QR16Ln)QW|_buu_3 z!p`m9TMTn8<4fQF;8XKJCk-rv=tb*sM0++L<A?emu8;YpC`e!PF=2>IHe80~<!^B7 z2z>T(2v!q0J)4h-zdQ`ONEvz(xM%Y*>6e>8`kIe{lkfKzl5i85UatIyR-?k>CmJPw zo)&>Uk1fdVK!WUkDQb{`RyVFM0)>VL3#3mAnM~LWIy2Ak(u>Q*pw=sRJ!=P88R&>^ zP(2J8+20J>kZXA9#Ttk*P^&u;tPHd_0Hh4mj`8T-T)+ZK2`^e9%0Tsi6<FB{sHKoG z@6Dj|z6~$Eh=V8tRR+RfWuU8)K&F8wZhALwfS6_uQr3Ko<0WWR!?U*zv`FJ|A#T60 zgVgudftF+J0V~7qmj^{)FN0P~PX#N(?w6eqWuPS!d0=JO{W1xn473Wt9jpwyU$Q~U znvWU0v;nt4!1tqwgVlrL1w2Xu%DTO<c(Delhn(g8p#bD%kY7N`P~$}eq6}0+odhex z?w7ZPU@wF6z#_0RkY7Nip~lNGh%!*KzZ$FzyI<ymlr<j{cnLZK-Ltn2w206ftRCbS zP&}fhv3!vF-a627K^3qvkY7N`Q2pWoQ3l$1_BS8oWsqM$jzmpkiV$U>wSCvX%0PYr znTG0@Uj<+<gVxNg2P*^l1!Nj(8oLZq)_hFj<q~iMr4BS#TMt%`-7kwl>U+V5m`8w> zVfRZ7L>Xw?j3HPV$S)vAqWUEiqD;>4+Y2tRGLT<Djzsl~9z+>vz1-tGP{?5S3p+?z z^DzZTiM11~@&yY61M^EziRal{2U@qa2rP`<bI0<*VFp@!RSi~#-E;FH%0LH9gn*S{ z_gn=;8R$qJU9d8c=RjeA>bXFOGSF-_8(100b0B4?5v2uE)_hC@;<-DypkM%b4x|!1 zY1McbbRU}GC8X-;TOO>Ag_WnEdkx(f7#O4=XX#GO1$lTI2dD|ryZZnO1H&%RIs7j` z^#|ylGe}<q<Zi=DJ3%r?hJ(rn*zge2`KbY(onOH>J4C^)0gYg4gKX+{&2a4Y1)pGA z8V0Xg1wc}b$2%^vFnIRv1>Hpib_D3^5L0l8wik3MhiBtaP@5X+4)7hJ?_hIkkWC^l z(?RhMseSk4fIL<Un}Es9h19;GkVFou6lQ@`bhm<rupGO4L90wb!}0vBpbJEx6?8d7 z38<=d?Cu2(4udYA@$75`xzV#1;;i1SP-lTAq`-|E8x_yaFE4I^;tO)^pMK7l{~q8o z06<&5Jv&=L<I|4ETS2=TK%>DPpjHz2RBq4CR&ZJ0e2fhqv|t7#)}LjA)-|mKDe3k7 zZ}@*7$UN{k)eGyqFaLXG-v0Ri|G#VZUQip^u^X(=lX>n2&?Ye?#hou-@Z^2@fAA@@ zXE%#H)IZ?k4M6Viwo&oveAfBug+xBcHn4*nyL&-ywmeZH=Ew|ow<E-*mM8f85<rJr zfb01fM@UQ@M%>Q^I(Y<=*g&a3H5*iaBidJz@I=6!4H~Bg-O>fx3h_G06B3VKvQRp* zOS3`oSOPk27!-%sK(gJ<prfd}yFrC1C<;rx5I4+ig(v{cFLZZ<4rqW5=7Q`2-3<;I z%zeQIs=RlC<_3|{_sx9p#1p7p2fIHAbc_PTGcTgiO>VZ~;0I0SfUa4AOpdTa+8Y9p zOf-QVWC^$<AD<1&mf*Mr5up6(+4;?5KWL{3)QTUO;PySp@s8bnVAsoVAV+%V%@-~? zU;ZC_%<S0R28wb|=6RsF_ULAj@a${@T~+4UyAQNE#k2941qaA}a4h?DeuLzkxR+m` zB?R1l(E1Ii{S`#me<T}be*<VsBJ(`(@CC$v(6P*(z576W#yuO4fewT*yo7Fl3Do{j z$1u=cN}wrh@Rp^29{bDS<;RP68K7e;K~sGm%+25l(N55T!^d4zAjc7cJI=77vx4q! z&~h;k=57H{^mh8FRDjOB1(jZ)aaGXKxuDBGL1*3yc=m4dxDL7Ws45$@pXgY3j!Fb* zEldFD4mMEs^4I~IqJ|mH;Q>1xCJP)oY@XeIpexK4fT!xZSAeMA-JnShkH*6toZuh_ z=?C>6J95GIAWh5!U0r<y<ke`$xLC+Nt&sgk;QORN<71%PDm(vr>@P=z!K-x8kq&!7 z&h2gn?Rf?r1q}8e=&<GEE-IjrJqA?Q!Y+9NjnRYrD*+zD{`Z0}@5_Ie?h=&*P+)-D zD%~zB5gy&3Gafs8R6r{TKr$dFb~l43&zPG*eI1Y>=(u=Lc!Cay2knjW+ygQl6h1zk zOH{z;#P?270Uf3UwX6{2-_D~h-Fs9(Wt>Ov78Ovx60{nN17raDrBZ?!pfbXh!K2$3 z6tV%}NyY96E(V5fSAovv1P~uFMaTsXVvrL+L9Ckd<-bek_ZPYuAo~9cRghVYM?gUe z+Dr((a|)E*p!eZ|&iMZCxev770+QxIWmIDt$bLi_r3Eje($hc%V!Om8&}cpA-d)e` zc8*J+fv|poODqhpGogi+J;($^*Y86bsL<kXxeV%}^@4AYl?AEjZU(ulyBpLb0vBA7 zh=S`+D%dI=WUFK#R;gS9_4m64z>}HH93ZN9cLOLTG#>td5*(1Ze?d^fhEzn$QbAs8 zUI7}P?*_F(z}DI!?wxIgC_oH$Blo(&R&{O$Sq$oQcQ%89;B^z&7Dy@v2Q8QYr)N-( z1|<a8?P#EE2MK4;?P!vzpyJIv!?D{R(jsv6_<tC(D&t=YNUF^M<l)YC&<((zz57AO zRCzWYU%?HIaZqjR)A`S%@d(HUup44us(}jS-fGYo=5CM?z4fpLKu#(o4nT*Pc=T3- zDvy~U72WNi5d+8Weo$iz6dL@kPe2_>_+pgelrR7HgOVxIU>%6-2)g+fHdyx$5?i40 zKu_j&Q0Rfi@H0GifK-B30)w~(9y<gX7~tot!ty4_BjCJ=R2Jod{Ly(B^#V3<;e}Gt zAz#III|UTA%%1pz>jg_DxD4V2#WQlyp~oL&{0DSZ0VKj+WG92nZw6gD-Q5lHJE$q- z(b){Xl(=`d2c(h<fJ||N{REmwoSFfx>A>m15qv&T;~P+O8N6`tpT};{8DyfM5bv!9 z?L}uv246%CD&;_@Vlp6wIw%|w1Bu<>*lPjbVdvQ0qXJ4>9=$FcjG!_KbSgfmi~{xX zLE1d_g6eiq8RgNrMg??UC+MCE(6LU?i?w;vL3h^k^S9sQVqoYz;L*#wIu&Ug!K3-` z4@k;PN&51Czc^@1D5#kNnehX09l^B(bcXSLGAQ}Fs2DhQ`>0re0_x>#P^r~?_$R~^ zIh>||QV7(Pm0(laDnOHT$P-53s}VrzKt2VHgdpD%+M)s)NOA?)>&xt;V&KucMg^>< zcaI9FA@TAQXsJf?;a?DkOije)9U;(au$`bFd!c}E2&f%#iG`sX!#yD7AoqZ`J%Wbo zpy|9t1w2#+%A=sdAAAuFxQPI2uE0e=Z3iSbH^1Qkxf>+v(K`oXLhl|G&{~m~-JmPb znh*bmxc_PbF870S9yA~ml0eY`4oJ_&V^&z*4^j?tKj>x$570UGaQB1y_z?Gl7Knk= zfX;LB0A&HV`$3lkV!9tB3UWW#gx)<Wpj*OUo(642Za(}6;(k$_?g!OqQ1>rLM0UR| zR`-LHgWLwrhn;Iw0zml@9O~fo-`S!9I;+@Y2PhwayBwhVT9_CZFkKB^T+llQVnXj8 z6;O_UDGG7*Ux=&Y<8g&LC?`W*{VD<3)s9$Q4N?wrwFcO=AeVv?97x0fECR}7AQyu~ zKqnzWVg}}ZP_Gx${UA|LsDn-D-J=2;vV9o}8qRM%{14*(`Ej`1FNR3<S}5*!!|HyJ za**4=3w*#S8s>g*qaPgppe3XrH9tVZTi~1lb3X&t@CS*4+z&RPcaI9F`So%tXo1H6 z(-7xBhy{f#ta$P4o(3u+JR6VsfZVu=2Xu?@Bne^A^au|JB;|tEB!Juis^lQ01<Vbg zh2fyQ29^Qs{bFWdc)0~^8?-JiLb1)ED~AKRsxmSbS15uCCuk~o68Gi5L&IMt{?>({ z!;iYbxe&AX0O<fZ@CG=LKs6mKAVJkLQY81TQ30#z-J=4kZE?+n=f;2e|B@5r4fqA4 zt74Et4df5#!qJH_xcmVs)S&*5f%{`M!XKWE$AUp&j<Ylb_3J&raSrni=wcQ~oWNoL z)EI-@ssa-MHO!E_3@r^oqM&pEHlcTqN&qOPYC+9D__EsL(db@;E}LB!jmwLmau4c7 z%UD=c9Y*nDI94x$G=gFb)OdwtOPCiy%f=yIgcX<>;8+D^Z<rTB4PQ(zf<!@H1e?&i zM+MZ4dN~VJ62O~dPoj{$=+c$L0loe9bQCTxf{I3{7sFyeI~zc$@ivMVW3hS>q!Hvr z(C`AN-2fV3fOrh#6_5<54}@B#g8Dz09s`MjJO(zQcaI9FWBL+wN*-cmGdGIIK*<xj zR{B#UE{}mqPpHRgqhWFP8O39%SUm>P2y#BS8wRe(U~vbk(I8%g6}zC@Fd*>@i#yQP zQA{s_L_uBzo6x&Q1=Lx830e<<SfQ;Ei5zzzFGBDB<;UqoPyq||;;bl`7r8+zv>_#l zXXCMatX>3Z1bGou1VeHc%!{BkaS$)UN@noD9ypi6ya>8a57UbvQIHqGCV<)_OwdEM z9FdpeggS<0B?iBE9R(geGVthTcsUQ$zJ;$wSByXo21M~)4e|({;@d@~0=4W0jc9oE z=BQM7^zKms4Us}i!(_yz?_kHgh=;gE!K0huwJ2ik`;2gqL)x0ay{r}$3-H9u9u-gn zz_alPXq_m0yyn@9(=p&t3sBP?)RTse^Fb;kf3QKY3JDak&?>?#99P-}jfp@b_Fx34 ztLvg-;mPcxVgRlzT8@FH4m&|(65!S@NHwSnmEeKW|H%N2dVu2$w4NN=lrM_<^1nMq zCBUPb0d!6>eqVi!{_?-`{)>O%U;e+8`~UyHV>iqlAnPJLz_vpwC(wWsco`uiA-Skv zwf##Z`08wz?i!T<pWYA^4^K!!MXB<7*QkJ2I76c=5f)t@o$oz6Z)T-}7IQfUy;vCm zPC*JDorghv8PHk`P{oKG1T88TKt*fs9u<%*cpw;b8^`%DP+yJt<x3U@2CO~;Rcs&+ zCLmW%IDDi8_R&!cA9*$&3k9WEl*$j(U;{@;XNwAG6(A(*!9+kS0zv0v`}EFH0keDO zsDMVYFazSgXXneTRL5XYUUCe2F)th(6b2rhM?pc+!N>p_z-2%UiV4u50Li|*3px!G zTVz7&EpTLl@;~0lR0qWXXyghlGCdoQMPc<itip=`IR#v>!n~dU5@~+J0rEPS-8)AG zl*0&meK9C5n~%V}4%#h-nF<0xruXhq0m;661UkGEo7W-r8OZCMIoMM#D8G7O_i!Rs z55p=#@Q5Kw=K?%T2=y?S-8)Bxff=*F^yoy3>rJ63aSa-s#Pl#|WD->Bfn;BP1f7qH z&BKs-4&-5QT;PpsYfw02_j(pqufr-x(9|Mo1qs?M088dzcJCY&&>%d)xZWOu;&sr} z6sFffGgTn3gJfTVPH@1Q!y)w_*z2G~iP!56pb*FI^-`=}2W1>k<vIgYuc1_&ps6yL z*TL-GIVzx)S_HkmCm6-+pwS#muY-<o2YDSN3oY#Ky?7J|D(qZ|DC{}HAcMjJL0|sA zH28_K;smn)qVu!I{xAjx22jE={Pv<e0AzGCsGjZa23ZOkAS@N|>D~<L(z|qn*J{4z z^Xc9U>UO(y?*{d{362h34E*xnyPL(%qq7+_r{mFE9pKS;7_{XFTHS&s;X3buhcsV? z1VIMSK=U)OiIH%G3$OZPR<NK+3&c2Cav->p?*diw-F=fFW5=LnC?1{7pvoL-J!t*P zF3_;uPEcJ7aRF?O=tAI^|De5Y0v?^8VLN&SJ@%V2Fo62142Iuc`1ybN-`(8<Duue6 zL9O@BQwM*^AABXvc&Zc2S>d2#&0c-KbomA6A;_s_JfP!$K&MJib`W4-=xla@EF=XV zm*03;LjZJffD7n=UGTL5FZ}(%iA5k9F=`2#K5Bkrf$BmVgbUaBfzC0v@#uCoz;qjE zDnbKPb)lcYrqK;@D)a<44TxKHy1PL;Aw9aAK?xkYVW3s74Csa_ARDFtF-)bq8$8_H z-3%&5up0(iG>2iB1hQcg5W{3}9@Gx<AShljj1fRK26n_;cee~ACPAevb~k}i3Wi}E z$cAx1+{6QF1Znv6#;7Rx^p=3eBYjjje0p6}1bljZR3!F+ijrL*0@P4v@ag>S7~~lI zA`Vg-2z0xs7<4v&5MW^Fc2P0uZ2kgC7XoYy3?7Y#L8~?mFTtj5eLFwAF!Kc`42x*T zI7HF_&qqM=ck>%i8V28{1={KdDjD~IrWrxg5mkO5y}LJnc1rQLfbKpy*37{N8V`aV zrvN^)19YHYf)6OQ*MLSBx|<6?hm~Wbc2Iy5A4VR%yDhko4y*^CC5?PmN}nI7-wN50 z4|WD<hU2C;Xli_S0cd2od$R+=0F-0FUIZcQ1#iUv|6-mus8GOhnjOU3ptI~i>-;=A zn?XV1(R@SzTp~fk6-#*l+TsfeQPBP8Ag6VASAgd1KnKZxzrcB-`5`0dSVqtRvY@Qy z0daD-nBpPOiSFEfES)|oJSFO!r$AhoY#j@?o#H{opCGwzKNfC2#Y2i<1>G(x9G%Sz z1i>kTr?YtpWVz`BP+&A3?hr&PF=Rmw0(k-IeDM6ABjkQHNU`d<e=W4M*aw<)1*M1# zA8?AW03`zc7B5E7WeF2N9F$uUKxe}Gc_E#6;?do#z|FwW4LXSU`$f?88Hc`KQattT z5I^?Q?|ga}gC^3D55a2#-NnG)qKP=+@i*xFPfu`!gEn0vP6~_m1x<$`Cze9w2nU^c z3Oa*#4Op+KsxJe>E>NQlbR4sU7gDN%ri>Ply6)x|pqPW4QeP4R_byaT0!R()bP12{ z$sph2KR5(5p)LTocY!C8y`V#4e}Y!G{C~mWi8ob(f*zDA%fWraW>B;sUw{KW9_GK{ zw-@_8umqHr4|+g(_#)iF-}>ht=$woH&{hFx{tKzD+XhV=p!0t{K)YDL7q2>YGjuiw z2!T3T4lJF`A&@1=;61F3hb<sWkU^6};6)#^JRmhWxHtmu4@5E_w3i;5cbFjN&j8(H z05TtR0I4JB@VRCdVbJwOyE{NLzKw@ppqX!lW<I2>L$U)@!b0ts=l<n?clRGiDGwR~ zrnHpr+`T~<RB>;CIMILyTtLqdM$3lN+(9|&h(PpVEaeC26d|bl{<(qNX961e>u%Nn zT^vDS3ER0lK?LN!6cKO`Lfz*f0(Bp_F$rl~e0GC55fV{Q5{r95m(D=l>j!pk4#d5n zX&=hNQ$Q5tUJ+4b_x^yp7i=%+3Z)m$M7wu4A`Q=T1%>Yth<icPgOt1X0NA}pP~5vh z6c)aqA_o$_lU<1o-#rNTzH<S&_YTCppj&w;cW;3hD11xAki$1Z4CY=?=MLiD$1cRW z7j$(RI9|TJumfr8ZvFw<EWyzoz;f^<Q|E<)FPNGiFt%RecR2*Q-Gs6AREZd9D-kEC zt^l3P4a(#$Dm<@sS`U<@cyv!r;ACL%>25v&%J023DjfSjEkW>xR7WuR;yNfA!4=^I zDOg1~MGEYr7ocg<#={j-P#+my+6gU*UI>C52d)b}Acntg0PVlPC@(;JnxOv4a|U;W zi1H7p;OgeU<{yxZPj@o|sBpmQA9lEZ9He3Xaghf52Xu~uN8@1)X-xk(f*c3)56Ezk ze=yp6_o1n2Uj?Ys+Ijy)7dRMp9{^S8{4Jc|+Fb(FcX~0w4N)(ETU($;U$zrC2n;|6 zW<V-N{+27~twT_=%n7V;cLr$26cUGq-yqQy;RZTprj!>H>Fm&(qqIDFcPD_AZU#j+ zv|fkCmLqu0sH@@07jw~_1X{py!x7}9-3!3lCxb#4X45-YxJ@&VZ31yTx;KNA;<CvY z*(PX(pYMpbVg`j8sAAp&shB|_ir!qD;Bma|gERwZa)803ci#<A5@<Yj100*IGh84w z^UHEacope!ylsID0|TgM;L*DebdZ8a<FO7IQ0%iVa0jUeZ7XMZ=>pZ_al9=+7Syf; zrIvl*MJbKPEM&pz4PfSrLlr~!PlA`Dz*Z~U!O}-1_yDW-FS49KsQ`L_IXED`zesZc z1w^X{C|`R7G}kb4FqBw%cJB=Ubx3+e_dA0RJOYiqyvTL|ML|iBXZK!^a*tlqg&^5W zJHfJR-Js_k^*DoeK=y(R*u}tb0D5@Ue|v^*_~BJxNo=PbfzDx%HvIPDf<0)h^IlLF z!lT#J3T(}b*^Wrg2dRuP{PtoQL?x)p;M1!r09FY)tUV7|G3c=NG*FA|`-?J=`tDY6 z^AzS^YtQbzpcbD;ujqLvuzx{?<%?=(uz&qLyZ3^Wd-R%a1j$0WXD{};f)-aE@$7B| zY3=4{e)z$$TSTS#5kKdtP63#(2Mbs{M1=(+5y0ZvcvOKObldTJ_@u+jMAt9>Cv^9z z@PbOmHVIw^2Bg~H!oeS`2OlsuKYE~f(Xrcx!L>Vtf#vdhN63-O%Dn*`j@=>xj*xp9 zHBY*9p1k~s^Lq0E9>;DLhRY8eIS(~Imv?M_B;UD@L5_jJrE?mK95_jVkAG-9_Cgk% z_CQ{KY2gG<uAuZCzy(j=;Ca5rW1tuTb;e%uA*qBkDA3xM77Pra!BNmy3X>hUeF>`N z!0k&0Zdm)01zZtSYj`vs2DQ&YCWBj+4tAjN8I-XZON4owY{BM%R^fup+W<Fj3&gwx zkH*6@z>Dg@HD2_~54ITQSs~0zf|v&yw+EY-zyot%3Mg0i?gkG~HXil>F9m}dIoB2u zRNw$W^FL@67`Tn_?FAFW{0i^@K0{}-058mZ5zr|ly^!k(8V~<KHs2QAd{FuC7!nLU zo@rkdw9ual3f$h!pm`jR=G_lKLyV4H?V#RPNm0uI&pn`00OXDm9?<RTphC9T2Hcl8 z0cv~nn%=bsMgI#sJEY9T=h=J&d>F*@7j7WMjfX*VP9D9c8^DS|JxM;0wVmG~18U%_ zA79RP0xd{HvJqUwJb%FqGNN}k)V@@(5iic!BH0Ia8mLOTVGWv+gV|;URtmCh0kUe4 z>zF{f`T2_#)?faA;ul~Ar2wB^R-XU=|AX&P1h;;{vu7WXUD$~zEhoVG%dqeSt(XN_ z<qC?d-p!!3<sQwu6F@^Au<&$gIZz_%u^+VA1Qenk&BsBtqYA{$pv3OcYuaZA3Qmwg zZ*0E&_dyMzN=HZt!AyYMit_x$Lo2Yip+-2vjaX@e6iB?D|Bpa?y~zr+Zkjdk|NsB| z;Ro<}c!mQak)oxa8ff|n1v#^KGiVmlqq`Zjq#blmtIz)j@B;-!J@)%RGm8g!m--7s zh<gh_OEr2;XWPQO{L>o4%Wa_gWe-e0+)QZFcwq_lIMkpZxIr7Nv3h)`B_z5(f|`-N zte~dc>v&I0)4}Np6gM3x=D)ImIWz#-d{BB~hJ<Vc)Pe~R3x58?pPnr35y6Yz{{>A= zfl`U#w--#7;PMJIl?5(e4#4yO5lH?AuQ+KuyaIgs4YYi*wL~%-RKS4Z4^+m0cOvOQ zBV-@wR#{ML>$5@%F>vU9f6-+DYE3oY04=wK)pb@L-Mc}1eLQ+aA6tX#I?#OHi+&q$ zUFYc0y&I$)bp9hq7J4zsMLTHSzQG#QvTuIK=+QkHWJ)I&<dOxC?rt#Gkp&*Zpb8q4 zrCA}401a@$Pb~yFLITpjhyz&zy&Z)M;Ruj&k6u#;kSx>@J}^gUBRK+O3X&thTr@|3 z(m{hcI3z&L78FN-8Yv#VqBpG&A<=3L4+)TRk6zQ=AX%s*j@v>*Vwn{pBtWJhIReZ@ za|Ec|{cQ$z1nBq&6i0MGLLvlY4KySe5RL#T_vke>1Ia=i;RJJpB9bFOrXV>2%tdnq zXsd4-#1Wt=E)+)`fH=Y)RQ5m}QEdee36OG+UQ<PoEYuNuZJ;5+V}}R{kSR!x0CUkC z0oq9X(G(mKA5a>d9^Jb^y)lno(OsZ&0P2WumT*Ualza4=&H>3n9bo};M7u4*5g=2L z90BH{IRdmzIS1kh4RH4d*7Eh}-VN#xdGv~!f~<i$q7dN-kaCY+Q*n?i)DfGlp&`M9 z<Oq-{NR9w=ksJYPk%AAf`2OOF2{<r7T_%(m0e820Mc0A~EvP$QTEGJXq}-#|v>zl3 zb%!?09aT1nzyO(o<PI<we1Q=7P{Gdo;1Teb53IiY|I9D<E7+s+_fB8XAX=~Jo@Jm` zqN%A31H+32piJDmd%-y-h8H2Aywtn<28iup{^fu4VUSMH6sbqADLY7Y5=ce!5e~2f z$ViXg?G1OB7(9CSPmpF|$YRW5bOa5JF*t$-@EE{M(9jdZixXgbHtzr{-W`Eo@obP{ z&@mXjn-lJWOy8YhiP!WjkcQsPGa!n8Sm0G`3sMX*{Q^XT0e;hYKpJ{CA9x6M$_j|- z5{}?O3aCdx;}sB(-iHK{f=BP>9}o=}@M~BH($KqE;|bXGAD&>h<1)Pwq!{A%1c-(S zX?QgRfHd@Ob`WL(9R(2}1y;=A2)z>+)CmPMA#PU&DTbI{0ntza(SR#fKY_}e-pv~z ziZ|d_d=#V@bR-E<>M`A5$-wX;8x+8u_g^gg`~N>U;k>vBPky1npaj_Y+oSU<C^<EN zUFy+mDrf~t#I_*n#cCtaLZ)|}_g@Ht?C7;^0-5?1B-(2`55%rC`vNujzu}kvp}|=U z0muo}qn9@Wv@x_-l<V*R{~o=jC;xyb+b)n^TaY=;M-=v_fR1`TZv-=`6l51@D{1Uu z1_pRe0p$)*unu9&2%aiuV9<Hp5^3-G?myHk4iNf-J%m<)@)e-;1E{zJgrD^TLNl;H z=|~9e(C_eP<;P3DNdfk!-n36V%kmDQuj4R8o?#}0KF|cAYmP$bDF-1m!vklC{XZc3 z7!si30Z`fpO22{1zkt$Dp!5wWeE~`zfYP22eGO$0ngP@!VqjoU2!Od4bU-55YPZt7 z<dV$%JcZoE3We0Xl++Z3^30Nq{L&JIM1`W%lG36)h2oOLlGNPPyb>-3g!0ThB9t>Q zB&-Cre;F8ltbx!1dmwbhK?wb0HG~$}4WTPQt5!g16RIxY5JcPpe9H&}!-D+~{)M#= zdc`^j4fYHJWFP>U4Qe;S_#oQFHOdo2`+9~1fM|aZfrJUkd$@agASuUShWmqh5g5V@ zu0=)pMOF&Yp?R6bnYjw8#kz(DhOr7xj(#rTo-QFC3W+5pMVU#ZC8-LT#R|o#B?=|^ z3aZ6=3JN}{>4`ZCWr;bZsl^Iu`9%sP8JWdM$`gxH75oE2JbgW*TwQb&JUrb!6!MD{ zeEh@p6g=~aOA_;vQ>_$KbFn(XC|1GQ$J@=xKQtuXH`FI2-VMoQB!{FC=@2750|Nt) z)6GmkEFxTBjO2m<5656v${e6;Y-Rwm8RP~i6U`9}46eaGj-VI<b#OtnYiLjih=ySd zc`R{fg5>PbVApszPe0fAfMD#7CpH>Gf<j$Eap&gf6YPrSY-|b06zm93Kg0L{*C0=S z7wonZ8+Y(<Gc*7*iEw}!)B#2V<p6W21LEC+Tm$2M9U~|U0t<-GL5auEz|0JkkcbEc z3sgsV`cdWxLvZLr+(4uYEWs}D4-GMhckvAKbaACL9~*)!20OvX0>mU73Wf$qZU_yD zjCYQ7_MtE{g95@3<O%~lOG`^4++c`s16|4zESb^}Qlx_8f-VIEWB?mfc42c3q<HlY z4KakK4D4w$g~;+992<s47DU7aq=banJW%NYQb2;;FktBcQbvN^K&SLz1WF7H4A?4s zLr8(?9~xpr@ALpEB_TEsRC<7ylSc6al^%@X<)l$Oozes7G7nIaz*0UK!AneII;RIC zcsXet?=nE?!3bVD8V_80fR~fT^hpocQUSc2G>Lb140d*O!BKG&+mHn}U_mWeP>Ysu zU10<-BTeX?3gP9U$-t#Tc*$roaH$YpGMWrnDl~?djHYx>1;+4F&~(63fib*1G##*1 zU<@x0O$RO&z{^83dZz+-DQGrusQ_LQnhjhkfR~A81D6UQWnzGv3zd5ICZ?dyBzS1b z6v8B2Eg3@!MT8@0+_QJ_@duABf)4Nmwb#)3exC8*A*)a)kSqg(kE34@h~*j*;R>P= zd_V9QCzhJt1XRZQ`v$oB1v`d#`r{a>Ahw#1^6>X@(NS;;b&L1((@}7Z2yqSabMygK z{GNUgHsMe<F^pAk@eFhJ@up&aH!vf@V)IyqAXm3|FVBz=*C0de9b=LQ&xjnVFtI?k z+=$MWTgEB`2gkco82$KKd7$(N!m#o3_#jvC7^|NvbjkxH30m9(9Z$z4AMWoH4^kTB z8sZ0{(X=zb&G!oj59Pan6d_@le!p;k6e%ou!_)vAD!%@dwtk&mL5V*eG!n0)0Ok1j zhwCV~_=o#}g+Vz4%)uO`XJB9uP=|N`n;Q*bZp1s{O6+is33Rj`L>my!F{Vbb3c(?c zK_Q_5@!^i1A=pzbv84y7ZvYAia55soa^qNqFlT>IIYFJlUPB|K(OxqMn+Ru^pgDum zN&#v!XqXeqM012okh20fDJWPexVZX(=7%ye(=)(xLAm)wsR|_-iFpc!3NFq*-UzLr z86Om#;jSd;gwC2WFrXL#u{<>|F)1fCMIk9Q4P?7ZkV_<Vrm&cx_2lVIO-n4zDS^yG zDrDv<xC8|#xCQ&7+6E3H)ETx|h2YHG(wxMS{33;-)Z+Y{Qt(tKVqPl|GOwnPUX+?x zk_wty1BYZmv7Q1$NJgqcF*fbFrNt!*NvW_&I>UluP%19YP0YzjEkbpZLU>|PUS?i8 z^1Pq1X{;5-q+4dOLSB9e%t+A8E8MmDX$pFVMy3iHs%e@EiFqlYSWHR<8CsNI3ZAe{ z$uG|XB_EIq6#EqzU=BbD7h^MOyP&jyB>T-F_JhaB;qw*r4FU_QI{{}HFn~6<fJ$`O zOf_f`JBSZjcnP9Gn=L>zH;7<h0A1<{W`R~ogBUP*&<<%3A9Si5h~@(k43HyPKupjo zU=R(x!xnU@DToVN$PT7q;;`{Rn0YXBVD1F(SZ81WU3LW42RgL|!~h-P0-`~SMnN>_ zv<46j+F1djK?{dKG-$gNhz4En0-`}DnSy9(5W&E}AOoeL`$j<P{lOxj>w7>9@GgD^ z1_nhChk=1X2}&zNX%#4~3Z>PcG_=}e03Rv9z`&ph71x5&u<+4_@^zrJE|dl>R|PTk zK?DN>g8`H_gwn8abz>;s1WJPrWB@T?@dCQq2E;c95ey6rpiKrKCTMd2hz2d{2hpI# z_aGW{Tmp!;1rZDk44}pEASM@xU|?W?F6v-_F6LkWou3MldI?QHpmUNyd|3Rz;sX}` zu<(Y3ZwpkPC6s;#O_<On7Ytocej${$htg_LnioocfiAAN4W$o3>6K6#bTAOeUFA@I z9F%s2(x8hGLGr>-{x1**4ZFH{f(`~@@N|jy21)rihk^SxD2+Lg1Qff)hkzGgg!;yV z+nb^OPT)S1w-0K&6RMoS)yFv!#CDF4gs69k2S;B>s6W&`kSqdYQ4dZgB&Y``7ZTKi zlOGA{!O4^a_2A@8f_iYW$E6;YHbF@Xgh5dY!k{z^!k{t@gh6Eu2!qlj2rp;@sX~M^ zj0G|S#s<-twY*8Jf@fYqX^BE|PJVK>LULlBLVjLOCAfwz&n!vK04-_CNX=6S3J$R~ z)B{z$o_@iuJ_?B?3MHAjsS2tk3dtFXdFjaNkQ&3Fh7{_$BJA!kja2|O84&AuoPE5J zmS!Lt9ja*xdBxzG9JI<RKTV+|BUK?WCnvu=HASHa<PZgj63_}Duv*Y^prlHO%c0{T zo__kiju9xeJ(l@CGpufcuhtk4H<?4+l$@B83~HLCrhr=Y3TZ{Dg{7%^$(6RMX$rm> zReA~`pk+>}70IcoDaGI*Do8EL%`7QF*8mN&{4`Lg`DRpsS|SiLz|E<oN|5=8UIQ%P zKyel3?2oV*)UHBu6lfJ3sEG|~KU#r|KvHR|0E!EgRa5#38mNO9S|BB!ez36C)Kdt^ zNlh$HRY*+90yQAPkq%q)RSa@8NCdAR3B|U>hzeQQq9^Ky>>XHx3zX3r7#N_9c$7A@ zp<yhjKmljtfbbxfaL`x^ygY$*5fY1wQ;R?;HnBtj6#FHh6s2IOX9_9-G!m0bN)vMw zRP~HOxm;5LC4LpKTWbWh7EyMQWfzK7*ljY7RiKi`P%XnO!3|AfQ98DWf>}?&FBMcQ zCuTxI4&2u)&P>ls%z<@3^HM8H6pB(4Q}oM=GD}hw@(WUn62aZPl8mDK()0{aZxO`> zI6~GmR>3hPr6{$ySi!~7#U;pAH3?KCl;#ze78K;eVi8(npc%-(0BbYD+REr{WGuc0 zjQ|FRfb7W0FGs44^uP%#59INZd{8w8?(LU@sxWXp1?u`0Bo-y+mZTPeN=EFy#2%@Z zu*wo#IT<Mwq!wl7r+`y2TEzt`xD-I^OTm59#N1RgZ)3|Cp!E%q`WS45As#DWIT)W6 zur}gpXyaM|JPHWSm<$XIA))@@(Qr3h4Lqn)aQG{rWMN~-90N)*o{?Crkd&I5r%+Io zpI(%ho12=VfRv6v#k6XgLU~4Jat0{k^2@-32B|1@5V+b#4Jo7)4RsHUG6)F;k6RLO zKBR6(b3c5Z25HqF<sL`@doqPc4;T{ZewcraNK|i3qIwe&)ti#2-i$=`-agLI{tGMw zu+!MmC#YCNnHZs3<f4=|utnmahA!3|ZHQ867=jXhaY=qbL23$$IYFtQc4}s^0;nmM zSp+J#L1k7ZQsW%5p#XK55PNY9S{{T{j2KbfQgDEyln0>IKmoy!G0w!~lFYJH1?1t? zfM91IZ+K}2uYdIvz<S`dFhnD0oj$l1lAo8VfUFZWTVXAvjZ9);ZN+j}#TO9l;_v6G z0Im8E#R;qygfuEaH3O&>8IS>LXa;9wrj?-C$Dm>T|9_YD|Nka7|NkGb{r~@s?f?H@ zZU6tDZ}<Oym;L|$CJz7q$2$D~&)xa||HaP#|J^(P|F7@-|9@uZ|NmND|NkHA{{O$P z=l}nx-v9s2`~LrroAv+yl3D-%>(2iF|LyGm|JTm>|37)||NqMK{{MeG@BjburT_m2 z&j0^kV!{9azZd-fe{bRc|JxV+|37Q-|Nje@{Qr-=*N55Pg5?^l2^8A(N=r>mNlHx4 zR!B+BNvuRlo1nx4?o#O~c$R=T@Nzphu_80KG#5Gy3{wN$HKCxI3m%Gs^+BP-9-s~I zVCTTb@nC&dXcYw?r*RDm@(;37NGUC;j8Cpi&H-)101w}0=9MMpWP-L}F*wvg8k<nH z1>l&=PgBrPP0<9o6jbvjrIwU~>Lk$K2GE2JXb{-g%)r0^t(VFHb`^qvnGF+xjhA5y zL(t+I)nbs1piB&|!oaNp=%{RF9=HpJ(Mts@L`!2>jW>){z+-%39=rn#-sqyI;0Wyx zgSo|^7J?OnY8nH4M+-P^F!L~I6%D9o=LqXrq1j68#F#49S`ce3Yz*mj;j)p~31rnY zaJ`#`7MKi-qR?SKF(@q#r6GILU}=y+SQ0D_Nll=A_gY{+1G6TW293FZ(*ffrFrT6R zCxmAH1*M^T-x!r3d`?9O{Y(`~tAT0Im<`w*W_2*1fl&ZLGiX5QXUb3-x~Gnj3&LmR zhR}>W5So=2LNoJ0X?_T;C<CDxWFfSp9E4_&htQ0lAvD7m2+a@4ZnX?dU_PTFWON%g zwt65I>{<q0U0v`nFN_9>g36wX;>=vVl*}Ui#L|?^{D7kT<oKl2jKng1utZU6PCn>V ze+C9H7pJ=7vXaVzRFIkY)g>2|6{nQu77#KoH#L_5v`;KImm#;90kqYbQJUF$2{!{n zF(U&*#P`qtZ*Vg(Sp56^A9U`P$G^}2L3g!T{Qvx4f`@_O1?!jp79g7K%YPpb&Hm*- z=(Ly@9AEyI@Gvl};QaExgNK3PhR~P)D|i?fA{4*;Kf%MmAffc-{~aC%h8fCV{{P`& zV6ag6@*i{x%ng+<|3Rnz+%Wj^--VZf;fBqZ|0%o-3_Cyvh43;k%y9eie+h^m^yNSJ ztcZ*+|G$9Fj41f>Uxbf=;YHz>{|0;v3?0Q^{`>GTFldy1`JchZz;L4M%l{TW28N7^ zFaH<tF)(mcefhtKkAY!D^_TxQK>YeI|9^nwo4))9opr_0^5wq?KLf*x)-V49_!$^x zw14@Z!_UCb(fQ?n2Z-PG<^K|X1_q0sFaHnlGcerf{qp|~KLbNW|Cj$i_!$@^CVu%Z zBEZ0~W73!Z1_BHW5mUbW_Yq)V_%Zd%{|o^Jh8fep{BIFpV9=QP<^KYZx>;ZT?-5{N z@R;-EKj_R`jk#a`gHFQbnD^zsfFJ|Ii+NxE>j*M1{8;eizXyoF@XP-cK?a5si@yAC z5M*FjvG~jXIf4uf9ZSCa2VFszvGmLTE1>gImwoyFL6Ct#WBHf=JVFc%94o&3*AQZ0 zc(LNke-|MJh7&8l{7(>KV7RgB%l{f728JK2zx<ye#K16P?U(;sgculhto!o+f)E44 ziuGUqzX6GF`0^igqhrU$FaK4985k-yefjSo%)oGB%a{K#!VC-&+rIp-5N2S=*#71J z6k!I2jvZhAgN}_{vGdFSGr|lEKX!fj{{nP?<eo48Swt8ZX6*g)UqOU{fn(p7{}v() z3>Evn{0|UeVED1`%l`}zfB%>N4IuvhFaKwN_y@lH-vHtt`11b*h=1_Q{|6xY<d^@T zBfUE=e)+E;%D^z==9m8tq6`cx9)9_sAj-h7<K>tC4WbMTCq91pzd)3M;l|G||3SwY zS}=b7{{W<(<LiG0F$RVi0$=|tfM|)Y{~bWI!q@)^AX?+={{|3k@b&)!5N+}G{{ax~ z@b&)#5bg2xKZ7^}!;FBh{}n)V#Ml20AUfge{{#@7@%4WLh%Wg0e*uWD`1=0<h;I1$ z{{e{Z`1+qgf`Q>j|JVNt5)2Fx)4%?AkYHf2nE&;Ef&>GD#PYBI8zdMQD%O4dzd(Y4 z;l|dl{||uV_kR8VK!Snc#F4N686+7PR-F0zUqO<Aq2tQe{|=H23@@&J{U0OAz%b+b z*Z&og3=9^(zWtve$-tl?`~Ck8kUIJA|8Gb#F#J&b^<P7ZfkDIQ*MAo&28I_#zy8Na zF)&n^|N37e#lRq8_3Qr}DF%iU*1!Jm0P!dM`u_oR4B@0-|9PYt7*<UA^<PJtfx%+N zum3*M3=Ah`{`#LG&A^bc;Me~SX$A&~MZf+p0f{gE_5Xl01H+Epzy3b}@%R4v{|9vZ z-=^RHWn>r_Zm|6MZv&zQ{``-TVPMFR`t!d=hJoRQ^q>DTWEdDa)c*Y6A;Z8Rq4DSc z6&VJG3e7+NKgcjJ?9l%6pGTH~AwvJpe;ru{h98E1{(HzWFlada`JW-nz)%tL=YI!? z4*T<ei7W%djEFz~4}j$3|NMU-%fKK}_UAuna<Ien?|%b128I)6fB*Z)F)(CU{r#UK z$H2g0_xFE~90S7)*T4VQfW+PZ{y!ndz+mC|_x}?)1_lkkzyBHJ85lZ(|Nd8yXJFV7 z_V>SyJOjgx=)eDC<QW)Fr2PF~BhSEKk@5Hc3=lu_@Bc0G3=BVV{{Fup&%iLF^6&o- zApKMS{ufYSVED1)?|%aY28NCUfBy$4Ffd3Q`ujfz#6R)(e~$tK!;3qA|F2PCU|8|w z@Bb4Z@fUypKLPPy|NYOR$iQ&o-QWKziVO@dKK}jh0ir+s{a*m0KmYwd14MuM`~Lul z{`&X-3lRP7?|%U$28I>C{{DASVqlQ?|M&j{B?g8L=70b1C^0bnVE^}DLz#gggXiD> z5@iMk55a%`4=6J*>=6C;pGSp(K||`_{|FTZh8c4I{x4BsV2F_a_kWKH1A~OZzyDWM z7#MCS{QLg~B(M1IKZ_~@Lxj@5|1zo!3=+!!{+p;WFx*i7_uogAfnkQqzyB$!3=Ah! z|NWl;Qm6j!{~lEah8G(D{=ZOVV5rdg_g_Sffq_Hk-+u=+1_le=fB$pT7#K42{{5e! z#=tN`|KI;3Y77h!=Kub4s53A~*#G<QqYg?3|NeKVGcZ`V{`-GKoq@r_<KKVK<ZXoa zzyCHG3=A25|Nd8KFfddE{`<cLL<jx*e+NVd|NGCP2}(cz{_B9~(0~6!G#MBq!v6iQ z(FDcwzyC`#85knM|NTD$q9gwO{{oVa{P$l*i-BQ9<iGzeS_}*sQUCtuXfZHo#Qgie zK#PH4N6f$fCqVLX|NdKOGca%@{QDoH&A<?m`0sy%HUoo2(!c*Jv>6yAlK=g`pv}P0 zk^1jHiw*-rMaIAX7CH<J7CHa^x9Bi1oXGw6e}fJK!;SoZ|L^E9Fh~^q`~N|Qfni74 zzyBt>3=A2K|Nb}VGB8xk{P+KYE(61hx&Qvl=rJ&GEdKXDLyv*s#nONO*XS`Y{8;ht z{|^wo_1}LNeNejl_kV&u1H+6%|Nh_6XJFWI;otul0|thS8~^@aF<@ZexcBdWjv)hs z#NU7aw-_-n%;5h2zr&b;;RNsh{{kiq3@iBm|DRyOz@Q=U|NjaT28IZM|Nr-xFfeoo z{QrN!1eAXM|2HsYVBiq?|9^rh1H%cS|NlRLXyO0=1I!p0PKf;fzX3#x{{Jsv&cLuk z?En7)a|VVN;{X5eF=t@7A@TqJ2aver|Nkl$3=A`*{{N2v(bE6__gFA6?2!5Y|A+;s zocsU(fdvD@3fcev|5z|EbjbbxZ(zy5P$B>Se~KjoLx#ft|8p!E7&w&w|Nj6ISN{K> z$BKdBg!2FY8deMp5-R`yyI3(W>`?jtzru=vfkXBG|0z}s3^UaJ|9@k}z+j>A|G$bg z1H%oI|Nl#@85maB{r~^Knt_4C{{R0!)(i|8_W%D&*f21xaR2{5#fE`_!{h(|1{(&3 z2#^2&=h!eXba?*%f5V1>VTSkr|01@a^z#3If-M8Xji~?sLFf8#<oy5t!j^#{BLDw? z6*~q7j>7-{W9%3hZWR6hzs3&K&iViUgdGEeMalpFPeA;V|NlXg_%}-a|Ch06V2CLF z|KGx%fkC7E|Njnq28I>o|Nk$sXJGhI{{KJd1P6)Q|Nku<7#KQg|NjqhU|@LB`2YVJ z2L^_SrvLv>I504rX!`&Ei30;eM$7;I3XTj6J39aW2kmH===%S^#F2qPqv!ws8;%SN zKYITE=W$|Si0J+QU&9I19{T@30L1V6|Gxr6_y7OD#)*O9#DxF<KR7WkXiWP5U&EP! z;l<?t|0|ps7%Zmz|3Af<f#Jro|Nm8785k;-|NkH0%E0hr`TzeFt_%z_R{Z}z!<B(y z$EyGT&$u!$aIE?NpTUiR;l!H%{}tRA7+$RV|3Ai!fx%<_|Nj+kp!UlD|5HHx4gddd zabsZkvEl#!D<JWW|Nnn+V_=Bb^#8wzI|Bp9=Kudq+!+{dZ2td0!kvL(#g_m7Yup(a zEVljszrme>A!FPB|3};z7-nqy|Nn+N1H*}J|Np;n2eoVd|JU$fV364P|G$j~1B1uT z|NjF#7#L>k{Qp14gMs12&j0^AK;pap|6k(4z_4Q1|NjR(7#M!+{{NrHlY!yIp8x+N zJQ)}~_Wl3A#FK$x#lHXl4|p;#a2)>s|AQw3gVW*v|9QL^7@`mV|F7c3z%cXZ|Nk?* z7#I#7{r`W97pV2mzyKTfXRHchV5|^el;&aQn7{}T2d%?s`1JWdc)f}XJ9x?fw3fw$ zfq|jo_2>T&Km!PT0&aW~Ui{qU91RTiQr23=D&XZWAai3tYf?Ua{*T<x2bl@Fx?bY* z=l`Ia9vR&E7BDflF!FIY@-1M3%u7sRU|?AB^YeeuwR0d>f-DBzy*A|+su<|t=Z4>? zVxU7MbN+n(4?4seq!(o069!Nj^ZCC9XhD%X-vValV=Q3%m?3L>SQr@?G}ylUF9Izr za_2k1%<RJok_X+x3bI>;k%6H@<O_<Kpu7G|M8Etm1a->X`5rJb)q%8tZlMIvt$=QJ znj-e)|1^*zKx<?f7#MOG85k7A5n>>>fi6K=BmU+8f6!tocfJYC%qy8e27zw#1j&Q0 zzv@xOEf2coF-QN)|0|%5H8?C|;b95V4|0%>32u1?CI*H#7GM60fV!dXd=1RZPr+dW zx+fg8-UxI{>Km6Y|Jy)|r=0i%9Qh>N`3`V2Gxsqu`?B##IPwWNf!uq50}_^?n{9le zzWo0W>cE4|n+7)zw9X22A&yScm;ZA>;pW0u!1NcSy8zT|W$0mIVAzn1T@G|@ok=Qo zxg$&r3_H@W%Yp7wvdO?M_lJpr;Xo#KISFP42AAwF|L3B)6BMQ<%nS@Ca=!cz1`V{i z^DSUxc7}vGBP0w$m>C#23cmag#3Bz0gA&jQkHy&S1;zWB66|tom>C!X%D()632{>b zB<vEvVF$VvNTmY1+!JO7h98w*{`0}~tpbHPL?3AJ-kU1y_Q|j?Fcj2amjm66{iPNj zJ}7PpVPRmXsK+h`x<BYo16&R@{#h6p8k(@%w}OR%fu#kz+z}QAhK^S3W<FqHVBl&0 z^1l=6cW_YyDi0VK82*6l>%cB2!OFn!r4zfH2`d9bM)#NhpkuumT=)W*z~LDHj)wqN z1_qrT>~cA*3=AJ|$aSzXFl_1l@}C<tXbZ|0CqZ!p$rnpl85madfBF9$RF<IGcYu|F zp=JVh`#|dg942Cy`@zb<z%mKDoCq5O!wDR625bxrJ(IEP^I>CP2$+IhE`yDML1Zd+ zxfV7Ch8sBK7O*ie%$bH=-ySvwhJ@)~{<A^i79}2TurV-%%=q$O5F(erG#?b6kTm~= zje#Lz=9m97vB(LqGceqlg<YQxI|IXm+1TU3gPnn4%N*=-DeMdk9dohEHLx=<#LUAk zH;0{p!C?NE|DZCJ!G*7Yc_p}*DFBrrpp%JH7Jm8f1Mx!u(+80MAZhRlI|IXvMcCy& zurn~6S^VX{6c&9v91IK>mSC6D;9y|5vJ|_SE*uOD9LurGfsXpMS%E1BY9G{aFfbIX z{PG`ku_c2Gp8``19|uT$3I}9N30-^*2Lpq`sxSXRhYEw$mqFAY;b36sz#@K!gMr}# zXiN%{ZxooyA?m+yFfc@{#x#eAlY!v?7I76$1_q5asOmxWfDI=D!-O?o{{Mv3bq^Ss zCBStzsLln+$8a(*6m0qOp9Rza0<{sCrBUQ-I2jlc_I&xz2A7vXk)OlKzz}c*xBMPX z1_p;SxaIF~GB6li!7cxXlYv3u4sLlFE(QjHCtv=@L;QDvk@+4ID3yWk5(W9shKqqA z;5Baf7%m2e37@|F{|M3lfRR}Q#eX$i3=9RozWo0Omq!i1IUw_yzT%YM!^Oa0z=d1> z4#@pNxaI$FF))0P#4Rtw&A@O$5x2YzHv_{4&9DFez}+v7;=dSf28IcSxb@d?GcXib z;+CJo&A<@gh+BRSHv@x#CvN#W+zbo?fw<-Wa5FG`h`=o`!^6OEApy6%4G#muh78>D zF+2<m6AHfKOg}Xs^UHC|&*5QU5UBt9|0*PWG1A{2kp4E@@}Rka34OTD2hAB=n2K9o zhL?dsU@mTX8(s#6fF)o5x53@_6D5Dd@Pf({-0rL4WngI7j9ng7#?RqpU~t&|^?wko ztlk33eULJK2QLFd%bu_QLASz#+aO*LIZ(d5!pp!QvG42uSxSry4DNgrM3_=Rk`q8> zD@YzR*D>S4xBrhpJvC5&hiNrP9@O0d?{xspfn+d${~rNri-6j<Os7Edpsol5XtBBp zXwHN6`~OrX(AoQ-4uFIcpMn#gh7+HGBcFvk-v#?-_8zug);^Yg<~Am#)qEC?d<I~7 z1t&fUa4&+xkq>+bB*>qjIh7Oazy7O&I$JJ$0nFS2Ap1Z=_za+VmOJ9V{%?Ym;Q>r* z`8Ys+*ulrZ&?52cKj?-^P#=<!c@nsC1UV8U51NnpBJ=D2e2^bp_!=0QH-P0q{VkB4 zpm~}S`CtE+K*F|w=_<&|0tQguR)n8{fkW}ve^1Z~dnd5}9QhR7`8IGjv-C0dgFK|* z2=)iK>jOF_AKdoy;b&k_DE;+c6=G)rb28Y<0+4$$_!$^X%76V2gvd28GH(Y<g4_d= z2h9hSRQ~$k3-2>50;i<~j0|A2SMW11JgNEhA9QyngA1PnQwzww4&eAY!q31EQTOZr zJWzk!o$ml6^GdK@P~Q;b*C(L4rIug+mqPps*9(#ZbyjoQFy%mQk^#j>$FKh{KpSP; z`5IUt>7)VF)dcCa5ny1rF#p$o9*Cb3AZ|(kyD36|f#Jx)U;j(KFo4qF1yP9J3!rW! z$m|LM1_qUBzyEiFc7(h0EntVpgYKvS>z^UOz%b>-@Bd#Q{o)0nK!*+yfaP}xFff#S z`Tf5i(x*Sb$g~s`ARsS;!wWS3_2lpG|D|Yt2H6do6Z820`~PInh81_d3ye%jAibb! z4XjrLG$+RS=RbIfzY8DSUm!ml2r@9(aR2!q2{G4z37qc?!1{ay85kOP{`@zFghv5H zt^n-b3_%8lC47JWPXfg+C_O>~3l#ley`Y;2RfPWhp9Rqy0Fe#=>jm9exI*L)B!9T` zZD4}<bpuE#$UjE}L1T-5{)29qV{qrYzzhk?3m~gNZhiuq>ofcFAH4qsly<;wb^zPU zAjH6M#^TR^36NnRIiz$YBgDXP!RpWdE=amsz{oTQ6i%Qd33iu_5CemQ<DdWUK^u6$ z?gE!1V0VGW4q}8D7z85z{7(j*-U`n3ps@o1(AWXfYNVk9P%;C#vqy-5Awc}^e{)FK zqJ+T;AqIvLiNF6BfII~bzXp(7z~Ki9gA+mw3}2*i%Y$ygE0O>EzaLUoKVW292+|K~ zs)54+G#~jy?eG6%Xm*3#1)8Irp@CfvG;isn_4ogKP^JL&&A{OYi8s((rh@+8|1qFN z4(@y#plNgi$O@3THNp%G2_}F4>q7hl&zB(ofUd+VG5`Bt46Zj4<X*5|ko*o|28I%w zzyBXX+Kv~XX2a9)4Pgcb7uUc4)6mQY+51J9fnkQn-~a6(hakl{NKQb6fq};hyPI@G z7#I|M|Nfr^9V=pj<eLUi(gC^2M}&c4LFC{6&p;dJ-1#;zGc5<Z8RP_ze2xeMLqX}^ z|0$r2aqfH{pz<GJ@;xF93<qZX{oetJqYI4CbPRGP$Zcyx7#Iu|{Kd$ZAhSX9xJwrP z{htg;s|OgFz~Kch4?yxSL>L&pEdTpI5>yF-#-$);gNC^v@h{52V6x}$|7{?<L3w@| z$mx*&q6%oP_we8UcR&tBi(}CI@SNj+|AX#m2i3KVOuZnDpdnOn9s$i8&pCx%9<*2s zG@m@@EOvQNl?NJcT>_e0zVP?|Cy)RnA35?VfV0snST+KwJ0r@#P;im3x-X#1_bw4u zCnLtdpm3S6Iu|hph93mf8Gyz=#TXbaT>1MS+|Yp6t1f&7kZP5ABQqbOUIm@Cz`($; zK#YMQ=EmRu*`WLd9&AKuYq;<wAU8FbgIKV&HK1m_5o2IzxI-PYEW{ZYmOP-2SuNrW z3@4sY$E*wD3=D5x{QZBKxbRxRh%LMv`4T_}yU0i|Ff_cSj=M4>7#Nm(q>fozKx-Ag zQf?NgC;+W@c=Pk`f6&FM432yPOj{vi1QL=A3{!q#iW^8WFxdRY6!(y1V0eN>JVBCy zq2~{#deAxwlfRha6C@cJ?qCsLA<4kd@()!#Xy5PwNd^X;|9}63_Z>jW22h4#?qgz_ z04p0D`3ykQ)C_MVL3@P%{ci#ls3>Zg%Nb#c85qE7C8QV_rZ5tx7PQvm2NQ8>3#1qr zVpxb%3tB63fb}0yemfz>z;J|(IJI9uYf#t;s|794Q;=q0IK%PpKlr8wNSOuc>p1cm zfC?YB9@buPr-1oC3#j*_0hR^zaln0p1dtgiptUIi<eLH7kG(>gf#HbwzyAWDItcC# zM?MV~K8I%39*7gJGx2FSf_h?b`yD`fpGbq|w#n10Aj80rBTJrM(ApaZ1@iQQ*6D~S zlcyK7rsst!>3TtRsDLa3!yb))|NmpwwclY`1f&jhW#kl1!s-%a85nZ32&)6FD{|2$ ztZsuW1A~mtzyFgl-Peumz8kU(3{!|u2U@Shqf6L44LJse7G1*b3y@=Ah|&A^A9N8m zgA;hZ5Y|>%hwQ!zIR=I=djJ0SAp8L<w;GYvEdZIP|L^}6ggTgcYhda?Z41yksxSKg z{_la7ZB8Ib1sA>mXxm~fBWl|M6o-H07#JE1|NZa6G`k6;9W=9<!@$6xBG16^!tme! zry#SD+aTaFAfTD82RhQhT*t^4;mDWZ#Fyd3SK!1~;l$U#=)~8-=)^aH(TQ&cqZ8i( zMn}FCj81$T7(Mv{N>NJ;M?MY)P@8ayJOe|9@jpl((~(aA6fjK8L5!f#1U2_TYIevo zFf1|o_umQXCKo=1W+rB9xEhfE?#MGRTrmCjA9Ud`T3noi`46Oy1+@0d48J;180#o7 zFgTe1`=1MH@I%TVM?M9R>%hei(+{K|2GuzrJqZd73^kVj{(r!(hxs8Bk|t<7ZHfW| zgN4n%|KN+@k;5A@z66R?<l&`i>`j;ea6R@wfq`L-{lEWxpm0J-kIZf8bsmo*1A~C$ zzyC~7w>p9X3Ea+R{)Ma-)L*qwWMKH>^zT3Tumc7L@S<)XMFs{1=YRiaf%+hj@B!Bb z8sK!|07@s&x}up4HRhf8K=BG{b4^fWV5sr@_kR&+Yyz9#CorNkcsseU`JMqhPIpI< zf#E^$zyD02&J#AX8c@ypE{4r4M?O#|8{{?}B?g8C+5i40<7!(5pg7G7Ut7FFiGkrr z{=fg?p#Cj3x1pN#50_b>`t^ts1H+7xfB!*q{h&4+c#IJ=9*GEd$VepfX(rTg2jw^h z29V!4ltJTj&^m*#d%iPa3o2;(4N(ToxBvV99n??8W)^Cn<tPs}v!HG81<DKzYuf(( z@5hxM7NEvq8IJS-^5Yw228It4py?Z%yHLY?D~`TF0O*oS3l#>2EtCHJmjtbuA#9cn zBVR-sUxFjJVD#h*U_Qsh7ZJ;s0Adz0p%$7Rd<~$&k*N-QummuG)(`DaVPFVZ@bCX} zP=B4U{r>3o^E1Qk*Jt93@BkOu9()C!e4t?`tmD-Ipmj+GstgQ0%m4kKjVs+2Am;(5 z2YeM#VDA(#FJ|Pch=s73ys>+&fO#n+Uxgc5rQyi8fYAwBX*luiV07X;!05zxg3*cZ z0;4Bi0Rw{zBWSHF1H+Ux|Ne7>4ky734|l!~sOe-DBi|3kG`<EVN4^dwC%y?xo_rq| znfciHelR-nHGp|cp?p6WouC}%SWdnlj2<wa0V^`E8Dv-#Ol}5<mjL6{F!B9hbmwbe za)Mgq#5aS<iEjau6W<CZC%z3#PJBC<ocInfIq{ufa^kzd<ivM_$&v2?lM~+yCU?FM zOip}1m>l^Un4S1Km_7L>Fgx+hV0PkL!0g1gf*CZ(<ixjw*^}=8vk%_~My3F$lVO%Q zB3b6hw*lg>Xl$X+z`y`nI|e$-Fy_?1{}s5>HClc<%+9xhv7B!MBYMm@@!eo_;(Ng8 z#P@>HiSGlWD-M_WfYuNFV&+@Hn2ThJD>Qy#rZ_@P@dT-6WaL}H7>A@9B+7IatFKxZ z7#N<YGca)6`1fB0RIg#C4M#o)<TT4{$jIlB!584j7vTiX6_`yKS7=iPmMR^gsnUnf zfvE?pGZ;W+Mvevp!-kvx{@;bx#i->pxE%$lADM3PMU>*O8`g|+#gQX?_yU-EP)m7G z?F#DaztLb|5V-yCKj`LmP(1-!4*;sm!E3W`|N9Rbvj#N<L1Ge`3=C6{#6W8qL2I`+ z-2V4}Ge{A^aAfMj780&}4ldZlLFV^pGB60-p`H0}G#MBQXlTBV76ZeDJOBRwAufC_ zV+#j-;j>1IfuZ0o?ab%VW?&GwM?3R#v>6yS-1`Sv$3-~(>?0+7K=u0>Z3YI1`~UuH zf$|qY^O*&(*6*M-yP)-PIt&ad5B~js28v6Rb~Do@P_r1+H*y6v{w2T`ae&(TJ~|8x z4<7vce;KL=(gy>T{g6%=(?Qg>K1ff44g*8aL(=qY0qtFQ^zZ+7s6B{wI@4a5+Z_26 zvS4h`obC%91_qYL|Ne`B!Uokp%VFwV`4pI9VH{8xfUY3aWng&o_}~8|kX?>^0?kaI zRZ5UKSsPsj28SpA{+A-;@<9!GkiQ~y85ov4`S<@SNDkG$gD@-5!>>n|fg#}OzyGf= z^{jyDapY4dg0VsVI-twI!1L_i|96;rrohyJ{N)AXFfcHHE)x8q%fN8t*+0nqIHa!v z?#nqqqKes*k<Y`4FTe?033&23Fr_2Kog<$E({Us|G^~8|7#IXz{`;Q|atkD^QbFMX z>V9PCF)*aO{P!Px_z7691|$WZduh>QV3_gp-+%B?DPTD!50E4%92e*@Fr0b$@Be&| znW*6iAMZd8N041t^cWZ{Uj6$IK0yy`UM<Kt2GDf=2R#OcoLB$;_k+>_IO#&?6CC*r zKvTh>P8zhcpwEa}jW~iPb}lehV~B#{!9$;cVae-%|EGb>MR6x{6TI(;>`(?sAFV;3 zfr01kzyA}l_Z2`hRVbCSDmS)L0n|QXV8{TqVe}aoVt)Sne+D!!j_f~3-x;(*9L2nU ztk}$R1ue=q#M;M!EYk<an~ebj!vUuM|G@_mg2S097!=T;IEXM{U|?a!lmnGhB?b%( z9?bv$8-hB$khJFnN=+zZnMLg21n9}<P|D{K#TNidgPy3vn;?4*7=Y&E|NjpJr4NW3 zykTy*W5B@B!2SO}_+UhcoGVBUG$#7PfPrBP_y7Nz(D;J1MI1pE7{JC;nVx~T&?PJ2 z;amgo*_bAV3=AuH{zKM1AiLj@53ZN_4<o8(Xy35Fkb$9w@Be=RT<uHLzTt6PeM68R z_82lSILQ3}uZqVH%!1h6@xzdT!9@1|e`U~NoS5kZG_;L0#=`84BP}F=+~H!xz#yUb z|GyLZ*fer@=rLo22Poe(7%?#D82<mi9N`Dh_#R|HX%AwNjRMmR7zaGIvBQXgVTsZI z|KKw`A#ngMzX~Ab7t;bzf`Sf%yYdw<?MLD}@)a;SAn`%zh{KqHLB-_%|3!#&GzXMc zKyoU^3=BJP$T=7@F!-4M$6Bt%7&9<5;E<~@W?<N2`X92E6_PefLH2>xS4}ZyV308T z|Nj(9n7Hua@*8qdjLmOPj2Ream}A-n@*8Nu(;st8_k;S_GA0ZR1{VMS3*zeQqNdeC ztnCR`z654LCUC#siLU}QnB>Wqz~qmu)N$m4%(qW50qxoU{~uJIf!gWK;DrVZ;PQKe z2?K+U?f?G~pfM<<c!$+n?#Sc3u6z#6C($c1FQk}v1Rv%A3I`5T1_l$y|Nqkv@t**S z50IRSDFZ_X7CBJbcQ9pOxa0W$|72)fA+<&PVO6dxp8_ZwLR(6Xe4z1L(A-^(DFcIp z^Z)-|pzuYlGwfJlnnB~wp#4y$3=AnA|Nn=B+=rr;$s49L0aX5*GBC{X`2U}usJ<Rk zKDKxO1zR=N$OO$FGBB8!F)(;|{r`U+(=EGUZb7c<Kw+3+#=!8z3$vUCjX$@TF)(O& z|Njr_BQl`+tpH{oNZlGU28IR#>OgIVD`pG~H@yG<{|#~<q-=w%-(vz54sA?KuVG05 zGX4h|L*y`LU|8bw|9>_p9wB<*W4E9!V@U0SV9a&_11K9Y_?R;=T=4z>KM-UFJZ*yP z>}O(n2rIrot+7}b2V9o5m@_c^@caLNBS;_IZbv>1(3<gP=-dKR5>ol;$j9Nz_kfYv z0J(4ndj=HNSIik0G6FE`J<!@g(0<oB0ssF`1s(hcw+p;B6S8*m04GZOK%R;31ZOPY z0ZyjHFvd(611<t%Fsm{0o#1rhyTIwhcZ1WB?*XS5Narz_1~_96h6qS1i|+!bBi{{9 zC%y-qPJAyo9r-?RdV&n7<2%9W2sITX2^Mt6mUJEY4sbGuBD(^v{u18_&I}|&KsGqR zY&e8ZatA(1ygKnoLgv{UEEyQqB>w+D2b5OP(@Y;cnJ|FUza;~MLel^L4N$d6Wlaez zpMcgnT><SuPWu0UI!GOO{z#!2v>ZUdkq_KY`(nw!@FVH}e<4sA0m*NmITg^Bz9Wp# z27f>W%tT0@2km2ilJft*7buOw-3g!j0nI$2tla^*BL;MEc-sH}J5k)R2|SGjDm!be z7#J3${r@iwbqBl+hCHXeA32GG8)2X$Ef{uKF)*}b{QnO=tPWCt2EfYeE1<pCSmZ!% z`e4Ptz?1p^{{j>@%>=s%Brjmiz|fQV|NmkX`8jZT18W8bnJnD$0oDu*Yw*YySTiu# zWMkJ4suw0$GccUV{{R0Riv9b*_Je8;keV&l3=9=HxYdBlz#G;K3@W+*A^YC2#8(w^ zJqg{P%45U8(2)24KkOWO&^VZa4FkiRy#N1^$Hq)-7#MaSsRPBOhYbV6mAwD|_ao8= zy!-@}osfY&&<-Y)6%wH3#|$kt3=9zk|NnP@{0w!=43K#R|Nn!QsDp$-<=Pq>28Is> z|Nn#fuh4dZ7c9<C*f21t6k?Zq0y4kw|Nmf+L6EfK3)9D7%fK)PiyWw*B4f+I@SyPj ze|Ho=q4g0aA{EO{pkmp8X&R~!cz!9vmVx0((f|K1KyHA<2c*w~)JA4XM%C!bXTX$- zDg-ubi!Ef&emFjNrJ-sBnRO9W2;7GQ9o|2u1T$<v@hxM=!0@2t|NjJ(wicv51Ff`! zHm^ZG0-NPy$G{*^`TsvC+cUU=#!5;+!3^GGo?*wppjY|-KlqG)4?Y1<W-VY~U}&&o zV9>Ap|KAQ&27vVhg9<%`Z18S^c#uL+2c0ThPz4D}M_=q17=Bj${|{<|LEM=Hb|<Jm zB4E$Jz*_zP|4NXrT=@jrm>l^enwden)BHifXW#^?b{q=%6e7R{B*;vV(osAb0;3@? z8UmvsFd72%2!Rjj5L-4tX;4!DB*F|DS7bn(M=OWMw}kRx=VGQp`JmxvkbVZxAOZse z1MIFP6McvbxZVb>4L$|ogSL2p)Psg_K{V{nBm<~Cs4Wc=hsl5a_dg%R2k+luU|;|Z zd4TvIp!S27Oo8~Ib1XnKXt@iB2A!`6qPal?be;jk1nnaO(J%}edIYf}&OqD;YEFRo z7Epsg^S>ZIJBVOl03EXjW_5sX+d<q(^*|0R%m8!u3g{(Gpy70o45<BpOoP}U{QEz| ze~b(et^c5W(E3G?{C_AP7Ed3b{58-^rG7&B^I!@<ny82^pz(rkq!~26-Jo<Blum=v zWl*{eN>78*%b@f&D18h{UxU)mp!7E=%?94g&%huCrPZLc8I*Q|(qT|K4N8|m={6`m z4N5PA(%Yc)F(`cvN<V|r-=H)bcmj`sK@3W(L1{B6?FOa8pmZ9PE`!o-P<k4aUIwMN zLFr>q`Wlpe2Bp72X*S5QtPEmMS`A8@L1{NA9R{V-pmZ6OZiCX(p!6~*y$wnqgVNWa z^fM^^4N9|tPj6;m5QEZcP}&SiyFuwND4hnS%b;`{l%58qmqF=mQ2H2@z6PbALFsQ$ znhku~ECYiWlvab%W>DG<N{2z|G$>sLrQ4wNG$_3cN^gVG$Ds5zDE$mde}mF&;DKER z1~DkD2BpoQv>TKTgVJeGx(rIULFs8wdKr}72BnWd>1$B>8I=A8rP1>pAwI_iNI9lp z2%-I<bcYdy&ut8$d!e+8350(VN_&|?_@|+CfEk2;2}*~VL-@C#bR6gaUIx%vP!RDn zO9=f6O6OTY_<x{unKgv}3rg344g+9dVBoQZh_^v$E;|Un4@wK!L->=RG>-#>KLJXM zI70X{ptO(^gg*^ROF2XM^PseZ3xq!hN-MZR_)DO)rW=I+2TJ$5L--sX5V{pgGkHSz z5?&B`50tj@hVZvTX*<vX#S9D#hoSUeDDB`2QC9<{cR=Y^P}<55B3}ok|3m3|e~36! z0EE5}3ZWyyAoLq3-4G7p-->|HE>RGAH<VV5hVUmrX;IMO3k(bl9Z>ogl#Y#ssN;x( z&?lhu3ebVe3=9nVi4gH6P+Bqx!k-VN8-yYJ38E0XKo&wzkcZF*1R!*RAcVdEK3I%_ zVS_k?F9165nSp_!07?r;Lc|Y1=>jPTUqKo|!}7@mD1QOyPzsPB)II|!odBf+pyz3W zlz{Mu3P{UAp%OwnNJA>v09!~4VFP&Jfq@~x0m5fUhO{I;v_j~B4hVe!ya}3t;lUzE zOJ%|m2+hC<X;Bz(Lg)uv5PAX+gl<rQ&=b@kw170EWw9U^N>@T?gJuZ5p#?$*^h4+e z6Ckw1BnaIAIvfYIE@cLUe_$4b{;&!{JM4wh`yq6~K?wcfID~$14?+jbgS2QS%!kkh ziy`!dWe_@H9fUU60HGN+LFf<LAanre@EOqf&3*`f!4U{O;Vgt^xCo&qT!PRCu0rU9 zM-V#TAA~-j2x*`NXhP@*S`a$G5JGQoh0q1Q5IP_bLKlQU=n3HvdP5YHj)u~p!)F*6 z7&gR0`EgJ>9zqu+L+A(T5IP_SLLVrA&<3RtTA&I-H`GDs4^0p{p%+3==!4J-6Cm`4 zi4fWWe7FDu!-XjjKEpH!Z7>}|C(MA*3JW0ggXIwV!zu{Puns~CY=qDXTOhQ-b_ngT z3rg>X(xAg|K>HB(Lizh3^aCi}uph!#H~^&&Lg)ujy5JCmZ*Ukwe>ei68;(Ngg5wbS z!U+hia0)^*oQBX3pme}F2;bo%gnn=XLMNy}^4$d}?Vt|fAAr&h8c;rzb^tHTU|=`^ zr5(VF+87udj3DX)tRQp(cmoUrLjibVf`Op{d{_zt!-Ob^{DLG1&5#A56Tk;HGB6}m zLivqQ8hk(;14F_@C?9+{7z0DXLMVSFlm<`IF)$?Tgz^tU>61|UB9y)fr5{3R@S%MS z3<)10`~yEAv;yb=Mo>Y;0V#hTfG2Jl7#zeQ`~`9lnn4XhPtb+X1*Q=C19-Cu1H*>d zkn+d@bYL0-1H*$K5Wc`~2wm_OLQi0VltT&aka$t(fY1-1^ny+ZKcNdkD|AEX2T*!J z4}{Nf6+%zA2B8-~<GBD@-Wsfcl(z~SA#}hd2%WG6LKhr@(%{om7#J=<=?x7K@db?# zx}ga|Cp1Ip76{$Y3ZXwh>4G*0KcF2#J9I#3gH8y2p&LRw^g!qdQ2GIsZs>)GUx3mH z{SZFGL<qfLE`%;v4xukV=>><O{3{Sz0d&y;XdeD1gumc6gbn~5#srGzyHGxqUI3*P z?m@&q+=tKw4<PgbDE;6mgdgw>LT`8lp%q?3XokNKdI3MA-gJQ0!xNzL25%wq4WA(N z11S9ge836=!-205afNRXdI6MH_zvMK{D9CCenRLEzaaDm&>>Qw`dk@e?*SDEy+IX1 z7pOz%2he(1KncQ6fYKYFv;b7U08~9Fs6bfYCWvHU*l-I%2i$?sAMQfv3HKqi!9xgr z;Sq!`03G@YiU;tai3|(|uOZ?W-azOA@PbJOhJ+6ge!^!6&F~dMFZc$b9iWHMKKKdY zH-HxvFfb_mh42sjgU|x3ko^1sN?!mUO31*lfgK`#fD=M*;DXQ-xFK`_4}=cjh0q4z zLpm841o$ER4^aAo0EE8*e4rr%!vrA+e*yF|;sfA?tqcqaVi55LaR^-?0ihElA#?!v z;6Db23DuDJn*ch5jDdk+LM@bE2caRpVqj>fhwu{^Ao@QrLg)r&2ps@El!Ad_f-Hpp zKn_A1C_v}{MF?F0I*W>df#HBMgf9SIw8p>?0Bx5ER6*>y0HxiXovjo!LX%4KN=g+B zjrEN640O#(!Ca#{BNIIX6HTZv%%#|AkZmjsAHmyskyU_NAB+qv3^kDE9eCd*NFH=2 zH8%Bf3=9lR4EziZ(D62qS`Y@!$AZKQ(8NKP6obTJ<8vUjAnXYqo0DYVxC_Y#Aoqd9 zL&4&r46yMtkQfMq*2I9!fsOZp#6TD{SIWpB!k~dRuCp9`A3LUh*MrTMU=V=zD<Q2w z1_sbx21W)61_?BA(0K?TaRoGS(3#61aSb%_gHZDg(8P~H#VydpPea8SE<wTp=AMgC zaSk-`>rin4G;vTd0CJB6n)qX=dIdD`mr!vHH1YRPaRW4QP;me<-vUh>R1AQ`9nky* z$|hiOH1(k303_~#CJxH}U~x2aK-nB59)PAEl&wMH5oqF|><1E0KobXLH;{M+nmDLg z1riTHvsaH1G<Gb(P=Kc11S(#ECJt(bfy{|OGshmPz5z`==q`1T`VKU4Z>ahSXyTx? zR3P;;(8NJ=-yrb>G<##9=47CWCql&w(8SZA;uUD(pmks%^Bd5_3!v&d(8NLKjDgfo zKohTms-J--UJn&tfF|Ao6<>iS4r-o)%-?_}4%*iY65oL)4qA^05<h?@J_Bn02{dug zIoBZd7tq8PLDk<t69=u=1*w04CcXx${so#isM!os{{c;W8&v%dH1XX~afXYi<pbzI zCy+TDXyQkq>IKlmL2GD1>Lt*`&qLKKpow3Biff>WgPQXoa}3bL??KgDpou?*iaVf* zzkrH+pozbQiU**He}alfpoxEniYK6n|AC5UpoudwLGv}5I2%;F0!<ur4mHTX4QS#5 zQ1u;X;-XOT325R{Q1KaP;_^`O1!&@+J&GWESD=Y&Le+0T6W4=^??4j=oxuk(=Kz|x z1yubBG;z>y5J>$6G;t@W`WtBC?ojauXyQIl@fT>~pmQHV=6^sF4}+@zfhLZt9*<^X zV8GTs!PRa_0h=$(uw^qOAA#BqFpSNdB5=1-h~Y#As`^&2IpPek`8Ajsv%%tG4CwPq z!JxA+L92qG`5LBv2@?Z@5CcC0tRDbg%LN*zfr`W0@1XJsq-Q@^9K=G!=fUFq46yb# z_$+n?28Me~3=Dz{5)2EV^+g7xd&=+(svg#k1(l~D6Td;lVeMS#FarY{GsvAF6{y$> zEY8mW>(_wSMlmojxIx8X;~dawCx#%XIIQ0SzW0EEfguqrj%qfDR|^*BXMpvCz-!hR z7#KRC;tJ658u0ll3=9lYq2dY9@e1%+$_xw)3!&n$ej|7-Jp%*7TBvvfbleVnz90hw z!w#r8tUm#2e}LR`94Zd$M?kw%4A-FIu<>B%@C(Bus5or=3w+iT0|Ucbs5q?O2&xZ2 z=Kq9>!^R<D^TRAG*u!5BEY8mW8$Sb|%f!IIU<nn!0Civ>v|aB36^D&ug3otkU|<M_ zio^O>u=JAz76-Wu70(2V^E1H4dBJC?GB7YKfr`V%?ZD?VF)%Q!2aBVc0pcA3i}N$U z#!o=?H%R;(R2<e{f_Bpw?m@+2{UcC&9i;vpR2<fCg{7;%P;uBe9dvk%fs+*!p8W9f zG4Pq=3=9mSP;uD!26*2X0|SF1R6GGXP6X-?fb7);i-TCGIGi71z5uiz1imwdfq@|t zhx&zJaefBaI1|kLwJ>q$I34)xa0Uj3olx-$ZjgQ=_?!dKI2TkL){ln8<9o0;svAK( zBQ}UTVf}Gva$#_Uio?dC!DqjM_UM7dK{`=!Dp;JKVZl;}IQae*1_p*2s5oq#7M32` zq2dnE@mW}hbP`k?Ha-qMTa$r-VJ=i0Hf{z!W0!$}VI@o)It~s#canjDVGB%L6B0h) zGi(?b7;eGDK@}VW0|Rt;l;H_j9O8Cll9?S6KCp2@@R`C43=I5GaoGF|czrSh1A{bJ z9N7d2+Zcy9=$-^n`G0{M;!g0HEes3{d0_SY@bMVva4ADAI|ICL4lx-*_CVDKK*#&Q zduACJ7-m4lVdJFWv%^986e`|uA5tNJ&(~pKU^oR8hmCiG&w^rLV7LYqhmEI#&yi+e zV0Z)-hs{%g*NHPQFua3`!^W+_cN8!%F#H0GL)?r^YH~or88&_dtM4qJ;;?Zin7BJk z96DYOGd~zCj%+f7T?7{AXMoK!L5KMmYN6sApz|cq;Twhym^gHt4OX5^hl<0-7s2~R z7#J89L&ah9RIvQA4kiwrPXV9X3Ch1vaoG4PcpoqW1H(~}IFkSaY@HFr^$ZNBLE<nD z68!>)xBw?4JQ<+l=&*Q~fr`V%m%)2MLF<^H;v2xLk{B4E!+Z>OQ1J!O00!R)#lXN2 z0u_hNTY~owFfcGAK*eF>r{I04ptDY);;`{-@IHFby;)#!Bo9HEQ*elbrsF_cb)d@N z)M2oCeue~SMuFA)=fUD|WpKu0usA;hY+hy;w0?XK6^G4tg3o*hrCYE#Tsxcrnw|sY zU)X#YEPhS7AmI<2j|89P4w{bwi^H|U89rcfeg@e5By@U}0W_TlG9NZx4&IN+z`y{S zt^<j~=WoI7R0f88s5!8CI9PiFG;Ie`p8%aNfDVr`v_aLw#*1O;d@58NHjWFcN0xxa z;m(FLK+|K`;^iz@J;+~>kv&+v+=Pn5#`~eki{U9ud?v(b@I7FlyNRIUuyJ(Icn3%Y z2R9^~VdMMYy+8~M3?fi**f=_@-KYQ+hmF6(_EYG9#X%;bVs9McSzvK~_<R`5Uu95n z*myInJ_Sw7fWjX(P7NCW0@*YLsvb7)2tNN0bj~kW9Hasjp9hQc!^gv6@dBDI0hte* z9|7-CWnf?cO^blUVe`@8^RXEi7(mk-AaU4yEcm=skX1a8@Py5O!`h$RQ1Jw9NIeBU z=Zb-W0kr%EWDacp5!Q|ZEpGvd!{&2g?NZRP5|B7-{v3QxIH){<nh%@5fh`jPEyDn* zht0Eq#=k($%z>(htuKJJKg*%w@cCkBzHS1GgLI<e#b9xM2H3nEtevnPDh?Z`2j45i zz`(E{Dh^xk0p6>{z`$^t2YbEz9IPJIP7v=O4sp<Z-C+Mh=OMsn$AH#1@Pgt6q!Sf~ z;1Dkai}N$U=B2@B9x^a6)I!B!^9-=|b1zgJHs1$64}yV#VJ0uA|0u}7U;yd=g0{YZ zT(APH9>hY$r@`X<46ykeSo*vL6Nk>H!1Bc_s5or?8J3T}LB(P7t+4hm6CWrX_~G-} zu=K_U6@QQlNuS{Jsu&m;Orheid0m(}j$m<Aw}W``U~zu<JQZl%2PB>c6=#61tAXta ztAdI*KrdJX-wVjVz%UUi4qLwgK8Kxwfng3*9JXEo*6v*n76;jciZ|jAzX}%TXMn9Q z0iSCRYX3vU9iZzRz~`beFfhD^io@33fbXGTU|{$L6^E@Kfwi9*q5T)w`V{aP_Mr7_ zP;uCN7OY<;0~LqO2ZPVR0JSS%;?Vg;X!Xos4jvCd^(%-M$Pc>nQi6d2ZJZ<yY7T5Y z68Nqb1_lPuJtCm|0-Hw%pCiG*z)%8K4>A!IF9(bBGi+dkq!aLYiwq15XQASWdXRJu z-U|h4_kzVy%>ePffyMb5VCzX>;mjleNuRLwTCnyoA5<K+egjtDNkPS7^QW--R}(4@ zTekqdLj{zcVdBtrP~dwvK<x^sIBb0i_-s~Ce+?=Qo4<v%pQEATuyqr#_F+0y9JUS( z=Kf-+_yXwqEbu)e3=9l)Q1K1W^+mAubEg0U1FUWZg%=DjfvJbCI|8454;mkUio@o& zVd1b3Dh^v00}HoPU~!nK2>Ll#oSy-<ZVA>N`ves)D1xX3-+ju!z`!90iZ6&5GHC-A z=VySen}8-?1`n_}vPuX$6D-cp09*G5-uKDCz)%Vnhp0g&yTIc73<u;O=@5LLC1~6a zDh^wx0GhV|>DvPp-;f95A=>AcpyIG~GT^((K;wQ;aoBo8@SbB(djKX5U7rCyqXbkA zK*eF}K)`1Yf#!IHu!oN-4)ItV;<-4)yKsmv0E_c8z}8!U<`+ReUjr3S08QY6+If)d z%5WGe4qM*{+OG*xe;y_dUGE3Jn*}ru02PO=KZ1qZGpIOh9T%+L`~($;t#^Z^tG{4z zkWHvqN*EMw{0y-5lHj|c7#J8-q2jRhiQqeGKxd3W#bN7XVC{SxusEvOAYLL^oSy-< z&JNbj&jE{rRG{LyU~zs1*g7KcnPi~x6R0?B-3Tn-f$mcQm8-DzFyON-7#J9iK-I(6 z<G}i@7og$^&~;z1di)Ml9JUS$eAXZX1H%ibIBb0?X#O7LV$fYIf=m+d^#C9-FcyJ? zCv2S)bQ=K!4^(_XFIW`=tiPZP7Kex-lMY~Ueg@b&8(6;pbXN<=zp!;2u<`I1uzF+@ zAnY0(;&Z^_{0y*lC9rnRYN$AD9WeN;0R{$!?O<_;naJdQusA<_{R(XS`5jaow(bSi zzWOV|zyJ<6w0e_E6cTQ*b*kXIcR=HuP;uBgOW3%a0#rN!y1oy5mmdQIgAP<2wjKv& zuQgOW0UBVicz1`2!`4~C>c?QHIBfkVtltp_6^E_21>Z{q8ZU*4!`2sr?;r!!?@)2r zIxNuqG${N)_s4+ZaRaF1!@$4*>qqo})q_-^;+0@=eg@dOQdl@_fr`V{Q^MMr2cY7x z^~~VAz(DPPusEvOAl^-|I6p&!C8V4OpU(@D5Cg>vh=Gc^z~cN2uyteL{qYP83}R4m z*m^eb`4|ig3`$^eR5L(4U9dPmLqZ9}UeG)uNIV2A4q~C=GO##518kii_}n%I28Jf6 zIBb0stUoddDz2;pDS)BN#u*ku#bN7}!S@R>FfgoviVJ`iv@kF*fbYWw?HhoK!`7w3 z%Hi`+ao9RcSiAi;SRB>uAl_@RI6nhy9d!!mP9;#i1{H^`!-DnW*u+8M&ktXJ1rry8 ziaS8p5yRT&icoRbI_`GJa0Y`8SRCX6R2&8t=VySe*9PCC1e)i9iYGwV*~0QmE>s-0 zt`z3qN~kz&og6IRbwI^o>n>sK?I}=k*g9}ndwxDt9JbyMR?e@3io@3XgYQTL&3{3~ zVe3+%%hMQ+fW=Y$3gUtC0Vv-&FhSBA_)K&L1_nL}NI1jRrGn3fWME*B0*ixmqGDsP zI6nhy9WVHvLk0#0d#E^UT{LJN7)Yl#SRBMc#qD5meg@b&ZCE>bGFTi{Er_=lEY8mW z+gAXZF9(UAfQrM`&BNNam!aaYeF~uUE+F;ypyIIg<KTN5K<zFGP`?JT&JCm%gug-6 z!`5?y@6H9ayCfmuRsb64V_;x_wKsU7;;{W8u=cqmR2;Ux88p8RGG7%c4qK-Qi+2;S zI7kI5js%PIGr-mf!^Zhjq2jRpEzshgp%5zm06I|sou*=Fhl<1YXMpedV_;yI1Qmzv zCjj5e1e)K0iXRY!q#y8E@u2bnERO0%5bquiab_t{xPerlVtKGQKLc!iGx+>W1_lOg zs5orhGWh&I1_lOmsCWW&KyDh;IA5svhC+w{wA;^+3>JroA(N$GaenxIAMp7+3=9m7 zP;uD0c38RB3l>K<0m7b*Lwp@roF8)7C1{=-vaFrq2vi)lZW)$eE`Y@$W+Ib!aftuI zA+9V93TJ)>*ghcG__iKc9NA<DI}t3-&yWCGIK;rf05A8T;;?-<;JXn)^8#RTh+brJ z4G!^(U~zs1*gh0kyW$R19JUV#eCHzr1H*HuIBZ?MA#}mzXRtW3jS#k~49LF_HZmE9 zL%b9$&d&hb2Liq$1QhRJaby!9?1ebQ@8S^uk3(Eg7UWKT2H1LHSiPnQ6^HHng7uGd zq2jRp7qE0_2^NRA4VjDri}N$U*2_b;XE3Be#bN88q06=zN@3#Ab^WmZLL*chwhkYB z<{twCLoZbP0(8Fs_&iI{I3!FQx?cvio@6Oh9JbF0)(-;R3k0f<VEZLt{hd8v^~gSg zu#bYp`59pQ4PfI87op;?_1v)Vd<YeXt*Z})jx&FNio@2s!|Ln*Q1Jxlei&H#zXHx* z{0tYgA?+yeSwRd84BT>%c!cfagN-+eL&ahH3c+WZF)%PFL&X`O{UBI*Wd#+7?Jt0> zFLHy5!}blp>V;6KIBee!%$<o~aY$Gpll@?Eeg@e7H}Kt^3=9mjz~aa%A?&qaaefBa zzAsol-2oMc?X!ceOF0Y`hwamXwa3mw#bN9CVg0OoP;uD)8`ym`ub|?v{fVHn|3EJK z3KfT~<A>F2tn!fhS^&B(9i$e7x#Xeo0$s2J3m-A4IBZ`6EZ$Y2;swzC9N@FF7#J7~ zq2jRpBCvT_Tc|i}UkmtLPX-1CPpCL--y5u-5&{*6?W=^Xi-?Dc8#qGhQ}F#93=9ly zP;uD4C0ILi5?CDMLsYy6EY8m`0W`72z`y{$tAK%l;W1Pkwm$@xem;T4QOyAH*c2e) z2HQUbKHr&vfk6l=4%_Ddn~zX}io^D?!TMi%P;uBkN$}mMp!H5*aga@@*cmL&&)@*v z4+u*?eqeD_wIE&!Sezfe{{z<FDS?SY_iw_|c|BAdwoeQep50J!*giX0xjG#z4zdXq zZv~6<GhBe~>xHc+IS3VRSOrlJTUT>K0WvRh1iCH}HZSuGs(u3>B;H}^1ay}Zw)t5_ zMM%8B_LG9|bOrVI!Qv1*k;!ndI6r*fAq%wLOoocX_SJ#!?Pg$L$cKu<_Pv4D*@Mih zhKj@X8-wp{XJBAB5A82=LHm`kb5>fx>OneD@mw6@yTIc746uE0;B)607#NO0#bNuO zVCnWMO#BsOJq-AcC<X?G-%xSbenarx_6!UR>`I{W0dt&+PYDv9uzjQ8``1AI9k4j6 z+d(`7usA<_zaselb_NCp8>sjJ=>AVwedGZZhwU4OwI@TM;;{XZu=JJy6^HG!?gZU0 z4(d-p#bNt|Vf~sim^gHQFigA=EDmxpDxL@y=VyTJ(}r&IWtamMhwT%C%{#0Hi=&zW z;+?=D{t|~clQJZnVf&Tg{UfNj0<=E@Yo9B_#G(63Ve=jOQ1JrjeoNST6>F$CY#$`} z?0nGG?ND*p{xaw?Q-(08IBZ`f_{@I>28JZ4IBY)~tX?RDio^B;L$|Fm)I!BKfDQv> zK#cQG28)A23Kg#ei}N$U_9?>J`CGx_sA@sH<6v=q_`dxm&~c1QU~!NNRQv>o_;0W{ zKLc!kGx$C`P`*%s#0%^k0$4j!7%C3i4+`2Z0kTORDxLt{7YwUUwZY;b6{y$`EY8mW z+piD48=ZlHArdT(susj6#39~^LwpffoS%UKt-ZPqDh}H(3O;w5fq`KcR9pdi{s8#C zdeAy9s5orDJS=@)fQman&m#ceGta=la2qP#37vlgpSK8_&jpDy@i4&7p#u2{gr9-L z8Tc7s`(#1;IzZx|z~UelDi%|P#0zYnHY}W#VB*mI#Nazb7#JAzz~ZQ8fO)~mMJ0xM z`3&*#iAkC9C5h<_$wei_C8cR;ddUnasYR*jnZ+fkMe!xM@yR*)d8x$=@$o77@##7F zNr^e}DJA(u#qo)y6%5Jwxdl0?C8;TT2G~`^r)B14#wQjPC053#=9LsxGNctH=BCD{ zl;-AEGQ`It@!~V{GD}dDmgE=Y<do$y<fR)h<fR*euo;Lj2N4zwdFe(*U;-p-1Z5k8 zMNGh?DVPMQHZp=Jw*-lRl^BDJGcpDn09I`R7BGPbfR&hlRf7#N1xuQO1wc+PG6UOb z1{O90>oEiC0b2&P3#8T<qzY_@F-X=JWRWq*Kx2?PV~{#ykUA5PI<VCyAay1nbtWKn zCLqg9K#EO3ioq^21t|tQ$P{F;DM*JYNQWs%hbc&hDM*JYNC()-V8@z)B+Nh(W*`YO zkiF(0qs&1P<{&Y1keCHX%mU;w3y|>^Amc4SCR>2iS%3_%04cTrDYgVDwgf4*1Sz&O zW~eC6%#AnFOJ<0VcMEd#b&YrRbBT{<h>v&o3ypX6fC_rJ1Tn<B`}jLK`o#OYxdpq1 z#D_RK`MAb2#JfcLIr@4!Go)6eCYP3^#+w+JFq9M}<`pL<mt^MW#T%J2WM*f^7nkIg z#OLSbWag!!NShfkq?P6+ha@JU2%DQ(pz@83!N!#3<fq4HB<7{$q{gS^7bU007p3N; zCKjj0C+4NZrxazDr542(r55Msl!9!ByUNJY7>R9;Vz!YX!sz16^t{xR_|m+@qRRN( z%)HWKxHc053pm@*0AZZ5u@PL{$j}tdHbL_Ns-+etaD_&OmT<NO!dxR$gt;aLU?&!r zq{Qdsr)MU|Cl+OvWW<-|LEW2~SCX2ZS`=T9gXCZfR2z*E&No4gB6Fm$El$ozO)1Su zjYp2dy!^cQq@4WZ?99CMc#xHeIq?PgMI~@&8=AqHmIe&Dskz0eB@XUBo=(p3MtVki zh(Iw$i&rBvBb2x>H!wrtn;OCmHZ(MVvyF`q;be#uwZ>+UfC48ItX_e-zBnhpJifRf zH90e_GCn0WC$Ta<C51@6X^F)p@p<|2MXBi^Dlt7B;Vv^ov>F?kGZdF56%^&C$LGcy znk88znWh;UTUwYWC7T+>8<{2<q!=b!#v2-=_}I)69M!mefGsjo%Tn`7k`r@s;&W1q zi;Ypk)C>{)rshb7Ly~%KX--LIK~5z@W@>6`d_jDQfu*U5WlB<facL6BZLoZ8iSU^v zA|;t3$AdXM9L$V}@;V~jn<3m)P?TSgn4VaIk_6!<8=E3D8CzN~WEN-UIu&K6q^HKG zr<R1LB!w4cmZTOzjLS&Li7(152Bq56l=M`h++>Op8z#mK@$u=o`FZihC5c5P@$qnn z7+N5*r?IIaQD!40W&=cE8Ji*^$k-AoksBg~N=hoI-UdYqI6-CRmE|WVf{M8K%rtPN zU7V4cg5q>j)bhs^5r4)8kP;76foCS>WLBldmn0Tv$0H1d`w}UinW5%Pq(aNc7?J&r z5dJbpN*fkt3}9D6;ssQQC+Fvtr52UM7l4AbI5j6Vxdb7JH4Vf=lWHp5b;c$Li;XRe zVM*9B(L6QHFd34Bjj)O$>qEHM2+2G{a}$VrK#2&_AOf|X5{pvfi!-ZI!E(t(si1V4 zk_swS7{FN;Tx^1Bw0L-)OiE17Msl(dSZhXVVga}X1&IK-ydhEzVhAmeKrX;nn1Kr< zkfRXk%N*e;V~B;hi8(p>$%veb9A`+0(Z~`JG!}@oXlRa_nv76u5Hm=E0*3%BVvAA} zbK*<#<I56rN>hu$o=z#qFM#{h*cjnPBam0Il|P6)W@L%PM#?cJNRBr#K&r`-^Ye;J zz_nFs3M}bVf}K&ES`uGe7GF|XkQ$$snUfk{kXVutpO=`6l(LLbOJxgGt~nx*3=JUZ z1ZUlhsHBVIQwvKIb5L@rIg+mo5n0p(QOY5;6ikRLW)SgaY=mH2AR^xcQQ{aGB7}{Q zt6N0uSVChLln#?KQj@deixTtFQ{(f~;)`=KlT+i7W7W(O#eYU-h*H4F$bcc<GsHI@ z(PIhmbq4iULK2g5AXy3&H$}<uB}JKuIq}K)rFoE20n((1PsuL@DFkOSX#3LKfKY`A zZ@H8u7R48*mVol30Ye$cH(-PC<W@sNLlobb8z2JC&=TYu|M=pPl+3*N(&E$<aN+{z z0gx|BGKx|YQ#?~Z?bP_vyrRUsl>A&2)6m*N=7xy0U~Ghl6=S3d+}Oy3xS9r$l#G!x zCp<AGWtPMz=jSG2w8xP&T3W)&PLo7~w6xS@OHln2kJQ*RMYz%u(#}uJ$xP2f1O&9& z0tGRovVzxJ@N&QukwPsIsU9iaBBdZx)MCfT3{m14TB6FC7(vn+tZ$5x(&FP&5=#;x zbvoQ32+IvY4GVCm1xI@u9*mjA@p<_r(0olyYtMwCI5W32C$R*Q+`)~mywcp%qReDa zN`jSFi76>?cNrQWLde(>;bUV%)CRsOBF7q=A_^#^lw@dtT8bmJ-i(oY8^%Z_g%Kh> zn3*%g$0t`Lf+})ws{v~Z-`oHtjU$yV#s-j@2&vftPZyxJE8N4Nnio<Tpw#4$1P0Ex z_-aFN(>^ghy(ksbh=dzz2x=c>XU2o|qm^Qrc`2zC$fcN(g#|bb!|f?3%1=%$E+#7P zA@YQgF+2yM_yj2qO^_lDsiZeDK$HcB7HF;Z#2i=`7+kl;XXX`@LW)U9;X|+s2kG#D z8ZC)AV1GgaB|k4Y5y_nN)DlQ9FcX}0!7XS|R~~FhN@`*;$N*3;2p+~pCUF0zCMTPk zn5P&*N(@A)X^hmUKxzOOn;@zQL!@%h91;&Hm3fJ|nV@O`F|Yt~Gu&oFq##9@WMlwo zLF3A0&<15C+!zZ(NGq;56Esd14~_MBSRcqB9^BNz-uE*!N9{TwHJy!6>RW{SjFI}} z#z@U&69XfL`1lZCXXt1Q%zi_2c=)1r)1VbJs9g<;6tn~a_nrw_@7lrysu5Hdg4?U$ z@(0wrPXrD7p>(9cEv2%=qD)X-4T^T~kRwWFw?Ha&p!Gp|YF=s)sF9pnRFq!?t_xE# z%QA~WLqkcG@l~ls`KU&q_Fc_QLF1Ig`OpN9@Cm{u3q-kXY>Zk5m?NhOL?LQufDpGp zBux{fEQcudjF9RlBSWN|2y5q-fJOombK*hWZdgPiwN;Ic5SAH2Qgd-?UU6m#s1rd< zjbR9hqvC?n;taU|jgaaABU5M#6r`exs3OV`T9$$m3@Dp}5_)`ceqKp@aY<2Wa!Gta zNfF#GLre5VkOf0|QDQ+sYEe9>0FOt6tSLNwqP7^23OOT0fE$}ZDg;nYjxS0@8BsDt z%}k&{9&lp~+Uhex8fZc4v6w=_3Tz;}>_*lH%^jdR0`5OEg!_$+kP;JGht~|@2}4VS zC(KZjoCzYf5%qu}s3n!18IP+R%FHW?2i5q*BnL$4VQ2yEAA({LEj7YDXN+9O8$uEa zxB$l*JSbyJ$hAa#egV>GAHgO!G?+o9CEO)QL2HHx8l>c4XaLIWNyWuz?J{GeHUx5x zM$Y)AXiXtVxerbUIiTS>NJR;CRsp<lgXLF4<f<1`-C}8eAlz(>NHFH6MCCW6VgufE zgjYWBIu+DwNG>fZO3f>QS3N2DMY)O4P7pZWP`kA#Bh-e-?KaRD55yNJRRuhQqBKa5 z(nW4+ZgOq`Ql2(ODk6<dASD>sB_)};kVY(s2d*8;L1S;QJ_Cvch!8V^8c~#*0~*0X zm;fq&z#~Gi0<{!6a)FU+;6YfD9}gY*hNm(^q#^}t5{IS%P|`$n24U&I*aYEFV+%-I z021Iu8O888fvLvY900{8C?Y`<D=C>p@nBzqYP-y|_@dNYP_c<<+M6R4H;5|7&=@I{ zv3dd}pF(_uXvbmI0Z{~Lzrgyy;DIb?i3uu0ic5-8^U_N);*;|W5N#quL*zjf$cPvE za3RRkpi~e~&~9*+gycq0`iVy_!XRct+m%qNC^a48Gmry8LrNfBC@lsfq>*W33q<j2 zYywHupvXurDk}!fVHV&T?=eD~5-~yKb|V8sBpD&44<n?p03)O!aino!Lvu(uRE#|C zWn_rj#4)r)<r|@nvm*6=jg1j5F+pm>fI<MANzg~{5sBHv0JT0fLbP>^jggZ8w!Q;2 z?SLvx@GJ=|{hOGBrh1Zd3$S(sks5=@je7%B-y#<-<_Py1qB_^Yz>*<8H4l+-jEzwh zm?LtJ5z-L45mN1Egfwn}G-YIHNmL1r)F&}EgBItYRx_alPn_YXQEmz;!(ami@zC*Q zSg@lf7;~f%P-CQsHH9R;6i~AeUOrkv-44o5@NzUaA9d=$9BHZzd0YTFEgC^ghx;GY zgiI|46_T0xMVTdt^1~QpC6=1V(1gLSG&cxZ4S1#y6;cpOAw><ev`WrJj42}zb-`VR z-2Ouz3xtP>G16RyG18EhAyUf{8f>5_hjf%cBNm{ML~!W@YL%9OdO6VQ8dg=ntuVAe zZ9|(F5anH@Txx2-5T6PfwL+K)?cIVy12UdhP#JF!UjbKPj6CUsG_hcelsAo$hMJKQ z57L+;@{D9MWQ+io6w%5mQ&0mgCo>5&lm(jB$xY16gO?4)km4BZ+VVuu(viFrPz@ZP zms$bpcff|kzzRT9QQ4{B?geP@0q!Gn?9(}jrnxavSKAo5D7HWymqw~63@y;g5~N}X zR5n7=E=qF-QX|4b0h;tv5o-mEphaUrCEWW+gZs!8DlFc>$q4LqND#*tCnx44B6Y%$ z^qU|J2pSn6N<L#~cOG09U>Q^_N(D_4!NU?XI0YII0~H0JWk1Ck@MH_G&#|O+up{9` z2dFcjf|xfkLRutYgjDPsBkE<Oxf5ek22c`V08fO$-DL#p@xtmNc=*OAmc)bVf5@-_ zEclI)rm_spp&1q7DFz0;;>z5T#3Tm2;*uf=odIKknvX>V40?I_C8>Jpd8K-wna9+k zl1e0@q|(fs6y3}esF0(Rr!Hs(7Fc;kVsQq8UP@(Nab+%qE-7LF%ao-Sfd)BHIPpac zdPS)@i69M7RzXe)gC1x^ltHf`r$jG3zl1@rB(<W1K@Suq40=WR;5@IFngLyVm61}! z0Ovup#)GFPAv$1e=!hAE9z=UmaWR8la(-@ZYF-I=MHxi|=-x%p5=Q9pR}2!+eHbtr zcJCt05|COL8$^S)iGpqbf$6t^>W9&w^Xx(DU>LgG8OCKW1Fd-k?bm~fL_qb!XwW_N zP$@74Tc-`?fY$Y+>4%-a52MlTN7oNphxqOP|9qJHVdpi$Xqf%bZDEkh7-5!!_M?LK znjpC!cHbn7)_^P{X8?r}DC}VVhu!;_$-uw>@-obR*!`6-8g^eL%u0|MFgAz=ZKDI- z1qsu?273P^jD81F#K6D+b3aTSjIIOiB}4W<=wbrU`B_lf0jeL}{ZL^B&~_P6n8M71 z-FFG2VfS6a>__)MX#WjJKWtwU?EXv`4ZCj>q+c9#-U!rUSokdjpR<qfAAFrB^qxpi z`i5!&Qy{y*3@8EG2M!8Tkoh1X1*kq4{QxEbl7!wR4`PDuvIo(ibCr?xGeFNPh0!qo zg4BXAj1QwXpt&D*-YJY$Isr{UAk9ejL%9r~b9<5Phn;%}qha^1!qlVtA9DW!19Vk4 z=pYRqh*IczRVOSUTo?)SH;jJ->V8=K!_Ikz(MwRB&wy_KB{cm7B@p{zw1+*+CI}4+ zHwce`0d#!}=*m}69s-HM?yu0;1u+L*J&X^dLFWU2?yiODhuxQ9K&1XJ(7XlH4?DNo z0;*pD8Ze;z19BhCURXG;hWa0-A9fyg#2$$ISHL7dnvv2!%pB0QF`)Q|D}$Y1aR8#9 z11$ldmxs*I3ua;ZVfTn|oQ3L#+6$vVcEQ*nnge`3JOhIPh=YV-`n8e7Kunl^WEvVo zAZa+ZfZ87cO@E+z2y_+&%-^v5&j~F*;ToZg39Jy0+o?hYpcKqrD3?JHhyHU~5dE-o KLtyIB^#cH5Jcexm literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log new file mode 100644 index 0000000..27d877f --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/audioProc_behav/xsimk -simmode gui -wdb audioProc_behav.wdb -simrunnum 0 -socket 53729 +Design successfully loaded +Design Loading Memory Usage: 21320 KB (Peak: 21540 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 111776 KB (Peak: 160588 KB) +Simulation CPU Usage: 40 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..693a583 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..14371aee9da1838895dcfa5bd05b9ba52b2675a3 GIT binary patch literal 20200 zcmb<-^>JfjWMqH=Mg}_u1P><4z>wjCU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~p|8BPog6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA+8U69Yqslhfe|6R^u$Ipde_Mv`{~o8Q%9aR)5u zco^a_C>aV5@Boj_%buNox}6eS4ZpP>*b53ue$N-joiaf2*XfkgnN@JysRYb<5B7ei ziwcWJCxq?Ud2}C0%A@nRN9QZBv`cq&f@|x4SANg$KAqpeLLQyY6&{_(eLCOybbbPh zcy`|PY<}Btyt#pa0h~}=I(N4)Ffh1uZth@UU^w2~0~Pn^1}pLCY@Wivz~Ir{43hTf zY@Wlwz|ajA>ujC?Vs|fKU|;}8Y4;2U1_sL$b;>ViF~B0UdooCx@d89KEK=%gJi4<3 zx~ns~i*vezOS-#3CV|}tVRoL-cFy2m?_9#a&4rc0m4DkFmZ#1jS7cXo?*_TNdo#$< z-IGDCh3Er2MjPyA{`FvAxOCL8GIWE*yP;0wZ_WAt|G!Una)oEND}!gZFNa5`vx7&c zw}(gaY={T%gN^g(JPHoCXC9rmzyjShDlA}z3p{9Fcr+j3fTyfjNIav)Z+BLLtKr+$ zw~qWCZ=n(A*m(>QhsO=SAw^(!c0%i0$338g;KJ|u^#56(&gWn|JvyBWz|Q&S)A<N2 z(wSXx+_?s<vU4#gK#n(q0_6Yy|NoDHV~U~MIic6%f9nAke$N-3-Jozf4h{;4p4Fg8 zJ>Cq8FpwUPZcsXD21OMj-dBKP7c2&i_3kzBm|g;oY5xA7;OuS-G8B@)!ST8qWL@`W zP^5NG2HDZw4N5j(mqVDHC$zzF#J?Ww25>a9{Ig*#&*$HEz%!Yp-G}kV{|6r3*#+Iz z72U-(-IGDygBSo#g4)g%{OiGfKIX#8!1UT3V*Lc7wJ^UH0cnAR79)rW#@%4kySpJC zERpG+4DvK6{epY|OTYYmBA|5Cn_bbc8<cDq_*>>NFfbqzRr3~5rs?bkMP@gc)7iWM z6rSA&;9<Cffq~(*GT2rG(LEWY0AwFXIn2I#XLNsccZ1}i0Rmzo0)&5?cSeT~DCvX5 zySqX5Se__d>eF3afN&u^*@IG#M<;5s{|8I<u+;7XPl;GlJ;MFRoE#ZJ<!e`q#XFqk zU$95x8&I+Umw^9#daE1^-x_|~1uD;cI{$+`Wy4q+?9myc65!G89MJ6@(Z#~i(V_xM zQ69Y^Dgm$p&W5>E3#5$0@Ec5L7ej}OiU&v)2V51C1OJqxubB+rcE0dvJOZ*h8dMv^ z9-e^6uO5wWDi|3UAa3f;O6Ya{-`NC8b%-3?1d8k9O`y~bO7WemK<+u-1j<XGT-4bF zGWmEDD5XH;K^f|J6DTc#<sn5kYB7EUr3i582A9T;z3z;zt^ap|OyT!D;n@8Dp9{bL zi%uRDkK~uI;=`k}8I(oA1;aB~CE(EuP8cqoyFrnE9Gvt(rnq#j2Bm}J&7c$sa!ePv zsO)G4CAZ_vCqQaI=@Xo#I-Adc>w+uLx}f>TKaWly6%PKsr%VhCy&jC6-50<LjzG1w z9^mh|#{{bRQ&a?edUI4FU?~C=IT0X2fPWj<t_~MaoMs1fgELO|W>8>uPX+~HcQ?p5 zaO`(CgP7Xjl8k>nxV8Zo5gjfnfj*tzVWnV4iwY>jJ-V|qx_5(E-J3yS-#r-=;#iCW zDdAt=43dQy*P=2LRDgj@gw&yqoyR@8SA&AJ8ytY$o40^MWilw#uowsmWB&EvFa_5( zAdf<P>(Mz!#ek840p{x#l>$)W0@ZqCdAvoXkdcAGr}Mi<?-a1X;BW#5X6GCg5C>tC z2E-<^!$cEd6Ubl?2IVPiA>TPi1(e1ir9LQkbmNFnaNL4QeUKuQC~Z-BzyPk=vA7p( zH7qe;iM@xA*aL+L$QfYe9T<@cN#qb?y1PM1+ww$-QTJqU^1jdsPHV7|mcP&I@Bja= zMUFRvQaC7i9|y~WSU%mGK`Fzd+ed}NqnpvA+Y`C@0LotI<>)t9ISOw+blZR<i@^h2 z5`hXF)D{GyJOZ^3p~a9>j^WAHxBMP2JDosHLXcZJvnq}|)qpZGv|WK#s_bI|1uAmm zusFxHH;>V^^_$~<kO8jzo_`&i|NR3wo56+O?}cabd!&*DQY#$y=|n17CWGP*rJ)Ei z$fa{NxEKWIQjmkXy>qm^Gipk@s|&h|OB_4@IBK5p?7Y?;T;s!>9N=nrz;P!?891^a zrGQJfb4Is!PUm0Ev&iYkgLyT`8OX|=bF`f^dIK0+4}jgx?{UGS^Sw{!Gq7Pkou7QV z!L1>WZYGazFXY$(IT1Z}ur=-=wGSwEur%%_OhBqH0$e+fJ9eJ)==|)_`PZ@YtZ(lU zTMl+m9)0f8c?j&F)&u-4b3yUYyH`VvfdMS$(Ytqr9)ewAhG5SyN3a)IBiIo(P_|E} zj*3t3+6*?3E$=-#@40k-a_RgDF}L%uM>mU#NADgD1+Xig`E>pQ%lLGnDfQ`m?$LP< zChgI96l9<Wk}A}O?MqNB!^(5Vu;2iX&d;9v%orFLxEL51Kou{vvjL99f(9E7=2An? z|HlwA$C?)~gM(rB1SSRskH*6t%%F<)9>ktFumzsIbxelezz+84t=2I7W_Zc)B$(TB zfWPH6sCCs_#{yH)-8_SdfuXy50TZZ*W#RB>{=vfEeim#AFUY+f$t;Z?j3@p-@a(N) zL+ApP65ZXPTnut1f9qtBxy{Ge(cQ}U(*OVe|DL^dd<eZaKs7-(sIUU*EsgeUKE{u( zkNKrINMG|YVRRXmmw*3(O%%gvBBy8bG4Yp2|NZ|D4Vc58&Bvr)ZUO0QKE{o1BGb#& z|Nj4nMGTaN$4@kt_`w=zm#_yKGt`;Knmt$;7??bIcXNQMmEO$;EYQ^GiHMJ5%^6Td z4iH5FNQzkDCUrm+fr>qk-pvI_iePbcta$}g(FTY~6Oa_K!%aE?RrCU)XaihP^D&N> z*O(a?JbUZ3;i~cYKnJb}j}LU=itzYA53UH05A@-R@c6*sr3l0a+;G((A24H&10J{{ zkPkqLu*3l`ToE20z|uM-4nQVhi35JPNqBr9@G^)A90$U1)gT|>NJS!WMIax56k+j! zC|nW92O!5`Nky={1@QsMBrHA<hnocQ0mvjQsYv4G1V*qAq~WUZ_&^4(2#*hB;fg>$ z067ke59HvAK&c4iI4nMphbzM40|n&r;spx>1M|yspwh0lP8qHpkC#;7itu<z6|M-6 zm(<{jKwbj*8;h6J;fg?B0x7~0DH`Zr0;yAgR4Er&Fsc+#kq9dfJO6p?cVl2+;09M& z;CjWgciVT+pi=Md11t;-yFg71u((I>?gEIJAoqgBJvve9Dv-fYjMQH9?EDHE&fFIT zw+7b#^z3%caP0PlRE=RMRikI)@p2bXLfiX*73v6|&VOKcc=qmH0m?;<M^CUaFc@Ci z338#U;Q^n{caZu4I;I(mUVnP_)}q&+p^(H3E7U!^TR}ZO$L?NGD;HFq@wXa5l)$Q3 z&+b-GW$D=63#u(Wx>-0pJ6l0+ggL8sE7VyW3=9meh6g-4ZB#rvzd)T{2P^eGcJPBn zJU}V0vsHkFfx+>3s{{*V?9pQfsLKz+o}H~6EDQ{u&BxfF0Sl!NG49yC7Nn%t_rKx) zeIPTz;{{;rdS%}J`2YXEYxmwAObiTAg`UiFH!v|UAS>>C308jaDYIuci#*gnJ7Mnc zwo&ovd<Gp%b?gQ^$g#T@<YvngC1Q@uV0Sx0TxxlOzc1lGtfq#=#Ni3B^tLY(BNccc zwT~oG62b9i26j-Rg@NI9625j&Nt$PG9V`w#x|=N+L2V#VVF`-DQV-AOV=RaO^XP8A z!3<LH07SBI{6EkMw#TD)_XSY<tMTv)HU@@WETH-yHGM;pR~%?WGZ@sq-CqRv3^)K$ zOm4Q};4kGo*1Uq9fr0roJA?tsm;#VYG=UwQF{8n$bOOY@5)AV|QPtfIiat<M^XP02 z;9y|z=-vGQVu%F?1H&#*M0s@HgPH_MAQ1CPG0dv~HxC;?y1Q98JUW|yK+NNS`1}Db z^FkfNK%-Lvpms&)XHQVEQHE-Gck>63sm#qUKvbuTN`l967nKZ91bXZMIT6&k@#u6> zDd_H&0NKXeEdZiAeN-wuj<=|QaxqwOXNwA`Lj@YC67cNZwm})xs)sbCJv)ze=cq(@ z^p>aucpPU@0VQ{j9Rdst3^2nvJUX8vGJt0{n`gHl$eRl|L80Bf0z~!hHUL@Nc({X; zfngU&w@2qaa0Ej8j2?|gKpu^DjDwC|L;E+N5CD}ApoTsu1j^AvU;@Zo=4MDU9u@+^ zpgg``1nNki&Ki}1?q&ywN(&Ix>4FFWbRQ8P79eXthT{r>04{I{L~wyh0ylxq<^+&H z@9qeY6B-X&a4|6K0yz>hEI?j{jSE6j*Mte6=^9WP1DEX8@Nj^Y?8tdq3nfoGcDJ*; zGB9*DOK^h*hTFe`O8M^o|1Jy+uQTywa{iW!pnycl=%BHq?ru<X0i4mpQ8T(@ce^yQ zRot#18~cS_!2uz_4WgPkKveJU21qD;Knex0m%+KyvlmfpcQ>y9moA{X8f>W@sBlIp zT@pa$N_Pgx#BLD|kM0r<4v)^sV2e68gRJd#;ShiX!0Q&UEzt2+Q2GM(-#t6OgK`*n zKnzkb!@B66-R>EV-Tsgo)7j(yVbCZkWQ^LgyG<VC!Or#rppfm|zXH@)Zalt%8(Kv8 zbpG>bJOVNwY$v38^ysaIbuT@8>(Q%6R#0~Dt%en?p55&a7(vOWAJhl|`JTV^5vZ7K zKFoq}$bL{t+y^SRcY$){P7v2|2dJZqG-Bb{-3|&MPv&+|Xn~4_438Zkl^}H>Zh^-R zL1>l%aVwxD1gIbZl?)PSC4)!j;qDxj1W?Hk0V;PuBMuCx<&J<yZ;c8EqHyr&X7<Dv zSZE~zBH$3^|3rAg2P^jIZr%WndysFT<#h%RxV-iN6^f0A19+g3fnHvNir3~h9<Y+d zn1O)-G*Sg^{dsgd8+3bHfCl?rR2)1yAHnijNAnNRpk!~chDYOJP}~?^+6ihmIPT~W z1{a&K+`J3K-w7f>W2N9~%_G_oGQ<GN)3E&PvEKyM>SN8Iu>>Zc-r@wG-rXIbmIK5J zaEt3ZtWgG0#tgUK!V7FYsx%W^dc{4MG&n7Sa~a4PaEuln=BSSH=mw9>cY}x9v4x5U zGicC#GiVUsqwz4PxQAQ4pp6kcUJNbrcY*jjK?L@Ifw~A3K8_)fF&<FSZ-we0&+ayL zaIw<-0c<mP)ZM3dF{q(ocnQ{&^6C5!8<X?wZqr9-fGTm^ks-&%fU3$Ii>ejcoM@`- zv8d9JazIn%jzv|5x-*(8e=Mq2n7E;-3df@Ag`+2$s(37_H2i(gRHb84l@a5QrYawc zsuh_*XsXJwsCrQr3RMMZ!Nq_kxxi@-R7z2VK{Y1G0LH2y2F3~jMrj^)4p0x-f`NfS z1|-42!0-V?JMjrP@ku!GDLC<IIPw`Zv-Pm{vh*?cGcn!c(*O%gfR%unV+;%o5g<Jb z3=Fryxfw~X6Q6-2pM@izLo<61TQ6%LOFwfP6Z26<keGoJl2ML);Ifi|fdMqH12XO- zs6=rjXx42e9A<(11ojI90|RJ13j^FNM?MXAz5|TSQ0FjJgZ!uf_OAfK9XcStFfcIm zf|}NFy<j(k{N&EJff>b9g)G<|;=#bc0IKsrewqO?6Voj8@M*#oJ}wLl3`am_FfcIu z02xToET((dTm=dn9#Hr)FfdF6ndihO;K(Q8$fwZE+{eV^3t|d5@o~8FDKHB%!g!8+ z3JeSk8lWl^s+WhAfq?-<FEaxxOgRGsg9-x!LkU!ED9F7iYMDG?N)s3u80J9L@q=i> z{>sPZFIPSX=4u>)X8^JvR9`bNFo1@bQQgh76Xq6IJ_Q#TTY`as!33(uAEe)rPoSBJ z$rF@VL2?05IZuR~GfXZAhaAWqpe8p+3uxg5G+jFKDY)}(U}|RRV{T(&dda8Y2+DuZ z^azTtJy7%5LGc9-A8=a!fJn=qG14-qhWr85>krZk&bZ*XhUDn`V2dEJ%@hmcFo2et zfkufz?pzDfhic~ogq;bfcDnLCU}V-~L=|LU0EJfrC@+E9#UMi=VF?Z|P&%0dl>@Jb zfus``z65Z3%!S1VDD54As%r+Bf$o=5xbwkj?*mkAD^#r`p9IXzGMJg5u$2I%V+IC> z>mYwZ%ya_90J!X9?E!}q^I>E!If0@XWGYtd!^ptE!tn7wHc4i1QwdXsnE~opBq=>+ z1_mJpCWZnzh#)v@7#JA7GeN{ZK*hmf!N9<98Y<o(4^a>HHv<F1e5kkpC<DX%30fAw z0x`#c527Ai7J%|1)ZPoA4juyo12~^EFfb@X#UDT`5^$boU|@IyHQzxLVh%VDGcYh5 zfQko*L&U-Pj)8$;3RGMHlqeV&7{Gapfq|hIDqa8;2j?pW28Lv)I}f0Ve}lS5KoVj; zI6r~PG?=&)L>!!F7#J7|pyCSB5OHvR0ND=}FMw8p;PlSGzyRv`gTh|{8qP5H<U!pt z0o31NU|;~3>kJGGu~7HJ27<tK2Ll6xFH}9mR%ji|z`y_+(*c<etEZsWFjPa`1M1g< z^uWZUpyHr43CQA>Q1Jjz`ek5X0Ci13@=Q>1P|p*@2iMOG3=G$x?u3<J;JTK9fngO) zoDHNJVNM-XyZ|Z=u1`TlKO+MJXhZ^{8bN~mgH3!f4)q~8#6hFN*vtX>51TkB-mr=H zGGKS-a~$F`akvK*yx7ctgG2oV9O9sKip?A;9O@f!h%dt7e$dDqHuDeRP|wYTJ$!gr zu!}Ee#x4#jOR?E&jl=ysINXzqL);#kj|{-G!_YPm0|SFSH0gm#P+bNFhCiUL{Qv*| zSK<%{4T^xIK<Yt7B24`jPy{o8%5DY*EhKY}BZ*rgiQhsJ*F+Krr3aY#pfG`%-vesf zK+Q*X=Mfy@!q9pf<W40d^Hq?<k<Hgd5(m|lFndjLi02}SBZor;k~nfWz}hV!_aKME zLL~Lb;jkG=9NC?2AOoO%QjijuJ9DApAPPBr)`0}Dgxf<L;`^cXIY=7W94=`21rkRN zPiZ7^WOphfi6e)nHV*MANaCP&9?W06k;Fk|IZXT<lDH94{60hyM^>K?8ghn)19E&- zBZ(u&S2vP4a(rz^5=V}&Gf3jd;V=o*IfL4Z9G>8z0;o8ss)hOMArAH2oS<9*$zRCo zjgZ8V&F{t`z6(hl)Fgn}`xQwX*&G$n8W*TLk<}X`iG!LDFmsZT#F5RJk3;+%k~p&Y z50J!>%@2aM8_?5XGLkrQ_~#&rBd5a>9O6fj#F5j<MI>?Lbn*mA966l`f(mG8xFM$# zH6(FlcUB{b>mjA*RXD^?Ac-TJ^8ra5IiE5@%Y9I|A*<&?5=RanAsph7NaCQuI#|5t zB8jUbxxW&Jcq<O^i8#dP;t*enL;NU`xIU75?jwmKhr<UXab+a+|B%Fy)vG|;{h;tf zHs2jdTpP)pL>%I+NaChQ>L(zHBbzfHNgOnI3=98FNaD!quRz5?)g-9CfObC^UO>e` z?nhS73+=a{i-V`&py?S|y(<p&@i@fGaENcjA^sePI488R1oIat?Se{3S*SR=`^}NW zk;B;uNgUZ<F-YRb>eG?Lk<~XMi6g7;K@vw+zYIwnS^Y*Nab)$Uk;IYJ-$xQhR{s-8 z99caJXtD)MJjx-7Bdga$5=S=Q8A%*jy&sY|vib}pab)$yNaD!qdy&ME)h|R6M^?WZ zNgP@IF(h$h^$(E5k=4IO5=U0gDg;Vhkop%{y#SIpvU)8fab)$TNaD!q{gK3x)h8o~ zBdf1P5=U0wfh3Nsei4#5vih}1;>hZcBZ(uczl0=?to{v>II{ZhNaD!q1wkEAXgWkz zuZkp&tll0;99g{=k~p&Z6eMwE_4!ER$m%<h#F5ocK@vw+zYa+pSv`0b6zVTz^_Ov| ze~2WGto{cMaUo~{4l3V}?Uh9mM>fYCNgP?d6OuTx`WPf}WcBGt;>hY7k;IYJ_aKQQ zt6zpBj;wwQk~p&Z8%W~F>c1n2Bdh0!j-!FX2f4mBL=p#$>%!{IL?m%!b82viPe&3* zHvcG+IB01&%=`;T;>hOTM-oS_$3G#7BdZq`LzF+r;z3B_$o9q}i6g6DhC}=yk~ng^ z{R0kh5$L!iD4db)O-B+(wzm<7_zWE4%aO#9!)F_kII{WIafl0oIu+3Th#c>RNaD!m zWFv_qr?*BVab)#dk;IYBzlbD`tezFrnZe>7JtT2t^^=gqRgv0<hmgc|ki<VBi6gt` zCz7}#l6nJBXA<fjWP9f!iG$`CVCne?k~p%x|B%Fy?PZ0I7lYCXvc1+w;>h-f;t;RJ zA>M-|j+{>BBZ(uMe*lL#Cv^N8<W6Mwiy(<3n`4SYd@>I4bvVSIAc-T}`yNRg+1`Ih z;>i9Ll*L{?nBx$SK@vyqZ>~WSS3^oC_mRYr<DCUG$O272$nNJx5=V}ALnLuzf2AUc zBb(EOB#x|pF_JhaN@3~q43ao<x%2`_+z`oMtkC%$P`o3XuY)9xY>qb$@q8TOvyjA* z&EJV6j_m%YNaD!$GJ*yppy7<{er+UiWb<Q?#F5Qu!Xdr_hxj=h;$LuxOMxOA>P}>P z9dL-J;Sle^A-)NR_+uR6kX!*-WCiLg&ILs@)O{d1kQfMGfr>+i-@r4CAaM@pcqnvO z1uhPo*MoMm;Nokc=0KY#aPcQlacH#w7k>!theNY9TzoaO-wO?9xVS1*98@MFyFU>s z4(kVl+z!I^P;pS6MOH5cbvS6O6<K^9wEqLjgUI43&~`ki&4Vni1#PF=fCQoA(ja>o zp#gyIeyB2r7$^gz7KCB*Y@o&VASsX-2*c*tzJLUg%!kdV!RGToYC#w_e^>*ZUjT`L zFl@f@1e!Q(J_NK_8)OAYEeONrb$vh_Bzs}=x}f#d$m(J9x}ZgL$l|bhT^7*b3z9jo zd0o)LU}W{MdEF2+^{{ze&^#=%df2>f51M+|yzT*XacDjL2TdF{uWJHLryy^GFl=78 z1x*|_ue$(E95&w$+QowGPS||=7c}*-`F0s-J_Fea!m#;vhRp2D_~L@n;*2VW+|=CU zf=aMRW@>6`d|qj8YEfo#d~r!id~s%aUTR8wZfQ<QW<d@_QCUVxPJD54MrulFPHKEf zQDR<kVsc4lejZqLVorQ<PJTIqUQudJqFzaAMG44);?xqb1z^3Id8tJunTa{^MX6b- z$q)&+c?CuJ$*IM~2-T@&sd*(ZqYDy?5_3~aQi~AsIf=z3@nwlQrK#8z6&K_efK{i! z7+^;vXQU=)#}_5$rKiT{r^RRHrKDEGLseo^P@I#QoC;C^3a(s;iHSLx>3Iw#`2{&S zWw{VLD)SO^Gm}w51!Nk7US57ls-C-FsBUpdVsbWEF-RgF;=Sa=oSY<>I5=LwDpEi( z1ZLrinWEI<%&Jtd60mWJMMa5~@yYqQ1&KwV0D;OCm*kej=jY{Q=A}YoCN(2I4HT9L ztwou|nR)5)sVV6Y^Ke<2n3BSvS6ot5l9<GxS6rD3ropjYl%JAVlE?toSP*ZLXpokc znrs<gT$&Vb$&gx+np|3v8gFa~Q(&2Bo|<NuoCH>2flz>88d+dfYmQKhU>ccYRcnS& zi(nd=VO47iS8IY`8Y7rSrdYL^AhelaRbY%zV2o9P5kdiiX>5du8+i7>lHJlk8K5|| z1eAUZ;z7y55UVAI2ul!5V?#15VL*0;0anWl5SGCepd<)GSQQB>&dA0NObiUrA{WJs z2ksDVE3{mM@F3g6U~CYb4q9`>z`y{V-UJDOG2|>W28QR*_77MP%Nl?rq&5#s4TyFC zb@oB)X`ual&@>>Z{DrlHVC|JqCWxCrav*&$tO1&2Vqjo^xdSGS<{gFrCdk++Oddun zfEH#lFfcSg>t&GrAie1JgHHc|DuHPQ(-~0v!5kz4T`eQT{h*DFNU9hZVEO}~^$$!E zW<QMo2fQu~#zW8xTp?^w{DN2@_k*T_Vfta=2ig~a9(Ex0J3y0V3=9k%Q2Rmd#^!$j DLk=;t literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.win64.obj b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.win64.obj new file mode 100644 index 0000000000000000000000000000000000000000..b9ea848c6020f20559f2661c92bd8ee660742b73 GIT binary patch literal 18800 zcmYdkV`BgTEqewAPY{EFfkCe%wW0*d22rJY3=Axc3=Ea}ARYt5Nd^W61%`kGy_Cd~ zM2HZa3^9i@!3+lmg9Ca=#l>I_9-;xHt^(D-HH-`lSj=<KD?(Mb0A#Ku1H%GK5QBk1 zgn@y<fx*E+uK-nD3?l<Wh7|+D5o?ILaIiW92gh*7FvpOv@L-STHyS>j#~qJ3M6ftE zR|GJYI(u}-J9PVdbcg%6bi0Q*HvjnFd4k{NkYn=?M#s)8F8nSJEibz8`#o^&eE45P z6=bf9cDjcP|N8V0PsTehCo(WFcy^xm=}nLD=ymt-=;rX~cHr>n^iS~UbkFE^Pv~@y z>GsdzUw@E)y?=`3iTY&E#^Vc87#MuIO~9s2m@r`i|2Fpsk6xJr9^K_gZgJ`Mk8%8e zts7)OO6MW%a1Z|V;UWCnM8td;fAen>k?IJKusl&B)E%C~c%johhJXDb{`IFUPt<L2 z>|#;zXg;D59Utcy;~3`{e;8y70y`daaAI)e-{#=p(aUnck$+o&qX*-ut`??`DGUsb z9S%;!s&`5yP5oq?>K!5O>1yG40#W0L<OXp3g@R%*6c&Y^oo5}p9THp(|F<643yKwf z&lirz9Wodh7#JKo9daByGYTA!JCuO<oiCv==h%771J3d2Jhq9^qw}C==ZhC016;b( z6I@%Lxbl1c@#*{t)#1_UUg6Ps(4+H{Z|4uNvg7Ry3=9k)V_iCzw=gg;xODFCU|?W4 z-rfTh_vi*I@#t)y!oa}b(cKP`_ULS%!@$7M4HoNcp8#U_gVHrPW%SQrU|_I3!Qa0G ztOJoUAaUl=ogdKcpV1wj<I?S3;?ms@(*FM%l;P5OLfbuqf4zGN|27v^P&m$EeVYoh zIlrR2ALQEZ>0pO;gPr*QS~u8wZLll(*MkK+Vptg<!rf4}l*n{X2f2^&0>rhj)WzSI z_y7NYkM8n{<L(ZSU`Tgy>8$rS?(PHPdL)B`!viS@4?{xG6B>dZ(3J1e`SJfXm(HL6 zuX%Ld04LX2aC(i!NU@;!4-1FKy<>Mog5lfN+x#APJ8wcG3LLdCjz{M)!%N_(y#bCh zm+txm*Vg~LLDB5U?|I+x|9KC%PLEFa0+<7#$~)^Tj=R@@RCmq?h2QaZQ271-|Ns9n zaAY!cyC?K|{BJ$L@A;y$9~AG$!9fbvu^trb$J;?M3ew@x4N5`nprAw~j1{2h2a7?I zMgJNG1_nq{03`uXQeb8TMIm}p04E4=dH~zoJsp%3T)M&5xpen~(ik{lfEb{J0ghe% z^<a5$@?iOA!&;ulzwN+(m7^f{dUWR(bo*Cyhu64tL%eyd8=O?N-7EOlgGG+Hure^c z_5xcCCWzF-{8|jGd;%oY7$KYq6DB|`=mw{D%M+lK2J${ArGcD{NNFPf|Nn0QCnpB} zmW80K(d%9TFJk+*fJ8dm_kaTv#OZ9`0AlwafCuIdaK5U~fTcNz6ENKG(%lcz3CbEE z8sT;RZT=Y@KA^k-67TMZm{%gvJso5R$i*OWn2YO{d32W-xOCP#9CvpC1#V|NC|iJ& zLGpf3N<z*SM`5WBQn0|B2+kIrH$l1L1w2=vmf?=a92^<J<#$&L$2ZLK5}a2W-+&zJ z(|OpV^PFdIg@fT+!%L1kz*!WYH*FY8Lp?fUR0=%0oddePBf3~PI$BgfUh?U6Q7Q1~ z{0PoJHq50uAY~e$Tm>r{yBIoLR5C#7G~nu(9Qdakea&R}w)2HY;}MYk(XsJyhe7$* z5mtUTzL~+uz~BQaicdRsS0wbh|99+c0A(;&!?&&f9r-=}I(9aI%1p=O4WPUQ3P{J! z9iW8nc)S6WyFdZz*x3NeVvfffKxqc529(Pjk2ip_5J(N&pN^f!5h3r{c?9H-gRt_* zrF$F5cE?^fM%UJF@XDn5-#-_A{}&#~e-T<eI@>^5*Q4{GPv;}J{?2Wn5If!mN`fGV zxOA=qdHr}BC}o1&(bWcu`;InHnmOKf0;C3%PTN4%cD9`X)gEnEptVQykAE(mH7YtT zojEERojEEh{C#(r7#Mmz7(4qefK?uW>Tf;3-*J@*)RM3Pw<LUeb5smGdUI4NJUUBM zDm=RDGP?UfVbnbh6htoFZJ=;*>Fxs=;Q0SKlm#j!+Ca+q*Mk-CZ)0KaXi?e8z`y`9 z$H1ezE}**)6d&EwK+)mS-3E#S$N$&6+dw91w}IsN*MmjDnq5>1`L}^R+W}5QH+`X1 zY)6X<C}2D~Z^9~F$Ic@j-TOdc+T8~V#O`UJKy>K_2OZRbNH&3#@UMqRcBrs}+z0lo zfk)>Sl>kNt2AI7qDigrPCswCpnv3p(7L|!0?VUG$dRxHeg0lg{H(OLVP%QEQsU#HE zu%N;WXHSrJh(#cCVHOp7bYceE78Otm!Vw_|UqM;mh{g07sO^FyZ6Jj-rb8JK4h6Xa z;!s#Rfk!ALkwd)O-3LnAmM2P#x~GAY_k~Vyih&iE{C&ZH|Nnn2a=Z<cutBN%I9MLU zf~E?W&N7GNZs4-C+eJmA)6L_!n-3^Uc_eRxB&Cng27m{spgZW%`OCBO1K4e_^0eDW zMd$yuZXXpDP?37Wqw&ZLMg|5@x#Sq*2x)7eluylXEPOhT!AmBG98en*R4n~>>~sLP zDM9XY?5wD8Jnm2fatl&1a}2qxIJ}7o+z5e`?=IbWIgY)#jIOP39YF;HgA2dsQ^)4N z{~Y=K-g_qhLDmT_FTmBqEkr4^4iuNi+dz2`<buw1pc3&oINO0-;nMAw<D%`C!Qaya zDqQ>uy2DC3&%0=zcj<Pk@nEhCIPRjN0aAF}MMVK*fJe6sxZL=E*|YN&G>5xofQ;!p z?V@=aCAWJp&jS@<oh~X0Fk>A5U+Q+t(RRz|^<Zp0;KJ|u)1&jIN9QkCL)oKyA4rQ! zXC5pDJM)lY59D}{PJ*!qt_@u}A41};`G^Hd#6ha_3E=n(0oQuXZxmcR&wF%U^XNR? zy+;M)0@uznzP(#`IM_k8&PT`2AJ7!jdVs&BA5_ZqcE7LywY790!Ex22w|j>Z1H*q1 zV?hX%;SmXC1jIra9B~lF>I^neQTfuN^OI}m4@Y=v@aR0|+1n$d0JirNEFL{NF%<fA ze)Q->C}=zkG4LkbK#%4l3Q&K?ImUbZR{=W|>iG#1CWLu3zfth$ytY$?VF82TC8+;A zy4x-=F)(!Z-CzP0j4T`;%|F=6&Hk$#HDF+1*r|r57F2h3_koJy1{)4${?<nzMd}O- z7+&rNvAjX7%OI96i1h%(GC(up1}Ne6futZtYy&AWL{@aHO@M`gf%)Zqkc>I9j7N8y z12f3A9?T33%{ClN{Jni3MHa}4Alg}9g50{(1zE<UyA33W@IYzSPFIEn46j*t+99ih zn85ftai=3l)@!FGrYys2=bgrw!c4Dqc3NQyv%MDG>5eJP;?dj3z|6ql(Rl0!i0b?V zip4n0$OFY+IA~x36oDbg26=S5Wpw)$fWopg)uS6y%kK<@D7k*DZ3hcD_4b`$Vqoy- zowk65fdLxzJHw!gj<?+aDT1i80J)>_*Z~#>21kfqu%k5M;jY>l4$%i0=<w+E(=fc` zYIwla@FdjWmIM4PuR*54ToVD&aNVQ3jRPEg0?Z5ypk^f4bsoLg92bSqgGiuYU&ecM zx1lDYQlFjC5am!ep(m5t_?<C06?C(3{6EkM4uhA!|NZ~JGY(BDiv4V*!aL)U6};U0 z@Be>L8$3P^X*6QO1jtAdILBomtH+w-_}d>cFfjaAIhuhSZdnk0*N?R|ure?(dGz*y zYJZR3X&J1bq6k(p@63j)TL4uD>U4YbPU~Q0VEBJ|XAWHc093vLR8RL#TLF>Jh08yH z%5Q+kpMc2c!Q~m)Antepk$(Y^&xgw^K;;!6sf~jTY<~f$F!%#@Gc<j!gzLcXvsG|) z*nPGdE|1-3YvA(OeYO@ZkKJeM;PTjgwjSg&C1jr!!*zgs#*8!0O5o~1J_D&kjk8j? zJa(Uz!R0|d1L;SNvvRmRcAr(i<+1y$667-v7En5a##s$q2gql*Q)4Y$9mr=Ob*Mh8 zgUf?_268!SYOIILgM0?kkLt4qxID;bApNMRu@Nqh-Dgc8pXGsyIavAB3fF<(XKiqG zAfJJ3M)g@cTpr{zkjqhh)&Z9X`3$5V)n}b>dF(#xg3E(^2GWn3&$>ZAn*z#uFrO`e z>%i}`g>ZEspMhMC>a#^~dF(!043`J_45S~`XG`GnAfJKcQR8eWTpr{zkUXl-mciv6 z*g#E#U7+#@=E**|Bz{l!!_|R22?{<`Pfmc#WB24lxID;{ApNMGoCKH0?#an;dF-B? z0*bz?$Q8hJxDNb2n*mn`@);=jP<=KNE|1-3v*7X|pMmtF`fN5_9=p%xz~w<c1BDD~ z^v#9KgQ5>)egr6$HXhRew~9YO#=L5++D&?YOqy}B8q8B5jP&BD>y_5x%?Z{Gxn zffv|N+bs(4W=j~X{p8Vkey1732BbD{@AUtmq43^5Q0O`C;9y~3fb<S~`&K}V1y!UF z2@mw<5Y)L7P|V+rW<GlssP)z7!3A=i1~bC^2N3fO;1a}{ufmAxe*Ijq`2u_(^CK7# z=1YLexyEBZcwrK_&4=|5Oi|44Zj%7_4?q#x&BD=ophTtl2V;p^^ADy{>zx+JYLB;Z z@G~%QF)%Q^w%lpPxPjrd{7!RB1t}l}5<4w1Wk4M<kKVowEZ`RL2e4;AEmMe_5m5;a za&UhRHeT5LMg!cPJHOKb*;wSpvHwm-6sgAJ?T|p*zz+%=P^5cw{({AO03_UI@G~%Y z!1}_jh6fyXg4Dg-&&a^A(+R~m?9Jc>j0_Bh|93j0DD4K1?sbDl>Od`8{?<y6d9G-R zK&|iYK2SrvTZE%?+8Y)IhU0A?SRnIC$J>5@TJrz@{|843zvqw6eP37@7&@oDU}0eR zev7{oRFL@e?gM$mr*|FLBhUiVryIR*2nx`hZpij`uLGIm((C)*@Z>H~yg){U|6lKw zdHdu4|Nk!C+Y&%cd9X4M=6Mm!3=AkL9XntCzkcv3vu8JpoJVIHD3IX+>9~`F0o2d_ z>C^ejvGaxF|0~^XAnUsOK;8on_D=(OkMTk$SR64H6#oDJ|D7Jl4n^tHd33XIz%1+S z19{z}@fg_asBs7?V>>@VyDJkwjdy6z88XxZ3JyenIRMo<M0bmQXCNq%`|k`wQGq=r z+ywPggHaTAw}FP)y1|WlP&z2J*%^YY;CNdEXc8Ob*xtShNTzTQ0VP&!nPO)svR;qw zHVZ~jPZ?AZfDMn{8HT2yfd!<X14OcLcy#xH6WlaVg0p53tkvEbj!!+P%LH=X%LLF& zU-J<K)ZP!$ctadMV=%1cJl4h_%D}+%njOLbRVE&gLh^+OXb1&Y7a67eO-8d5y$p+K z{=vxKGK+zMq4@_Bf9oAk<Yc1hK?)ul4#ShXKm{8l7a)dj4nAk@ZUdF)9?bg`m>C#e zf(G7prV=m-k)O~^+5z$?^S%vC3=FSbccv3C22_w@b<hWhF)u(=H;ct<(Vf|-Mz!}B zf`YPbg($e%=ztV<6GTC23zRh>1ujybA7%U@AJwon^nk+YJy40^!MqPtR(N!?Sa@`{ z1&DziumR!#3o$$n0QILE-xz=zexQN7^E(TWozdNP0AvPp+YS)b*`fj(oAx-~q5>N5 zVFzWQ9UzZ^hBiGqTU0<JSlxXyKx&!$CV;5U9`HCINDF8P6|AMRMFlhh37Wn#@aUah zU<L{_*wmIs=ke|sl?spE5S0RO$;J=1MF7-~2W5#)7ZnYUULO?&NEAT}8;@>wk8XcZ zA@u{~_0B#9ac~IS0J)*@*aL6~fXwiKmrw9X=m{Q;M?n6M29Gp2hJnX28{dE@F+f3b zdS@YWka+a&2D!Mq%>q<(Ft-_isLmD@P>BHYCTLDV7_>5FAE=Z8g^Uk$f&?0DAmQ$| z7a*50w><z+oh>S$zyaw71q`~6A)yWmE(^#oFVxfBYan6U*`lHWiob3b(99Dwz&l%1 zK%VmG>`?)gSfI%i(C7||0Un))yZ5Llfb{lGQIP=Apb=(}>wP*)KoeR%DjI0v%<R$a z4GQNKpaAad+aS)s(CsYH*|r13@9kp%g+}AC3F4q62l6Q*z+saDoiG1i1Fbv&g*zya z!F2?@m_sRV%1{CxX-H0MXF0M|cl+`}28PbI0tryZx_vq*8+P~4FJxeNU9__TStX8f zwR@nFsuD$EcUu9tqzCmip(TA4vV!jR-N<&XhS<3oM0NIMfE?1<mH?u9`!pbd;vs<) zD4^OA)Gmj#8Fp498|~5EwgPN7sJj5R+i_<NngURRsk;v}qU+Hu!r{?f!@=RvISp)K z=RT12-7XvgkPvxU3CcLlM>IeQ9F)F;Js=a*(6(I*s?ms=*nMX!s#N=au=m;|B*D2W z0OBtONszxl<p8LFgU&t2$2r3L2s_(QjKN-$yMh{P?I;Sn+a7>}0o17l1p|L8D6Q`7 zKvRSWiiR2vUS|GQ&~V<)PGnWbAv5cs8F)|%2jxmo(*;x<?F1!v(DXb=&~YapsGxy& ziV#M7^ty1cg335>3Ah8K45S+*0xAnV_ksKmG6Iy_LFFJQzj|OT-sXUdKabui&|L4L zVgWJ=l;s>iMVkd$mSgwm#24bw(g3AA1I^EYR-nZl-r0>34oLG*AUlcme76e+>r3W; z|NkS}Z<CPC_2_Pk05y2}5<p1;(p)?MNhA%R>ay|J3Y0_wYS>^Mj)(O3DxfLTm_Y%l zKiTbO(Cudd8sK(ODF6kpfk)?0aL=Nn?FXpv>aEl8Xgmf=GvJz|Lzsa9+_?ZnyGQ3w zL{a3p10?6T6GTEJJerSGAhIL4r3xV+`32Gu+G&F9o?~qbn83rfbqOB5^EyD@1f?m^ z^d4-1A*$`S9RFW~XkrGt&%z7ceFzmyU==IwVN(HVS%Wgz;RzE^+-HvJKG1XylSl79 z(44VH?>qxgT|<l$LHZz0%#dS43qpt!K`J0l<XFm%Qw7wFPi8n(K+UMMz^MXa#)^4y zI8{K*@K8;_sRC+7Q9n)<P&0l`z^MXihT8$0DxhYZJ&02U)C{SQI8{K+SojI23WymQ zYlIlFB`&0RF&4q80%}HsGfou{cW|(~;#2`OBcueU3aA;kOL3}znxU~2rwXVU>z83s zF#%MCLJB!ZRt1xw@e5F!w)u^LN9Q%q&T~8ckTZp6ciVAD9rgi~8+zw~i}T)n;D#b1 zZ$QT8!66JP*CDMY$N$$oyW7qqX$NV5XAyLT*U=Ti@&UTS`)CT`i5*?xb99BU6pgO% zJ-R|zvPD<;9bF+TZK5mukERfw0MQk)7lB&yZQ#%a2M;Wjp)2G^R|rc==nBQr6~fXB zib7CoFaW0p$N0lu3=9ms3=9lR44~+RuvnNF7(i7$6N4ZVWEndXgCY|Hs8`Iu!eGY4 zz#s<J0qPZtGcYi)Fl=IinNrEjz#svZ?P6vCbuAcJ7$z|@fEqpwObqv#VJ5#}hMD|_ z8D_F13(RC~7MR|>EHINLSQ!{V{$OHYV}prJV1wDVkPW6|B^%5(QFaCfS+HAd*clk4 z!7dGCXJC*6i>1KD{<6bd#l-=0l@tfeRjwQ`S4DBa?0Cchb3qFy0|ThH#KJHQ&T{5r zU;wpxSQys8S-ZIy7(l%h7KTu6m~1*XOzZ(S%pV`QVRroFhWR6f2j;#)9+>+Yd0_5a z%>#4a0UnrMDP9H!MX)amd0}Gncwu&|hl_3Jh1ns*$H1Tr)}hJAz@P$V74b1JfYxv@ zF?8_3OqtEcz@P?}T?rSv1XuTokAXo0EGxhdi!C{Rm{=m5)dpuRfU}OmS@+>Ab^(}P zRXEEA&I*RJvf!+K0R{$5unRWB#ZJIkZ{f14f(#7WV0FfVFgx4?85nfHVqtKxE<u=i z$Khfx;4EPwm|hb&D+SJK6M}_7A6#sU5X`&`VVK@NVVIbc2uv(P1STdU3KMe@g^7KG zi^+(=#4d=z)O~}CZ54-!-4=(b>z9CuZIytDEs}(Z-I0Wa%Qv_fzZ5L?O{8G5VNx(1 z`Ec1OaM^8e+4FGOFK}5QX_#I+I4d5`s)VzaOT%ot02g}=XR*t`TxBH#GtXBB7K5p9 zu`W34nG7t~zLQ~KFagIjmn=;0W;pAsEKF8O4kqgYXNAkb?8t?S^}$(t<X~<-1s8h_ zXGzP$%u9l^Hp#<c;Tl|wO97_NPyy!V5V%-1Tx>R6>=0b+8C*<K5#|<SMVNU}iZJtL z!DV;BWp$Jo7_7kIlBC4I0NS6##4uF}X39Jz1_m3j>{%t4dEv?o40d3#8OjU{_F&d2 zWtd(z6_{Q=6_{Q(6`0;cIIA1Znx_H_-7Rpjt8kW*D$G0sRhTJ(aMl_)>o}YRDy$&+ zoKFp=Ls1Q8hdEp<2F_}Nv$m_jN>Ofg1_mdv-)z-keoIw{xg}2>=C>|&m>pZxVR|3I z#pE<#I@~m1>Jl{=7zDvJ(?ktes@tf+z~BnD?HXL&Pq=KECQR=fO_+{u9hle-9hevc zBQHAxuM?*MM*({R+X0pbOdl8+7zH>P1e|ybxC6KfI1@Myuw8(P^RP4UIB^)T2e1{e zCP2lw*%-JP#Ti%(m;;y!7!w$Uxfp~QjTz8&gUw7r7iC~z<l|uAGvYGf3_!Smk(G&o z)rc{Hk&T&w%?V^G0|O&F3j@0mvjK>QRUTO_G8<+VE<eHKVKlN{WHuKo0~ezj1H=Uk zkZ@x(Vqi014PYr?PGEY#z<}aj9ySIZMsWsK1Bfh&n;(IE!N9=C$;!a#1XF>94+`5L zb^};w%dmnv!wd`oP#QGb4ibUU=<*_<wgdwMgD;d0htkua^i?SR7fOqO+9(VR3|&GX zQyCcSKm(2p3=9&Y5dJ49eFoIBV_;y|2x`}X)=7feau6k8l92(_1OqV{!Ob2B3#N#X z0lZ|F0W?DhY6d`LLF+gnEDI0?mUV!$Jm9PVI14m?4B{{{B!DQe4$!(Z5QmYW07QYs zD&Q>8h(CzK$N(B1hOj^b#1Iy!X$xV2NG8xA2Z+JI!0?3;(x3uy*_a{40*DRL3v(lA z#UjjYJ3)IlK?)cc7``zuFu?5H%*en1+HU}oTEfV{0NTm`Vq3B^Fo1@*Kx~j1pnU@% zHpqwI<<$%f3?Mdaw*`m|T7(W#vzmc{0kldL#Excy&ZsjmFc^W{55f!#3~3Au44^SQ zkeXOV1_lABcpU=+gAA0-$H>3{8ubRL0nPJ*2Dw4(c+dtHs2Y1F28IYIJBWdS0lY(z zfq|iwfq?-uxC>H0kAZ=q2C9aYnSr4P#%5w*m;+_=FfcGMgGRO)AS_U70qyK%2J=CQ zj~Se9AS_TKgvf$YkQ7+23<CoLgat}V5Lr-j6eP|JZd^iGpoSVm7L>l^!FoYeI)nvE zX%JaZg#|K+nE@1^5Ef{qK13Fj`jo(WeHa)RAS_TkL1aT17#P&SvY>c@uwob(Kub^< zn89gN3oHwo2ZOLcsT86&hk=1X7c2`(uMie!H#0;QlyddJvY-uo5EdvcL1aNYZa|xy znZc<U!UE0fL1aN`6Xa)RaEgPlKq(v|3rcy0V3R?Etq>MyM-4<4lopM^vY@?e5Ef{= z3Pcu^I*q}ypixl>3zSMBvY@dsQ?M*(Lk)xlO1BVM&?X8quq<es1cU`j$q-r4gbHX& zHZucgrW3*frEQ4p69xtbP>eBySG_=3Z{Xql0kk^~Z1NX4>jzx+4+8^(Em#&bmknWo zc8Nnw2IUP<yfZWKFoJetgHk6bk3eKa7#SEG!FoY6IuI5p*Fa=JBk0axSrtYG1_%q3 zk07!-j0_B*bi~X6nmmHAOc-Hl1C+<yz<O;M85kfe(6kpsuL~msgF9FjGzA7>fwrYW zWI;QGK<Sd1Ap|s33swipn-E##UGbny$IJ-Y7Z2JCAIx$*A0)!SfV?A~38Wt)49djd zW(A0Wyc?bwLk&oTfk6*xCp>7zpP3P~7apYB%4qt1kO%_<@-BE#<;BbhN_U`~T^4!q zI!J_p0eJ^J*cXhTJ@BA??^#Du#Xuq;GZ`2jLX2bp)gvHxGcZUZxf~)=$pR_m5Nh~X z7#Kidix7Fl3@Nn`BHNf57(h#55F()Ai35zFno5BIVlu331Gxnv0(A~ZfPsl2H#N67 z6_ka+e9({yh;bIhg}JG@$pw`laR`Q(a~DMoNai<)fMST6IA#V0&<rxdh3d=<44~Cy z2ocb<`Uf^-H?M-40iq!0fN~#*2f`4MolFc24eZFecv%@36nKzDK;Z|{0m2YnmsuDX z9`GWoNyqR7XkQ9Q2M9xSt;bLUt0fR>^g$-`A)9Og(F{@ojSDNJpod5@voJ6$5J1*7 z3qy?`L@AOv*O?g@0)&utt!8Fmm>`KP0vZW^fFdHu%)sCvg{<Zl69dBn6cHv+(w0V6 z)6c@dumME`RPulw1J89TpwugetP8a5B0wHl<P0b!polDBW?)cIL{?J*O3x@F&;}gX zI!G{xK`0~<E{xc*WoBU5poDDlTMRXmG0XwAlnhjmb)9EoV3?qaERu<#>pKer!v%F@ zHTBF43<es=BA~hw>|l6^1%pBZMU4zI14Du)vaY903=9Q2FcB4228Q_f%-n+b%<Rng z;)2rRj4C97%+%D>_`K5G)S}Gf_~Me3_~Oj;ywsHV+|rzq%z~UsB&B5;DLL`Q$r-6B zr8%kbB}IvO#fix!nfZBOJ&8H-#X0%q=;|}`Qj1D56LaE=QnON%OOT}DCKVLrC#Mz{ zBT0d6N-ayxD}fnZkXV$Mn_7}ugsv(lvA86@EHS4v6`#`Lg8Tv`ohhhXuyx59sma;# zMTvRosqy(~@tJuksTJ`ky09rL&dE$pMO6k0L{Pz(i)>?JPG))@vI{En5_2<?Q9==9 zK9Uj;Hy#oQ$%#2RNr}nXNV4FFMB=A_A{L2{D_)CIi!-ZIkraZhNh~T#tc*|2&n-wS z0tF<BisF*olKA|*oXk87eMOnYnR)5)sVV8mQn>8S%qxjcOi4kq9G6OvDzIEZyh)-# zT3TwdWqfgIQoJQYYDH>tX-R6l34&>iU>aF6K($#Wny022CMSWlSs=6_n8paEkp)(5 z<_K*FrZIwPWR6vv8A2O^X=H{~ttmn+f@y4uRjmm^ErMxmf>o_CLM?)6Y>ZW{5kf73 zX>5cPP2e;F&#zc=ei|qj7N?eg5~)EvD1{kfwaO4-l_A;YGax&_0INL)aC=M<OcS_T Klr&{%zyJWf_edT9 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..2306e51 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,130 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[24] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 24; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 24); + iki_vhdl_file_variable_register(dp + 12632); + iki_vhdl_file_variable_register(dp + 12688); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..c9870de62afdfbe4c4ad47cfeb7e657b4224efeb GIT binary patch literal 5240 zcmb<-^>JfjWMqH=Mg}_u1P><4!0>|~!FB*M9T<cd1Q|Z_%l!)W=={A?n1O-8qgxat z<<V;jqF#UqkKWxg<QN!W><ch<^kI-rkLEWVD5{%}aA1|#0Wu3>2uw+6Fi3ajZ;#He zI~f=l8g_w{Kn?TgwFU7Y8am%~-iNS|MUmJD!$X6!7y=wY#xXd8MLoKCK?cD*)(!R; zis{Wq6!xbuKz#_-1F@_52uF16VTOw0%v`;c%p(1gr1-SVqR_m|lK7<5jKng1uuM^E zPJS}T1~3n|y5h2u%7Rn|214c~7nK#Kl;#!?QkR>W%aB=|nVXx-kXsD09~6BcddOgR zXJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&4E7QW0|SEq0|Nsq0|SG*Unm0; z1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAgfo7%>J`M(m9t)5d z0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m<r8RQa^#a}W_ICI zVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fR^J3&Y3%*kqZp<YX?eLQp7x8E^uU zz1iS=7y}Y6Yz*u$0VoajF9R!s29yt@<iHG82AKcBTv#|TurgG@1faAR0|SE)k~_g+ z%)kIP7ZhJmWpK)lfq_Ag0TlWWp&1a0!3`R}P%fMb#vxt~wHIa|#6JuSpv-{H-bSc; zka-}(!STw#z|e)m{B=0Qk3h{S0~rEK2Mi1hCvm91i$k1=5t5#v#=t2>9O6zm#G`PC z=im@;#vwkP5fm?oR07IdAV)35p?(7n@!dGYkKqu%h(r7i4)NzW#6RH>|BFMMg9&^1 z3*!)%!y>L)-+1xIGSWFC5~bIK&fhi09!D2UjTZhI+{idOpquAi@wt7=Z|55Mcr$ zOc~<cef*sqed7Jy+=5+0;zJyrd|cxh(n|A^LlTo1GP5(|!Bt*jNh+9AmXVSZpO%@E z8ef)Jl$n^6lNw)?nx0u)l3E0oFHX%X&Me8SN@Xa{%q`7<X)8|7NKGltNsTWk%1=%$ zE>10uPb`Tq$;?fSuSzY-2Ww5ONKGy+K~lnyo0ypgmdZ#?EQrs{%Ph%E%mJAVa#nF_ zNj#_$PRT5a&&f|tEXmBzi_c7pFG|f#EGS4#0c!*)DlUr$)x{837bKQs#OEdELVN>~ z2Gzjvpeh(cL2+iTQ&DD0dTM-nYDsuXQg~4&%$vzYsfi`2@hPdrnduNGf{ZFkjxQ<7 zOw5T-&M(a?fj9sf3NWR9rMW?%CPZRMs%HuV%*#fm2&Nf=X^vo8z?sH|2&NH&i7>$! zVS+Kj1Y;x<EaBQr3=m9&xh4p6O^gu=Ob|>{hLWPhyyC=UaHtzFfRhDS2$XW-Q_E8G zN|F<Ea*`60vq7Z_BJXK2Ffed4Ffjc24*}v3X;A&n0IJ(z;;=d#R4(x#sfX2HAaPJr z52oG(Y7V-%D^#3`fdR#QP(22c0;vbpr7&~iK!OYm814Z#`WP4(K<WjN+>;Ac4-ywb z5}yPW2iXgfgPA`QNgPzG!^G!7#X)L8bvR6X1(G<Zu?`bo4;2TQ4{8O##J51jLFR}d zxo0<29Hbsp>ci9@fQp0EgW>`vehNt()TV-oKZ1&b;t^Eu!o*)9iSr@Z`vFOu6G{9# zR2<|UQ0o9@&L5~a$UUGs9wyEOYB)i|Ukb?_5hQVGByp%Q;FgLkjKjbHZ;61kLE1hL zNswPaEReW7hyleQzk`?{aRm?qieYS!xFS>xM8VQ0NL&dd0L2DS8YB*Di-3ecZA=gi z5?28+pcv9;V*tBf6)FazJU|2kL|hHZ22rr~8^|1WkN^}%KxvRT%xxf{1P}o=M-$2h zQLy$dNIfWyL1G|W03x93wV`Yf1#2II)a!r*ptu1_gT!^AY!KA}BB18zLD?W`0*HW$ z>qFTf3Kq_wbOOR4F%Y%^5zu@J5{I=5L(s%w?Y|r}aaenfL9e(nw<Ix%L9e)^2tsGT zSVgHhiFzfe6(tOMDTyVC40=h$#SD5y`5+ERxuKo~D%TLkWzYlb1uHB{&0)|>&d<$F z%`0Kh%gZlG)pPd?)h#Xom5mU7dS0nsK~a7|YEelgRBLKRd|DBxo`SlYQVJA~ptt~) z70716(wRI+5=jD$%K*w-pgajv4^z4W(m`P;07U`=0|Tfm1?dIlX^<LN{sE;`kT|G} z2Z_UQJV+46L88%xL1_zQCb{|zp=rwq$qo=R0cz1~5C;i^>;iG2(hMMfgV^Z$e}e=W z7#N_z0MY`+P+@TX1qt{=?S}>vNC=EU?NSf}6t*B5hSB*kQ2prsSAZG_>sP__gW?;; z2GQZrvJ>PF5Fds$K$R#11H%cZgF*2J@;eBF)WG5|4QfBE90SRL@D8Z`p!fmtVHj#0 z-2eGd{V;hL{RFBX#)r``{V;w#0|U5Ei>~|yQ~=$-F!#gsPlwtMRSpwo;D83`0=Ni- zfvz7^pM&BAJ^Vg^Dmw-S23UCt3O`Vg!t}$!59A+oyFoUsfZE>xG921>1l5!1`axn) pr66r^tN~Tnjb=E=KDZ)q9~{#DK^6nC13(UDU|?7X;vivk{Qx#}-gp22 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.win64.obj b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.win64.obj new file mode 100644 index 0000000000000000000000000000000000000000..61e0b5b2725ca1d9831705a809ae010ce5a1a3b9 GIT binary patch literal 3564 zcmYdkV`l(?Lu?ETwjc%r3xi%sYDEc{4IvyD85ndJ85l}fAbfEK1_lL&fCRmi#F9ja z5STo`z`&5h#J~{63g$9MFfcGUFeDt%ODZmgh~Oq07y=IH6`>lqfq{WRhZ$9m14Dp= zUInT;4F(2=I~eK=9P|oM)fq4_FtD&NFnF;+%;W*P&%i<71j2`r4h#$oCQ!d3!~z_` zf<2nwXn1s<cH9Z_nolp-pB}xUAVvSdq(^UegdqciN4F_h?3zb!_XI-*hX2<lcr+i; zh>kl9HUU`&SOQZRVjM&gY;Q#8X^+lpFF?jR?qpzKXmH#CVte$8sxmMzc=Yn>FfcGc zba`}s>bwbM_VR*!4d+C_6+wkMOH@2MV^kcDyQo-z&FgegF*xp`0t!<GkK-;X3LsIR zUf!tx|Nr~+vfBLr|KFom^y5De#oGWkZf6Rd2{pO-NJMnp;R#3pWYSQ^6~&pkdMTMj z`Xx#6X_-Z#d6^~gNvRo$W%^*5qST!HWQNS*%-q~uhTLKX2Cyh@^~Gf+l?AB`1l1)M zl@+Iy<`xh#FE=%p0i5SxVa&+J%)sWvWWbofz`)4D$iU)+M1$lOLF7Q{T|fi_0|SVT zW?*0d<qZ&B!N9;E0&*iLPcSkt$S^Q4xH~&rDQHw!n8ll!=$2>Z85`*qXXRw+7NwRM z>L@ssX6BSABvmT-X6Ctv>xP?|C=?XsXQd{WXeyZL8R;1?2r)1)aDwt8gCqk31232* z&%nR{Dpyz-bQvJNU}5lv%LXzqfYq`vB*Dcp7#J9&z<To-7#O6%tQH1{3t1SZ!`01$ zvz9P0Fvx<{t!H3hkOQ-}!DaU_Fff2z!NPDDE_M=J(lIcwFq~&#U{D0>5MqS6S%Q&) zK?y9T%*eo?3}$IEGBBusS)c#~Wlcr~4h9AWH3kL-Mg{=}1_pI73siuB@)#onD1&K& z#Xx*5FbkAtwZSY<rUd0|Mg~x+1PU8Q1`h@X20gHB00RSqK9~i{*dSeu3<(Sj42EDa zPzV`;Sp^IX48~v<C_X{O9U}uM?}ADxMurXs1_m>*>;wh|26HfL1_J{F$Zkf41q=)f zmSC|J3=9lbU>2xkwg$66g^&%H1@bSbE@5T>6`c?k$mjeF3=GWR^aK$Dg#m;GN>>nB zP-sBJK<Nv@0)-1i78ITkF;ECWSfDV0$m*qK=74e$$o_x-85q(TLHRD;P%jxI0>R*{ znOFi=1`2T|Mu<2%2{I9s1Hft+iZgRda}rBZLHPh8lAD+bN^A_EP=tse*OeecnHfzO zAt@PQHgY}51PTpCP)!N)Gm;vlIucZsF@p?4NP}6(^&=>ZnHfPb0+xak$aN#AdSYe- zg&ABL%s{ReK~*6$BPiwYfaQ=0Q0@fr!6D8FN`D|80;iScC5I$}awG!-$X_4~N&TRl z267=p1e6A0x*#I1FfIc`Cj&z^hDbez$VwEE_;^@sfP@vmE?{6d3gSR9#IE~L9*Ba7 za3O^)L_{A$Bn(5O1Vf|;Lu4g}$YHn$%!TJLL~de;Ji!ook0J64LxdIL8E}~eVG2Mf zBoS#05j6}ELktlc3=ww>ksu6_SPYR243T0Ckva^KP7IML7$WmAL{?#lY{d{cj3V-Y ziGcx<$5g7Ssu+q>^NKS|GOJRN1^r5MgFy9sVo9oJ3PXH+W^O@zW_D&gyo5mrm1U&l z#DfZ___D;J%*3Re)cB&*^vvRt)FLE}2wM<3i<2`_Q%ZAE;|q%NlT(X}Q;XvhOX5p1 zb5rB1Qj7ADbf;FNCYP2VDMXUXNKGt=&&<m#$xO@v`2xxO)RK5mA(@g{6rYoyoLG{X zpBJB*7GIQ_n^;hgitMlA)ROq(vUpHS1>%>2#FC8oyu{p8B%45rK&_N`P%{OGvf|8K zr=rZ1^wjwD)ROR&r0}9l)PP7XN=+<DjZaA}&P>lkGrTA{zN9EKF(*DbzcjA|SrS@A z!?eM|!^n&Q&NN3bEf7pgIMdh&!8ArNO%O~|1QTJHF~TrogkdHIaJ43e2qwY`6ND8e z2rEnwR+u21Zi2AQ1Yw&A!ZuR_hLWPhyyC=Ua1<IafYTgU2vj)7r<SGWl_V$T<Rm2~ HXEOi*`ad`g literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..996eb5bcef13763a5511bea1d5c6a913b58524e2 GIT binary patch literal 11896 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk{%(cP9PI|NsAYFfcH5urM%0C^9faa4;~e5Mp5H z5W&O@Vhjv7Bp4Vn<RJKj90P-fJOhJ=A_Pk_Fff3y4FdxM2#YXaf>K5XhO0~r44teH zIUWWE1`zIma2XgG7#J8CA(#QoV_^9I|NnoGG+2%S!3T+fFw`gzlL5qL0<#zxK(q|V zJO%~^MJNrD0*SLTFfgz&K-45bX-6bAAax*nK^Uaon1O+TnSp`93y&HT1_lNe1_p*; zJZj7t7{G3f!K20x>b6v<yFhLMnajz*zyJzU5FN<CzyR{YWF$3w3=9ms3=9m)3=9k) zH6VX+FfcG2fvN?W1HvFbfiM>X1A`J&?O8l(f*2SWKx(hyQIp2Nz`)JG!0-T%n$6I- ze#OAR0CE?|Eg%eX3kZYsn?e2X8IPLX3=9k)zy8IeMum}qfgj`+M%;E8Gcqs;FfcF( zVo_ra^^YAR1A`y~1A{mgH83~(F)}a+F)%QI?8N1-I7S8rVFm^UJv`<lGD70l0*{(} zMg|5^1_lNvJZcIU89-T;!3U3;LPiD#aRvs4Fg$8X7#SEO7#J8pZpY=XCPoGZNd^Xn zY&_;nVq{>D0!1`5?}EY&6c!*MWOG4$5C-L8P`rTBFes0L)PVA!JT$F?^0YPs0|O{e zg4BT0LPGu}cMuzt&p|XOpMdNEVNjj`nS0=}aSO;CkUo$&NDM@SFkH<Qh#F8{28rWS z1Io*U)PV9bOieKZ14BJDzk$*w$X}p*B?ir3Ab&4rU|`q?RRaq92gR4%LHPot21J9@ zfXoA7xSKB<w}8w6<z<jKE;obJfbudSHK4pqNDU}2<5L52D=04$QUl7%gw%lY6(KdC zyo^l^sLnWW#kd9J9#CG!rUn#VFg2jOOh^qVKM+y_%FBe*fbudSHK4pqNDU}26H)`p z%Y@W`@-iVcpu7xI0}3}72IVU)EOi<vZ-UY+NF69&f@qLhQ2qtQ4TulIAT!}=wPn|X z%2SXyNUaXEoCnpvFg<X!7mQm#c^;-#7oQunW!Ia66hg}pJv4JcW+R*Hj-*zffVpu{ zwIDx&%2kkAP$6*p1!OKv43xh>av-&!JPs2FiNV4FET%2HJ`W@aEe}BDEU3(Yg###T z;O1U1ZmB_13o38HW<bTz&FzPZgWLdWvmu)cG9P3v$b5zi#w`nw)Pl-hZ03U1YRj(Q zhNRY&K$x9CQtL)Q?NubTpm@RN25>slmR<h@Nv#I~b3yG4kaAEscoI<i52O$p7uec? zpfH2EffMRLkh$Ij%oRdX>jP~=g4%l^>tOK)GZ&W5KxH|o{Rh<wQi?O3fz*QH7pGcn z+4Zor15yieGd{J7P)U$l5QcIw-JmVI-UuoQQU@x7p<EQTu(SgzpFt8JwV*x$KDD5> z4NNVl9>k|M3MvUQ7ZgWOE=W5(%wTRvfl7kZg6e1}7pvMls3b@&sJ#N^VpUrPl?15; zwI`umtZI9pk|4F9auAdjKp3PLmL6d4orR<pR6c;X;Ism5Yk~X==4;EYUj>qcwl!eo z16U4=+8s!0Wr<9ap!g*=O@h=CN|Ug%52O~9CPC^!WfRD0pzr|s4a5eOQOCgyNFM|g zZcr{L$l!4d7Sonpe;Lexs0En~<ziL)5Gn~$hbv8jm1xVZe-CCr%*B-^!Ai7c*Z%}F zAZp?M0J{LvzlDow%dTezM>a$)t~3cYPFr?8H<DUVngoRt2!o7<r5%`BNhGy|(xeuW zT2Puqjtfv40=XBg<brXF9+&}fFDUJBut55%AYo8@9;6ne2NZ91AVFwfOOAnoL4z66 zUjqq)>_ArQ4-$l`1@#3Ypk*;g7!>EoYU4nHP_>}`FvtxcH6US7JR+;j2MI#eszTcY zAa{bo38W5$VeYL*Qmclh78EzgYWtDYsxv_PnlN)gaf7UOE|OXeXg?pO78EzgYF8nt z)kISZiW_9L8<Et4+Gn6Jg_#TLgCVQkhNKqMjsm3{5JpZr;Be5EU4IlwtpS1Z;WCn1 zS4PMf2`E3o%mujvY%Zw34iZGdpfn3|GpJla5(ejKdWgg9Y=AOAX`&I2JE6)LQlJcw zdeAryF84!~fyY-sY>;|T*bq`rtb1^ogG(HghC%LtVNm#k(kdv9U}7K|Bo69h;}XYZ zetb!O0Ygbrd|GBvXkKOsLt;^KMrJ&c08}F0kO9hqDuMBGGV@a7<4p}2pbR4z!xYLe zw_r%l&nqd)&jDEwZ-~r;nw4LWT9jClS(XY?!GOYxH$>%wb;P46f!bqi0%cghoM31G zXPH|<B~4+f3@yx|LPnM_WyS{P48<9lX(bGqdC5ibi76>Xsl~-mWyYokaF#KQWd<|E z*vtYhX30=onj4>(oXk*Ml3$b>UzA#0no|OmHZd>)#aFO%d~!~HayCP-Ge|zQ1jNY9 zD<~}qPRuRHNk!v^CFW$NfW*==b4pT+Qd1DBP(>gLJ)wqsLe2J!M>ZOT2hrdkk7^79 zx&TC3uycGtQGRl2adBo|x=Vf@*qJ%`i7CP0Pz!dB&&<m#afF5&n44UL!p$rRF3kmb z1gs0ej0A~6+z?!nn4ZeuiEfjBJXj0ZB7YQnKs<ysARfdPsE*QH1_&#X!9U&&CHx@1 zg83C<ad1$4K~ZXPYF<fjNn%MVLvT=hT4_mX5rPLc0;1Rx$x)zSMR*>>LpTb=gE$JR zqcoQR!ir?@goZuDM1M?wM}pjtoS&NJlvtb!%4RUWUw%n)hN*!^6->Y<zdRtZxERC* zr{kd1^vvRt)FM#e=9cD^_=7T9UWy?a-w4F_EzKzjf~3@7XHeRQaf0HDLGct64|YdU zYGMjfjOHd*z<8OUbnR@VA6lGRRIKlupI4Ho?~<Qfnwy$eQmpTuS>jQer0?k)qF+*= zo0^=in_5zul9`xSqMK-BQjl7dnyFu0l&qhTlB16(e)P&RQW%`A^dZwF`eB)6i7EN| zMg~SEdPe#wi6x2pWgrb8jm0G?@kR!QmiomdiFqlBMJXV?ISl#*Mfq9!6-lL;IVr{Z zmX?;5dIs^Hej)LO28O2bMuvt4`k8sfC5bsX#rlakIq?NKi6v?IMY+W=%OF~avy4QS zmZVmcWaiVwoyb-Z=gQ2~)Kpl2ro`vur)MU|8ycFK(9*g1?WD}zx=Hydl>^~;B#TM) zL0)NYYEfo#d~pd)Q!^siF)XCO#Yq09O(w+ZY$RK$QWinmIiQ3CDu^gA+>jbW)H4*N zK?F7yR2W0Y5kPH27={WnOk`kS2w`Aguw-Ol0F9%)U}Rue!pOj|l!<{MhKYe8o|%C` zj+uc$jD>;W0y6_c4+{j}Wno|dVIx)s1`r0B3o;W%gV>-x3XD%G4bmIRz`zj6z`y_+ z;|0wPf#!Zdb33598x;ly22ei_)PDo@wLtwMP=Ch|I{ySxXTiY0V8y_|V8_6~0GdN~ zVPIeYjeUZ~DM4d@Ab*4G2xDMi2xnkm0QFm=7#J9$85kHq^T41ny?6!&h71M<hAhxr z8Uq7E4g&*2E&~GtXbdEufq|ibfq?-ue^kQ2z);G-z);4(z);S>z)-=!z);D+zyKP* zt7TwdsDsWif%*raeo7Mq14AnV14A1F14BD#o}Yn%p_74up^Jfmp__q$p^t%q0W=mo zfq?-WN0S&B7(jE%Qy3T+rZX@w%wS+(n90DvFpGhKVKxH;!yE<%hPez344^ULg$xV~ zix?OfKyy}07#J9qGB7YKV_;xd$-uy{ih+S)H3I{~8U_Z2wG0dl>lhdq)-y0LfaZ-r z^Fp9`AJDvxDI)`e86yLOIU@rDXx<Z)J{%Ys7#tZPbDYkM3=E(?qZ=awg9jr6LjWTK zLm(pqLl7eaLog!)LkJ@SLntEycpfvH5i(bq%*eoy%E-Ww&d9)!$q1R71kJU8=2Ad& zC7`jmaz+M*3PuKoN=62TDn<r|YDNZz8b$_&7Dfh!Rz?PfHbw@9c18w<4n_usPDTcX zZbk+M(A)<oy&+=`Xh%l?N`v}u$nwbSQ%D>OQ0J9_fx!Vvqw7QFBkOYj4TLc;FnB;| zWOX3pp<QhdlL1+u2Waqvfq@|aN~7xojjMq*F)*O$3jlRSL1`9Bqw53ZA8h(8K!YqG z|3PVVeX#yMNH4NG(ZdtjKOnWBu?r9l!pQp2!xLQ}G<rbVQ2d7;p6L3Z(SxB6Jv`C% zL8AvlA9{GA>w`uQhCcN0MArw69t?d7pn*uxxEhp3*9VOr41FA+fg#YC6_iHT2Q>~u z-v_ArA5a=yA5<7a-wo)%!viRdt`91Vq3-}_aDst>;RKXM*9R5G(6<6KsK&s+umMV= z>w^ko=$il<U;~wlP#RqyR2V~F1$3aK0ZOCmg9>BlL(dP$=@_IKGzJ5rK^R|tK-ULa zLjuwR!pQp2(>1z2F^~o*M%IU(&d~LN=0u^|Q1TyoIz!h7njgic4?Ufs>jTY&VAF@5 z&d~LN=0&jSLr-Vu`apB2*z}>NGjx5R`BZHB(9;>ZKG3*2Hht*j1iC)Z7&|t7=;;$( zA85QCo4y2S0?dHY==v03n$YSM4`>1mfYRvtU~~E)?a1lO0Ga?TpftKZ&>9$!9uP*> zhn|m+%XN@i&>R7X24Q4<==BP^K2ZA*qz8nN^`X}*==wnO3?MxqjI0kmKcMRawM9XC zKp0scdVWCH2Wr29^nft3KJ@&6t`9U90n!7)$okOp1G+v?TN$JWgpu{3=Ld9spg9VV z9uP*>hn^qM^?}xtfb@VcvOe^5jjj*0wgjXHgpu{3muKkuK>Yxa9uP*>hhD#<>jSMh z0qFr@WPK9Q0#X55kf7@W^-Vx}Kp0sc1GE4Et-}S$q3Z+nRY2k(jI56XnlTfgG`c=e z{|2N7gpu_*Kr^NXGy$XQ0}nQXG@<2p2WZ54KxuS+pnexr8%q740gYG#D2=WU)K|l% zPXHRR3Q!tdA8Z{ENH4Pg7@!d=0j1IPf%+~WJs^y%?*~-905pQp^?~|{AUz<AtnUL< z{SPROt`F3=1nB``WPLZF3E}~iM%QNv(g4NC`VK%7#0e;kt`F3Yg=#~IhYHYw7nlTS R%`6fd)RqCQmqikSGXc4i;*$UX literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..65efeaad460ffe4b5fafcbe58c8a61560fcf0589 GIT binary patch literal 3997 zcmZQT0|^Nb0ShR-AO*r7B}YSGGz3ONU^E1169RMo|NjrdFw?nU3@9z2&cMLV0Ks4W z|Njpa9`uw-5yXjxoD2*VjBjINb3$B2=H0#I<SJ5sL2Jco#)BTa>s$5y3HYvUvJaS` zWO(fCw(5oEk-JX6%MGqsnB~*K>G^oIoxoyFH(#k<!;9iQ{8IG?*^_(tkNh~$-FC#K zHt77!o$2rH>@L5#`}W(nm(I1k{4=-s+3fsh^JZ3mkCVvO`?H*7OM|PIp?x)Tvf$N{ zE3qN7^``e<%UDwNhWikwkg)4=pRZ?^)HjC8FuT24FKN9Z`SBypshUT<<oE5`xL3C6 zl=E>L#;cDXDy^9vnkDbMPWr0L>Q5(_lMdhD6g+*lt;*)dn)az@znI=HeSLlTF1fHD zoF)O`{q0BN_}6W{abU-uMPHA-G`_F*{pHr{uRbaDZC+V=_stLS!a1i??Y>&fj-508 z;^o)hjGp{3$*k9wh|v3e>WtK}nDmKyVy}K$u6ezA`SIfTqtnh>On<WP(dNAAPbyci zye^ws)wu0q?cDR<7Opm7p4F&ADMXUPx6(O242Zk^X%7`mjrXsNNlH2ED2bq^+Z zT@!uBe(2HK=hIddKXS4;6qfGx+?071<5`CE1=pOFukT&`%*%Lz<OLp+gARM|tCro> zxu-v2+2YT2UtMN#P2zk#XZxIEJHu4%p8Q<0?(m%J(kp{~#54D>IQGw;k)e0>pO?Xd z&(^+c7bg|FT))VbE&ID?vu<5~74x!(D+;e)JF9chj49kgd(I}OcRyZCJ6ySl|LL{E z!p**K6tAs5bx^x{zKvgypA}Qly#{*|yV?g6-@LOutjxZrx9sNvcm7>Z-ghp#cW)wd zXjcb!sBzAWug+Q@lb33{yg8tB(L=<k#Z^gGLP7X##KoIiC#72{e((uTpMGM^n>R<) zg35ayIfd5Sl%DFCrQF{n=JKYW{S8;w9{-Ci1+PxAyqx^-a$$#omVt)b(cO=lkJx(! zGt6|Tz2$U4k^j^OtAq#v*?UbVSY8PC79GC2sb<TZnE%^EO>fCuxmu;%E_5<|er1vP zx=OBdHo?9PA~L=qfB!lKI=WwYIwkSyO8Xa9yl-bb7MG7<sgNx(7YZvacenl{8s=0g zZo2W^IgjsLT3buIJ}>@MD5d?z;a_pb)Ef#{Jngrx|8MwZb@S0nA2(H|-09jY?5!#^ z^TxY{3m5LYak$l~Cp<hUb#c;^<vlg>&ws^jXq@<me`(6~=w}8igti*+hcC}nT4Yuj zX)n2}W$A_rPdCj^)6=dP{Y>AoGhCwVudKX)>!jNUl6bzeWhOtK->+o<Rw(20v0~=J zyIwhKH`!-@w%YwrC_X;(fho7cMb^T+_}ZrH+l8!ee44Ad_0#hFiKf4M7`a-E3!4wz z<hMA!P|h~thDGT2IX}<IKfm>r={ds#8#alL)vX%}SM5Ih@_qJ$Jz)>-Fk8ek^z7K* z(kr}kVZq8{dnU)ru+GtM-Vmd`ax?cvJ5ImDnXA{Gj*`{i@;B=5t6$%e9z6NW#2+jE z`-YA+Yv>lc8?)=TU#+_l_a@V1R^u@Vi@m`o;y0c5EZ=zfP3ekB-z(PZys_l`{zS54 z$9v814R21%-}!CzP-dU+o7cY?pGPk!7IoRTzP%&(m(mOC;(NWq#~0cK?~X{8+5D~Y zy+P08+6$3;IUXOdTz27e=vRvg(Olv+w*-w_jpM^#$NTn9n6__aUi{)M={C=mpL~>B zI=AxN;v02qFV%hG-d-D}-)Wk(w}!)}p664C=9{mJ{`m=i?m4{se(#~W?~(~qvR}OY zcI$n{Tm374=Nm1x+TFopVszfP;j?Q;MXc$f>Fkw@Ic>jqKhc+dna}n`>Zs(Ex&X^h zkuQWVBs)Hmez0Hr0b~8=oYt6tnzHTOQ_mcT@LNzAqb-rv?y{?F^M}i~Z^~Hnhwd;h zn7Q9RzT<bo9lIDt?RCLfRp%66Z+-4Acs25DLs~+ou|r+fx44JP?O5%<8uv(^Uy=BG z<!jM(%WcowPgR?Hl_!JEhUdg<3(a+pdna0clRoiar_P(#GtO0Td|dSWpTz4Z$&5SC z--$f-JG|q61K$T3sbf1f_DgnDoI7N!+v>c3$N5O1^r#1SI4%A&Cfdwy?G*kU<*uOU z`{MJ0Lv81>*ru5?KUuDK^Y;n455fPLR4dH5FZ(aF-|IQE>qh^-e<eTi9{SH`pR<}# z;hk;b;}(B`6pP=EymK@gjoB@(GdkSSK6H$8{*6-;KF(R6dGC3SU3bH0K8B8J*BcfO zCx=Py`Oes4ywUSRB-5Pl3=T2ciI4Y$E=qZ`rrkeFY0vXF&mE34<V!U?n#C#ozKV(U z<tnS+b`Rz5X;o}v_}<JQaXsM14s(qi<*Y~cmMuQ;SLlHp(}5k!4jrqV-Bf+6dG?RF zn}62w7QAC`00~y^ZZiHj?{<Bu)9f1m^{-woT67~p%$IeebO_)5k6cOTxj$PfRP#N0 zFR<MqowY;W@elVS=7cXIN8%Oz!p|(Ot=ufL-EDLG*-s~0GE?1qKi&zMvpwRtH{0rn zot-u7=A=(E%$@0~@qBaZBf(;w**<yi(}gFy_Zo>Tn3cLVsnc><#KAcRn{MWMo?4=@ z`RqBK6p7N=Dal7USI6iS2rQZOZo8`SlG#2d?i}C?(~*2SC)H0<Z*A_9sb_d@{8}ly zWSSq(hEqE#ZzMfC5@9*}^z(<YSG#nl-J3RHr^(Z8lP7-p*0bjJL9NN|n|E$BR9F&W zwkaWHQ^L)&e;JBC?EAs7hdH{F|Im)2kM3Q`2d=b)cG{;!?N)PtynDWtz;>^_$8JoF zJ3Q;m?46ve&8NR=XOv9a6C~H&|D5~Oo-e+=&o4ex6ponNCvUR6=x376RFALUmp=L_ z^M!$lbzO(?6fc$XBbl!PwqIDWX~sLZ5Z}WFE0Rllw;XbNpJ7<P$I|%}*WB%l<~={p zuiyJiFg#nVr$}(?xfQRq9;fZ)bN^Y8H1GcEhW1DOvQ0G^LN0E5TzK}adm2CM!tbZ& z*d;$@^DjSnE;g)fpP*vt&s2`u_QjVIcuwTEPxDZ_rFd+wOPg}LV2;_=D^m|K_~yv& z`IfaTR5y5~TiKqKA_9{Avi^orYlF6HuV@VFU!-$OQp>w=6Ss!O>EOssg;igyZBjM; z+*TZ#EyU1o7wY|Q4%em^p0<AiCw|$Zx%KpvGbg*u&MNrLk#1qHH!MAtHa+k_=Ceai z=XB414Xw@yW<T4I=3somgn65C=@;4jmblIsfnR$6_*o2H*^f4_)qiER=uPa7$Y1iC IWhZS00N7Nz{Qv*} literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..adfe195c8edf1fc716d345a74120cfdb3008fb21 GIT binary patch literal 1240 zcmcb@!oX0$xOckthTCBRZCAq|Ez$q}c4nEHR`I3j-K*4USNO{3dDu)YIaV95p^=dR z!T|~zAOPZMMC5+^ovtLlo$33V@~}<kZvH*L?%lV`4^D1PKO?E#JAbGB&S%NI=hs<( z|G+5sAmiD;)F+Px;|_l0oqzuT>z>x@=6B~GRGo8pw)oxnL+m@67Eiyk|Hqx<b4ss@ z&$%=A*YoeoVh-J^XU+aEyXNor4d3MJ9`0ZMS3mJ>J#Y4Z>4@L=58tY1%l^;0=I`_k z-|E}GA6eXdczSJYw|=e91A%#aAAOdtV9tLickaQ9(Eax9{sp}4#ox^fc=<oPG5yXS z|1;u%_KtRCi~76oy&nkAIkelnLVnGkts6dx*S!6%S#KQivpeCbdfU|bB4IV<8y-a; z=*s8R-j^Qn@omD<a>3ufMD7cO)tGO1w7XUGK2KOpam2^9iAT3Lir#0Owy!C_Nqzd4 ztxcl;Il}%3?@*K1togd^|2aXu2TXDMpZ*h`b2z=?>$cyI^MA^Ix)obcS^p}&#{MbS zG`p;bUoR40CO0hYf6D)7-Ye-fpZ7LS{ja;`Px^*W^ADe@=hgntyXFu7hEMW;Uc3(c z7rx<>{J~T8Oxpi7*Zh%Be7e11>V09Izw;Ac*6;iFbw!<S!qxR0_cZ5!cqCh|*Sh{* z>AiyotIx5{x%0^OgHDOvVfP))kH6pD|KsHG$GP&(7W*E{9G2YEHeG&)ePggi>vQ>C z_U-E*Fw4|D`Nwtdkg3gq^oq|L@83UYYg7H;^F?*La}R}O4nI8gH+x50w#9YJiWlEI z!~2)*sj+$bt*Ly!&)<jZgY)z({^q`l|6}*`S=0A=pT8I92iwILl%4%6`q%#FY0E`= zZytO|pMU;ATdmB4Y2U>Pj#bZk{qN4SpIq0<18s}<?`!rmpHuuQg!#4gioGYTe)O%> z+gI%MYsWnML$5wd*2dJ@F7;pCpa1gotJ{XP5w%sG^F!n3T@Jt6p8aj7@_XGq3jM~f zYEJ$+@cGqc+0Rk`8QAat+*du%-mt#ys=L&$mS1kZ@g5iQD=(V!T@k%H)3(&5RCV{$ z_o1?x&d+E4y|UqzNA>N$oV(T}UY+>r+?DtPtCZbe9dWYSw?f&n)ajq?8mmcx;!o}$ zT%}z7>QL8@r5j$I_;c#|^ddgzh@a61Z`C`=a?ZGaXqC0}tByMTw$SoFx&Qw~7wUCi zEWIKr`*#1v*BA9R+`jnEBD}2r{7j?j2Y+VB7KEL7H*Zt)xsJ2pbNDy+&*9x{e6IDT zX8NHwy3Y^3k<gvJ*JXOz`HM?#9uEE{se7xYnXmhK^8%OVv&E0AF5EY`ce(xX{EwTe zt4dPO&$}?;rfHR?`)(KMskX|pJ>MqIx&2r2r;)X)dD{Q`;V1sbe!Iu^d*(&I_rJX6 z$+jQ0-F|!nOZKbB5?u?9*ClRQ{kyK;Q~Al6*(S5t?d+x7zbw41*85`OZS&)au4j!h z=WOtms?OT$nS64mLFSelYQ3^w4=fQZ`sOR8yUkPivCnLiq+iV^7algVl)13w<if2- z`=-~vnv>i3IJK;~?5y2_@Xg;}Tz$i`ch+9V^=a-euD%i2JFC`ddYX8~#Wym#vuYi> z)BcNxd&;EsNIxo7Xp=SUljJ|LU)?_YnDS2P6S)T@CVrREE%`FVcGk)Z7w3HJ`KEMC QCbsNL+|dW}28mf*0GYF?f&c&j literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..4936ef8 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 4059207018839603124 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , + buildDate : "Nov 8 2024" , + buildTime : "22:36:57" , + linkCmd : "C:\\Xilinx\\Vivado\\2024.2\\data\\..\\tps\\mingw\\6.2.0\\win64.o\\nt\\bin\\gcc.exe -Wa,-W -O -Wl,--stack,104857600 -o \"xsim.dir/tb_firUnit_behav/xsimk.exe\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.win64.obj\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.win64.obj\" -L\"C:\\Xilinx\\Vivado\\2024.2\\lib\\win64.o\" -lxv_simulator_kernel -lxv_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..3ad4fdbf846365595e1473582d996615b48ae1aa GIT binary patch literal 935 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=U|`tRcP5>Ofq}uDnSsHJfq{XUfnh;# zh)cYWzq_Y10}}%S7&9|4FmN(3FsO&BGpI+XGpHM=GpHM?GpI+YGpL8FGpPHhGpKv0 zGpOsTGcYnRFnA-G6iS&<3JeSktPBhb{2W6<gB*Rp&S79+VEF(4|9_BGjtmS80?3Yz z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)m7x}7=9Q$TrxwK<8-cYlFfcUy z|Np-pq@@h%osjtAlEji!usp~(Rt5$Jb_NE9a7WLO_+Urh03TO|;1K^HSB8Kfe`nX= zU{62ycprcN00#fikbuw-h(cFC7nou{*NBjKH&34s*B}NrPd`VWc&I{<t>!SFdAj?# zx_~Vu#y@^gZOQqmX-<j7sX3W>sg6ZOiIs2@*cceVp~3=<T~KH=K*d1b0r5e>3kn^7 zB-8xzOOi884Lqs{8<&E^IA0{=eDcc!5{ruo8^(phFqqqlGcwakf>P5ni%U|A2pfmX ztFV;j=@;Va?g~jju%H0NhX#nlz`#%hrT0SVyHHvVq=<om!4XPthtlt$v<)c9FfcH5 PL+Kk(S{;<T7#J7;c5kkV literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..afe268b949b6573452c27d3ab530278d3133843d GIT binary patch literal 12 Kcmd;JKmY&$Yyb%W literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..f056cbb74fb228be3fded5feacc4f575d5932662 GIT binary patch literal 7400 zcmcC!U|{f(WMI%{U|_J2Vqkb7!@!VOoSd4Ml9`vzkdg{#GB7Y`G8izx03!nf0}}%S z1BjM@3i3hu|Dhl)F{e0{p`@rZm4U$)hh842UN$tn>IUiz>W1nJ;W+ehK=rYp>B}q4 zVJObeU??uBU`Pew{1S%Lyh4V=<ZOnd)EtJSVup+ohMY8pvJ!^0G=}6NhT?pN;!K8= zoK%LCWJ89OWFv-@WMhVuWD|zG#B7G*$~=bDk|c)Y#5{)7T!!M(B!<-DWQMfj0*3VB z0*0dE0*2D!0tR&jbp~}sbp~}Mbp~~1bp~}6bp~}+bp~}cbp~~Hbp~|}bp~}!bp~}U zbp~~9bp~}Ebp~}^bp~}kbp~~Pbq1Js)s55{)Q!~{)J@bG)J@eH)Xmfx)Xmiy)GgE* z)GgH+)UDJR)UDMS)NRxm)NR!n)a}$6)a}(7)E(3r)E(6s)Sc8B)ScBC)LqmW)LqpX z)ZNq>)ZNt?)IHQ0)IHT1)V<Uh)V<Xi)P2+$)P2<%)cw>M)cw^N)C1HR)C1KS)PvL+ z)PvO-)I-!6)I-%7)Wg&n)Wg*o)Fadx)Fagy)T7iH)T7lI)ML~c)MM2d)Z^3{)Z^6| z)DzSh)DzVi)RWX1)RWa2)Kk<M)Kk?N)YH@%)YH`&)HBo>)HBr?)U(tX)U(wY)N|As z)N|Dt)brFC)brID)C<%Z)C<)a)Qi*^)Qi;_)JxPE)JxSF)XUTv)XUWw)GO2()GO5) z)T`7P)T`AQ)N9lk)N9ol)a%q4Qc`mmk`0Y4K!hbjvZ1j7h%f{ZMj*l%M3{gGQxIVW zBFsSqNQW^<hY3iB2}p+tNQVhXhY3iB2}p+tNQVhXhY3iB2}p-2NQWs%hbc&hDM*JY zNQWs%hbc&hDM*JYNQbE<gZct>2K9yN4C;&28Ppf6GpH|7XHZ|N&Y-?bok4xMI)nNO zbq4j7>I~|u)EU%Qt23ytQD;zJtInXlPMtx0y*h*X26YDYjp_{Qo75T9H>)$KZ&7DZ z->S}_zD=D$eY-k?`VMsl^_}Vr>buk#)OV{hsP9o{P~WT0puSI?L4ChEgZcq=2K9sL z4C;r}8PpG}GpHX?XHY+?&Y*rwok9J$I)nNNbq4j5>I~|q)EU%It23ydQD;y;tInW) zPMtyhygGyW1$74Xi|P#Om(&^5FRL@CUr}dJzpBoleodW0{kl4X`VDmk^_%Jp>bKMx z)NiXZsNYd%P`|6rpngxCLH)iugZcw?2K9&P4C;^68Pp%EGpIjNXHb8t&Y=EGok9J% zI)nNPbq4j9>I~|y)EU%Yt23y-QD;zptInYQPMtyhy*h*X2XzMZkLnESpVS%DKdUpS ze^F;p|EkWQ{!N`h{kuAY`VVym^`Ght>c7+()PJipsQ*!CQ2(pWp#D#tLH)lv149K; zIr1M=b}&NAE>Ho&z`!8F0^tj=K*}{976t}p1_lNW76t~85*8K)23C+h76t}TS@nmR zfq|WYf#C-;0|Tfm`pV3}z{$YC@QIm$fs28G;XN}012+Q$!y9G>22dIIl9_>lmw|!d z88ZU|sGNMv%)r3Uz`*c;nSnuofq~%;GXsMl0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E z7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKMfSG{-RQ~Q^W?+zHU|`tE%)lVUz`(GT znSnu?fq`KoGXsMR0|UcaW(EdX1_p+e%nS^23=9lQnHd=585kHAGBYqJFfcI8WoBSd zWME*J$;`l@#K6EXm6?G-nSp^}A~OSn3IhW}FEay!Dgy&UCo=<s8Uq7ED>DOwIs*ek zBQpbo1_J{_Ei<ToU|^_ZW?;}_U|=X^hSVvA%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ z%nS_r3=9m3%naa|iDhPBFl1n0h-7A9Fk)a}2xVqqFlJz22xMkpFkxU|@MUITFlAt1 z@MLCSFk@g~aAjs-FlS(3aAam+uwY<duw`aouw-Chuw-Unuwr0fFlA<70M%`V%nS@R z3=9mq%%D1mfkBg*fx(V}fkBm-fx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+ zfx(%9fkBX&fx(4=fq|Eqfx(r5fq|2mfx(S|fq|8ofx#VA_b@XscrY+9{AFTb@MK_M z_{qe;;Kjhe@Rf;y!JC1B;Ug0RgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b) zFa$6#Fx+KgU<hPjV7SS|z!1d1z;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm z3=Bt^7#PAC7#I#RF)&0hFfi<8Vqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$ zl}ro_aSRL$OPLrL;z9Ax#K4dMihm{shD1>OGchnEf#RQufgu?b|4a-FDWLdgVqi!G z#Xl1RLmDXlnHU(-LGjPTz>oooe<lWoOa=yqN+t$|EKvM2F)(B^FfbG{F)-wS;-86u zAr}<?ObiTpp!jEEV8{o>KNAB(0Rsa=EE5AmAt?Tt7#NB`@z2D-Pz;KHCI*HQQ2aA7 zFqDGgpNWB?3>5!N3=HL<_-6v;Z3YHgCI*H|Q2aA7FjRr!pNWB?nt_4Akcokz1{D8H z3=FlPHVhL3LmeponHU)ALGjPTz|g?Jz#zlKz|hFRz#z`Vz|h3Nz#zoLz|ahecP0jg z7En3B#K6$Xz`(%F#K6$Tz`*d2k%6Hd6yJ;t3>^#%3||--7&<}uo{@o}i-CdR6(a*f zHz=MN85nv%`J9n~p_hSy;Wi@!LmvYJ!!<?*hJH}|GBPks0OfB+28M|Y3=GE^85kxp zFfbfqWMG&KidRMkhA9jT3_BPZ7^X5XFl=UIV3@|hz_5;yfnho*J{cJpW-u@?EMa6| zn90DvFrSfuVHN`e!z@MyhS{KaWMp8N!@$5Wfsuh>E&~HYHzNbXJO&1aHbw@9`Jnh? zWMEhTia$mMhJ~Q`V`N}h1d2aK28P9;_+w;XSOSVaMh1qZp!j2CU|0r<KSl<I<)HXu zWMEhUia$mMhLxcBV`N}h1&TjL28Pw3_+w;XSObbbMh1qpp!j2CU|0u=KSl<I^`Q7; zWMJ3;%D;>Z3>!i5$H>622^4>f3=Eq=@yE!(umu!<j0_B0LGj1Pz_1Mze~b(a+d=Wi z$iT1zlz$l+7<Pj4FCzoPE>QkuWMJ40%D;>Z40}NF$jHF37nFY)85s6~@-HI;!+ucy zWn^GD0Ls6hyab9*P}qa=F9QR^VNm`Bm9?P!3(9k#{L8?=a10c$ptu6%Ur?D0%D<qz z3Ch0=3=F40`Imu#;WQ|IL2(PpzfgRVfq~&1)U5MRJ1>CZ8LAfKhfARR%fP^J8I*rP zbpa^<Ld^t)@ikEXWnf^q4$8lvIs=q{p>~7Pz%5X`gVGu(|AOikQ2vFw5tK&nf$}c{ z1H*k#{DaaaDE^^-0p+1bp!jECV0a9Qe+CAIC!qL;`WckRpMm0^fq~&UDE=837+!$l z9~w5GGUyd3{uvk;UW4KvR0o3M9~y?BGV&cL{y}*K6#oni3?D%84-IQj8U6_r{|pQa zpF!~t%3GlLhsKE}0|Uc1Q2aA6FnkBaKd24{#XmIeKy~0RQ2aA6F#HC^KLZ29A5i>5 z;}}#&{{zK80|Nu79q=Ct^72bk8Ojrj@<835)S{yNB8IfY%$(AqR8aau^m{;U0#JJZ z)b9b+tDq(Us2&FKLG?MPPXw|9qz-EI|KAJ-;C>QFEldog9>kYnGyu0BKx`;(`2YVu zsAz)gfr^7Ec}4?pyF}-8OQgN$yZ=xcte%14gEPq7pY;q3X~hf$#SD4H45h^kxy1~{ zsmTnvnRyHupt2QYkQJi=y#L0)zyPun<g|Ja0m2}2K<Yqk18I<_Kpbc|gZMCcP+J4U ze*y9^sQhGLU;yzQoIs%gX}f^<45wy+s$*~)2h0~(dIgkjKy4y0|G@fd6U`uPC@`PF zFi`Il4+F?8Age(%2q$BP7f2ec4is)6H6RRfAIO|cEb2k>APiCi){I1Cp{WO{0jULH z5F1G;n3>CH0B#?GIiT_cib3i@7^bHVi#m`VkQxw%soOxLx|c+%`%9#{|3s?eV#4$< zx<86i6I0@I^HWk8z^wA3%#u_HABmY*P>`AjRmQ-;AjX6}kAd2LAoD?ON>CVq(i<o_ zf>IYK$$`QSg!2nh^WyWf8H!61OG=C5AvriNF*g;;1UU!FWYEE37pR>IvJ2GS1=$74 z*C4w<?RR9mKz5|YgKPv_ml~g(lV6;g!r+8tE=WH}FNg-U&q3yb(mIF_axVy{W#**D z=NDzBXXeExrKV@*F(8X1mlhSJ=9Qp`rRIU!Vqr-3fb0Od4P-8;9SpJu)IJCCLH!7j zJjgB(2Fa;2IDq>vAT|i=GB{wQUywLRJqUx$0bvjugh6bOJSg3R`aK{v2!q5y@*oUi zn=v?m`$r%-5H8A02aUU=rj>x&&!84JNEFiN0=W^S2NcdAQBeO1#0QxL!XU9A1_$(V z5|-!xgVci5AY<_01!&L$I*tS$xBv}gfCfH5gCV-=47T8wH$oSv+y<EiihqzOsO$sr zLFGKiji7i0g*B)@2C4%<{Wega5ae!<n?d0L>Jx(GKx~lPK^SCK976!Xa0aQ%WC+0U zCrBLTHV_*b=MZUbAr^B%ZUbSEAIq@FgTz7p1<}ZMg4pF))Pux9e#fT10*iW(I$Y{2 zv8V@`4+?LPJ`fujTQMYH4PTHN<gl|QQynP&L1uw4vU%wIO-#hOV>6NJwh*C?n6wTl z5E&Sjvw(VgAXh``TF_VpC_G_hAE+-6;)C)4$j_iW07?U(JOGL-P#yrq0VofE(mW^+ zfYLlD4}j7<2!p~0l&(N{1q*TEu@Z+mP#X=@5CXXg<my#Ks`Fzgz?vRFZUSMLdHzJI z3t=e0$d@2<Kp13JC;|CQ{sye>0r?3OULZ3-Y;<*?H~@)*FtR#ietBYMNqli)Zb42e zLvcxdQ7S`0QGRl2adBo|dVEfPegQ*%X-Pq82?JOmsMdul&P%N*iBHSSDM>A2NXyJi z%!!981dS<ydW9fcAmd%2I0m^9G!_981&w)t_@J>8kQ+f`HlTC@nnwVo6VTWbD4l@n zX;3-=g%b!%Fl;~x2asNnd7wTxsQ(Qz6J!@i43w5YdO&PR9Qr`@A!u9zmp&;R`atO( zG(Lw*pArsz_}mG~L!hw`P{_StVPH_<Wnf6*WngIFWnkFA%fRphMDsB)Jm6zs5a4HE zaNuWP$lzySn844#u!En0;Q>Db1BU<ugMk18Lx%tZ!xsSt1|LBNhAn~&3?D#)gF*}p z7D5aR5kd?MB|;1gD})#r{s=KJWC$}bOb}*ZI3Ucx@Ish@fkT9W!9s+AAwz_LVTK3; z!wC@vh7TeP3=*OY3<07H3>Bgb3=2dV7(R$HFeHdEFm#A9Fl-QGV7MU$X~1iUGcb6F zGcaU`GcZgLXJC+!U|=YaU|?7w!NBl9f`LIol7XQE)R<v__zx66J3xb)puQMX`~{Q` z@;^vk0+bMt)O$erpl|}o7eM)-@B{H@K>47s1o00*`7rZdK>47s1<4D53L*wbID_~W zP(CQkLHr+}@MD04If%~zY8-+3o-lDJ9~37b`2;8*6y6~I1}Gn9e*tK4mH`s}Ao&?+ z{1Z?<C|*GFAE10t9D(=(paK+0zXKY-0FA!@jeh}+{{xM$02(YtGCu;!2c->={S8n) zD4l@#E1-N(ngQ`MKxqNgw+Cfr(D)IQ4@z$!`5jO`C=G%551@RQJO`-pjAXw7ln+j0 p3=9kbP(FANn}LC$0Lq8QKN`ORRPi90w*ig+0*$W#8VEpA4*)_%aP$BG literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..d1c15a5ceafd65b08a73ec37de2435d34bb35ad9 GIT binary patch literal 99120 zcma#Z%*o8FP>2Z5%vFeRadKBMFl5-)cP8C|fq_AQnSsHenGud{7#J8Bc^FUtBN7k9 z24Rp82Ll5G6NmxQ!@$761!aT8xuI;3T45wMl*s@R2VoE&q@GlBL_iv$_KG60#gN$I zNNfotwj>f;3W+U^#D+3a+zE0o%#R>8E!=<{R><y=fjS6euPhQ<4v7tAqPQ77Y-tfz zuy6p`1&enM76t}+sDm_^85q=AAe;yW1_pn22pgnkIgHJ~z_1>w8D!3OC|iJmfnh(C z4YKDv68kZf4O0Id$_9nce<U^oQd<81|NnoG&5R6^t{@tOnHd-ubU+LS28Iqx2m@Ij z<ZO_eK{5tbAd-QBk-@kH!eU@xV_;x_aT=^4q9A#YJ3tthJSe?`FfMtJyFeJ1Jjh)j zj7y%Cfq?;pamj<kVHlhHLD2%jAT`+JAwGrJu>s1tfhBxE`HKS-5DW|q0r3!p$l-%a z9uzhpj7uIAHXw{k9uzhpj7uIAHXw{k9^`Kj#w8C58xY1N5Ai7j11KrN{QtlOA`0?9 zgvG!B%I_OyOn&jRo`GRP6hstR9;Dv|<WU9&1_ikO_3jXr5c3%r)Lo^S7#JJ~$R`kx zZy+GQfPnk~Eb^f2cL5X;p!@~Rb&L$AaQ8#XQ;7Q`oFTqKmgfWuLF6|$fjJDw^2qut z;QA5ylb3;k0ao@Kc*FD?w}6r)2;-6mr9BYFB@c=c5XL1B@-hhHk_V+d5XL1BN_!xT zOCFT=Kp2-is7wT5T=JlB1z}wBpl}6YT=JlF3BtJKLGcd4xa2|NFpMpJ_!t-%Kp3P3 zn>;@Q0|N--k_XirAdE|1kb!{#gmK9WF)%QIFfMsez6D`i@}Rm3gmKA(>R}MZB@b%H zfG{q3P}>HCamj<i41{sXgW5nKj7uI=u7NNvc~IK~gmKA(+AAQ8OCHpQ0byM7pmq%i z<B|upEkGETyaK4aWME*xC9lZ9zyQLy<dqm07(f`8yfOm=0|?`iS7Bga0AXD6stgPa zAdE|1je&sygmKBMGcYiKFfMrw1_lNY#wD-Gz`y{)xa74M7#Khpm%KIu0|N--k_WY^ zKp2<2E&~Gt2;-91V_;waVO;Y13=9k)j7#2tfq?;pamgDpFff2HE_ovc1_ltuC2!2Y zzyQLy<V_eD7(f`8yeR_%0|?`iH)CL60AXD6<_ruBAdE}if`NengmKA(nz|s2OCHpe z17Te9pr$Se<B|vUBS9FKye$I*0|?`iw_{*n0AXD6_6!URAdE{M)VBp;T=I?#3=ANQ zOCHqJ0AXD6pr!^0<B|t8g+LgWJg6xI!now!7#J8p7?(V#0R_Ui<UJS|7(f`8ye9(# z0|?`i_hMjR0AXD6pr#ZE<C6DbU|;}YT=KpQ3=ANQOWu!xfdPbZ$@_!)k$B4Y08oDe zk9;5l0|N--GCzocfdPbZ$p<qqFn};F`49#M1`x(2AIiYM0K&NB!x$JCKp2;NI0FL% z2;-6m^;tm}mwY4x0|N--k_UA~Kp2;NGy?+z2;-8EVPIeYVO;XD3=9k)j7vU_fq?;p zamj<ak|2yrK7oOO0fceMCo(WFfG{rkBnAcs5XL2+%)r0^!nov97#J8p7?*r10|NsH z<C0HfU|;}YT=MA*3=ANQOFn~vfdPbZ$!9V!Fn};F`78zo1`x(2pUuF)0K&NBa~K#H zKp2;NE&~Gt2;-8^V_;waVO;Y03=9k)j7z?Nfq?;pamg1lFff2HF8Lw`1_ltuC11?I zzyQLy<VzSB7(f`8d?^D10|?`iFJoX}0AXD6<qQlAAdE}Cf`NengmKANGB7ZJFfREj z1_lNY#wB0Pz`y{)xa4aX7#KhpmwYV)0|N--lCNW6U;trU^7RZ13?Ph4zJY;(0fceM zH!?6VfG{rkCI$uu5XL3n%)r0^!nou?V`d<XOTLwXfdPbZ$+s~uFn};F`E~{d1`x(2 z-vJtL!BhWrGB7ZJFfRRF3=9k)j7z?ofq?;pamn{EFff2HF8N*t1_ltuCEv%uzyQLy z<og*I7(f`8`~(ID1`x(2Kaqif0fceMPhwzT0AXD6lNlHoKp2<&6b1$c5XL1xm4Sf) zgmKAFV_;waVO;Xl85kHq7?=DE1_lNY#w9<Kfq?;pammkOU|;}YT=KIS7#Khpm;4+C z1_ltuB|n#efdPbZ$<Je8U;trU^79!O7(f`8`~n6B1`x(2zmS1}0fceMFJfR|0AXD6 ziy0UgKp2<&5(Wka5XL3Hl!1W(gmK9)V_;waVO;Xd85kHq7?=DC1_lNY#wEX!fq?;p zamlY@U|;}YT=J_K7#Khpm;4$A1_ltuCBK$|fdPbZ$**HzU;trU^6NqKMR>|L(3}Ja z<I=y8fq?;pamjCDU|;}YT=JV47#Khpm;4q61_ltuCBKz{fdPbZ$!}v|U;trU^4l30 z7(f`8{0;^N1`x(2zmtK10fceM?_ywJ0AXD6yBQc5Kp2<&9tH*m5XL3Hmw|x+gmKC5 zV_;waVO;Y2LGyxm;{N~x0|N--(tnVFfdPbZ$sb~1U;trU@`o817(f`8{1FBQ1`x(2 zf0TiN0fceMA7fx(0AXD6#~BzHKp2<&2?hoR5XL2cl7WE%gmKBAVqjnZVO;X385kHq z7?=DR1_lNY#wCB2fq?;pamk-!U|;}YT=M4`7#Khpm;40=1_ltuC4Z5DfdPbZ$zNh% zU;trU@|PJH7(f`8{1pZU1`x(2f0coO0fceMUt?fk0AXD6*BKZXKp2<&4F(1V5XL2c zlYxN&gmKB=VqjnZVO;XJ85kHq7?=DV1_lNY#wCB3fq?;pamn9fU|;}YT=MrB7#Khp zm;3_;1_ltuCI66tfdPbZ$v<LXU;trU@{bu97(f`8{1XNS1`x(2|CE7&0fceMKVx8E z0AXD6&lwmPKp2<&3kC)T5XL3{l7WE%gmKBgVqjnZVO;XB85kHq7?=DT1_lNY#wGuj zfq?;paml}9U|;}YT=MT37#Khpm;46?1_ltuCI69ufdPbZ$$w&CU;trU@}C(P7(f`8 z{1*lW1`x(2|CND(0fceMe`8=^0AXD6-x(MfKp2<&4+aJX5XL3{lYxN&gmKCLVqjnZ zVO;XR85kHq7?=DX1_lNY#wGukfq?;pamoK<U|;}YT=JlWJRpoq9<~SympmgQ0|N-- zl4oLMU;trU^30433?Ph4o`sQt0fceMvobO;fG{q3Hbw>p5XL3X&d9(3!nou?YfeBI zmpmsU0|N--lILP%U;trU^4yFJ3?Ph4o`;cv0fceM^D;6pfH98s8GMWk3?Ph4KR+V_ z0|?`i7hq&y0AXD6f{Y9dAdE|1h>?K-gmK9WGcquMFfMr!Mg|5D#w9Px$iM)?xa7qc z85lqqm%KP50|N--l9ym)U;trU@{)`U3?Ph4UW$={0fceMOEWSsfG{q38Ab*M5XL1h z%gDe0!now+7#SEq7?->}BLf2n<C0fkWMBYcT=I&H3=ANQOJ0eQfdPbZ$tyE5Fn};F zc@;(m1`x(2ugb{40K&NB)fgEVKp2<2IwJ!E2;-91U}RtbVO;W>j0_AQj7wgNk%0k( zami~lGBAKJE_oeB1_ltuC9liKzyQLy<n<UC7(f`8ygnlX0|?`iH(+F70AXD6hKvjh zAdE}ih>?K-gmK9mGcquMFfMr$Mg|5D#wBmc$iM)?xa7?k85lqqm%KS60|N--lDA-F zU;trU@|KJY3?Ph4-incd0fceMTQf2+fG{q38%72O5XL2M%gDe0!now^7#SEq7?->~ zBLf2n<C1q^WMBYcT=I^L3=ANQOWui*fdPbZ$vZPLFn};Fc^5_o1`x(2@5;!)0K&NB z-541dKp2<2J0k-F2;-9XU}RtbVO;W_j0_AQj7#2&k%0k(amjl#GBAKJE_okD1_ltu zCGX3~zyQLy<oy^K7(f`8ygwrY0|?`i4`5_q0AXD6fs70cAdE{sh>?K-gmK9SGcquM zFfREJMg|5D#w8!h$iM)?xa7ka85lqqmwY%Q0|N--l8<0yU;trU@{x=T3?Ph4K8lfn z0fceMM>8@ofG{rk7)AyL5XL1R%gDe0!now)7#SEq7?*rJBLf2n<C0HcWMBYcT=I#G z3=ANQOFoH_fdPbZ$tN>1Fn};F`4mP51`x(2pUTL<0K&NB(-;{TKp2;NIwJ!E2;-8^ zU}RtbVO;W=j0_AQj7vU?k%0k(ami;hGBAKJF8Lfr1_ltuC7;X4zyQLy<ntIA7(f`8 zd_E%s0|?`iFJNR~0AXD6g^UagAdE}Ch>?K-gmK9iGcquMFfRELMg|5D#wB0M$iM)? zxa7+i85lqqmwY)R0|N--lCNN7U;trU@|BDX3?Ph4zKW570fceMS2Hp&fG{rk8b$^N z5XL26%gDe0!now?7#SEq7?*rKBLf2n<C1S+WMBYcT=I>K3=ANQOTLMbfdPbZ$u~1H zFn};FdC&#}5XL3n%E-U~!nowy7#SEq7?*rIBLf2n<C5=SWMBYcT=Jca3=ANQOTLSd zfdPbZ$#*j{Fn};F`5s0F1`x(2-^<9r0K&NB`xqG*Kp2;NKO+MJ2;-8Uz{tP=!nouo zGBPlLFfRE?j0_AQj7xqpBLf2n<C34k$iM)?xa6lYGBAKJF8OJU3=ANQOMW^d0|N-- zlApoIzyQLy<YzK6Fn};F`B{t%3?Ph4el{Zm0|?`ipTo$&0K&NB=Q1)dfG{rkd5jDU zAdE|XJ|hDI2;-7pz{tP=!nouYGBPlLFfRE;j0_AQj7xqoBLf2n<C0&($iM)?xa5~I zGBAKJF8O7Q3=ANQOMW>c0|N--l3&5dzyQLy<X18>Fn};F`BjVz3?Ph4el;Tl0|?`i zU&F}20K&NB*D^9NfG{rkb&L!QAdE|XJtG4H2;-99z{tP=!nou&GBPlLFfRE`j0_AQ zj7xqqBLf2n<C5RP$iM)?xa7AoGBAKJF8OVY3=ANQOMW{e0|N--lHb9|zyQLy<aaVM zFn};F`CW_*3?Ph4em5fn0|?`i-^0kj0K&NB_cAgtfG{rkeT)nYAdE|XKO+MJ2;-7J zz{tP=!nouQGBPlLFfRE+j0_AQj7$D7BLf2n<B~tZ$iM)?xa5yAGBAKJF8O1O3=ANQ zOa3?`0|N--l0U)7zyQLy<WDj(Fn};F`BRJx3?Ph4{xl;40|?`iKf}nt0K&NB&oVMF zfG{rkbBqiOAdE}?JR<`G2;-8!z{tP=!nouwGBPlLFfRE^j0_AQj7$D9BLf2n<C4F^ z$iM)?xa6-gGBAKJF8OPW3=ANQOa3||0|N--lE1;ozyQLy<Zm)EFn};F`CE((3?Ph4 z{x%~60|?`izr)DD0K&NB?=mtlfG{rkdyEVWAdE}?J|hDI2;-7}z{tP=!nougGBPlL zFfRE=j0_AQj7$D8BLf2n<C1^E$iM)?xa6NQGBAKJF8ODS3=ANQOa3_{0|N--l7GR- zzyQLy<X<u}Fn};F`B#h#3?Ph4{xu^50|?`if5XVY0K&NB-!d{VfG{rkcZ>`SAdE}? zJtG4H2;-9fz{tP=!nou=GBPlLFfRE|j0_AQj7$DABLf2n<C6cv$iM)?xa7YwGBAKJ zF8Oba3=ANQOa40}0|N--lK;WTzyQLy<bN_UFn};F`Cp6-3?Ph4{x>570|?`i|HH_@ z0K&NB|1vT#fG{rke~b(aAdE}?KO+MJ2;-6mA56o9d;c#Z69WSX<I>N>#J~W;xa66c z7#Khpmpls-0|N--l4oUNU;trU@@z~D3?Ph4o}Gz-0fceMb1*S5fG{q3P9_Eh5XL3X z#l*k>!nowQnHU&A7?(T`69WSX<C5nE#SIAKn*V2FVBo_e&(Flb0K&M;7hqyw0AXD6 zf=mnyAdE|1h>3v#gmK9WGchoLFfMr!CI$u&#w9Px#J~W;xa7r{7#Khpm%KO=0|N-- zl9ym&U;trU@{&vp3?Ph4UW$o<0fceMgU%ZPVO;VuObiSlj7wgYiGcxxammXuF))BI zE_rz-1_ltuC9lB5zyQLy<Q1717(f`8yb==w0|?`iS7u^h0AXD6DohLvAdE|1m5G4? zgmKBMF)=WJFfMs@CI$u&#wD-8#J~W;xa2jN7#Khpm%J7e0|N--lGkQpU;trU@;Xcm z3?Ph4UYCi10fceM>oGAffG{q3eI^D55XL2Mz{J1+!nouOnHU&A7?->e69WSX<B~UK zVqgGaT=FJN3=ANQOWu@;fdPbZ$(u1TFn};Fd2=QP1`x(2Z^6XC0K&NBEtwb?Kp2<2 z6%zvk2;-8sW@2CfVO;VyObiSlj7#2@iGcxxamm{;F))BIE_r(<1_ltuCGP+_4+&5G z;mE|m0K&NRJ25dZfG{q3XC?*)5XL3%!o<J;!novJnHU&A7?->o69WSX<C1r0VqgGa zT=E`F3=ANQOWu=-fdPbZ$$K#|Fn};Fd2c2L1`x(2@598v0K&NBeVG^-Kp2<29}@!u z2;-9XXJTLgVO;V7ObiSlj7vU{iGcxxamfcUF))BIF8N?41_ltuB_G1XzyQLy<U^Sl z7(f`8d>9i00|?`i4`*Uv0AXD65ljpWAdE{sl8J!<gmKA7F)=WJFfRFMCI$u&#w8!a z#J~W;xa4D*7#KhpmwX%(0|N--l8<L%U;trU@(D}~3?Ph4K9Pxm0fceMCowTFfG{rk zWF`g%5XL2+!o<J;!nov9nHU&A7?*q+69WSX<C0HjVqgGaT=E%A3=ANQOFol{fdPbZ z$!9S!Fn};F`D`Wz1`x(2pToq!0K&NBbD0<zKp2;N9uort2;-8^XJTLgVO;VBObiSl zj7z?diGcxxamg1kF))BIF8N|61_ltuC11kCzyQLy<V%?t7(f`8d>Io10|?`iFK1$4 z0AXD66-*2aAdE}Cl8J!<gmKANF)=WJFfRFOCI$u&#wB0F#J~W;xa4b@7#KhpmwX)) z0|N--lCNiCU;trU@(oN33?Ph4zLAN60fceMH!(3VfG{rkW+ny(5XL3n!o<J;!novH znHU&A7?*q-69WSX<C1S@VqgGaT=E@E3=ANQOTLqdfdPbZ$#*d^Fn};F`EDi#1`x(2 z-^0Yf0K&NBdzly*Kp2;N9}@!u2;-9PXJTLgVO;VPm>3v97?=D+CI$u&#w9<AiGcxx zami0+VqgGaT=G+x7#Khpm;6*F1_ltuB|nXcfdPbZ$xmluU;trU@-vti7(f`8{7fbW z1`x(2KZ}Wh0fceM&t_s^0AXD6bC?(yKp2<&TqXtv5XL1xkBNZ+gmKBwXJTLgVO;VH zm>3v97?=D)CI$u&#wEXqiGcxxamg=cVqgGaT=Gkp7#Khpm;6#D1_ltuCBKY`fdPbZ z$uDPOU;trU@++7a7(f`8{7NPU1`x(2zlw>00fceMuV!Lk0AXD6YnT`qKp2<&S|$bt z5XL3Hj){Q*gmKBQXJTLgVO;VXm>3v97?=D;CI$u&#wEXriGcxxamjCHVqgGaT=H9( z7#Khpm;6>H1_ltuCBKb{fdPbZ$!}+3U;trU@;jIq7(f`8{7xnY1`x(2zl({10fceM z?`C3P0AXD6dzcs)Kp2<&UM2<x5XL3HkBNZ+gmKC5XJTLgVO;VDm>3v97?=D(CI$u& z#wCA<iGcxxamgQMVqgGaT=GYl7#Khpm;6yC1_ltuC4Y>GfdPbZ$scE8U;trU@+X)W z7(f`8{7EJT1`x(2e~O8L0fceMpJrlU0AXD6XP6imKp2<&StbSs5XL2cj){Q*gmKBA zXJTLgVO;VTm>3v97?=D-CI$u&#wCA=iGcxxamin1VqgGaT=G|#7#Khpm;6;G1_ltu zC4Y^HfdPbZ$zNw;U;trU@;8_m7(f`8{7ohX1`x(2e~XEM0fceM-)3T90AXD6cbFI$ zKp2<&T_y$w5XL2ckBNZ+gmKB=XJTLgVO;VLm>3v97?=D*CI$u&#wGuViGcxxamhbs zVqgGaT=Gwt7#Khpm;6&E1_ltuCI5_xfdPbZ$v<aeU;trU@-LVe7(f`8{7WVV1`x(2 z|B8u$0fceMzh+`!0AXD6Z<rVuKp2<&TP6ku5XL3{j){Q*gmKBgXJTLgVO;Vbm>3v9 z7?=D<CI$u&#wGuWiGcxxamjyXVqgGaT=HL-7#Khpm;6^I1_ltuCI5|yfdPbZ$$w{J zU;trU@;{gu7(f`8{7)tZ1`x(2|BH!%0fceM|7K!f0AXD6f0!5;Kp2<&UnT|y5XL3{ zkBNZ+gmKCLXJTLgVO;VIpu_o@@y!1-GcYjXk!NCNU;trU<}))hFn};Fc@|~{1`x(2 z&&tfe0K&NB*_as^Kp2-iJ2L|V2;-9HU}j(dVO;W@%nS@5j7y%2nSlX>amjNtGcbTK zE_ohi1_ltuCC>{Adl1Go|If_8z=ubkpP7LHgmIY<y4MJVamfoZGcbTKE_oql1_ltu zB`?g(zyQLy<VBbn7(f`8yeKmR0|?`i7h`5%0AXD6;>-*TAdE|1f|-E<gmKABGBYrM zFfMs1W(Ecj#w9P!%)kJ`xa4J+85lqqm%J=90|N--l9yv<U;trU^770K3?Ph4UV)i` z0fceMD>5@MfG{q3C1wT&5XL31%*?<5!novBm>C#A7?->%GXnz%<C0fnW?%qeT=MFm zJC*R{573=UAdE}DCNl#A2;-91VrF0fVO;Xs%nS@5j7wgJnSlX>amnj4GcbTKE_pp> z1_ltuC9luSzyQLy<PAXgn&Al_LuLjB5XPn7h?#)_gmK9mGcz!NFfMr$W(Ecj#wBmc z%)kJ`xa7^485lqqm%KSM0|N--lDA-HU;trU@|MgD3?Ph4-in!l0fceMTQf5-fG{q3 z8)gOu5XL2M%gn$4!now^m>C#A7?->~GXnz%<C1q^W?%qeT=I_03=ANQOWui@fdPbZ z$vZPMFn};FdC;9rAdE}im6?G7gmKBcF*7iLFfMs_W(Ecj#wG8;%)kJ`xa2*V85lqq zm%JA<0|N--lJ{n2U;trU@;=NA3?Ph4-j|ty0fceM`!O>xfG{q3e`W>-5XL1Rz|6n^ z!nouEnHd;B7?*qyGXnz%<B|_%W?%qeT=F5z3=ANQOFopDfdPbZ$%ipBFn};F`EX_i z1`x(2AHmGP0K&NBBbgZ(Kp2;N6f*+@2;-8EW@cajVO;Vt%nS@5j7vV2nSlX>ammLq zGcbTKF8O$71_ltuC7-~|zyQLy<P(`07(f`8d=fJQ0|?`iPiAId0AXD6Da;HEAdE{s zm6?G7gmKBIF*7iLFfRFYW(Ecj#wDM@%)kJ`xa2dL85lqqmwXm80|N--lFw#lU;trU z@;S^53?Ph4K9`w+0fceM=P@%dfG{rkd}am)5XL26z|6n^!nouMnHd;B7?*qzGXnz% z<B~6CW?%qeT=FH%3=ANQOTLtufdPbZ$(J!RFn};F`Eq6k1`x(2U%|}40K&NBE14M> zKp2;N6*B_^2;-8kW@cajVO;Vx%nS@5j7z?jnSlX>amm*)GcbTKF8O+91_ltuCEviz zzyQLy<Qth87(f`8d=oPR0|?`iZ)Rp-0AXD6EzArIAdE}Cm6?G7gmKBYF*7iLFfRFa zW(Ecj#wFju%)kJ`xa2#T85lqqmwXp90|N--lJ90_U;trU@;%H93?Ph4zL%MS0fceM z_c1dtfG{rker5&+5XL1xfti5;gmKAFWM*IhVO;W)m>C#A7?=EHW(Ecj#w9<6nSlX> zami0*W?%qeT=LVH85lqqm;7{Q1_ltuB|n3ifdPbZ$<JhFU;trU^0Sy37(f`8{A^|h z1`x(2KZlut0fceM&t+y{0AXD6^OzYJKp2<&d}am)5XL3HfSG{-gmK9)WM*IhVO;Wy zm>C#A7?=EFW(Ecj#wEXmnSlX>amg=bW?%qeT=L7985lqqm;7>O1_ltuCBK51fdPbZ z$**K)U;trU@~fB`7(f`8{Ay+f1`x(2zlNEC0fceMuVrRn0AXD6>zElBKp2<&dS(U& z5XL3Hfti5;gmKAlWM*IhVO;W?m>C#A7?=EJW(Ecj#wEXnnSlX>amjCGW?%qeT=LtP z85lqqm;82S1_ltuCBK82fdPbZ$?s%lU;trU^1GNB7(f`8{BC9j1`x(2zlWKD0fceM z?`39S0AXD6`<NLRKp2<&er5&+5XL2cfSG{-gmK9qWM*IhVO;Wum>C#A7?=EEW(Ecj z#wCA*nSlX>amgQLW?%qeT=K`585lqqm;7;N1_ltuC4YjMfdPbZ$)99qU;trU@~4;? z7(f`8{Ap$e1`x(2e}<WX0fceMpJirX0AXD6=a?B7Kp2<&d1eL%5XL2cfti5;gmKAV zWM*IhVO;W;m>C#A7?=EIW(Ecj#wCA+nSlX>amin0W?%qeT=LhL85lqqm;7~R1_ltu zC4YmNfdPbZ$=_sVU;trU^0$~77(f`8{B33i1`x(2e}|cY0fceM-(_ZC0AXD6_m~+N zKp2<&eP#v*5XL3{fSG{-gmK9~WM*IhVO;W$m>C#A7?=EGW(Ecj#wGuRnSlX>amhbr zW?%qeT=LJD85lqqm;7^P1_ltuCI5n%fdPbZ$-iV~U;trU@~@Z~7(f`8{A*?g1`x(2 z|Av`?0fceMzh!1%0AXD6@0b}FKp2<&du9d(5XL3{fti5;gmKA#WM*IhVO;W`m>C#A z7?=EKW(Ecj#wGuSnSlX>amjyWW?%qeT=L(T85lqqm;85T1_ltuCI5q&fdPbZ$^T?# zU;trU^1qlF7(f`8{BLFk1`x(2|A(1@0fceM|7B)i0AXD6|CkvVKp2<&e`W>-5XL3X zz{0=)!nouaSr`~V7?(T~3j+fP<C14)VPF7ZT=Fa|3=ANQOP-a5fdPbZ$+NLAFn};F zd3F{C1`x(2&j1B{Nb~DAK$qq+FfcGO7=w-`1YePWOP+~=0epl4E_vwk3I<&A(BQ`@ z&&t5S0K&NRvoSC*fG{q3b_NCp5XL3X!N9-(!nou)85kHq7?(U30|NsH<C5oQU|;}Y zT=F~&3=ANQOCA(&AdE{MBo4z8(6~g7KYj)V1`r0R!6q-jz`y{)xa0*H7#Khpm%I=I z0|N--k{4!RU;trU@*)fj3?Ph4UX+1>0fceMi!m@TfG{q3aRvqk5XL1B3KI~<B`?Xq zzyQLy<fRxG7(f`8yfgy?0|?`imtkOF0AXD6vJ4CiAdE|1j)8#zgmKBsGcYiKFfMro z1_lNY#wD-Fz`y{)xa5@>7#Khpm%K6q0|N--l2>71U;trU@~R9B3?Ph4UX6i)0fceM zt1~b#fG{q34F(1V5XL31$-uw>!nowM7#J8p7?->@0|NsH<C525U|;}YT=KdM3=ANQ zOJ0wGfdPbZ$?G#PFn};Fc>@Lp1`x(2Z^*#F0K&NBjTjghKp2<2F#`hw2;-7BVPIeY zVO;X23=9k)j7#2(fq?;pamkxAFff2HE_n+E1_ltuC2z^VzyQLy<gFMO7(f`8yfp&@ z0|?`iw_#vl0AXD6whRmmAdE}ij)8#zgmKB+GcYiKFfMrq1_lNY#wG8_z`y{)xa6G} z7#Khpm%K9r0|N--l6PTXU;trU@~#XF3?Ph4-i?8Q0fceMyE8B_fG{q34+aJX5XL3% z$-uw>!nowU7#J8p7?->^0|NsH<C6DbU|;}YT=KpQ3=ANQOWu!xfdPbZ$%C45AdE{s zfPsMlgmK9SGB7ZJFfREZ1_lNY#w8!jz`y{)xa30^7#KhpmwYG#0|N--k`H5GU;trU z^5F~&3?Ph4K7xUP0fceMM=~%lfG{rkC<X=w5XL1R&A`9_!novP7#J8p7?*r30|NsH z<C2eKU|;}YT=MY@3=ANQOFn^tfdPbZ$tN-}Fn};F`6LDg1`x(2pUl9(0K&NBQy3T+ zKp2;NDgy%p2;-7ZV_;waVO;X*3=9k)j7vU)fq?;pami;gFff2HF8M451_ltuC7;c} zzyQLy<Z~Dp7(f`8d@ch60|?`i&tqU<0AXD6`3wvUAdE}CfPsMlgmK9iGB7ZJFfREb z1_lNY#wB0Oz`y{)xa3P17#KhpmwYJ$0|N--k}qRmU;trU^5qN+3?Ph4zJh^)0fceM zS28d#fG{rkDh37y5XL26&A`9_!novX7#J8p7?*r40|NsH<C3pqU|;}YT=Mk{3=ANQ zOTK}DfdPbZ$u}}EFn};F`6dPi1`x(2-^{?k0K&NBTNoG^Kp2;ND+2=q2;-7(V_;wa zVO;X<3=9k)j7z?Qfq?;pamjZwFff2HF8MA71_ltuCEv}!zyQLy<a-zx7(f`8d@ln7 z0|?`i?_*$K0AXD6{R|8YAdE|X0s{jB2;-8U$iTn=!nouoF)%QIFfRGY3=9k)j7xqB z0|NsH<C34sz`y{)xa6lXFff2HF8S#U3=ANQOMV6e0|N--lAp=IzyQLy<YzH3Fn};F z`PmE%3?Ph4ehvcz0|?`ipUc3&0K&NB=P@uafG{rk`3wvUAdE|X0RsaA2;-7p$iTn= z!nouYF)%QIFfRGU3=9k)j7xqA0|NsH<C0&>z`y{)xa5~HFff2HF8SpQ3=ANQOMV3d z0|N--l3&TdzyQLy<X15;Fn};F`PB>z3?Ph4ehmWy0|?`iU(3M20K&NB*D)|KfG{rk z^$ZLQAdE|X0|NsC2;-99$iTn=!nou&F)%QIFfRGc3=9k)j7xqC0|NsH<C5RXz`y{) zxa7AnFff2HF8S>Y3=ANQOMV9f0|N--lHbX|zyQLy<aaSJFn};F`P~c*3?Ph4eh&i! z0|?`i-^;+j0K&NB_c1UqfG{rk{R|8YAdE}?00RR92;-7J$iTn=!nouQF)%QIFfRGS z3=9k)j7$Cq0|NsH<B~thz`y{)xa5y9Fff2HF8SjO3=ANQOa24{0|N--l0V77zyQLy z<WDg$Fn};F`O^#x3?Ph4{tN>H0|?`iKg+<t0K&NB&oMACfG{rk^9&3OAdE}?0s{jB z2;-8!$iTn=!nouwF)%QIFfRGa3=9k)j7$Cs0|NsH<C4G1z`y{)xa6-fFff2HF8S*W z3=ANQOa2A}0|N--lE2BozyQLy<Zm%BFn};F`P&Q(3?Ph4{tg2J0|?`izstbD0K&NB z?=dhifG{rk`wR>WAdE}?0RsaA2;-7}$iTn=!nougF)%QIFfRGW3=9k)j7$Cr0|NsH z<C1^Mz`y{)xa6NPFff2HF8SvS3=ANQOa27|0|N--l7Gp-zyQLy<X<r`Fn};F`PU2# z3?Ph4{tW{I0|?`if6KtY0K&NB-!U*SfG{rk_Y4dSAdE}?0|NsC2;-9f$iTn=!nou= zF)%QIFfRGe3=9k)j7$Ct0|NsH<C6c%z`y{)xa7YvFff2HF8S{a3=ANQOa2D~0|N-- zlK;uTzyQLy<bN?RFn};F`QHo-3?Ph4{tp8K0|?`i|I5I@0K&NB|1mHyfG{rk{|pQa zAdE{MJh{)vfOGzxk&%G`gmLL-Vq{<dVO;Xej0_AQj7y${k%0k(amlkXGBAKJE_pUa z1_ltuCC|>tzyQLy<T)4_7(f`8JSQUq0|?`i=VD}F0AXD6+>8tiAdE|%hmnB+gmKC9 zGBPlLFfMsMP~3qqj`bV-j0_AQj7z@&BLf2n<B}I-WMBYcT=GJU3=ANQOJ10ffdPbZ z$%`;DFn};Fc~M3N1`x(2FUH8g0K&NB#TgkGKp2<21S10j2;-8MWMp6fVO;W3j0_AQ zj7wgck%0k(ammXtGBAKJE_qo-1_ltuB`?RwzyQLy<mDL|7(f`8yaFQw0|?`iS7c;h z0AXD6N{kE)AdE|1nUR43gmKBMFfuTJFfMsjMg|5D#wD-D$iM)?xa8Ft85lqqm%Iie z0|N--lGkKpU;trU@>+}x3?Ph4UYn7D0fceM>o77ffG{q3T}B255XL31$H>3{!nox1 z85tNr7?->OBLf2n<B~UIWMBYcT=GVY3=ANQOWv4~fdPbZ$(t}TFn};Fc~eFP1`x(2 zZ^p>L0K&NB%^4XOKp2<21tS9k2;-8sWMp6fVO;W7j0_AQj7#2{k%0k(amm{-GBAKJ zE_qu<1_ltuC2z;bzyQLy<n0+57(f`8yaOWx0|?`icVuK>0AXD6PK*o;AdE}inUR43 zgmKBcFfuTJFfMslMg|5D#wG8@$iM)?xa8d#85lqqm%Ilf0|N--lJ{g}U;trU@?MM# z3?Ph4-kXtu0fceM`!F&vfG{q3Uq%K75XL3%$H>3{!nox985tNr7?*qiBLf2n<B|_# zWMBYcT=GGT3=ANQOFo#9fdPbZ$%im9Fn};F`A|j%1`x(2AI8YQ0K&NB!x<SEKp2;N z1S10j2;-8EWMp6fVO;W2j0_AQj7vV6k%0k(ammLpGBAKJF8NqS1_ltuB_GGgzyQLy z<l`9`7(f`8d;%i_0|?`iPh@0Z0AXD6NsJ5(AdE{snUR43gmKBIFfuTJFfRF2Mg|5D z#wDM|$iM)?xa89r85lqqmwW~z0|N--lFwvhU;trU@>z@w3?Ph4KAVw&0fceM=P)ub zfG{rkTt)^45XL2+$H>3{!now~85tNr7?*qjBLf2n<B~6AWMBYcT=GSX3=ANQOTL(q zfdPbZ$(JxPFn};F`BFv(1`x(2U&hG50K&NB%NZFMKp2;N1tS9k2;-8kWMp6fVO;W6 zj0_AQj7z?nk%0k(amm*(GBAKJF8NwU1_ltuC11zLzyQLy<m(w37(f`8d;=o`0|?`i zZ)9X(0AXD6O^gf-AdE}CnUR43gmKA(HW+{~F8NkQ1_ltuCEv!#zyQLy<l7k;7(f`8 zd<P=~0|?`i?_^|P0AXD6U5pG2AdE}Cn~{M5gmKCDFfuTJFfRFCMg|5D#wFj!$iM)? zxa9j885lqqm;3}q1_ltuB|njofdPbZ$xmWrU;trU@{<`E7(f`8{1iq81`x(2Kb4Vz z0fceMPh(_Y0AXD6(-|2UKp2<&3`Pb95XL1xlaYY|gmKBwVq{<dVO;XF85tNr7?=DU zMg|5D#w9<Ok%0k(ammkPWMBYcT=Mf785lqqm;3@o1_ltuCBKl7fdPbZ$uDALU;trU z@{1W67(f`8{1Qe61`x(2zm$=I0fceMFJok20AXD6%NZFMKp2<&3PuJ75XL3Hl97P{ zgmKBQVq{<dVO;X785tNr7?=DSMg|5D#wEX&k%0k(amlY^WMBYcT=MG~85lqqm;44s z1_ltuCBKo8fdPbZ$!}t0U;trU@|zhM7(f`8{1!$A1`x(2zm<`J0fceMZ)0R&0AXD6 z+Zh=cKp2<&4n_tB5XL3HlaYY|gmKC5Vq{<dVO;XN85tNr7?=DWMg|5D#wEX(k%0k( zamnvvWMBYcT=M%F85lqqm;3=n1_ltuC4Z2SfdPbZ$sb~5U;trU@`o827(f`8{1HY5 z1`x(2f0U7d0fceMA7f--0AXD6#~B$IKp2<&2}TA65XL2cl97P{gmKBAVq{<dVO;X3 z85tNr7?=DRMg|5D#wCB2k%0k(amk-!WMBYcT=M4`85lqqm;41r1_ltuC4Z5TfdPbZ z$zNh*U;trU@|PJI7(f`8{1rw91`x(2f0dDe0fceMUt?ro0AXD6*BKcYKp2<&4MqkA z5XL2claYY|gmKB=Vq{<dVO;XJ85tNr7?=DVMg|5D#wCB3k%0k(amn9fWMBYcT=MrB z85lqqm;3`p1_ltuCI66-fdPbZ$v<LbU;trU@{buA7(f`8{1Zk71`x(2|CEt|0fceM zKVxKI0AXD6&lwpQKp2<&3q}S85XL3{l97P{gmKBgVq{<dVO;XB85tNr7?=DTMg|5D z#wGujk%0k(aml}9WMBYcT=MT385lqqm;47t1_ltuCI69;fdPbZ$$w&GU;trU@}C(Q z7(f`8{1-+B1`x(2|CNz}0fceMe`91|0AXD6-x(PgKp2<&4@L$C5XL3{laYY|gmKCL zVq{<dVO;XR85tNr7?=DXMg|5D#wGukk%0k(amoK<WMBYcT=M@J85lqqmppj$J`<k# ze<lV7Mm+LNObiSljLUpxCI$u&#wE|f#J~W;xa3)x7#KhpmpmI20|N--l4oaPU;trU z@*GSI3?Ph4o|B1z0fceMb1^Y6fG{q3ZYBl>5XL3X!^FS<!novlL3dN*34cD290=o@ z|7T)g;Kw5`z{J1+!no`gWMW_dVO;V;ObiSlj7wgaiGcxxamkA?F))BIE_qQV1_ltu zB`?OrzyQLy<i(j77(f`8yaW>i0|?`imt<mK0AXD6QcMgCAdE|1nu&n{gmKBsFflNI zFfMsnCI$u&#w9Pu#J~W;xa8%T7#Khpm%IWK0|N--l2>G6U;trU@=8n$3?Ph4UYUu3 z0fceMt1vMzfG{q3RVD@o5XL31#>Bt?!nowsnHU&A7?->T69WSX<C528VqgGaT=H5> z3=ANQOJ19afdPbZ$?GsNFn};Fd0i$31`x(2ugAo|0K&NB^_dtLKp2<20TTlQ2;-7B zWMW_dVO;V?ObiSlj7#2_iGcxxamkx7F))BIE_qWX1_ltuC2z*WzyQLy<jt8F7(f`8 zyaf{j0|?`iw`5{q0AXD6R!j^GAdE}inu&n{gmKB+FflNIFfMspCI$u&#wBmZ#J~W; zxa94b7#Khpm%IZL0|N--l6PccU;trU@=i<)3?Ph4-kFJk0fceMyD%{@fG{q3S0)Aq z5XL3%#>Bt?!now!nHU&A7?->U69WSX<C6DeVqgGaT=HH_3=ANQOWvD_fdPbZ$@?%d zFn};Fd0!?51`x(2@5jWz0K&NB{h1gTKp2;N022cP2;-6uWMW_dVO;V-ObiSlj7vV4 ziGcxxamj};F))BIF8NR<1_ltuB_GDbzyQLy<inX57(f`8d;}8%0|?`ik7QzC0AXD6 zQA`XBAdE{snu&n{gmKBoFflNIFfRF6CI$u&#w8!e#J~W;xa8xR7#KhpmwW;f0|N-- zl22q}U;trU@<~h#3?Ph4KADMu0fceMr!X-vfG{rkR3-)n5XL2+#>Bt?!nowqnHU&A z7?*qo69WSX<C4#0VqgGaT=H2=3=ANQOFo;4fdPbZ$>%UJFn};F`CKLj1`x(2pU1?& z0K&NB^O+bJKp2;N0TTlQ2;-73WMW_dVO;V>ObiSlj7z?liGcxxamkl3F))BIF8NX> z1_ltuC11wGzyQLy<ja{D7(f`8d<7E&0|?`iuVi9i0AXD6RZI*FAdE}Cnu&n{gmKB& zFflNIFfRF8CI$u&#wB0J#J~W;xa8}Z7#KhpmwW>g0|N--l5b>UU;trU@=Z((3?Ph4 zzL|-E0fceMw=gj<fG{rkRwf1p5XL3n#>Bt?!nowynHU&A7?*qp69WSX<C5=WVqgGa zT=HE^3=ANQOTL?lfdPbZ$@efZFn};F`CcXl1`x(2-^awj0K&NB`<WORKp2<&1SSRs z5XL1xk%@r;gmKAFVq#zbVO;W)nHU&A7?=DMCI$u&#w9<MiGcxxami0(VqgGaT=LVI z7#Khpm;4MS1_ltuB|npifdPbZ$<Jb9U;trU^0S#37(f`8{2V3*1`x(2KbMJt0fceM z&tqa>0AXD6^O+bJKp2<&0wx9q5XL3Hkcoi-gmK9)Vq#zbVO;WynHU&A7?=DKCI$u& z#wEX$iGcxxamg=ZVqgGaT=L7A7#Khpm;4GQ1_ltuCBKr1fdPbZ$**E!U;trU@~fE` z7(f`8{2C?(1`x(2zm|!C0fceMuVZ3h0AXD6>zNoBKp2<&1||ju5XL3Hk%@r;gmKAl zVq#zbVO;W?nHU&A7?=DOCI$u&#wEX%iGcxxamjCEVqgGaT=LtQ7#Khpm;4SU1_ltu zCBKu2fdPbZ$?sxfU;trU^1GQB7(f`8{2nF-1`x(2zn6)D0fceM?_*+M0AXD6`<WOR zKp2<&0VW0p5XL2ckcoi-gmK9qVq#zbVO;WunHU&A7?=DJCI$u&#wCB0iGcxxamgQJ zVqgGaT=K`67#Khpm;4DP1_ltuC4Z8MfdPbZ$)93kU;trU@~4>?7(f`8{23+&1`x(2 zf0l`X0fceMpJQTR0AXD6=b0E7Kp2<&1ttat5XL2ck%@r;gmKAVVq#zbVO;W;nHU&A z7?=DNCI$u&#wCB1iGcxxamim}VqgGaT=LhM7#Khpm;4PT1_ltuC4ZBNfdPbZ$=_mP zU;trU^0%277(f`8{2e9+1`x(2f0v1Y0fceM-(zB60AXD6_n8<NKp2<&111Ir5XL3{ zkcoi-gmK9~Vq#zbVO;W$nHU&A7?=DLCI$u&#wGuhiGcxxamhbpVqgGaT=LJE7#Khp zm;4JR1_ltuCI6C%fdPbZ$-iP^U;trU@~@c~7(f`8{2L|)1`x(2|CWh?0fceMzhh!x z0AXD6@0l1FKp2<&2POsv5XL3{k%@r;gmKA#Vq#zbVO;W`nHU&A7?=DPCI$u&#wGui ziGcxxamjyUVqgGaT=L(U7#Khpm;4VV1_ltuCI6F&fdPbZ$^T+vU;trU^1qoF7(f`8 z{2wL;1`x(2|Cfn@0fceM|6^ic0AXD6|CtyVKp2-i1N4A?T=I;}3=ANQOP-0DfdPbZ z$ul!EFn};Fc@|~{1`x(2&&tfe0K&NB*_as^Kp2-iJ2L|V2;-9HU}j(dVO;W@%nS@5 zj7y%2nSlX>amjNtGcbTKE_ohi1_ltuCC>}Go17W<`V2mh90=o@|7T`k;Kw5`z|6n^ z!no`gWM*IhVO;V;%nS@5j7wganSlX>amkA?GcbTKE_qRA1_ltuB`?OzzyQLy<i(j8 z7(f`8yaY1?0|?`imt<yO0AXD6Qp^ktAdE|1nwfzCgmKBsFf%ZKFfMsnW(Ecj#w9Pu z%)kJ`xa8%T85lqqm%IWq0|N--l2>GAU;trU@=DAM3?Ph4UYVJJ0fceMt1vS#fG{q3 zRb~bT5XL31#>~I~!nowsnHd;B7?(Ws$_(%w8K8S0K>P)u1FO;QKLOpLflVHE{|PR6 zXz_~EeAxXbxb%bWJ^^7|@}Rp<Kp2-i=<X8`#w8EB`vin>$%F1b0byM7pu0~%7?(Wg z?h_EkB@ep$1cY(PgYG^7VO;W{cm-ix@*r^-#uk5|yH7wEqz0Qj=<X8`#w8EB`vin> z$%F1b0byM7pu0~%7?(Wg?h_EkB`*fO{{)vj=<X8`#w8E(GYI372i<)F!nou?cb|YT zE_u-1Cm@VV9(4B!2;-6m-F*VWxa2{1pMWqfdC=V_AdE{MboU7e<B|v6eFDO`<Ux0z zfG{q3(A_5>j7uJL_X!B&k_X*=0>ZfDL3f{kFfMt}-6tT7OCEIh2?*no2i<)F!nou? zcb|YTE_u-1Cm@VVUI%*r2`+ii-6tT7OCEIh2?*no2i<)F!nou?cb|YTE_u-1Cm@VV z9(4B!2;-6m-F*VWxa2{1pMWqfdC=V_AdE{MboU7e<B|v6eFDO`<Ux0zfG{q3(A_5> zj7uJL_X!B&k_X*=0>ZfDZJ_s`;F7n6-hYBi9(4B!2;-6m-F*VWxa2{1pMWqfdC=V_ zAdE{MboU7e<B|v6eFDO`<Ux0zfG{q3(A_5>j7uJL_X!B&k_X*=0>ZfDL3f{kFfMt} z-6tT7OCEIh2?*no2i<)F!nou?cb|YTE_u-1Cm@VV9(4B!2;-6m-F*VWxa2{1pMWqf zdC=V_AdE{MboU7e<B|t8B|#XMJm~Hd5XL1By88r#amj=3J^^7|@}Rp<Kp2-isJ#oq zpf(Pu9ROlGfGk97|KgH|wSRHR!`i>N<YDb!T=Jl{F9_q32eo}c7?(V#?F+)V<Uws; z5XL1BYWspPE_qPf7ld)igWA3zj7uKW_61>F@}Raa2;-6mwS7StmpmxmK^T`jsO<~F zxa2|NFpMpIfZDzw3{rzl9@O>)VO;W{wl4_dk_WYYK^T`jto@5i9@O>)VO;VcH-j)P zc~ILIgmKA(+P)x+OCHqr1z}wBptdgv<B|upeL)zPJgDsp!nou?ZC?<^B@b%*f-o+5 zP}>)Tamj<)z95WC9@O>)VO;W{wl4_dk_WYYK^T`jsO<~Fxa2`?Ul7J64{G~@FfMse z+ZTj!$%ERyAdE{M)b<5oT=KB?FD`jd+ZTj!$%ERyAdE{M)b<5oT=Jl{F9_q32eo}c z7?(V#?F+)V<Uws;5XL1BYWspPE_qPf7ld)igWA3zj7uKW_61>F@}Raa2;-6mwS7St zmprKL3&ObML2X|U#w8DH|KgH|wSRHRgWA3zj7uKW_61>F@}Raa2;-6mwS7StmprKL z3&ObML2X|U#w8DG`+_hoc~ILIgmKA(+P)x+OCHqr1z}wBptdgv<B|upeL)zPJgDsp z!nou?ZC?<^B@b%*f-o+5P}>)Tamj<)z95WC9@O>)VO;W{wl4_dk_WYYK^T`jsO<~F zxa2`?Ul7J64{G~@FfMseQxt@8$%ERyAdE{M)b<5oT=Jm1|3Da*Jm~H}5XL1By891= zamj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$ z4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1B zy891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5 zB@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H} z5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg z?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da* zJm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J- z7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1 z|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9 zpu7J-7?=D)=>31V<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mA zOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W| zAdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt} z-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<r zdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUw zFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1 z|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11I zL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo` zxa2{1|A8<r`TNlO|8U8J?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_ zKp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{ zyZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL z@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzV zVO;W{yZ=BKmpth1KM=+x54!sggmKBk?*GFj54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H} z5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg z?mrO5B@ep$4}@{a!|wmXB@ep$4}@{agYNzVVO;W{yZ^u#X@3Fo`Vi3Fe;|xYKj`j1 z5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg z?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da* zJm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J- z7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1 z|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9 zpu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q| zT=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x z17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA( z?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1 z$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sg zgmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB z`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x z54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud z#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1 zKM=+x54!sggmK9?Lht{>B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA( z?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1 z$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sg zgmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB z`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x z54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud z#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1 zKM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@_V57|KXC~ z3%&mjmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL z@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzV zVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3 z{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{a zgYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891= zamj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$ z4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1B zy891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5 zB@ep$4}@{agYNzVVO;W{yZ=BKmpth1KM=+x54!sggmKBk?*GFj54!sggmKA(?*0Q| zT=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i=<Yud#w8EB`wxV1$%F3x z17Te9pu7J-7?(Wg?mrO5B@es*50^ab{y$vupu7J-7?(Wg?mrO5B@ep$4~%im|3mNp z!=)c|_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1 ze;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4 z(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJf zE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1; zfiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou? zcmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh z<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S# z!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6 z{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@; z2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<! z<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh z9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{M zboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mA zOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W| zAdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt} z-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-9Pf!_azOCEIh9|+@; z2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<! z<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mAOCEIh z9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{M zboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt}-G3mA zOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W| zAdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUwFfMt} z-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<r zdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11IL3jUw zFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1 z|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W2g11I zL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m-Teo` zxa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3k_X-W z2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_u-1e;|xY9(4B~2;-6m z-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1;fiNz4(A|F^j7uJL_a6x3 zk_X-W2g11IL3jUwFfMt}-G3mAOCEIh9|+@;2i^S#!nou?cmIJfE_vAff4Jm9cmIJf zE_u-1e;|xY9(4B~2;-6m-Teo`xa2{1|A8<rdC=W|AdE{MboU<!<B|v6{RhIh<Ux1; zfiNz4(A|F^j7uJL_a6x3k_X-W2g11IVfX*xl84>@hf5xG_a6x3k_X-W2g11IL3jUw zF|PT4=>31V^n>pH17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;W{yZ=BKmpth1 zKM=+x54!sggmKA(?*0Q|T=Jm1|3Da*Jm~H}5XL1By891=amj=3{sUoL@}Rr_Kp2-i z=<Yud#w8EB`wxV1$%F3x17Te9pu7J-7?(Wg?mrO5B@ep$4}@{agYNzVVO;VW%nS@5 zj7wgVnSlX>ami~jGcbTKE_rQc1_ltuC9lKGzyQLy<aL=D7(f`8ydE<H0|?`i*Joy6 z0AXD62Fwf$AdE}ikePu2gmK9mF*7iLFfMsxW(Ecj#wBmU%)kJ`xa3Wl85lqqm%JG> z0|N--k~e2&U;trU@)pbt3?Ph4-jbPt0fceMTQM^*fG{q3Yi0%p5XL2M!_2?{!novZ znHd;B7?->qGXnz%<C3>$W?%qeT=EXg3=ANQOWu*0fdPbZ$vZJKFn};Fd1qz@1`x(2 z@50Q$0K&NBU6~meKp2<28#4m~2;-7>XJ%jkVO;Va%nS@5j7#2=nSlX>amjlzGcbTK zE_rWe1_ltuCGW$`zyQLy<b9bL7(f`8ydN_I0|?`i_h)8c0AXD60n7{xAdE{skePu2 zgmK9SF*7iLFfRFEW(Ecj#w8!Z%)kJ`xa32b85lqqmwXsA0|N--k`HHQU;trU@)67o z3?Ph4K9ZS%0fceMM=>)nfG{rkXl4cm5XL1R!_2?{!novPnHd;B7?*q;GXnz%<C2eO zW?%qeT=EIb3=ANQOFogAfdPbZ$tN*0Fn};F`DA7W1`x(2pTf+*0K&NBQ<)hUKp2;N z8Z!d}2;-7ZXJ%jkVO;VV%nS@5j7vU~nSlX>ami;fGcbTKF8OR`1_ltuC7;90zyQLy z<a3!B7(f`8d>%6c0|?`i&u3;}0AXD61<VW#AdE}CkePu2gmK9iF*7iLFfRFGW(Ecj z#wB0E%)kJ`xa3Qj85lqqmwXvB0|N--k}qdwU;trU@)gVs3?Ph4zLJ@N0fceMS1~g% zfG{rkYGwuo5XL26!_2?{!novXnHd;B7?*q<GXnz%<C3puW?%qeT=EUf3=ANQOTLkr zfdPbZ$u}`GFn};F`DSJY1`x(2-@?qm0K&NBTbUUcKp2;N8#4m~2;-7(XJ%jkVO;VZ z%nS@5j7z?gnSlX>amjZvGcbTKF8OX|1_ltuCEvr$zyQLy<a?PJ7(f`8d>=Cd0|?`i z?`LLU0AXD66POtoKp2<&L}msC5XL1xiJ5@`gmKAFW@cajVO;W4m>C#A7?=E1W(Ecj z#w9<EnSlX>ami0-W?%qeT=FxR85lqqm;6j-1_ltuB|nRqfdPbZ$<JnHU;trU@^hFO z7(f`8{9I-R1`x(2KaZJ#0fceM&u3;}0AXD63z!)gKp2<&LS_aA5XL3Hh?#)_gmK9) zW@cajVO;V{m>C#A7?=D~W(Ecj#wEXunSlX>amg=dW?%qeT=FZJ85lqqm;6d*1_ltu zCBKT9fdPbZ$**Q+U;trU@@tqG7(f`8{90xP1`x(2zmA!K0fceMuV-dp0AXD68<-gw zKp2<&MrH;E5XL3HiJ5@`gmKAlW@cajVO;WCm>C#A7?=E3W(Ecj#wEXvnSlX>amjCI zW?%qeT=F}Z85lqqm;6p<1_ltuCBKWAfdPbZ$?s-nU;trU@_U#W7(f`8{9a}T1`x(2 zzmJ)L0fceM?`LLU0AXD62bdWcKp2<&L1qR95XL2ch?#)_gmK9qW@cajVO;V@m>C#A z7?=D}W(Ecj#wCA@nSlX>amgQNW?%qeT=FNF85lqqm;6a)1_ltuC4Y*UfdPbZ$)9Fs zU;trU@@JSC7(f`8{8?rO1`x(2e~y`f0fceMpJ!%Z0AXD67nm6sKp2<&MP>#D5XL2c ziJ5@`gmKAVW@cajVO;W8m>C#A7?=E2W(Ecj#wCA^nSlX>amin2W?%qeT=F-V85lqq zm;6m;1_ltuC4Y;VfdPbZ$=_yXU;trU@^_dS7(f`8{9R@S1`x(2e~+1g0fceM-)ClE z0AXD6511JkKp2<&LuLjB5XL3{h?#)_gmK9~W@cajVO;W0m>C#A7?=E0W(Ecj#wGuZ znSlX>amhbtW?%qeT=FlN85lqqm;6g+1_ltuCI5<<fdPbZ$-ic1U;trU@^6?K7(f`8 z{99%Q1`x(2|Bjh~0fceMzh`D(0AXD6AD9^!Kp2<&M`i{F5XL3{iJ5@`gmKA#W@caj zVO;WGm>C#A7?=E4W(Ecj#wGuanSlX>amjyYW?%qeT=GAd85lqqm;6s=1_ltuCI5?= zfdPbZ$^T|%U;trU@_(2a7(f`8{9k4U1`x(2|Bso00fceM|7T`k0AXD63@i)`AdE|% zk%fT)gmK9;u`n=zFfMs!76t|o#wE|f!oUE+xa3(`7#KhpmpmH_0|N--l4oaOU;trU z@(fS_x`TehjL9#4)-y0zfG%uhU|?WmFa{k>2)-f#mpl^#1NaC9T=L8e4B#aexa6V1 z&wxvwm4Sf)gmKBUF)%QIFfMs^1_lNY#w8E+K7%L&0|U(e53u+jmpsh>xa48}$0ZN* zKQ4KY-$59cJjm}Lj7uKmcM!%U5A(kmlK(qE7xJRTKQ4Kg|8dE~{Etf>=6_uBAisk! zE_smOK^T`j$nPMGOP+&)fdPbZ$#XI=Fn};Fc`gP91`x(2&&|NV0K&NBc^DWNKp2-i zF9QPu2;-9HV_;waVO;Y33=9k)j7uIC|KdpTZvnb+87=;C$;09wmpm-~ammBtAD28R z?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xr zJSgr#7?(UK?m-xryZ{3O0|?`i2h|%Oj7wgKfq?;pamfoaFff2HE_qn`lR!#;AF!l9 zT=KB=hf5xo{&2~|(jP8)P}&1wT=Jl_2g11IL1_<!amj<y9th)-2c<m_#w8C*dmxNU z9+dV#7?(UK?SU{Zc~IH|VO;W{v<Jet<Uwf<gmKA((jExok_V+d5XL1BN_!xTOCFT= zKp2-iEd5C$rN0%R3+2(uKV0&#^oL6xmi}<b!_prvc~IH|VO;W{v<Jet<Uwf<gmKBk c{0|C4So`NiBt#U{{)4a}WduxqMhHX{0AEPZ*8l(j literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..a02389c --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=365 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=390 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=160 +OBJECT_NAME_COLUMN_WIDTH=417 +OBJECT_VALUE_COLUMN_WIDTH=4027 +OBJECT_DATA_TYPE_COLUMN_WIDTH=140 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100644 index 0000000000000000000000000000000000000000..e71fe88beae2b22af12ac5afb94ad5fe2acb2b70 GIT binary patch literal 31608 zcmb<-^>JfjWMqH=W(GS35O0GLM8p9?G5jcoG8h;b92hJZco`fR<QQZb*cccXSioWs zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`fulejp4Hh0zQG5I#sB zD~PE8A{ZDL(CDpd5OEldtPgBo07RYvjn;sA2u3681BFdQ3`Ac<4l11hHk5%O14_g6 zfr1O9F9WJC1F8>3D}WS$oDZd;)`Q~!WRwLoJke=1?=qn4i-77wr(Gb<W`NNkJ3vCg zPfJojY;=2Id|dVgK=plrh9f%70*WY5+JMm@%NQ6K0-u(ofWigJB}hS|ogoNnAFg;< z0rfYGhFZ&@pOcwnW}=^yqMMVMS6ZQ4VPU3gW};V|uV)0d8{~J89iTMj?ib3y)WC26 zBnHzDVv8^^fYUihet+8CHq$Q~r)IupU!p7RaIYuwh73pz$PADkkQ$J|Am>1%7Q|%$ zv2__3z<Cnl|3<JlNDRcdn0hW3MB~Ewj0_AySR{>Du#10U#xCB2LtGY=7O|M`i$i@o z19tP%n6Qh##9>YV4u7TLFdr1gur!J6+<F}5oWkLr3pmVwi9=imNBHRC@Yi}A=3K#{ zekTt1Gvjc-8V>btIP5)!!(KNW=1jvOu7Sfn95~Ex!J+;$4tFlZVa`Mx<~+wCehr8F zwQ=N^AROjY;SgVl!+b6r=Fi08UrQY7GjW8&eH`|J3L$7!kDM(S7~(xceB)D6i&E1w zi%U|ALVTTb^7B$d5|eUL8RFy9bMy1!i%SxVO5)=oO0g=;%+8E2%Sg$IPs_|njW0_q z$^_|;hpA<N%O_`~CTGVNCFZ54#^<NS7w2Rqr^e?OfE6Scr6!i7#;2qfXQt<YMN%tL zlS@ld;|q%NlT(X}Q;Wf(V2jWU%FIhit$-N>R*;#OT2zvmm=j-=nw6Sd0@j(B12Y+{ zq&PVvHKjBsHNK=MF|Rl=xg-<h+0693#GLrF#Nv|p;?$C)#N=!Pux7B9)UwpPl6a5< z6LaDV5{nXZQ%h2d!1BcfrNtQ#R%%InaanvxWkD(=KnfB|GUD?Rb5kMGCAlT>`FS~+ zc~E|8NqkXqd`S_=waNLVc@W2f&8q_IK(abHF((J)Ca`!)WnN-#CP*v7{UEhq$>IWN zxWc^&561Y!lK7I$+|>B0)S`T_;>^_4)cCy8+|;7X<oM!}6tEXlQ{r<=b4oG`aw@@! zKt{*IO~Vps#X0%qV3oz0xurR1k(rU2SP-9?mkElL%qoc2Q{Z7%l$w*DoLB-8CTI~# z?8FymR;3y;fHi>~l~$UU91o5ul+Y?pEs0MqDl3jpDa|dw7BOHGazGJZmY7qT3JwE| zXvP&%i76>yuY(OqEGkN@j8D$bEl4Z^nFIAMF1<ymATJ=a7iAV_=B3A{rldo{1LX3G z;>_HX%%XU3Fo6<kW?FnvYHngdK`O-J;?%t2%o140!lMZq>0rZCz)7MwGuNpoGbKGW zK0UQ0JS8c-C=-;1<KuHOlR%LV%Ivv`nRyKH@yQj5@oAZPi8&x+b5nDZa|;-9Q*(<` zOF&d|K_x>@W>Qf~W;`^)^NZrMQ;YIabM%Vyk))C!)}crxgW6bT4DLRjPR{X0dWI%& zrZIwPq-V;YpI=a-pP8GkAC_5`n38W~U}U0a2<7NQc{!O$`Z;+OW+r<1`g(dGR8mk3 z5z$XiPS&;5GuAWEPs+>#Nr78@phA$5fr){UVhA&XnSqgk1#B9qEyu{f%uvR{0Bi0u zOqn>14b+2bfQoGa^O+gCq5M**Oil&{CWdLC#v%g)1FRnbYrjuW2DzAlApzP?fQbh{ z#W@Nf@-T52Uja>AzzL#G15JDaRNMefT)`Ql-U3a01614rP5go(M7;-^c!4oQJOE9+ z!2%*4fhPU{DxQEQ{=o{OJ_AksfHg$C08L!L1|nX8CY}HlZ$J~@02S{*7q^9&GXYKf zfE`492Aa44v}3#gP5gi(MEwdh@e2kJ@f-ON8q@~>^*JCs28IVn;yf@x1_p)~NaBJ> z;vbO2VSNaY^baI)4Uhm7Z-BZ7)PClJih-yFc_5O3fgRd6f$$g@Rv?Lk(mPCi1CltX zj{_6mfg}!1;$W!*Na7$rg9RBF7)~IGgY1ThUqBLvrFD?p4J2_+kN^}vKoaMIih-yX zNaEZe0Vw`}B+d&J15rPa#Q8x2FboY(kQ+gLJeV*Ck~ng|OaMt7I)nk%B7r0h>Q90N zL467&aZ#8c0|SEwlDHU>xB-&5IFh&plDGttxC4^7B$BuXlDHI-cmR^PG?I7(k~nNE z2PB<<Bo69Bg2X^L14&#CBml()NaFHPF%VUOB#zv_Yd{iL1Sy2#4kU5pcJBlvacI#A z)-eM~Tm>uwAr>Hst3rgp<O(El=#Uavd;^lWI#>ik>_8INgb0Dj14!apU=av$0!dsO zA_OKcAc=$eoM6FGVl)IsLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nkV4=yzuYg6<~JN3 z-K;1585lfT50o(dzu?h)gyS$+)qm40KL&>XsyTiP4E*vA4F6R@{0xxX%Lo7e|NpO= z<j25}0U927c>&Ci0`Wmz|Ca~A{2&k?)a8G<0nGOT@j+evmkYprClDVrr15eBm~RE* zgSz}L8^C-c5FgZyepvwKYk~NnF8<2|FkcD82X*aV27vieAU>!||Iz`>7XtA?UHO*= zU_KX!4;m_YsQ~6Pf%u><{YwEb|CcWVLk4K5<0S)_{|Uqgb?sk%_y_XuD-a*lrGNPV z%zp&pgN9gMUI6oNf%u@V{mTPj{v{9})TMv90n9%I;)A;KFBgFMhd_K#7yji0Fn<?_ z59+$VYyk5&f%u><`^y3_e-(%i>Z-p?0P`1t_@FNO%K$Kc7Kjh(n!j`a^CyA$pf34K z12De}#0Pc7Un+q4O&~s~3;t37%&!9RL0#{c3}Ai{h!5&=zx?nQ<o_%XAJo-;`2fsM z0`Wmz?3Wk7{3s9~)U|$j0L%{p@j+ecmm9!*FAyKpm43MZ%y$CuL0#yV6To~c5FgZa ze%S!#gVd)@03|pO2BlZSx1OCB<2*Vac{D%y5D?;Oc);+aN3X4(G6TbZQDt8ShA(M) z{PHaz<qRIZwikUF7(9AeLE-LU`J+VCqnq`a4<xB`{(rzP-vCm07)pEe+AajEHwA^G zhvq+z&JP|3-`RT{{K4$Oc+BJCzY-CTZq~I>133OaNaL4xVPJsi2f44oHrAJcfuU48 z)T7z9%ZGu1!K3qO36Dp&?KB?-h8LRu|NnoH^8f$;W2~mW3=E91hxz4E^uH|s|NlSK zJ&xgyVUD4WA;BJ<mybC`uryZ%FqYc+bXPlcS9^39`*a6~H2?bF`Geo(So1GN$Ifpq z{4U=uzq|1JeQ@dg_(J#Z|Nk!9#U3vF>x)Bt8UMZPXJBCP=`D`%=ymq+=;rX~cHr>n z^iJ^Tbk68@PUv)w>GsayUw@E)y?2V`iTV`J&i|f`$7eV(Fid~|{%y_?KD{aje7ds{ zF6j1-d2#s9|Nq?}?J1pyw1YkP*9V6<@^1?fW$<PE<HWyBM5!Y<!tz9kP<L<+<AqM= z82<H#_}8DZJW;pSv5PI*F~%|0G0rjm@G&PR2L5eM4j#QM2l%&nIeIYu>}p|r>BPX$ z;pB99!UXK{R?hh4yOHD_!RB|hSlj^%Iv$323`&N=13bW^^Rj2>pKhlFSHo|u2lj$e z3BTuy<4)khuG1-}GppdZQwgZ#>wN!W$?yOFJ6%**JUZXMu=w-;zh~#seIO~1&f^}P zuU=gJ_5Z(1cXfhm>wi~%&+k5+-(N8O`TyUe)49T<^SDpvJD<)^FCKvP-SupK+i<)Y zlqx|6xODCYrDT`R%^eI349A;$paZTR-C!jioy}7~39P#rB<<1JJcogSp&KmL*$m1x z-Q5cq7#P4&+C77Tfx+@bo$||B46q38o(z&^yZ})Qi<J5rkM8V%?&^&0;+*c_lJ0Jh zNiQD!`u`uo>^!0EoWZ}|xrBe43oC;w|F%6WPn{VUJi4<hx_5(I-n|**=<dlN*S=T* z(g${oHrUPl>%qQo>8N34=mv{-L!HLon)Cnvf1mE;3eRp=2G4F^4v$V}2air~50B*8 z5D(se(fJz`a7V%6_ROR6){7Ot|Nrl<QDJ#u|NH-cm(K4m%)u&NfNC*#%8G@=Giv;H zXC=5AzHNQ$$nWvC^ZkqaKmY%C>^ugF!;)X1I6Q9n4H|(WKmY&l&Q553>$nG$5M1~@ zpZ-7V)A{^`^)HZXoD0Ct`RCL5=!GOGDP&h1cdh}e>|6{AkmJpu00C7f$G|bg(CwVi z>+!$!fD6Cpi_UIPI2{KE1w_wkP^2Dj21OW1k4HBsoiu}@3K8!sz%dOHgT{LI8hA`E z0mn3d|4(psx0TX>#Wy%!cZ00!-VBP=?#UoKy1PNi=EeUXpa=#rJ5OkX<A{Gf*bU%l zX8C8sTAt6p?SN-8OS=!_kN*!my0Z(qt1G&TYq}?cy!T=s$N+E>)ON1mUk~>4F&9<_ zrq}M!{Dq;V8;2I=*CHS-kkDcTF~PVSY<hP$#DgU=-IGC{2Blw+vtj9%zfS~|j(W2z z8g_${4FiA6JO%~^M51cm0?IU<-Jr<q26H-_H-N&k`v5!)cQ7z8yjBL=iXgftgA{=5 z11X2uSMQAOukLP;{EM~U|Nrj>n~Vq${%zhF9X_C>4^q<I4YJ4bMCnqW?&<=B3*pHg zlzKcm@4uMz1C-Dp$^IWG*>C&-N$s9s`uPh-umY^99^w9DPL7PA^0lkQ;vLTNFW95; z4JcWFOTd3Vy;Tl|Zw<fg0u@I-o&R4*eE<L7hOso*qccV&z@ytapxZm5i-n`3MFo_i zJbFV^0zd`a#Q*>Q+c1}Efs}C=ego;W`~Lrb7ej}OiU&v)2S`;mNEMR<|CFPznGD}{ zzVK)~0<t<9R2#$|o`A@&9*u7*7(rF(WzWuk-B}5}uKzomK&cLqgPTBceY^>jx<T2f za}~%v$D2TT36zUEn?NQXZvv$hh&(9s9B%@pC9wSa7k9rRit)m4pd#Q1ya*8d3M#|F zrLkkLJELps|D7OH_&rZJHvj+U!tej0lSjoP`6a0MQ2hpqtY%Oa1s4p@K$QT)_y7Mr zdcg_9rE@nZ@{fa)9>^4z&efoFaJ(6mB0-Mn0vDAX&7kCVy!ix34Jdtrvs7pE8E{>2 z1zHz0|M=(8>7&BI-}jV>fuYxfv9lYrPVIQ}5vaD-1N<HLm_Rjuii&_wZ;na?EJc7K zCjvwW@NWa#)!_n))9iq5aK`E03<}Kd$)F(Y?gkn6;?@^Xk_Iug!6h00dT?z6E+RTy zR04fEzk^D_;vfJ2ceJR0LfoS}JEMCyh}FFr6!zVdK_Lz`t{cfXkP`m&%^+EbaV;vK z^-o|EU%gQL0V<u3dvvb`1#34r0J}GD0fowBP^du-v_div6vq7P!C?xnZ9pD{_|~Iy zj*0;z0|U(0Eh+_|#09GLaC!XUXFMKnQ7L3(VDRbu?$J91Z14-C@BjaU1G96E$_r4T z0uA356%B|@xWl9z$tEmeqKU8xWblg<-@rD(LjER*3k~_sIVzwu4k`6PxuY91LODUU zf#Vib>Vp)aL}`o40|s!_j>Ww%&VE9K2^N<=1XVwfFabH^g&f3bNRg@o5&<W?Zg6Vt z?gk}o%M&F=-IKw|`$8vJ99Giu_j&#O|Nphf@n%p82PJPrD);H$3`!Xu-99QD9^H%{ z-JZzJ2T=9`m7`vtL0J=0j(!7`qnRN8gPIQvAcl>~iyxmrDnKO>sK7yOK_JQ_Q2P*C z3_0Z(o@{-~@A0zJ3DhJ6xvDd(;<!@{C?j{if6@LCQL0FP0+%ZLKs{t=+hWHDP{C51 z<Jz0Y=-T?taX-iaSANgGj?Mr6ft=0Y!teLOGx<HJWI6p2<T!BQfGAm(fn3}>85DOY z4MmVaE}g5v#UMDBf*jQCouloYQB%@gUC>=z;@J7eQS*#v=e6$O8XxB509V5Ujyplh zUM&3Z|39P@aOrl===RR({Hu8uIsJGruLf04P~}A+<<2?U&KbP{jI9U2?&kNn;L-Ws zr}NnhmQVlx`*eQt=?1rkJi3`Yy1kHN2joOh?5qKM4-z}z#vRDN;Kp6jM^JV5|3ws7 z1+HoiDSli#k2`jr^XUBS(fQZ0^Q>?05?c;-P#%5m(s}5G^2h)GTMzKJ%mpRx-n|-X z3=A*$KY$A8y({z>7+x?#*cE0B3@^UF2aC@zXJB~o8p2*+&A{;DK7<`%!@%(3@_Ud6 zbyR$M*JiMRY<cg|dC#TulS}8%7tcXvbsqNUW>N9z-J_uZcEvNF&R;LyegNr0QaT50 z%yW;<dm!m^AdQVjK?ZtsB2=L^Y+rVK`2QbPo;!vG2Y7UT_S|R2z`y_+1p!sO-(R@C z`~Tkq9E$}FHXO{QhMxbAL1eVw{r`Wgc>!pE#-n%l1SSRskH*6t%%F<)9>kuwm&f1# z|L@sb$7J~J#h<sJps3a`{APH`@Z<~GcmMyl9N=$x4QgHW*0C6VdvOb-qPuwp69Yqc z_W~wR5zE5i(fosjzx^yYta+EnGB9`~vov}zp7{U3v$u}T@Y{<e5M7{BqPrWEi$Tuh zZ=DP>xA_=5#I5yjVQyu7>Hi<>CO*S&FESu{Z-8ooZct$b(pwts*?f#2qR$<!kNKrI zNMG|YVTg<_T!!W4-+y2e#SFi_5W{LBr)Tpq@s~&c{r?XQn8TjU$E06w0qJW##tkv? z_8XWRnO?5`hlm(x1i|Ac8YO;~z5&G#)<C-i3AAPz)Iei4{PrRrY?lWM0|S#s?`{rI zwbHxUfQ5nKh3Gr5UqJEU1y%&wX97~>08tbGQuN_1SP`q?w->5lMIBI+K*gR%@8$xK zqSFvXpg3XxD_Q|nv;kt$1dyU-5Jl{U-(K8)4f6j9sG=7TMH@hh8bOMhk8!-b#>~Lr z*;}V=`0d47uxe~R2nMO{t<y35_M!u<2%8V|Ac}Mizr9EXE5hajPKY8s!*4I_z>2W> z;K>_sSm+ymdm#x{gv|&0L5iA>8N3vM_<-B++l$w)K>h{!fEg_gCW2J=*6|pAdvOe` z2;>8hBIG#8fhgiN{PtoYSP?cKxI+|y(s~V85y%H1laS*;38IMK@Y{=Uup(?e`1|_* zf6wM)0xv=9);)XcgblyF&;+Xn`2dtakW<llkm}w#5yNjUSip)vJ^(2~_Q5KMB2mL{ zFYdntg$2k5Ajcu6qE?6^P~O@FRs`|^$RuPRBtR628-9B+6|4y41CU9`smL0nsQH-0 z%L$C&R3vTq?L|6RH8vmcf>ig`$ryfn;RIHM%?B@Df#Y7*@Y@Snup*ETK#oK9!C{CZ zIm2%+e!KvA6XXMs<B)wY528rk@Y{>aU`5z`Pzh4hd`tmSUaSVId%?oM!2I$YsI=>? zQ#SneVhUIoo0qIW+I#C%K=~i62%DEgA&OKDzrFARE5hcb4==&~Rs-dKup*F`K>kMd z(rJhyb;EBj7{H1^UIHmXj+A8}Ma{=FAYQuh927nvFM-s7cC2|c9=^bWQl*r<gcOai z^04!t$9~Wr6wp9DDE^;=oVM*dXi%wlH)v<oE>KhB#om{o>SuQWq?!V`7cB1439qYu zzknDFEpL(91D>5<LBpB*q8Jz$Al88TpV}asx?M9IyL};5V;H<@6aYyz9xrzRCA7T{ zSQ!|=micu4dtnOlaPQs~ObiU3jYm(gGB6lk+6i)@tKk8k&UcXd0Xn7``_lXc$T#5n zbI&snvldo=-hBqCKSLpj8C0mx0;%Y31@-tGyL&;cTu^n!-)aO=0xHtWAxc1%rDJz5 zsJ8UzX5sMcYz4W|6Y8wqtx#uy=1N=*4|sIisCagMd9e!QtKK?LsjvU+|9_7ipt%hY z_UvpGU}0czJl-n70@-lpu>;iQ2Vu|7Rt^>h2G8bWY|wy(Qji#b_VoXM$L_TtCB44? z4gc>0nFk&(c(MA~|Np%*Z-4y%|KGKH?+zvg2B<<$=D8b~7#NThcfNd4^X&isgHM?~ zyIJI+{@Dq0f47Z_Pv^7FS1(>X1K9?4kYjf*$jz1~O2izQ!R~g1xYY6le_z6XSWOL! ziNh0M>1|&oN-9u&3aWoWtz1YVkc1}!?x+9%A8!V)a|MkDB|+OkU!H&xBD@_W`V<t8 zC25|$b)Y!B29oV=wqOLcfk1^NC<;qGJe!ZPKmu$lM8OSakb(ywl7-{{fljbJ9=*FS zfZAV;hhMNUFzf<Nl_8~XX;7RsAK{30j025m277dV_Sjzp_solEbd#HHIQUCBk2SAg zXJBA{%?@FJGNu3|6HQ<TXUyoA51;=3KLKK13Bo*Xh<Tu>>h1<bA1JALbT$WYfM!*8 zKY$ow!NI_=3lvcvo%f<&mV-=!BoK&sr3mwOKL&+#a|O70*Z|Vq&BEc)+57`y9%w(9 zN8{lKDCVgn%nNl41C34zfZ7$EpFKgvMj68JxW}OG=m(Ig%*`)ARHutdg2!<el?>1f zqQ?%96G5FDk4_hrg6?k6u4518ZUInmclxMQcpPt00p((_;?5QoP=^XMQYGNoyKRFq zs8#>{1=kZ$2kuyRj!J|_Z;48P$8i=FP;&R!0h$zq8P4I+`5ck~xE}xi@7c}f+3g4N z<^oPoXm_swQN6njKo&P1?%-r#*agz<(RuHM)sz4KJKw)x28C1O5s*it9pj***ApP) zVW1EIl@FkXJ}3mr5g`!s2owSnK;|+xLz?lh5CF|VdhQ3!Jc1nQ(^;cZ(B13+Q3=|% z3knl>2%!52dsysygc%kfYe0r0LVyFL3LXLhT;LFh-~yEdZUUXn2_S*q-JqSv9*u`B zxEL6AfgA}63%$qSu=xJ)|9_9hBOous#swj%Yr+K3bPXttflKykcsPJc_CSy>q&|-p zJWrcH1Qj6d?5+$9oy`*5pn>7`@1Rn?yZ^rn1H<b~XeQ?ena~T*<OUBxnVi4nBBYuI zW%RcXz^NHDcGTSsYA%2?dN?AZpLqbbN*dWJZdZ_v{lc!`fDqsYQOz76s&{t-C_Wkw ze?STau$Nydg8~s!Y*#=mT>&m#Ky@|PQae!L3@Kg0Aqo;e<w|!3$i!|D4v+2<4i1mb z$zY2*H-oJ0cHt0!1i<SSur1K>R#5r^_1`@^zk_lZct8wNV}iQqKktJQw0nkQw?Cw? zboTgv7&J<H|HVD9RGU1=gPrXMKq1?^AGEdFv+?)}ZfFtV)A`S%@d(I#u${3lJs`mh z>R!$T8PHn~s~-2=hlDsQD7*JogF?I-q@uh10V62+^n)59Am8)1J_2=<nh&!;91?o} z|Ns4<l(-Kx1hNa1;CF(!jypgdUFe9#f&1XN0R@mJb2})sKv^KeV+TkjNF9h<;IRWV zxeqb{#I1mq5TJqtR5C~)l?<Tt;n8`xJ4Yn}R5C<>${o;%0|RQgBjC|nqrw3x9Nyjo zr5|Qbe1Y{s;{iAqd<3aQ4md>lKM|hrUqpjcbvJJS$34im(DFKi2V7ozfC|ON!vQ?d z$N-nu$M3`2OrYYm`HcsxWC88Y0gY4{etW?LHrUyq+uH&(*zcm^;L-UAl+RW^`v1S9 z`3GoFvbR_RRCqIjn?s;>gX4}4VQ{et%FPD%|Nq|w;_n0zps`YLv&|#gG45r^J%|%v z`PpN?2?J=~69a?cw-@bqK@CdKSOSwzZ*hW8@9qvz%i$7e^D_gu#q}N3D7$bEri|GT zBImfn!V7FYNO~h&nh7qw;vP&IoEBg9LY)CCUO?>y52WxgM>y)!9Z=MPN9Mc1!|kY{ zGWj7WR6LkLgYKI_gZLhehe5?X-0B5wjNtL&&U-J!@BRP33&h_EB2WXy`Yt43pe_Q1 zk7EdAj0aTogSI_EBPj6>C||azgNv2s4`7?YqwYSvi$M(y!%MKHluze>(3sqUyI>9a z2n|prjyp2s*chOysvxS&v8Y<1%?VQ#0#Rj;MU{q>15A}3M3p-hRT=8eFjX87RsL91 ztuS$esd@zQZa5ZIFC0B#s`fxs#bZ&W;qL=eH4UOF9gC`r7=M_m0*I=7EUH#y2EkN$ zK~$AvQT3uM6sii=L5OpVe<^wA|9{Z3kzkL`-{3;ZqgS+53zS<;mvJ#Lya362^zNP^ z$H4Gn?QKxAbN2-hd*SW>|Dz9sbb=Rf^_o_IRL=&f04?7_l86UMEV}dmKd8`Uc##20 zxiBT6!64n8zdbs?f~K<?c7X~^k6zPb;9A)hM7>DA1sZdH*LfdmzpWX_z(SB{uWb;B zz4bQC@ZUH8{|^n$VhC^q8OPuVw$h`QHy6|x?-lh0Q>Hv%%GM5~_vcM;GhJbS3TWS& z^(~l56QMqdjy=r40QU{Z-5@89;?WQo4S~@R7!85Z5Eu=C(GVC70kT2>bk>FCjsO31 zZv6j02Z~|*)JOmSYd`+~f8nG5|8G9}|Nrx&|Nq+_|NqbR^#A`ePyhe#d-nf-;`9Ii zK^B9KA<;|8EYdGYiciZd3eC$biBC$+NG#I_%Yct@Awpeo8R&Ez1_lPOF5KpU&T9c3 z*Fs2LZfY(=W^rb2ZZ1PE_yj{x*Pc<D*&1}9nmhvogU<Q?|4kSf7}i|;|38M2fnm?Z z|Nmt`{7e7;gVy%ST>1YWG{o}f>i_?L7#SF3K#f%<28J~^|NjS_QDt-Q|Nje23=DVf z|Nk$;%)oHx!T<j$%nS@QkN*D$O{(`i|Ns9CGXuk$=l}nIVP;_X^YZ`y2o?s0Gq3*t z?_ptJka_+8KWG{w=k@>pM_3pb_PqZ8{{e`8^Z&mHD+9xsxBveKure^ny#N2d1H}LE z|NjjT|KtDvUsxF!=6wABUxtl=K?k$~gpGk=&!_+Y3)mPKCV%<=e+?T0!`UzY|DRxE zV0iZB|NjSU3=EuK|NsBN#=rm?VFSenV^t6XV}$^tG!HumXhsbr4%!?r<HG;{phfr$ zF6^LzNe0k@eNd15!`c7;L8G<|d;)HK5?=h=<s1zR_EOeb#wwsS|6p@LM;Cfr`2Qa? zMgp<}ge@2t7(k=S1{eST{{Rwj;uCP<lW^iwaN^T&<TGey>tXF>>0|C^V!Fqt0Tz}3 zD*+7)GcYiKR&u3W`v3nncvJyNuM?kvBcFvMpF=Zy4_hy5A4@-T8x!+UMv$0+6OvJm zd?5FDFfcIuVPIhRaryuMkD!jTBSEunGvP1`<R=eC28J`&{{IK9t7m|l<;bVu&Ub*Z z8R{ISYLFil!2T6LxTA%UfkEN=|Np(9A%3`Cu$w`Ca_8H?jN++67VHiI`RM{914GK~ z|NmzY7d}n6!UuHDqYM)R!;U-u|Nj8R6Q;Y+!kXzGHdledCWVQCq2TWS{}VxOa^e$k z<dbmZQ)p)HV`B0JF$J9XI9&M@m<1VOJV!pz#uCu^BcQ>aEBF5Y=K<{uLeb02zzS2& zzyMB{XF%?K{QrL_$h|0PnLJ@i6Brm6zA!N`e0co-KR;3N&XkYMU#@%(%+)vo4|G%u zXcT+S)BpcLOI;XH-Lezr7FRw67Z@9~kq30PqQ$fS|NTMkcjOajW@7RLC039eXz(-# zG~I`gbB4(sVP;@hfJF}E4$z#^m1qC|gBE~8)1@Pyf;-;^re>Bt<~Am#mwXD2p!^3- zkD&MxVPRlc@cjROc2Io5;~kuqKOoZbXN<HADi=TtN=jb*|L+gd3(mOUxQ68D`(TS8 zvCR|<<1qLzFff4TrF&lf|GyTb57o{G2s;x{?R4dPz{sq}h$_gy01B@gEDQ{9K$G8S z;RPzczOXPbD7^mvAG|If5;rb<3E=dY3yTj>+LK{rV5oTg|9>;c40OMg!krIJdmgL| z40m4t|KAE#>&PboGqVh4CMawRSQ!{x-u(Z69pq1lnNFY>0GEBNJ>YO+K8)-oCr~tl z{j`Raf#Lq!|NlW_eGIOk^icwq1C`aFIo`i-|NjSV0Aujr6A0kr0GGj_nc#nK|NjSV zyaI_w@o_MK;^_w~0|V!~|NmEl-0R9G(8lD*C(+F8!l%IG4+>8MCs3+)DCAR!06QO2 zT7eXg;?WQo4S~@RAT9)8=hDH>p#v3rAe|1NwhnaL0*D`t#s{5^2NDO(KZEE+P<haX zT@W93ZZ~MdE{MMZv}lNdfdO3pA)Sv0+VBdJ2etV?G-yLCh=!e$2WsPj_%Qje|Netd zPy)5tLE@lh2Z)w{DgbRr2JugT<^`ckH$nWLQ1^kRM?rj09R#958+t)B3`4asbU@Dw z12sWFa-jMhM1#t55Di*+0HQ$~enGSaxb@8dTYti^0qSw6vlu`VVIXy!AOdubIvNe- zGW`Az@gE}t<mm5zP<{c_y#G)>ES^3<`9e_npHM!!zhLH5O2f`KM>od|)F5PFU~q%d zVNf~^N|!<DHYhy}N-u-b+o1F@D18k|KZDZWpfnq32Qvc$gBX-ngVJVD+6_vFLFqIo zT?VDwp!75-y$nikgVM*K^ff5`3`&24(rn<~69a=7lvab%W>DG<N{2z|G$>sLrQ4wN zG$@T8j)eHIb0N{y!On%806hm1cJ3qWoJZKXj<9naVdpl&&S`|5%LqG%Q2=_5BJ7++ z*tv=upyw#U&P{}!lL$K(5q1tD%zf_8&Q=N<p-H8AC8Y|6#-MXNb<Ik_T%$T86FmbH zO{g$T2X-2i-dPwvg2ynBRe<sn=-kd4XvT#m69&-u0XFrZ4f9M4{0tA!!XKm$BrX7M zh#_o%Osw-Wz{*FMj3>Bklw{a}bnYTZ4%D|{WDsS5m0ut+5C*knLFU8CTaXwCgH|pv zGKj#>3k8XR@N&@E`dIu6I(HnKzi{~%G_A+TAk3f=0WuX8V>9O%Scwn=?7V+4mw|!d zCfL8?@Ny9*{vIqY#(-Wfurq_MZjfMLfQAoDJ*ck=I&=ZXhtZ(r%z_LO46yS~L7S97 za-elpLJa&2uyhCtcaXR=_?&Eh23Yz7_e&TU7;v4N&J8|qo1X!8Uj}&01hn}UY!1jo zR2+yyJPkA!fT|SCD+R0PXMp89a6f~AfuR8;&LqG9tN*}?85kJaK;jJi3>!cl9tH*m zP`U)k&4G%4fI1L7_QAlwun8;<Qh|!k;SdL{yTKODU%=}58DQlAxZlpe!0;a`4l579 zeQ!|xiQ{~9VI1N{U~zs1Sa|{N*D^3L*h0l&<peAqLF-(wxigaqlzveC3gWeb%>l7c z@f;lDJDC_51Q`SwVE2JQn*j_baHxNXL;N)k_pma9&Ira#=R(l*cFPswPFS%b4Hk!p zA(Pf%aef9^c@6G=g6dOd1_rdE3al*=s$KweA_oHl19-fRfq|hMEDlzJLd?J+z7{Ob z&oBWk9Ug{?!|Da_I0*v-!#S`xiqT-sYaHUBRlkBv67X^oteAm;K?cXU_%=AiV^|m% zK<1#=f0<zQ{0y*q2|OkO8p8yO!)!y)O*q6sW4zeX;dC784}!({8DRAts9XctavmxU ztB*nDK1lo)_?&1&eE|{!;b&m=AQmd-W(D1|!OtK7JyaAtZppyFpa>QJ0F5tDxewB5 z4i#SjO=#e;TLuOOU$8hx1uBlhA>IoX=VySm1HfZl3=9l2z~ZQ8fOvaxh<^i%^D``f zx*t4t%D}+D!v^vfNGB@R#UUODHK!e#kHBLq3=9lrIMjQB#rYXv?Gx}g7Xt%B2pcG$ zN-`WktB)eV>QUVS;^l(H`56rKK|BTq@OUi)149c~93qBH_OU_AnGewWa$x051vq~B z84g0-59|NT!C}r8usbpH%WklGeg;_k4m{??z`$?}ERO6F2>UTuoS)$X^sri3I{66| zzW_}?uzH7|9ecc};t)5-Asz)5=VySmA7SNuDpVZS4h4@jGcYg|K*eG0QBeB{<b!Ic z_+Mzafyco>^(jc4i3g){Xak9ZG@|3+<f0Noy?loF_{5~l_>#nQhUB7>;*!#|G`(a7 z#Jw5uCAsm*pqn#_8RFwp^5fHU@{<yC;!{fUi;CkDODh;amjUFYmZYZW8DLidI%q#L z9&&R)YF<fEB|{qMdI`{N1-X?B@$pDJ@HGl3N=xz!a&pRY!P8{%hI+{i@$qg!j=rw( zu6{0{n>*s&{X*kiJ)nXfE<p_O?mqrbjz01JZf?P@A@L!OPCl;j4Dl|JevZDL&fx0; zijgj=0Ntq&l9<E*yOP7i0Kqf?T?SB`TH*jZ%pY`Ye<qG=1~Oq+g&<#YfOgRc%n~DW z25_h_fG<uch__5MPfasSPKqxsO^P?dDryFHIlfC6Fs~UwznKDNy|EG8kH!#lAr~lQ zXM%19!F9z4Ot*<4T(^lS!c}M&m%wj8!FLY}D9k{2K%m_c0`?`Y8!zHL{o{*EQZn=6 zON*g5M1a-fz83}T0@#%;X!pRRB$gyX?tx)|UiAREnF1U!Sndr0-G%`R2qO!$n<P-v z3Fzh#w5Y`$TqyUvVBT?o#VkZD7@LD*0q><LxcmdZ2PHl}#Mc>`t3c5L4pZ=5BiOGC z0n6jK6(>GEr8qx6BQY-}Cl!%ajKHo$yUhcBPYU|2Fz_${rvubGY{1vCAd4gNtdS{0 ze0)-IF*Hu$KEZqY36{{cz?un7u!=&i(E+azAn&3Vco-R5K*Ojc9<+WS1>dzO;0VAM zO4#m_0Vjikc#}kfw6xS@OK^E(3AYopC;?O~W4%NMeC-b8suYxT4$BQD#tiYPdC)6c z(67mXgfNP=hLB)`+?3;2ni~YYD900G1lW6!^p^~~8Yn&$lwIMWWn{*HakmTHX~x*& z${cG98iRuX<`d}6GO5L&;y<~d5^koU30NIC5V4dE(A#cc#u!_|U22S!Sxp%rchG=t z3<4Vmk3+b<@hI0AG3XUn=9VNTG3XVS6hY_=7%MZcB(<o3K`$@ABvmgxuT-y~D8C@J zsH74}D5*3vCq*|i1uEp|<f#j)?ZL`35{ok!^inGGiYs#=bV(5dSf(tss5mn}4}}w7 z#GqG{nv)390A&^AlrZRly`oo;Q=*riU&5eQl3G#1pqG}Jm&u@4ln;(Fz0?e7J)e<M z!~o|(v_dL;hz=MVT9`5DL9{0o7c=N3=jZ08=7APrptohn;Dg53L1SmIacs~|I*>6i z8aBQT5(8n7S`ZDxpzZ`{tQ)2uv;hqy2ctpbW*|Nc!^XQ|e9-Y0$ofI^?jSiB4Q+;k zguocqZU%Ee`?nY%W9l&bVdH!-8r^<${oxD@4B!6$&xh%U%^SdInEkMM19bN%LU-)I z^uy*AU^Hkx9pqM!A3<RU(+`_p0Bv6d4Nb!I!{#YqG;Cf1WCjR>%mC3aTnHMQL2^HA zoF7Jm*6@JT!rTwD2S(R1Fo2E+h5H{ij{u{g*W7^TEkJg|+z&DngnPl`kdPfdAU<qf z14hH<HDLC`^uzo=9jYHXEX@F$CxOwhc@>a;&|C_-{)OQ2MF#NwwIKUp^GYxpl)k~@ zPy#*vLFZV3`~@-}q(lLlU|=+OjTA@%ilNgu@Oz&?<FLs37eEJNU^L9VQ0<UO8wTj) z4Rk#evVPe3BaHqA%3v_f44^(L5{H3-VH=wNVdID}8anL**M#nW(1BYZ`(fz;HVy`( zzrb}u80h{1ogap*A2u!wqha^JLUe;}$bzvTH0V?mWc@z^A>uIF02VP2VR-mKxeRw0 z7#Ki@{DSfjNDOwb7VMr_boaveFdB657HEzVrXMygp8(A`Fm)iaK^Vq|(V*}FIT5BG zHqH&Z_ZM_(0!SYy|AT0lK3F<h4UIpTe%QQXM;u5s0|RI;Due|gVftb8Uo`#j@pRBa zDFy}x(D*(?CxnD1ZwLo;uPt;U5oSMZzG4SdKg=GO22fnV*dQ7-E)Nm|tyKc?VHl<# z`EDDK97ruX4NrR@2`GL6wI8(07$gL$hd^T#F!#X9577J$%<Uj`Fl><xVXcA%JcJ8c dE(&EqDA1lzZ2BL8w&F7|FbKjN3{j4u9{`ReWQqU) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk.exe b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk.exe new file mode 100644 index 0000000000000000000000000000000000000000..3778ae9c5cfd7da7e5d7413927e85fb94b035c5b GIT binary patch literal 79482 zcmeZ`n!v!!z`(%5z`*eTKLf)K1_*F~P<Y7(1_lN``CWVrTR6`u?qKves~D1zS*%b{ zl%HOdn5&SSn3tDdqL7rTP*j?ykeR38;vcM#o1c=Z$IHv50yR0nm4P9pjgR3;-<foV z6$}i*Yzzz^7}OcKnV2LQ{1_M*Y8V(8co-NML>U+u1VEagE(5WdAnpc<vNAA%C<cZA zMzElUJ`>nq3=9rzU<r_VWColMH5M$#z`(G9fk6UEj-i2p;Rz^!VDbcLg$E1_8d%ii zY-V8Kz+;YHNoqw20|UbiAE-Y;eg{Pgl35810SS63i6w~)3=9>F3=9kj3=Cjz2O%k9 zU|?`yNI0NZ1W~vE6m|umh+<%12tijD;GkCkQ3v)TC_+JYCZVe{aL}tjQa1sbVA8Ot zODZk~i3V^nFfc3tu`qE1L%{*POo(~lu-?GHz#zoHz>tNi)`7v`fSz*@*wq;zsS8m5 zg3<(t55*1)4hQr~a=`Y2)jfc!tAi?lQlN0rH-T^hK-34QI*`9Xd?<Ec2yoIjv;_0+ z%YrCI1_n6>28JdO8-X1d1f2AZ4Z#BXp!iT=gv1A$zrpHEOu_1uLCMqrl13R8fra1% zSe>aknC}519iZkd191@8fx*EE!J~|II1LQ+_~l!`26;5U(eUVIotnzPV0h^`1ISk% z-K^7685rQ4naG?NWDb7{14CM)g>O_zg-185VJZVdr|9B-28M2i)&nKsJ3(H5@!N}m z!Q=SB|NsC0f3e<*fx)9&G$Vz9!K0JOqg&Ju#$w%)!obii;L*+cIvJ#fp;O>Rq8W(A z8kNkz&}_k2l4^Lsqxp!&;hi8izF6YL!0>u`+60ee4;v506O9(2f`z}e^#A|={M$Vw z(i(qqGnTl5C?@{a`2YX^H}3#>n2*0L=>Px!-5oy|Kpt*A$v^ebO9zl9Sa|gEzO-Oq zcyYyofdOh^b43Xsf1C9G|NmXO8(x6*xwakvX=MNZ|35f5(~!f{!lRp2G=+h|r<ZkE z9|MC&ujq0x#k-;p6f~Wz6<{uFA&Ba9QBm;dW?h=hz~Iu&@#3i`14AckI!LDZh{fTw z367zTA)(=6!5)ooW-x%lL-$lN$Yncu89+LG89aJJR1`cquYdMf?b>>hzjZYe14F7u zH;bwPSh?)!BnAe<{~p~196sHuOp6#8UJH6y9^-ETCCr^Km>C!x|6lRw{PDqqf1|NS z>w(fo9^IzP!Fsycntw3zw+J#ZFm$uE9^h|DU}9i6&bq6Y0h~;r((FtO46Xkm=6Q6p zzDWc*lEI_(Knahl;elPCG+=o0|AiNeL2=*9YvaJc@L#lOAxM>|d=fYzyfC*11ru+= z-~az#aC<T^yhyVE$+NBp8P>@fFdfW02;y}!cyxnJ_cc8ES|n{k%cT;V=7)?W+Tdj2 z(R_fBf15zVFNL~ij-4kQn?Eo%{8Dn{cRBI;NgBVr3j;&Tr4k+_RUbS$Szk^Ag`_Kk zYwLj$v2QLaF^oGvg&BYAM+Q)2obmxltG@1GU~uitQDO8=e(QPgDYH-K%NOh285mq! zpLlfJ9_<17<S2h%6e9zJ;ccY!(9LuIMY=o4e+7&logZIRyE8C&c7E{abh*pm(JgY{ zqw}Cg^I^sp(e4Zkj+S@o&v`b#<?uT0q6^8Mow`{)3=A(+jln^%E&)V|`u8v}bn`}n z?CfUn=oIkjX0-uxL~TKe4;X-?rFuZFm*`<&Xg$f_a*Bb0p<9#*#Jg0={leFsfuWoA zcQ*rrN8=Gt!itWIk9CZ3j6KZ1-9;tgB`Y{fxPWXkotePEupgA^ATi$h{_p?)JLMS| z7+&y$OaZ5o)}Md>|M%z?T?=*~@3aI4h8GXrKq=!m8z>3zw?6p$|3AMxC{MLBzr6hS z|9?n)cywO;FDg8rf#Ib)NNj>fw`gYq1H*APkcA%Iyg49~rn@mPyzKe=|G%r@+m{di z{r}&2@I|m21H**03DELuD@YGGS-!9`Vqkc2^w0nQ6DEMm3vdQS&Yz(2t`)2v6vQvM zK<cL=)K5SGp&p%2V-F+Z6U6suexu;gY^wmOx=Rc^dU=;effBXtJO*&e)c5EWT^t2U z4+sB?8bAd(J$iXRcr!5kzu?i!D-Oz<%|{esU-lY+QU>d^|NsBLzRNG~zyLBo_AtT; zj^U1Bjv-;;!61+NbRKs+<`BW+*jy37SnBN29q-WX@6jFZ<I?RO;@JG-f9DB)mqU)t zKNuZ5uek8LJhZ&%!teLMwe#VB5mlaT4h$~Z=^ifp>(fI#8SlKD$N)--=Y4w9BRqQD zJv_QOJh~k?JUaaoJUZPoy4@2x-DA4_bNJUE<X`WfVtJxI*|YKZ0#L!$Z2~q8<VyZ+ z?hzinG6y`m%aPpT((NDP`2Sir$bgj2L)zgU{OiL*__v9O`7r+G-zFl}5guWAqC}`W zJcsc@r+W<l`a}HdPg$O*+u+#6q5_Jx==eCt7{@rrc%-lZ+2?r7!HL0<f187YM=#3( zNB(UAjvkDsx>}e%rZ6x#b~rc@tKKP<H1(5ls&|CAr>lkI2}F$}k{iJB7Yd5OP*@at zcAj<Yc1UnF{NH+DFDMD}d%kcy?vMdW@s6DiIgXtf1&+rZN<jS1m;XgnZMHfvICdWM z0CV1Kbzt!5Jhq9^qw}C==ZhE8&Y<EcJ;Am0i7UV7AD_;j|3y@vfEBq{fC`t+PrjW$ zUi@)lU^w0mYWRSRb?ICVstsK__jfRW#CsSZWx7W<Scykx`xH<T?QRE2dvvzX0hJzL zvCei-W3am))Z_uDjDAq*VR?eTe+gIzB4vPLYr+JN?)-pm|BUYN9G7nQ5|{3NkoN!A zpbVGJ6WZ<>{OjFI__w*Rg2HhQ>)TXNsgqyP-4AkY_jIsByW2rd{C}+*Y`r$vmHg|$ zf*moe3=rXNs9Q>8x~GHO$9MtaT3G7h@5}oSDy7ORj=O`JS`03o=`Jpv^&ZFFeL$h& zkqizF4{#8MfP?TbBm_M>f4tb`2y&N+>Yc3)3@)7?|6g<I{Q3WyN9PT2a*YM2*I0}c z3yS}+aCqE1c1I)_zHPnD?{T;DCUVq*IMApyyabNg8!sFjK~Y<u;M)3sHz=Jr@_XKQ z{D0m9tP>i=1uzHRcp(W=-dSI9+#TFr?wk(_zvJzo@B_8Jj)5bSq1!#7*W-Wd0e;UH zo&BIdJq`|1koleKL9u?k9TcM=9Uk4F6x0q1N<_j~0Zs}aF=(>rU&Fw_07(j<BmhbZ z%#4tr0u?!sWB@0@=>cqS_jFKFaOnnH=hEE|N@L)J0b+m>1~_*4*MsH3$%Eye4QqKG z|F#4FRgM}II52p0=NEMQS9FKhxO79jd953qRJGkJ_}7C)j=8WhFunGITMtT)#OPsu zEe6p82{lFtXTpRD5DU7&sonAfD5ZhC4@zkuXCqRY$p8QU8^Fnlfxl%TC~Ne(SHO$d z{w*Mp&h|avzyxtR+d-Y8?*0Stzy$ToEl<>Ecp#Ow;QWN@ewXfkkWNt60MQ7q^KbLd z=<osM4Ul+uKg7HeiSFqjJ3uZ5iNjo6x6GrvyuhWi-r=~r3pg>vgR%uU86@uqr6lBR zaTJ#7e!N(02Z?KVw&=VG$`vo*xdOEecRc3c$OtaKyIMHDVV0NRywdmv<XE51!ycXI zJbNn~4Br}Fa@+yVqTsx#vdw|PhOso%qccXOz@ytapxZm5i-n`3MFr#~pI#T00#H+H z!vFvOZJ0}SK*}^gxe8P?+H7-R=wj$_QON+Q(*UW<0I6eg;Gc5zHIw1n&KDkyM?m&R z$HvDU2IXH+8yxDc8H@}JKA@ubv}1QgLa+OO$Ib>&26Huh+xp*;-{Y@iX9K9rbUfYw z%3Gj-bnM&#O6ZQq8$h`W6rhfs4WKOMc)S6WW}s?7Im+>P11JlD)PVg7Dfo{=LLO8) zcy=BE`Qsp{JW{d+l@8lLwmbH^F}k*XgI6Za|Ngn~`@ir={tM9xDJ$AQS=XcUpik!` zkp5RT3=A%v+dv_9ybY8DK@M^0TnF;{@itJ(1i7QD4HWksZJ;!ByzK-?4JggFfvoLp zI|Hgc+O9xrkLDl$Tsmu1bX+=fR5UtsR8;u;?l3Vh^m;IM_JO+c$J>rT^|v12@3_ju zz~Iwu18zz9^ya7-c=YC|RCsijs8o1#*JX6~fx@VJ8YqZdy4yhE;?ms*GQjcwbtnr| zO0<EL@vjFf;NQl=-qE76lL6HDDp4`;=&lRs?gPa~_cTy+xOBIH;=u9$^=`0JwA(;( z{OiG@V9hQnh5XyVp6vi9qMN>*FJ8R({{MeRiwY=UJUVZJD&1?}|NnRFJmS&44-}@| zeV{<>o(2j;mu_&-K^=%>6G#dFdWd9)3OmG~oghbcZczaZV!`ZfQJDZPKCwC-(_C~P zw5Uu3Y45!0)7t_z_r=L?|NldLvqgo2k%0kWkq1a6p|FMp6=pblg0w>{0-5_F0b)_1 zM<+Pg8bMrGux(KRr63#;g76iT1&&xupMe_qIMN1ENMkyb5#dmfD_(5>3XV`nIsr%M zRgefc-XY%Y?gJ%l%M&F=-P6Fy`$8u;#lVV7{=VS9|Np-hIo<|J*q~I6NZim=;nG>= zaNG@CmUg?SXmq-H9Cz~pWhsy3ZIGn&@xO>Fax>$XXXl3(!Ip^fwA)8T=l`{C9~Bi) zk$MBvRbyme0F_Iit{S+lfl@v-zp?P?JO(eB9CAQyOi;1(-?7sH+@=J%)UmUo!tuC6 z4ahA>#mq5CSph0$JUS0=Vgffpe!SRi0V-zlavXbe8C_f7I)VxY1{Z$Mr;g2k|2gve zz4uK11JMaBWkBTxxO%t+DrH(g+B?^Q;_`SKC=Y^M(76s&A|3~4JCG|}y8UuowEZ&p zdq5*@-F^k#VI`gCT{O?Tbi37fFxLefcTv#*DLn3?q5v|$qgzH*WSaxS|I418x1c%P zEdyjs=V=$s(<r&!gLxjvNuYrim@$t3FLk@+XuD<fdN8&gaN+m->Ct)9qw^Q2p?ujK z6#n}_T3kBwU@_R4hZK7t$9r^QjlHR0-6)O3hmg2yK4O6qanKe8IQ~MwwM+9G1=r5= z9-Y@bI!|}+Q31KYweyT`?-m{oc2KSJ(XsQ#e-YI$TOAlcqcQ!UQm(iA1$d}LM|I9t z2ZsMwJ$k!$I59vN3qqg_k4PvZAQsBth=VXzXRv{a%9kFUpIkeCID%7y%r<Zb!?U+X zMgeT^Cr~`@0S(4=q9}~n>cHUB`O%{jtY9`sLE~YFfj8j>dNdzVfciVmG2Y|9iYmx_ zsOKk4m=Ff;Om|+}slu>;!SK?55!FAShIe<{1<<fl-wh^EdBwuv(fot0-0Z)~(S!mA zhMj6?YC&~pcOR%IZm{8C=5Kw(z`(FmonZmP%l#mhH;8o^#L@+^K%>Pw4bY6Z0jkyd zKvEDRwt<uzA}c!9CcwhL!2I$)NX8sl#-qE<fti7!yU&A}fuY%kgNeVl52VNfSrJ4# z>r0SZce)_Ucyzac1Q8x6&D!b8uz=w;>rOjlbr2I6UnlN#1j%~s)Wno!c<sE?7*m+( zwa!i}OkuXyqC4F&g;_j$`#_T^9*xI-FoV*^Cr~WLfg&$tn*#$P@<8zy4jP#7=)ATw z1lb^uZnunXzXDKLmZo}i+kj(rXDCF;^<!;2K+_H$y?rN`K;s|N7O*fdfC|HH4h%cP zpo)&S-2f>9r}91vkUJWW9bjQ#aD?dX1UX709_%U~kiKw;K4=2!_0urC<Z5`p)$rth z5mlFM4h$^^_*-6sOoO>50;1u%M|T@&rlz}3fSG{-)QkkX4!h%`5PA>^6zt1*kM1_q zL{#dtGa8~C>L&DLQX9WB2B(5<7LNZ1I>BM^^7p_0|98frDMhiLtyFktJhFn9d;k6a z4{C$Q$03bIOqc)}Nm2ksSO&6s4{SM(zx^R-no#BF3~+A?8jD#Feb<k*HLx-;FnRR$ zfogw`-f0=EprTVo6_iN+U*4GwSGNGF4%F%P=$+QV%E0jd^3EK%`~j#uXp+mLciIYw zd@fx60aShis2=Z~b^;=w2bX7HgSg`bME(UtJ|8Zx0F_sOq&5yVu>A#~!r%|s%}}4M zgzLcXvsG|)*nPGdE|1-3YvA(OeYO@ZkKJeM;PTjgwjSg&C1jr!!*zgs#*8!0O5o~1 zJ_D&kjk8j?Ja(Uz!R0|d1L;SNvvRmRcAr(i<+1y$667-v7En5a##s$q2gql*Q)4Y$ z9mr=Ob*Mh8gUf?_268!SYOIILgM0?kkLt4qxID;bApNMRu@Nqh-Dgc8pXGsyIavAB z3fF<(XKiqGAfJJ3M)g@cTpr{zkjqhh)&Z9X`3$5V)n}b>dF(#xg3E(^2GWn3&$>ZA zn*z#uFrO`e>%i}`g>ZEspMhMC>a#^~dF(!043`J_45S~`XG`GnAfJKcQR8eWTpr{z zkUXl-mcivgiw>Ay?gEuRFi-ZuCGmT*AFdAMNl@^idU66>9=j(e!sS7p1nEch<RrK} zc27=*%VYQC6j1bCMXorf!*$^I*$lWkkk3HDhw8JLaCz)Ln+2B#`3$5V)n~Ke^4NVg z2QClt87O2>qi-%;9u$2b^CLj1wDFh*xK;cKGPbJ_4QgaOfVO(z?bXc?%~-1TZWfNt zwih5Hdiy3o47|XG+HO&RH(SDB?I(}U^E=HLHXyZud#C>g4TbmifkMx52L}rS!wXYw zP<yFw1;kiTMGBGdKyMB~ojU=={M~5gvuA-#^WXxxPJ@|&;l))gu*d_5`37(a;>=fJ zM0LM@F4!~yK9Knlpc%tbi1`wra<1{%4_=rAZu4RN15*^UyW1qd{R2>hcC&D_9w<?1 z{=rzH*8GF1)Ox1{vfATq9Q+Inpc&oQmOITDH!!@G-)WAiAccW};kCq0OH3J1N6e$Q zZvzWxvh>&ouxCImQ;3@pQ3(!maDNVIz6ac$JHOKb*;wSpvHwm-6sgAJ?T|p*zz+%= zP^5cw{(51n2@c5sNVv`5XJGJv^@Uvx4>;}wse1{Uq}}O+VjT8n@B+{z_5YpDC`!A* zqkG-pkvdR|mcO-<k%3{SE1Duu>$|%T)DZ6$;pm+9hJ}IQc-sdS$o$dqwjZFDJZOal zC{p-6e{}Bq!otANIqd}t1H<=Q{GFhJ#HV*3$Rj?z>%bm?jRAJ6sK%goFDC4CL$<$r z9mpJ)Uf=(QCwGD31u`o9|9Y>?+aKTs8ru>;Ju$E{59WCh%nS@DDjhpt{=a_kDYIuc zi=0Pi8z_+B0qMAtg8|gf{^`^C%CYl><Nqt&Z6NEq`#|0U5B5(3d5`fzCs-UY78L&f z|NosH$PPv6(|L5WaKJ3<?E`t;qwyHn>!@)EDq}l8{TG1*1E}#1?KvY&E5Xa3095M` z-7WT=fuKb0zcUC$1@@G16Vy))Mp4+^1{!AT1~=+K>7dkRX9%)_<82WlpfMP5f~<gK z3I`ETV#Sszc7`JB_2_Q1U<CD)K@|bm@aUakXbKuwKnglQBnyW}cON*xO#>x3YZk#; z?VaKH)PuTAAm_bI0L}C@A5lQ<{UD7u#Njgr!&=T`ZJ-s2Ot0A?3{Yj_0VyP3h~VoY zqm;kNXm+BPVKLwZH?tTR7@B`D@weUqMNTG~9;D#0;V?Y83skT{asg!c1~lh(@HumL z8>l?@VBV*|3|cz@GAWgSNr?P}X3`FjN169+U}9i+?Yc9afH9zg6sv<iK#X|-qPkfu zUW@L`Mm4IvzYr9ZZ7W2<X{!TL*i8@xr7cj_gcP_)eSVbjhkR7S+Ry_Er}sc5h6nRL zP+8&8&0^uv*%lxMcEARR11!YwH~`e2ZhQk;;0G<~3y_`B-F5(E26NjE5Y^eD0ven4 zINqWH8t`EU<@X&RkAa3ZJvv)dKqFY)eKSC6nfoSysLmenI3P$1Xb2UorL#o^Gy(~l zzB2IWon8PMcmt2zwSY#>I*)h9s8oPfg%yBHHh!=zpcSqVTU=B$JbHap6d+ObUqqD! zG~U<E?$PZJDx`jZyx!TzAPx?J8z46{9(w=|0gxFU;PMGH-U6P4_Sx#dFu|ko2+04@ z;E@K$Fz{Gr;~VfK26!xUXCZQsc=YZDxwyN{0#tM`w;6z_&K4C=i2(8@Xih>Hv@&HM zsFVS@*#|m70u45haCaMM<(da`+XIjTJ6lvhfdkSF3K(=BLqZ)CTo#aF-v1)1JzE_Z zy4OI$wzEY=1Ke<T0nI!?1H7|E1>`A@&K?y|i3OTW0gdj!4JZMJ_2KS4DxgK69=%gk zBtT|>Mwmga_W{rB^!li1poKHDN4Ga9oL7JXxU+AAI0Hksvp{Ft4iLY$540}Zqw&}T zaZr*2`4kc0pm|_Wcz3@1e+{(q02J<^KnB+l@L~?7yeUHoc%&gYt)1n_Qr+##3mF(X z+X^H=9qab#plsOPKfjQH;dRl@3S^ZY*sGCypz@^>MPYYa0l1_G^);a-eHF5T?)Kft zcCLolxfw)t_GN$^(%F^(qI&x@KuM(Wn1=*Xpnz&eP`g}36_glvRwEnj(cQKJY&WR8 z0Jht4XAPPHP=l$v4>Y3d(JjK^(OtvA;n6t_Y+>g<koDaz90HIKd07dmOEf?U9F)F6 zi!mWHIM+a}_7+s55jC;<&Q?^Z_WfY*wMj^Vb5{VwUks8Ue}T#YPyq*>dybEDg!d74 zwxJk<JqlbwjkR_Zh23orz`+3O)PjP6zZH~LcXpsDLIg!a4F@kXe=BG>Z)Yd6s^gHE zb<hkvD20P^C8+5FDvox7k~?U69wg|vlMggtC<30G0gbDIYH>&?_quSfg335>3Ah8K z45S+*0xAnV_ksKmG6Iy_LFFJQzj~k)Z#Cd7cf5NJxcCEQIY_Q|QLz9S1<G;`prXwJ zEz7Zcbm9x~|03Wdgi@Y?Vi&XmE$;BnZj^99nuh|}Nv!9)T{u`@GXMMkA2HuC3E5na z?zRYUP$htp1f;om0Fp==K-FdAu@xwZ1k|tr4abAhKDgTfC&2l?0$LXtGbkYSC%fGY zy8SFb1Kchu1)$(H@aX*c!usa_{~c{VK!sOtorXu_F;JQT*Bl+f3=H7T1t{7*I)6fn zB2W|EaR*4waVLm`NO&|Kseoih6VxU=B)@<bnRi~>X@cyYV{HqVz{9q62_C)kIzZk8 zr72L;(x=-5+y+Lq{g&hZYY<J$VE0*gp}P;Ef(fi*#XW2)z{^4*u{&V`iu=q_-3OY^ zVe;tR2bweX=$&T(s%waGB1j*^i5YTiXh8^ZB1i?qi5yGWajJlt@yQIQ3aA;C7C2Qv z%vdom4yOu;86K($I8{K+DC)<l0&2$32{=_i&2T$_Qw7wFvj=gifSMuo5vK~M84Ew* zQ~@y~V~r3aw#0=LFUBG`RY1*XaK@<u;tmdWSDY%KW`vaBQ~@>Pb}3F3P%|`^;#2`O zWBoELDkgxcP*^sAmmi?<3s9T3`Hg``=QYpHb36TzGlge&+i^%8_5qX|dgp<Q^WJ^n zh9V+w*dVems9cA%njHUM_v~&vkE9)>0iH$B6<$YI2+IfP3h$#SgeP`%h0oCy!csK4 z!uRM3VaXO<;dgX}u(XM;@IRVDcmhOM$X*2Q0)ayp96Yd8hOUqwT_G$fp(_+eR|rcl zC<;NT!2p~Z9OIFeyH5xMuUhu#Jngts0yH|(%X&*1)OZnX)&Z?~cLe#Xw>!cR)NnLC zUC6-j|C&c{_XI-_17xN~blhR^3~{e$AXtZbAp-+g0w!z)7UlqH6+Kh{lLXrv(RtdV z^V$myCI$va&|;kiM^MEK+EJsW19Ai}i25%&r2rIWpE_^;7kvq0_VS8>&AbBP7=k(5 z3qbDG1POJPsDPFPIvjUVu>e&!9-S^K2B3Bgm;(xR5W}aJH|qcY|31B}HlX%jujoh6 zjA}107udKT`3wv@L0#SdqN!k$%RweLABl*DH^squ3KV<>9-XH>x_Kw2FfhEB1Dcg< z{_!7Vr$R{?c*yC+dQgYAo3{X@pc<s0n>Po%Gs;Cp;YAim0J6#FCaBid0BO~DaTu(} z2ekL+@QW~znr<Hz4UbM%Es!a$tPBibvpqUl6+r?vAm!k-$_CMgJ-S(^=z+rQ=6?Z? z<|78thtrVvgS~JEdARie|C9s#+YWpOFK~uUB7@_yn{}!bD8j+p9c2Gs@aSf30SWX5 za6q;Yh?au5tp`dUg9@3$X^8z3;CR*W=r*0K3o?NXv}xgRiDv8V5`OUhoX#8nMUFMU zW-R^Y(aYNpa!2zKjp(?SDc}t$4h)93Uo&+c?hR)2Xtue=;L&-Z^yBM8NalC5dPOoY zv>qs7I}A4OIICGCWNh+v3n)T7x>;jE1FZj{1Nw#sU_JxMPM84QZPon7!J}JN9^{K1 zMvLz2&IFCSTOJ0tDnN;&MBL;1Wsgo-UXY6BBMy-5Gl$bAfEu6*|3y7>K#LnwR22S; z^5rlvbRLD$$N!6(<$#i|kBUL(vHzluAa%wmDh8duJa&MZzo0$2K88;{I^TO3Uhn+m zVR+r6^Ph*}F%QdoCCVP1A3VNa@aQyc1GNo1S^Gg0!gkR95sw{bVihi>UHSjtW1lh9 z;jhmk!ap=D*rW5QNAsHkkIr)*y}UUQplop}8x$F`;gKMxh2C&AJOEl`F1i~e+<L&X z^B{lAV$e83uj>QP&Kn-xp*IXqf|8+uN3ZJ(5JSVGmsK$WGAa$vQwsk@8$l*?v;K<! zjl+6$n$|{uwvc^}VPN27VK{J{^=%9!ay&X&KgEFDz`7dL=j(L+;L**x0mA(8U(^X? zOfT<#4Ul6)vq9wgECz;MAVWMl5B?W5%w}MC5g-II!BxVeleHJ*mR?s5k4{#l2nL3i z75_o|fhK^q1ijH{y;LI6{EN9n7_y`ow5brD{$IT2VPHUr!^*#I*27w$ZHP=IY{$V{ zaGQTIl?XTgVk~ihD~^r>$G_~)Hc%*<egaXJpG)q0bTfmt!!iB8;L&`T!^84(>04wy zFDiK$7+&w>mj?|{F`%bMkLEWKp#6cMz-c{DqVtJgpanc^(Fw}>pn)8Z&JY!iPyB)* zDh8kU1!Gh!KJg2>s5tz;04il8F!p<P@<zrmFuaTrWMBZL7jS;8;MXisap2diQ3-J2 z*K|=yXtreIZ@&my5+&%-%i5#Cz~GTAGQorK!v6;zy|NMNAiba!z@YFh<oM*mFW{)~ ziC>^n;uF6>p}-4qK?a6TE+A(Zcy@mD?7Z=bU$6!=?&Oj1iC?fpMZu$2lv{&=;YFJO z1H%M<jS>|H!vp*pVCywpR1!eFiRie)AoqFn%5tbPFua})4IhtQSxFGT9l@_uXJB|) z0xcgrdSx9!d{}wm(JRXd;)fvQ>p^@MXo9%?7&ZTUbe;eO8DhU!=Lr-A;PA5W=yg$v z0F~;UAu16*oh~W~KAk=)8Q`4oLQ(+KpO<D}U;xbrGx+p+BzW}lCaZ(|3!1p;E>Y2V z@dd={yyemBkpNotY0A&Q@L~c#XiFRFel<tf{!dUkNY*_V#lX<|7Hso1pU&g_ecwRY zvYT~n6sV_j(xdqRhflYFkLBee5s&Tw4wvpI4qwJwK9-mHn>H~pFgP|JWb|l0AmM2F zvh=z~=gk+qJm5WRo}EYdTONaq>dnbz@BmGabiVar)(wjSZ4q-7a6Ik`D)ks%{N-U_ zaO@6I;c?sn-e1ai!L!qq!=sy32ejc0TuXKPXmI=&J&+DIxTK`pMa88z;7{|T-z7TD zFC3a5>@QLA?0o6C1GKN-hne>?sLbgOQL*so_5l@__37Z^Tcerv3aH@r?EC>573sX` z0j^sin-pK_@i8zMzI|y0DltX(rh)PsZ<QJYL+7Cv(V$won{^Jz*j`>;HHdpTe7apU zI9}L+Bzt+ktAZ-OTQBrMJ#y6W4s{Fz1$j8Em`v!F^#oNYw@XAlI^RUc#Y0x!#vX>I zzvHZGAZLQ+HU+sE7(8Zp^s<1qrX2<CANOc}@Zp6NFDRFoZdL=8e1Ac+prCN>mffVr zz~G^I1eBB%JUUrlgG#K<4<4E~Jeq&7*G=+h{=r?R=F%<g+IpM66*Riz(jCm=+Ioq< z6*M5}((TRR+Iq57+N1d}k4rZ*Xh(9ZJZQMbn+3EDSOmlj=4k%K$lodo>RUG-=JBxn z%-?JVYSVP9hNyuyDCb`A+y{zSP&jsbvvf1_Zwuz|VSMPr_@MchfKN9Ae~S}n#dsTN zM^E!F1^$*AMh1rFUk?1O@r(=%o|*@II$!y8zW3=o;K9u32p-J#=ybjC!WFa~6k=u3 zvTjx`Q2Bq`@LTHv{=TjM{{Q#sHl3decEA~*Zq=St28P#?ko};|#~3}D4@+2^UJqwr z;P34KMOfzz4`$ZW;h+uTt(QvfdUShmK$BnRFUSAaJV2{qg+T)t-K<N)85n#!UwU+h zzVPVwz2MT#BH{S|%IlTz@*NxoMqnqX27r=5Z|#L{Zx%<;EE9uA=PytZ-R=Cthw&jZ zBgi8)fBygXu>4$f`z0vgntySWX7X<n^yz%>*?Gyc@f5@V|NmPqdGdQ)^3Xiw*~=mT z8GG{Sd<6~$yFdT`zx?tKv?m=&55xceX|1T5Kzn3inm9q4_Jcg$%gd?)s#9ITi_Bj% z{Qm#H8)}X(=O;8HK(lW!BaZ$4|NmtT$OvZHNnzmZp{xu_hRy;$-Oe1{0UR%kfB*jv zs{fT47+$FVhSrXd`mvkQb|M4Af%0VAUIvB(#nrYP3=9YOTLeKVq5U=k1A{FS149FU z3uvCumK7w|3R+!jcxfj$C?7O(F)(;EgSL*_gCYeKJ7S>0Ns#3K3(!sVu=b|y6p;Rw zqYMlT<<7Q~z#P!<pY3D@h6APbhL^yt*cZA`Gd(&Fm6Uk2UIJI3C0oIg|1ZJKbYQTZ z%D`}-B-3^pNV|jKrJbN)dGU@D=A>z$%m;E(Gib;fBnfiT%M5V!;KIOQD+|(p9JG)6 zK#3CA8gLU9T$F>Yd$Ev{f#JoGpP=o`4xs(aDD4NBgKMBRdUPJ*Z}tBF|38ue37nuE z{NQvk0g~=OMnDvRT=c>oqTupBm^M(*z0ib+f);in+H>&ss=|vzc2KEyw43!o2&f1x zRrP3nTcY66%lktSRP-DRfi$&VRDwDStp`d(V7^m;wqBw2?afdIhMl0&-SC@7ujuIz zkg4}Sd#v{9RlNtw)}7ZqHQ#Jh`0TOTqx1S}y<_a09*|ti<I<VV(jCCl8T^GgfWxEn zhez{q4iC*2oz8zcgMWB5|5GS21?{&2FRy7mP<qs(^&5Xn+5i9lJ3qnFgGVoKWGJZ8 zdGTLVCy{~SC1`Ga7iiJ{>n9-hf%;Pl9^Hc7Opcug92+0}|NmdXvGIqqv%3O+%YRVX z1SMUM&eQzujUXN<1@kxef|#IGTw+vi3r@Wyn&6aM>Ih4<r$M0yPPLklR9m73PR{=? zfZOGu70}U#(<Xq^2Y(A_KPDuNJoM;hO%H}tcDFzS9iRp89{(Re>j!Z9F0n2z2dC|l z&5(3`8kS5#p|+N4L!uwlCx79<&cN^@`TPI>FB|{={|{5D1yx#-2Wm}rg3}_@aWCUQ z2T_3gJKvmG82MYj|NH;{CAf(L&JX-87XSbM2j>a?R?r?4SiZQ%2FVvCKHx&s5VVc~ zlmcPqvY{s2<!lTLFW>(A{~wY5AkGG-&rXo+d3c%yne(C)B(@t=%}#&-@L3k1;ox3g z!B9{;{(c;&T#|hPN@lIMON>3bb#vlDLbgxi7#JpWbN|2U(Ru9u_0|KWd>*aeN;o~b zojE|eFD`g=M+<ng-Y&i4(ari1WJ)jZK9DKk4r6>QI1U&<6?yX;g>KevNT%QiM`T<) ztp4`syw-VsmjtLV01b1$U;%|l>j96>!zDJI79PF4*&ri33poCZnu6@;X0-wd^zsIS zs7_fOFy$=pUo<Zcl&fLJgA>i`NfW^7wVRa}V!I%?q*6fYZ+D*d=;dVx?bHyx8VmBa z=q+$!x>O?5&189_l=rodOE-g~<&6?mkIq9L-A)3aLpt7g^zvQ`1_j@bSh%f__HySz zk8TG6k4`6n7Yd+^-pjiZtnhp+1H<d9U;{cYdUUcD2Qx6dz5{U|sFlz%iGhKk$N<!@ zk#7FQTq@no8W9XCM@j@=xc~eA|1}pleZ4sS<^O*~!Ev~o)d;MLzZJZ7hgBsQw0MCL z6e+v{V4s{U5%lPe6nHTSG_}!s+oSVl>3fe}USW{ran={mvy6_jK7+FUiynz#V0b+n z(jMq$y%Yp?h1hY{BSDZ749WTl9=*ILgFpfC9Hi;?gcq~_{{Ii|4M5VHN3x@h2jfA* z1C5rT6Jkmj4;vmxYpj&uX5yc6;BeZ6v_?zcs1i=Y1E3)g7Y2sc=TO^&Q2qSd9Ys8P zT^J?!w|la+o-EO9e#z+3%hT!8>vKZGrQ3nW)AE8(=fQFgW)BVz%Tq<%9?b_dd@N6t zJ_ebLG(G`Mj~<=pUIc<hP@8{nluLVb%N~&d9n+H5Xzd$SA`LD&kARBh!-oHnIQ;Sq zphi1rD^%-&lA9jM!8RU@xA?a^iFowJFiG%lcVcTjP;#*O1*1nVOM^#m&0&q#oF2`G zH9RbTmu!VK&pv_7I0HJi1$5d==Y1p#(%}7FSz{T{**+lW@PHF7Xdn-4|2dHMrT_l_ zZ#_`*)I;+gsLX?`K8%igc@|VSq#^kioc=w!WuHic(kaA$+~7<C8J__e76CErrAM-} z4gYo*2{t5oSa@}tE&`eGKdsTuH_D^)bO|?-vq6=LFx(I~8xO`~{M+3`JbFXeB>1<x zfdb_sJWyN?X?$~1S1951XuVyc;L-e=(WCj0hKJ>$(ibR!4M~3<o!5~pLWGa0FvvN# z!Okh+f~*t;1rf+89e+WA<H7HG4<Qb#-@yGx4UcZw%TkB{;Q}==z#U!&(5Ou3O>q0U z^+3sUc=*03235VS2TJ(69aO$wIQT*ys>kra>w`$)4KH82Z3{s*yiIGg_l@d2S0d)o z`2wOP9{D^I&=lZ}KOpy(-1A6wx9Oh34q8Leda2}m=VkER-mMouKyBdG10{Ps7=L?o z-YOM(y&2w~Zhi*}K9O!Ome!M{?A=a0tp`e^Tffym@6O`!VEl`0DY*PFe2XkJVM1Vl zhb@BusD<jIB2gsi(fAU~YvyF|&;_%=vgOY`G!J?-b23CkqV&cmO!%+r7RA5-qRpVR z8k81;(ri%rTO>r?GbnuxN*{yL+o1F^C_N2Iw?XMLD4hnS!=SVql-7gNa!{HLN`H%h z+6$$xLFs)^dL5LW2c|u`Sv~z27!JeIi$~`Pk9JlwkPx^)`>&b?R`*{u3`)B}X)`FT z2BpQIG#ixu77ld>l)eU~k3s2eP<k1Zo(84cpmZ6OPJ_~6P}&Vjn?Y$cC@lu1*`V~d zFsS`t8s@+Ms^`Gr1mlCxzj--r2dqN^>Y0M@f*8<v0RtR>#6TD>Fv=h*1VGCL@hN;@ z3kl}}I|wac51|#DAoK(k2pymXp&2wFw1Yl`o&cpkK<NMji1-F5onQ#zA25c{45kpe z07_plgYW~)A#{QTgnnQNp&hItbOY3#6O<wR3DER$0ZPOC2udR$jLe6zK{Tk#0HR?S z)DefVK{T>n5Pv~5#J&ws8a6oqk^|wh(9w4gdobdh%%t?>EL}r0y_B3BhIp^C_@LDE z%;J*NBIlgM;^I{Bp^8WjKO+V4FM~9MHbA4%<&Qwq$6qMTt_soT2Blk}^jau=1WH>$ z)6qLki24&+5PFd|gs#zn(22Sb+FB1nR}^RF>ZN2B>6awMr)3s}=4F<|C#7a2mg$3K zic)j(lNmCLGjnru8FGsm7{H>q)fbnQR2HOy&fCOqZgNpsaY|`!0U`5pQ*#*@K)nlC zkTxuZxVvEigf{Sm&@g$&qV&?-)Vvafl>FSp%shqEqN4mF1q~N}Uq??rO$NAhab{k6 zX-;BMW=W-jMzE*5pC-fv|FYDgw4D5Mg`&i~^i-I3|1j4eHy{6SO@@HPqLR$S9EF_x z;$nsTG=<{K^t{Zp%;dzp<WvQX03ZM0V6aIc`6W12g}_xYFoa~JDioy_m*$ixWELxw z<mW3C=O*UlD3s)b4}wiCC`v6(%_~VwQP2qWbAh=cG%q_ZzZ~j2u*2hX6H78ui;6Tf ztrS#?L8r&67Hg=c>nNzEYbq#c6s4AwCFa<wrfYIBFxcGq|G)P7|Nn2U|NsBz`v3nt zH~#;Zx$*zM&W->7eKYgY%XQ1mOhAXwmSpCpDx@W5=9CttT0u%a$CQ+!)Z$_V)dGc# z#A1cKe1**1#Pn3%;?(4l%=|nC1%<H8qLR|YoWRo5qDrvl)D(rZ{2~R_6osV9lGI{_ z#1e%>xG7-AGcX|Z1r+6%q$ZcZbe3n9WGE!(r=%(vRH#;f)WQ5-P@GztlCJ<xp@|^7 zK?muU<R|CnD3qlZ6=&w>DX6CCL0pYrUs7g?LUCqQDzbW*yI|+N!uSe_sOilBjlTh{ z{9I6jsvey`p%7IbbQ&$pE)X5E1y#NVjXwpAzXpweU>T}@ja8_81vI_@8eam9&u{@% zzW^Fv0*!Bg#%DkauL)@GI}n6sz85Or0Zn}Y8s7n0E`Y)ggd@=8L(upEXnc2PXDbDb z3JbG%GZWo{{Nl_C-QujAEZw5iG6Nk2r_#)v5{0Bn1>ej(_i){CGZRpv%Sufy(Nr+e zv(z(YK+#{GnP+SS*KdelznPwqo&k0BQ|kUv{glQ3X!wnWA0_3-X!@Zn{ZLx|4s`gF z;eJq^H;QQ(0s;&SjG&!d6Brl-1Q-|s1sNDvCNMB02r@9N6J%iEnZUrXL6CvroCpJh z$OHz43nB~*pF|iKG(e+*q6`d5pml^37#Ivh85qn&85lAqFfcfXGBC^$Wnd_nz`(FT zl!0NHC<8+c$Q)4yhD~A&3@sBF7z)H07*Zq|7-oRjk_-%GG7JnGK<Z@}7z|_?7><C< zlx1MJpvb^*1!S)x14E}W1H+pM3=9*L85r7B7#LV4GB8X~VPJ4pV_=Y&$iNVw#=!7J zoq<6Cbds?K1B0bL1B1>)1_lRx28Idx3=9?^_4*79FZ3B0940a_e9&iL@GxLt@B!&H zU|?8bz`zhOk%3`@0Ruy{Ap=9oL<WWgLk5Q3#taNOplL*728K^23=AzG^Gz8T(o7i` zdL}Y36qqtFoHb)$m@<)p;er_hgP%DA!vc_*<_rvR77Pq)K;~F5Fx<3cVAwN}f#HEA z1B1Oa1H%Q7+pHNF>TMYq?o4E0Xs~5qxMa(~@CKyDmVqJFj)CC|$b35n20nWR28Kxt z3<CBH45Cg93<8rF7!;fs7*t&t7!)QkFc`QnFqF73Fc?gN(A_Q!3^tP(7(nz27X}8G zNem1iI>nWN!DkW!LxC#;gSZ<5Lj=eiHwFevHwK1;Nem1QZVU{iZVU_=lNcBp+!z?H zx-l>mOk!Yo;Kso4$BluZ1~e_?&cMLs&cM(Ba*sO$gRnaT18BNP!JUEOn>zzT2S|+v z1H*3*28J0RwkHFFz9$323XnTJ85m}IGB9kI#K5q?lYwEeCj-NtNem1dJQ)~1dNME^ z0IBz4VBqm$U^oFXAH?=%U^oL}dowTydowUR0lC?mfkDNaf#C(nOm7AT6K@8FHz4<W zGcYXlW?=XLGSi!ZLCBYZ;SWf?F9U;A00V=-WCjL>00xF*feZ{9lNlH;1Trw}31VO{ z0Ie?wVqmBUVPJ5W%)rnP!octrG?zD-f#E|414Di&14G1Q28M!A1_u5x28NW$3=9Hc z3=FTr7#MOuYQh*8_`(?&N<iY_3=B%)3=9>M85j&eV&M!7H6Sy?85rau7#JErZirxD zNRD7&=mD7-!N9OOf`MViWCn%}5ey7=kqitACNnTNL^3c`L^3d}0qKooV7MB|z_4R7 z1H*$z28O;U28JV(85kBsGcc@(W?;Ai(i_ddusfQ8;RZ-NhJj&K3<JZR$@t3-&?F}# z3nK%I69WU7PGVqS<mX`EXB1}OFknw$D_{*^Ily#*6RKuLG-S^0iU<S48xaPE13?fP zU7kghfk8$TA`hY&S(sQ^m>B~YIha{Fj6f`qeNZu8b_QN2P6Lht_5`*AEDxAIfXXCJ z1_37?1MUE>0?q`E18f(d;ymmOJWd=2>;Y^AtO-ytZZ-yPMsWrf1Lgpx0>%VJVJ-$? zMq>ta-HZa93<62$q6`d-d>jmXMqCD*0SFf`vNAES8Zjm?vN1ETIe|=NU|?itVPH36 zHUROk$|I{qX2Z+^*^kXnFnJh_tQVQh#md0NsKx+s0RsagDBKv07}yM016T@}6PO+_ zFrc`XhmC=UQJjI*03wUx=0_l3FfcH3vNCWw!Bk-3gTgk5-2fKaQ1cBK6F~8Ylx{%j z2jtd8Ob|JcUxFA7z-bC32TeaH`l08<g3}-u8v|Dnn*nPAO968L(*Z^<R#vV{Y|P9C zObLtuNOpnjaDv(a(ho9s5hP7Aig7ZCb+9oARIoB|XRt7^Jwj3kQVYT${Yg-9P+D4q zoXQy(7zH_51vl|Ba~W_Za1^i~V7<Wn0L6ZgJB^Us3G$~AqX8oaD+7lUive>2Qvp~# zEUhFk7C^(@08WG40mI1ZKyHKi4Q4Jbd1STdcEiku<~e*NKnmy_TBw3O`xqEN_Y|3I zgbIM}657weV6%mRK?Ss26e=9BpMgPVD+7ZGk{H7Q1_qn0Pz7jI3Fz=d1_p*6D7^$q z?|{<hp!5?c{RK*MY=;;m1*LVMv>TKTgVIG%x(iA#fzrF6^f@T~0!sgZ(o*2vBMb~m zP}&SiyFlp#C|v}lA+BLyXoB)*LFpAxdIyvSpBBx)z;Fr5e*~q!Kxq!p-WAZ5M^IV; zN^3!B6DaKfr9Gf@5R{IA(kW0n4@#Fo=>{m>0i`EF={Zn(8I;}trFTK;BT)Jrl!p2D z4jTUll+UsYqCx^nt3YW3C>;Q$GoW+>lwJa*&p_!fP+A7Gii&}OK?h2^K<OMPT?3^T zK<PbD`T>;Y0G~z6z@Px7b)d8bl=gtqF;KbyN>6~&TcGp}DE$RWOMnm3W?-;^(h*R) z0ZPw+(ifmK4|okN1A_&WhJ+FW!)QK$gv4k*fP}+H%?CFaTvBsVOH!SSGD|X(6LW&0 zb1gp^T=PH^k7&XS=NR2nOPouKic<4R0*dmJQ;Ulk&SQ#srZ8Ma5)H{HN=;1hOkrRU zWO7d}@kuN$aRp7DF)*lt_`dlmr8%h{iFqkGsg4W`;UF=P;m`>o28I%lNN`DFQAufm zXI@&qBSSY>q_VgqH8&(PH`TG&Ei)$-#9~+mk_pL7&UVf(%`0JG_{HRzmkF9z%dEoi zr4O@DYGN6NFvC7(@Dx)(YEfE#Q7&ly4QyCy5d$krP)UwsN{U-)9(YnJBrz!`m4OW; z>YP|mQd*SioSz52KadwB=98bFU0MKB?3!0nRLQ^~0+NAFWrgOIXXd3aFf3*XPAv(| z%K(Q#ifcu3Y5{28$t^RdB(;cP150pDYH9()K9-QwqTI~9#FA7{_<#bR;V4T;PO*Dx zNmyb|X)41d7MzAKd|-iE3Y`aIVE6<R0ehE$!JHvJ-Z{RwAT=2@?-&npO%VfwA47b6 zN={CGUTQ^V2}2-5e0+Lp31|*9u_(QmA&4P9J~J<~BsH&$AsEEYPl`_~%}Zurh-Qe7 z&q>bDD+9|jFeEa>#}}uT#3vRM#6u=?lOZCd#i>QmSw;qi5{CH1<lK~;Jceq9_{7}e z^mwqf4808T$q?2bhWNDH{FGD%1}{di(Iu%xxeVJF<8$(pvl)_^;-M}zVU91&1B<b+ zBqrq-l`u%KBq!#8=A9W-Ss-Rvu%s0fW#*NnG1#-D6{V&!FnF`1l^11}q%ts6v4AE_ z^OG4EnpkpEbCU}y85mkXtm4!X28IPJpc&f490rE1EX5^7IjMOJ3_C!qyyV;hh8rwp zFoPKw7`8EkCc2%9GE>r1L4g;Zk`xZIqX;C&keQtspO}-Gp2u(j%uX&!O)N=`Pe}z? zz;FvJmQs*kz`$@D$}TO=V7LciSLP+=W+um%6eZ>rCxR1Yd|5_H4g<p@uzYGoYI12w zYJ34Cx26^|d<TnXq$U=C!!9#1C$kDPuU^6kaz|!rYHEC5X>Mv!W^#OSNlHA(4XG*d zi7B8hwUv0)WagDX)pg)ims^@sl39>b$-vN!S6xvmXzmnLLVpLVgHFh%GBC(9f!tc0 zoRJDDI$)k-U{J>@o?0BASP~DKa*nS`Ey`zLV9<l8OU*0JECKo66v{7&2Q43n2dy87 zPs_|njW0+n$%xNO%uQuru!O5A0tI(wVorQAq>N!;aDvMfmw}TuhHeH1XSf>BN{5up zB2aP#PcO%3ro|Vf<|Y;tq^2-1xIolp=9cClr2+;9SBN+`9k@Z+pmgAlU{`^{>KKGy zk`fO}v$>!fM;Ts2#d1sH^Yd~t^FZ-^ml+g>ptKU7SX7i)8K0b=TaZ|k8V?QhX9)S^ zjMU`pc<{P~`24i^%)FG;ig-|Ze2!C1aZYA(Dp<`MgqqZ{)Vz{-P!>!|OwMLtV0eoz z2TE;;Iq?OFMTxnopt6sF;Tu8?I7-SAi!wpQZhR4Rc?_tJ#RB(BW?m|I9YuUmDrokU zfq@$#my=jr5?=-?DZ$Aa)gn2Bx}r?bB98dfl=M^v233SOJi#I7HmH-+KxP-GmVkT) z+M>arMut9c*c&o1XcDKlI48fH;V&yV4#7?WmAmoaP(})5P`{GK*xNP8&(+7+2)uNO zfq_9}1O0JsaanRv3B&~&8yFZW%HoSNbCV!tZgy%>UTO|l(q;n#!(hY^_kuEgeo;J< zdqH`66px$`NDxF_mjd&-fB;n79ZJI_pfr5F8rr%wsPrf`)I;C_B;FICbPRNTkpucV zsG;u95$b*&=oRP;44|!s3=9*ZAn|R4BRpil>Olir5cN2ejS3Hu5C9FmLe{v0PI*Mc z{~>5P(9_dLM#V+R`svBZy5Plq`ryUm$@<Cpd1;yH`kBTSX8OsM>E)Sudch3NRx!Dt zwYO$Q1~Jg(hh|0wy5&eq2utG2OhF3~4P%l@Gjmd6;0D7ql$)98f(<b?ih<b0;O-Zy z;25l6q-SKxz}O%w%fP_G06G_ygApX-Y-p$ecAA22Zb@lgs%>(9QL2%GZf;^xa)xbQ zesX?ZqJnNZ4EY-==)!Os*bSL^>ADb`6m-+_@^y<#5|gua3m|KF^NSdGkOM<cPakV| zKnyU_OQuyIRD&`hBU^GtVi5!LZxDxrJtsdeT>(s#=7Bl~sVNGXc_j=?9IRkLFaZ)^ zDb36)DJUt5FJWNx2E{o`ImjFkHz}Brfq{vMy*MMks6+wP7#3ELX68(g3KocwAOThm zkoBw_E{qHetQ-(oc2u1l?4Yh4c;tnN1>#Ip1&kbUKFB2uOad%m*QVr`g2uU+I9OmT z7M8S}{KOIlw)ptW+=BS{_}rxU<kF(}+{6k7rp#Wj8^oCy7}z-)85tNr$Aob%22Cz9 zu*DZw7RRR+73E|WmoPBR1|75w5z9+0Dq>)o19Cas)Wo9nWCo_yAoGyL${3h7mokC; zSq&yZcEIJ!6N}Qz7?^fX153>TlkJQQaHpo`m1P#?=P@uH2N?m=Rt^`w0MZB(E=bQU zC@ErKx(TufCRh#=eFQQcCJGsnVtRw@*!<#xoWv5)C?W&XcXeivizhQOFmORbEx))d zwTOX<fe|DH6D-fn1B-H^i{>U~<rgt931Nt5=7Gee!QyQ3iMdHR*~OVv49un=yVyWu zZpo#f?FjM7`T5zIsSGSN#-Q-XFDOYYN@rkI1_xh$K?!ImlGP9#0r>?bpy*&VgYohU zN*GwJKs;6s(2*m|ub4qWnvz(VlbN1T!oZdel4FZcDK1IMOe|(#%P0le0UmX!%Fjz> zV9NvvfRlqc3j+f<IWRJ?#g|lphgaCL)L9r9*bwG@0GkIIw1H^<2-405Q~e2~nu&=O zl0iV}pCu!)IHN2vC%%M%T?^!KmW-0bq<D}h1H1lW7Esu)WMN?7Ze#(C(=jmcfDd?J z<=Dr<z`zSpoLb1hZgvi=^a@BR$O)i(2Ea<e1Opq~)cAt@Owb@X1N&~!25N{zY9T^+ z-+mSb2EO8wqRhPX{DSzzoOA{jki)oSkFYW@@aN^n!{itk_;WIoKwWkQM*gDIg7|`> z)U?csc*A(mz6J&+WHA$n7&Ee%1w@R6KPNxG0H%u-%wu5VFU>2;&&f$mi3iCtu=AIt zCYR)cMxXKv7&!RBV<I58aWXJVure@kfDSmk!pguPz`%Txm4Sh)G!HZ)40UTU1BU}6 zNERwwh7fN9iwna11d>QBNlmY0;Nd*#&BnkW1S%UikAcpfW)K2fcpMZCEJAttkik#R z6QJ<sU|<H_0){XrF(<v4!G!Y==nw;;Qpolc@Hhzr=Mj(r3__q%je+yzOg2yz!~wDk z!~~}>W(H=EK4IwaXMRz9a(;11F@pvp=ebHQ1_mL}xF+X$h@)~+6B#%!fY>ZT#Tl7t zps}JN2F{Bh5e}$Ga(-TM2?OUPkQk2;Xe1Lnips!wxsQv1K>#9>lv%>Sc@?BYMJOe+ zEHfpQf%6(jUPCB1zpS`|f%68)t2Q8BRRsg*O%TsPC?~NfJvBZvuQ-o^^A<?A3rIm~ zd=Y4rkb(0yNXP>uRF#wypOc@M!oYbKB<3Ro8hM2X+*{1Wzz_fyC@#q_N@d`D2oi}A zN-K!Z%`Z!3;Cu|yk^$mFbUXpc=YaT74bMOV6+*eW6;K^7Kss8$0uU`PcW^N<bbtk* zdR~J>CI}T5r$Y6-1?iaq7J%q^2QqaISOBW$14v{A$P|#alKgm3c1lkzV&MD)(!50| z$1pxQF*yS|BFXs$B)UT=#|TCAD@b&Y5Gco_mLzAyCxHk2IlqB~4+s^5hEYor^HTFm zi@~`Hq$ahPf%7{^{)kXgQDR<l1~^eLaQ*~|oe)X`r-@%6&KaS!+|nEd&fg%;1);Q* z%rXYfKOoK(p|r%LVg}B?AkGb;wB(Fp2F`yV&K;q&;=-a52G0K=-UAWPC~QF`11A&X zUM>cP7a%@p{s7AVAX1#1n3Gr(UtE$~0(A#7BS_~Dm<%ZVpfaprnLna%J^4kcP$@RB z6a%AZSt@AY9&Q*rSeykSUXmXV)e6c644hnGNe)JsfhGCzAng!IZm=W|L?<|lf)gFo zJ|3{F07SMl4?~_8EH46)2gf*6BOh2of>AU%KQAS|Br!WRFCHZt_`wQfpbGNxORy;t z1S?WtWMH1h#=yV<3NTOx17~^`2Ie|0s30hffd!cum?y&oL5USC$jHFFf(ukL1h6qM zaAks8#}L1ZGF}CXgUSeqIH-_;`ap~kBrFD24r<$i2E;RyQ;Q+RJ7+GVo+twYe}siu zd`PIDYkaV?hpS7dk1MiRfMZaIr=w4NkgI#Vi)(<ZpNp%Xb0h;JlCEIacpR#jP*nv7 z_;`kZObTXTM##YQy7@S|gCygFLmYj47+4T0eEq{B7+8_`uD<?3k@3Es!M=_m&K^(= zYzPHzp~0^4&b|Thj(#rjPC<@-&K~i`MhxuuRhXGDaNt)F><@BeFasx=GDn|KB&!&> z5Yj=ej={nH&hegpA@L#ppm1{Z@p1KG;Ko$q7J#CH2cg2z**VlV)W<QzHQqlo#NFT1 z&pqBT$UT^W7ojE~$lu4`J=8TmG#Di9>mTOIz=x1_4e-Q}=0`|_!pq0iG0c@g03jF< z8tf783l3`rL4+{Kd>_|%kSv1`LfFMW)Cndmj1Y#Y_77kXL5RW>`v)+HB18imUE+g1 z{DVT`-9r7GLp=Tc7{pLzgIq&GgZzRS#1X=7{-HtfUZK7L@jjluo*@hp2&rIyw~%nh zAlLYSAXhin5N8ii$T+$Bxw?5edpi0sNFtQ_I0rzJkrYBO$kov$-q+v7(=C!g8X*h{ zEKfgY7guKn8HA{(Ux=%_Yf!w4o3Fo%Ydk0df*E8H^8NuK@s94H3~~q|$1s0Smv|pn z$9OmYpm;|Ymmmgtglw>ne|Ws7Z>Uear?0QE5hx}Y6mUpeFeoBqAjbN-`o{YQ__=`1 zb_O|zK?$J-8ZE&gL7sl@{s9ci2q|CBU`HQMcRyE<-@{zvA!_0Sg8buQsVJC11)&}k z9KntrZValZV$MDxE(~g@Voo6p>Zl?fp5Wke^Yjm3&_I<6Mv~G*m2z_na&--0&_am1 zMufy$7#Lfa$2<G`1&28Lg#<HbBc#JzokRSCJfmFWAxV!x2O;O~>gO5+DdK|TgM(e; zg9AK$d>C{QDnNpvey~vS@pp8I_YVm1^mX)M(8HxFIK)556|PJlRat<ev$v~DJTw+O z{eu1ADh*IohC~Lq`nU!M$AiraW-vsR2D!x`-YGIZAi_V0!3ZG<N{&JCp?;nrkfaDI zTf$tO8I18LaSQVIjRz?*!K%pF-!IHHDA?2AFPOm;p#oB>qa-)C08lK1xdw#<GngS% zf>H`3BI1!%m}6A|u`MK+!2%%-&JqE^9-(ed43-FCsF?wdLEyqQ-ZjG2ITT!cSRoWa za)pUgWC)}@@QiQ`X0S$<k1#Sdi^mboHh2_*V+J07wkRqMjV$0Yb_f|rB8(6B4DkTv zdj@-il%r3$V`Ol=o1>p|WW29qh)2A!g*k%*LP4lskiU-)C|mlt`Y|{nB!WFW-9ii* zoDd>WuAodC5#s9S0=3J}g~1si9}wj4>mTA856y(2!iK>GA?@ZE90D#oJtG)g5yD8t zVtjazXNW6<8$uFP(8T*1#)o-2#`^~`xFclz{6peH{Q?|4gB+cFTp2tNGO!i^sEq-s z{5_E*p>7NWmj(=8m@*!opk_lbgEvA7>LF0@G58>aAxYK8-_ISADHwbaa-hT+;q2k= z#NdZ2=<6S5z~GM%1Nj4z;y@)ALjXbslEff#@h+~;{w}Tzf#}k1jy}Pzpr#bKV0QBL zWC%hifwolwf?QoZ!4+V<hbO2c3q~k`gabHbFoYn4VNn?f4UABPl%tDRXfP=3LFtAe z3?bp~=Nj+n2F|MSpfZRd93ki97#zY7!N75Zk%7TZ6gmtN4sCjZ+mdOiiJ*04#SEOK z;C3XWnVDFWoPpNN{KNPN*35Jaa`uP^RYuSzCX^ol3V3Ln5?RQ?i2>4t1Pewuy0}0a zj$po1aJXXtw4DeR0K1tL;^t2<H?u(940ag8&1_5{M{$7~((oQxad9dGrzjK1%i{4V z`FSPrrNyc7B}J*J@foQ_sqqY8<+*98dC92^oW@N4VxShenivCvSW#+vd@|_t6KK=g zmeo*#fq~!8ACfc~_#J~>-5D79T|g8QzcYwp=63>7Ed0TqAexom1x&N?JGwx0vGY6o z_&Yhd2Dw57IQRo0GMxN={(kXL4i~?tpNne*M24H+$JO1@ITFI-;YY6A7<l=?jm!X$ zBm*Ca6YT2j?*}%WfuG;a$KMg!J{RBz)p>57LBU`F20@5dBEa=ykgIzzgAiB+m?_Nf z8s>^5CBp9nF*BG!l;06lRt39)tPExl<M;K2I#e8FeTaVm!bAy>2-HC!+ref_LR|$H zkb(+;TGU__()=JMTwVrA9xf=$@9P-s9S?RUSd$z?0L+#LyAjM(;P>(L1vxd|*~c+B z*fp3zk%2i>4BAC=lYr)f00w3=2?hqPl%xvy;-2{2!~zD+R1T0Lkc62Dnp7G@s<^Dk zBpy>^I#?FmJzNX3hMR$TF31{CA~iFK&n*TGNteZ^R+JPa#wX|GCncp8rKZ5GDQ4iz z<N#?FLkuE;$I!upd7M8vT%{Qp_ydCcon3>2{e$AcNyF0_Ma<LK!i<3tNs*_Cg&6}A zl0bl~Ux;U@F9S1@n2)2ktFOOb2m=d}AXH&MkUs+}rmzVE8<L=(zq7xeBLh2<kh6b~ zs}TbSl8B$Hhog_HF9Ro%Sg@m?OQchfr;EEQ0~eCGhhuQKs}HE3h48PFzn>pSj0Z_w zu%}O$YY^D&yhy^{em)F*Nc>>$NRS`n9m66_4UHK1k)%KkEguE}BoTL4P{A*VB;swx zAcVwq4Ds;s_hS%767sfS5JBQP`ntF|`WhNAh$0C(xrDg}88V2W3mGwpqYD``NT3Uu zFi0W`g@DbHLKiY(kVX=U0yX#y8Dx-zKx3n_&>|I74KQ#@b3O(oQt%)HsE}pgltB^! z7p_I1WD1@ai%-fg%}Yrvs$}3)<^+#1gY{+RCFg@??c&iDsel!6fC|$i(umx*MVf(u zt0*-cT;xJ>o;oK;0TUw|bS4WtFT@fW=n2jO+@+vWo%yXa0|S!)D`*{XiJ_4N1Cszd zj18Z>VqoH62CXp6Nv%+T%pEZazy)B_N(yihlzArsmiTzE9<bpG%<=KCao~6cjz7{2 z44pDiPyA<Ku9N{!uoUDMfR|^+mn0@JaKB~*DdCEbPtK`=h<pT#uz*+0fu?wPv;>(L z7&x}eFfd$_0gZmM#m9p>H}O86!6EVS3_SYBWk53?@h&0$L2y9>ke~!e11}#ZCo}Af z9*O5N3=F*doSe)j&`#~aJsHg?$RNNb$SB0f%puL8$|1}OTGuGZsLCPC4H6L$XJBAb zVc_Pr<7VbyU|?2b@DyfXU=d?r=CI<n<_2+C`5Cyu3^o(EsJ*0(Bm)DxD1*8r0|N&m zqo**VFarapB7>)}J~smc7gQyyr!Yh%w<x2!Bt#JpE7%HNH3kL-J{Cr14v^s>yFe!J zYcMc#$Z#_-2q-czFbE2RrD2jnym0$1xEUCP!LqC{SrMo#tEVtXRuqZL%D^CoWD_gM zCUJ2FW)6@iBup6Gg{`=)S(%WJ?ZO?PLrkU|G<(e84~lih-+a6bjEsz+8B<0E21a70 zx{;>-85ojbbN?U{7&w_=Ye|?p7#Wq6(jyH@z0=bTY<-lJm>3xt8JB?Ok{RZKtU|Zi z*-9T&f|Q#X8R$bQOkJFd2cRlVAhdpFUUE)pN-6`g5va5DFcnb$fz~tNQJtQQvcLh4 zO31W71Jqd9x(QUfp=ubCi%L>b(~9*n7#JBCic3;*GLs-|$l8Ah8(Q;2IO(Y+`2{6< z8KB!{K=YFjQP4zVNg_xu69WTi4o4R}Rg{=l0y3MKfdRBALoWk#jVy?zn_mEyU}0c@ zxWq^=gMpQSAw4Na7c@Nw(!`38OJ-mjV##^bxg>@FXzdK>OiM-v#tH=<c8&$$yvx7< zS{DW~3YLdq>%koP1e%x}`6L>c^Y{b~IP!5E0;w9sLp22SK)V|l7#PAC7#RNjXJANY zOfD)(tw_~NM)i>!l*`DVzyMl_0p+4lX$+ti3}`RD0s}KxrjUVwVFN1zn8(ZrS}_Ps zUf@~>tb_q{W8?t>YC!7^FAz}kgn@x!0Rc6vj0_B*D|JDx!{r`jMh1on1k7<{gshhY z6^|gZ7#MsQ85lmW;P+`dBLl+&0%}?q85jZx*ggy7LIUP&V`N|e-DVAP9WI|f0)+;s z`US-)I5OTdGB7mYu{|}f47{@k)D(jFJrT6*33T2i0|U6SU}gZXo?>8N(1448jAn-{ zGK8uDiGUiu4tVTJEJ`m*ErFych+QCEI!p`<pliIr=@2BqV8_J3aDYH)Br`EETp*yP zlL@qt1Lg~m(UX}N7(jP&;|s%GAomb3`4Q-P90F?oGchm};86qG_y`IP9+Xg(V`g9w zz!QcbUzmWLPe6?qGXsMG0W}HC3=E(fBtXXDN>OFZkZ=aY4kW@mm>C#AtC~Rho{d2- zCq6YVrJUgn3#gQUgf#=hB#@D;AQ1%yCXkw9(5_n+un0&EL>B{t3|s`{uZ7GE3<(6> ze4Lqqp#YB>J@BqskZB;>85o!ul~_SLPaz@@QZFU3BoV>{wY?aa89_IhKn0+bUQ#jq zpk#1bWrWqAPz9jfe-#LWK)ozx#ta4q2H1J75Ov6>vx014X7m7^69JJ#BJVRp${$dP ziXIm*WiLVT#Q;%<OU-9y28IXl@PVxShUo{<u-ai3qIRfE2er&~lZ#4{Qqwc@AoavQ zP&k8|^N<n{wEvBP0hGJJDFGDuAmh-m0t=+X2CHF!#RVuGf;2EQmO)*Q&<2YO(1B&3 z^o6Y^g2jaaET@1BLl^;O=|STH6a$dB0Ih2WOTh_PT!3N#5*I2A3=Eubc@RUd2oa~C zM9j>n1QN!;pm0`TgM<w;BbIQ^N(ODpWdJQG0s9Lc8lc(}<N;>JQauKSlMD<D!7Rt~ zK_Uzc_(KC^4g&-J&;YrafdLj8pc@<^p|J*H0fUv%^!p&cGcdq>3JO(_E@s9BpdEJ% z3=CzF7q5eKF)+YFEQbM7k}@;KFhW`uSw~XEK=}d^Frbx~5PyO4J4nozg@NG!8z>LL zM3Pw;7(SqgRI)HID6k`|>1SbJ2tW~8%EG`<fFiORB*KZT>mmySLjw=8$a7FSL=pMR z!oXm_i>wATFmgc<SwxGKfnkC$vWNvpL<CvHnU#SdKnz*L4-`}q$Rd%f3=9tP$Ra5q zb5KO`Ss55Upomm~OjbbF)ym4iumME`)QU+^L{>8!bUT|evdA)!dsLA{HnK7>6rhOg zVP#;rpoXmGILLN&WRXj(3=9bx$Rc-H85kaDB8$8L`BWQO<TEP+LxV1|$Ul%S6cJ7~ z28IGXWHlme3=9JL$RhG=3=9fJFcDa(wH{t-f%ZPf8$!w|P<mrvfbHrwFoEiVrg(@f zg4AMTU?_p9VE`}eODsw4hKqpeWsvF>a1nSp1{ML;DJF~z4B{X~a16`2pnJ?f`IMRQ z00Zi|@Pu+M#3)#<1l<P+$(5kH@*r|B5|+CSKx@(&K<)vx%wVz*8kTcUFv4;!D4&Dc z8W4FH2`a}D@ReiG-UO&q2<c5EmOze0Kn{Bb1`|-6n&J<6M>f!D2e2{|RMR1%2oz?C zC6Kce6wILJz{^a~d5j>n%#5J&k`Ly1Fbxa&2hbh}r8P(dJXAq-64(kj0Snb1@KA-d zo8d~p3{a>pAR<(6z|(Vjaxy64p#~~L92*0J11vN^0RT1~K@{kjTN+syFu?r|mMUOl zU}%8p0*f?(auc4mXnZ`#at4M6D7xmeF))Bq4XB)FhQ|fOJ)l$vI!uWjY(9*@j0@CQ z2C2iWzaaGyNDhW!DLexn7oc#4$wFvYTnIp0`OJ)%wK+&7C@vll5f_i(agmo;0&cG& zA_G<eFqnf<Cdls~lTk6u?;qgl5af3>HPBWisJ#FVRV;A|(gAWasAhze03g*MQ3QsS zhi~9+F3-$KNlq+EA<xaAF-uSm#McrAxq^_J6WC$78>AZHUJwiB=6`TEgXVy86Dtr- z1}S4$4YC9r&e+E#FyjK$;zkWfSX>CeTCjLp_#l^pti<YfXsfaTo({33)dEP1(FC3j zL8UAx=D^+o6MD`;Au17`&Y*G{lq&GG-WL!NhJ1{$v7MA0&}#oOq%Z^>jRWe#3qZq= z2{mXS?t%7_AZkGA8P&ZYMX)ebfQKPS6cxkrasUx6^A;k)&;d^vf@}umiw8u6j|Mz^ z(u?DZOA89}i%O7!h+!wFJj4?|AiFNGF)#$c{SJyXkSGL$@(PIcf{lS8fq)urP!VYX zDmP#)VL5gN2GCXT;8X`PhCv@B!V2mcL-G#;gA+)E5yC^1y$WDAgW~HpBLf5I0&1{! zFhNRu!EI-p0ctIR^}`5Q+1mk+FHoBXCJUiqWv>rBzCh(O$UhKy5D9C^G{Ahp2&zwE zAqP?c!Js%*0L?^#TQZ>B2oZ;ouyVr)9;fknspX(^n-DPyFB2?5;S35Nm~I43O87Xi zLE{2TxdAc}<mLn-+zje1L0U~EImN}L1qc^|OkrRM2c-?Lo3WR5AXRDX3=9TPHIUFN z1?j?Dn^&a7Co(XAZXySzTV{s%_==SHDh7rGX#X3M+k4m<7#6@y#tg&73=E*DB#<MZ zn3OOCZG`}(2xf4+Lk)mZur#&;p2k4w2Pz4rU}-D`p2jficSyPF;{xi@gMErNA`LPM zs>T_VvT&+__WCEl(<(?MYQYIH$0Z6LhG4ruHXtxmjUzndv8gG^0go(!ausOofte9h zE1=dZ5OaK@K;<gPC6M|8VGoD}RpV#@i&GqSsYFGA-3+k{WEw~}0_&CJ6stHoI)X%S zsR7A>()<D<(tI8~pC*Hkb3>kafTghw;8aI>J_Yq$AZZNbLR1V1ALl4gsRQyg?l5!) z`yIa;&nQq!n1C8bP%6fy2IM+W7(O5(44dI$n44NugkEYeFw9_QV1R_70t2@C9c0%! zb_ND`_<#f$_JGO_D^Ljus)=CtaS6ahFk=T)eqrxz5z{Jw+m0<|LR(e`;3WVkcY~b? zCtxK2s3rpC4{R-bSS<sZ<ph;#%#03<3=ANT!i@nlKq0Td39V1Djn_f@xl`aF?;I2o zpH^UKfC&BgH1J(#B?8vaFhngN!1h3uFu>Ko`%MrLP?`t16Ra3ckW#LKdUrU}JTz3F zz(W-jZg6YB3|OeHftRb8?NyLMP>6x<u?M*p>j)<_#FoNC3^a^f9-on#Q;=Fz3=g); z><kPFctQ+h*JDr}2U7zNF^Dcuh=Jy`LFy2gyb!|@8qg4X0S_@y{zcdWV!=Xe2Ry_; z`5Y7nAXx+kh1dcj(%1obh(T_M(*+M*!2^upGdlx=12i<Sjb?ysWa408*Z@-l5@3+v zU|?v0wObfUK-*nYlZ!jxA|OR791IK!w$RiI5@4|7U|?uKQRB<Oz+ix<bpg^=$HBnh zfJY6iB!Tz>6oa=|7#OrbTH%<K7=*hJTU!EJcRyf)<!;Op5!T-L0FPx*tqFGum;sCB z3-DM5l}Ml(5iAWSK(YLQh*&-akL8MziW2PYRRsr7T!6v{ZakbpO888G#UP&cssa}* zd_XNBxHVt~td0E;?&jp864=45h=j?o8kBy(ZpK~j9OYnOxZnu#7pRs28GoCDf#C$q zg&+ZjSD;MB0c~T0MZSPU*pWs4aWF6(0Npdd05S)bq7We#!NR~G3)TxGNC`2}+yp2G zGc$r}Aeadd8kSl#KnHDrdnKT@8bl68!cxl@JgEg%H-Sz<fRv(`^%BTDP>M<*B6hyR zW2YpixFiF4um@xegD58hLxT$><Z+c7rko56pfU>Vcd#yJkS<Q-kPqZ!VE6zv2h!un z<78myKvC1k3Au3wGSde#Xdx#9gMb^vb|!ck4sj1Cg=aA_FsOqR!Z9iF)c~^{Jbwx| z0?dHLmj^t)u*}s$M`<LO36F;_AR;apnP4L@B{{{ppaUb5!EON&u(bLC92y|MgG5m= zrr)vDP0-OoP-z2>FD&g1kg1^b^MD99bHm*X(zO+(Xd}hV2JjINkU6LrHX?*@Gb9C} zs$yUOxmkgmaEYV>cXL51=muA$!U$~SNl;n^hcoUT^kY!Ubcdx(khX7}3=9S+BCK2t z3=JqEQd|rS3LeP1%()mC3Q$D+xfmEWpok=KF)%oIBI_ywnT#UR&&9y-04{<V^7EK6 zW~CuRj10tt{0DeW0r?aa!*WUqJg0zqvuJ9dIR%uPKxvYhv4R18tO=w86oUyw#Gn~G z2GbI=K?eqdG$Y}Spy68v1_o|$NefyR2C@}N0RsaA=)?<<2)L!nh}jwh$(@Fp!wWVC zbf6Y$c7cWS1TRqRfN~{l1tdr}1jBMArQr-R?K;#wAc~n0)O*Ct3C}>1pk?u3H-kno zP}RWdWe<25!WQ{rmNpmQ{sP&KimB-@P<(-62@+q5;Pcv0ZG*%YD3&1cC5;*pP{p9| z0fh=E2$&f`H7uqwP@IBd5)!8?FcmOBN|FUcq(fO|Scwhkr$7&i2Nx)?v_V=JVy07A z>4z^5g1isPI}h-=89F`>cMp7J2&i`pN+Znhbs%uN81ynh#e|Bv4L_s@%nTDT1+B9J zwLRb>CL*9i)j+8ZrpD|XCtMfQ_8YJiMUw3n7`BVB!cB&_(1ZuW_9Ga!KOoC?6AasV zFl=YRuzeSX?Jvl(-2lUO(3&^YkpBZ6pMb}O*#->TKagd+4u<W2SkOcM3x@5>Fl_%p zmhBoCwtvB}{SAigb1-aY@P?MpB&9kP4BJ5~5>Z3`35M;HFl^@_%XS3}+n-?g{SJoh zpcXaMh0q))K$h(?7`ETRu>A^#?VuJls_hbF*)D-$J7{$%)MRLWKZ9X=8Aiw}kY&3F zhV5rC{0`b%h~geIP=5w00u6Z$vTPT?u>A;z?Rzl%o`T_b1F~%A!LWS~hV5H0Y>&aP z-GVIJIWTPBf?+#o)gd&TAt}Ku2*Y*<vTSF;uzd}N?V#;wsJ44x*zQ4=?F<;UFTt>V z4o1j3VAvi&mhFG|&`NdFIT*Hs#<5ZTZh~QZ1X;HKz_5J^hV4BVe%GRs?O!l#@4>Jg zwBrKR@1T)?s0cK_Cy?d$4;Z$$VAx)R;ddbnzh{tT`x^|~YcOmt!LXf!O18hiu)PGs z_8bh`LF0O;Azwh2-=AREo`Yd~3Wn{EIN&)28t)Zk+5P~-_7n`;L33qLpF+#JOBl8{ zkY)QF4BKNcY!AWk`w<M=JIJ#A28Qh+7`B7<N}>9F2bFBUf?>N4hV7uEs!?rUfnoau zviyDl!*&-8+ifsHeinx9Gsv?242JDC7`B5}BBT1f31K^QhRzV)^9A)iD?uH15Ce`s zb1^V10J{+T7#i#XH$+bpq*a_7p$pU_WneJlW?<L=GY6!EAqq(ZF(wSL3p4^F4P7e< zRtzR!BNgy|E_lWVVm?>_Xx|NVTompeJoC#};3Hk22?m%65E?dye*iuvjC)>Bfrs!| zr9C{HF-N*G^1zpWF(BeAtt6u~FPnh@DNdnf)B$i9g2D&lPGk}mK8TfR$g&VNY!m{t z6$#h4F?6iJ2sBCqPCwvLHP9>t#0(G#Rbzs1GgQPB$<5F-_6LvOVWTT_^p}YYtdEZ^ zZ9vLrQx(|A0B$wr4zQLUBSyA?&vC&01@E!KV+TG;1CI;Xc#HWCjNGk*k-Jqe%9bKT z?uMq86R^}mlI>eCY*)dsT?WH;(3&@JYJvOx0$H|iz_48g!*&r2+g&jHeuFIA*I?K# zf?+!khV5n;wm%@t_7xbm^I+J{f?>N7hV3uNvV94L?JO9!|6zc)njksFObWyH4`kWC z0K;}rxdBa45W7siVA%eL9qt}zn*TwT?Q<|}|H2G68EQLdMLmjp%wAyF&ftSSLPbn| zpMhcf8w}e)JKj-kKZjvE2U)gH!La=ahV6GSLVg#9?E+-kJ^{n_I~cZK!LWS^hV2q$ z+1`U;JE&EF63!-PFl_I_uw8*H+dD99KZD`-BN(<9Vc4!gmhCMVwjaT;9k!1LF(Ms? zVY>lYwl`qdz6ZnhEf{`x!?4|gEZb`^Y~O-m`x*?}buesqAj|d&4BOXW*uDhAb}0<o zJ;<`X1jF_v7`D&Bu$=?L_5iYMFTk*U4u<VhFl_(A1}}}F<$MHLw&!5jJ_W<}9t_)` zVA!5OmhBlBw)bGz4%(NEQvR5o!>~PrEZb8sY;VD^y#~YYJ1}f7Aj|dy4BKlkY%jsE zeF=u`6=c~SgJC;podZfZn}Bw*qllRGVA$S3mhBN3w&!5@9d!3Ks_i8R+o3%vE*984 zHSS&{!W>vH63H%T-kAXN1(sQJYWE^Ry+yFU@bn@PZie+Dk=zXRy96G;!+Mc)^p}Ya ztR0TKhiGa7Yd_;wW4;HLHW)!G89<?hz_2wQ|KNU4Mx4lzng`#}IhC6M=`;zD{)M0s z7;r3OAGe`vh@qc%fh9CRZr%!aGiZDRwDtotc^>9wU;wobL0i+X?b-mDbBUXQ;Rbx% z2E5J$B#eR|ax*aO0EZ9AF3|W7rd^<w7zy~scF=PZy#MY48ySF!nCigB6JR1Hpw(;O zd;t?NyMr+r4^Pi9HRc%@Cfi_`4BBmqYVr>vOwPeD*#*O78`#JR%spl-T<G;Orke{e zO!mPr*#*O72_j4`!7w=l!(`AtMAXnwA;RPe43lFpOb)?tvjGt%*I<|oIspX5g(fi= zCI=8<as!6RIT&sR?U+Nc%PfNklUp!MF2OK42gA(`M3~%xVR8+I$t4&jFCoI@9t@LP zFift&F!>A-CQraHxd+2!(2i!*aQ;Gs$x|>)o`PX=4~CmTN9027!dW8Cz%Y3ZhRIVf zOxEEhoV({>n7jnTWY8U!P!~eRQp{Y4FnIxn$!jo7UV`D~93o6!f?@I&43j~7pitdh zLxjmIFiZxWr-NdS$rcPZgVrcQ)!>Z5H5ev?ZYG54f`qEc9t@NB5aH$x7$%>=2#q5c zCWF=}LT$(C<}DZ|gYI>N>VmrY42H=ZJcLu-4h)m;V7U1ThRL9r8mR3!-Mk0G<R=&= z-@!0hhX^+xz%cm@hRLAac~BQZ!pF>l2$PRsnEVC9<Tn^@_8`LK6Bs7{!7v%L&jr=Z zF+`Yr2E$|)M1Ke3cauLDZZ06g<O>)k^I(|Fg5Li!n?QugS1?Qlolb?~cM~2AleZ9I z@(m1=WiZ?<f?@IvB22!6VX_K_$ubxwe<8x;2N)*nV3@3eVX_1-;oSWM!(`AIQmEmq zgJH4_5hlODFxdvf&7d<hQS35{Aj0G~7$&=5m~4aL<_026{(xb!4~EGu7$(mk!sIU) zCWl~{?1N$Q1tLuTfnjnChRGoqCiB4h!tgmn%-+Nw43kqZOpd`Y*#coQq--$)oveTo z%f$52jBL<Hs7#6If0=;Ju|>7Z1ichB4?y>qNea3zOmonEYR(`4%T4j|70IA;JYZ)U zK-NXP1NEtE92oxpX8@mP%D}+O!@vL=ZHA~(=3!uftqz5V=<_fzz}n#ukw}mlXc$67 zN_iL<U?nz0WICv;4PCVX5n0B=z;FWE%Z7+-<zZletqFvP9O7YMfUS^(h@9hLV1UiO zK}4SNFfhPo6(J&^!4g<5fQVS}GBCh;*$@#>u7$0+Wnzd2U)syS09n-u+BXUs5J=@^ zV1U(V5M9;03=FW|4Mb!ibYKRwDGnmCffsV}Eoe;yMC3eJ4RSc&=0%)n2T}8pmw^G6 zznDPhuH=ADVgc<o28};J)EMwFFu+E(AtJVX3=FV24v0u79|HsI1Qmz~XjFRvbff|z z0-9Zc^@SlKpm{b}>SbbxPllYQ2U+I{3ypPr3=FVUOb}fM_!t;q=XFCw?n4iKK%}Ve zAQ1ruq%;Ov`wT1nAiA{q85m$CEkq=YpMe3g<_%_hB0mEIY}Fz}O+G&;jvUw!c6IVY z&YeMsEahilKn=s~{0t1R@k3}hr!X*pc2FV0`7%EPg9mi4A`?S=Nlr27tYXlPH$*Ih z$}rHWwTM{e6JTI~t>}QbP+EY20oL+_h-e8w&UQrDWh21AFaf$F9-<~tfPn$FIus(3 zB!KRVA^`>lSlI$m(<H#a0PCkfL{<tQ&XI<Q90X;5=*hJZkuw4e46qg~69e?7Xa>kW z4p_+F0qNp`?DK`_`UWx?dR8z*gk2D^uOA|!D+oEq6|{~BBH|{<zyRx&Kt!?y85m%5 z91xKfK?Vlc>F!JnkQ*=<7$7@4VD4Eg$iM)b<6vTl2OYQJ8^QoPR|I0tc0mRP*cuOr z$YDVS2H3g_h{zQ|1_szz6GY^(ASgv4`}CtAVx1L44UZ551FT&K5iu5GU_i}ZVL}WH zuvR5RO|1|E18nvmA~HpYfdSTvgNSSbsX@spr-T?7U_Eh&nx{ex46r#Vh{z8i$mz<6 zbjTyjzyRCF0Z}6^j5v`VBBCwKzyMoC01@#JW?+DgCqP7^g&7!NYlI*oS;7nquv0`J zB9+33)1n|E-NFnEuz6~T$TDHb3Gj$CzeSjV0k*OcqUNM9<osuZFCGgsFu>+~A!@z} zGcas`=aj^x{36hdkO6o_2P|!fiy+RRfar1*L3Uw$d`eDEd^|Xs5v4>RXfYcDav7B- z!oUEV^M#nxBErA`n_Ys4%mmp5FC{=mZWBSAiw;q96l^kb$$teT0`~<-*Ao#22H4sR zh^}uSH7F^8N0fm9w7vx-&%}_Nn3I#A%)kKIdjj*jiYNmEXg4IHi~?N)4%^8E(#gPJ zEy}<ETX_W$2@z#r0G;cA$fs$d3=FW@C5W0LkO=gID~Lz~$UV^c3y4UsC<DU+cv~W^ zpeQr1B#i;GGYICw)uIdxun`Z4t`njR43JhFOwChK$QVATMa0AqoRgXgx@G{jW(lI} zzbN8v0*HtJ=q^9#c~%e+c`*it3Y6H<5o2J0?XH5Tu@qxq*nrXkaTjA?fUOgTsEHN> z#Sx?(1raF~V_<;IZbL-cKqBxGGCsZ{6V%oM?NLRf`AHzVP|D0rVhjwh)e#VL_KPtv zz(!XfBCo_47(j^u5*i?vFo`oTz)lK<sF4y!uT!+d85m&eZ6Ipw#1S_mKty8185m%* zoDh+6aRvrZI~<Y{K-aA$<}ffo_A|m_r%9ZF0dyV+L=DJ2Goi;BBYe6VWIH@GKx%f1 zGcdqrlbINbON#Q6a|;+CyF_6oUlwOzfUS*(xaXNT0|RV@8${%<I0FN0H3CFLNCG_u z6(tZiH9*vW4%dR6Bo7fOkYHed?XQH0)JiZgz((33A~Piz7+`A;AR-$;i+oW^iNg{M z46qeJ5H$}a7#LtZXov{ABm)C%Cn-ckM3Mn~>j5H86(vET1Zjgq)L2PE@-iY+-6aus z96;2hfpjq;ms*vQ3=FU_G>DqXk_-&6bvqD|&5{fZu)Pcrkpq$p43HK6u(&uU$-n?x zH3U)fLXv?2wm%NsPACT5#{t`I3Q_Y}5^_QYqFm*Wg51=A@Ts^I0|RUq07RF%6yhcn zh={EeatjR<GTu@Q46xlg5H%4Xli}r0e0)U?D96E0y#uxDQu9(k<-7poL<ESgaw!G| z*t$W8$V@534KEOp4N?pYupMC#k$qAO46wB{5RtP|=slO)QVa~RwS^$tD>6Z?cTj>s z#NZn#28ITdlAlSMfdR6s1s3vx(hLl+b(IjiWThDxVC%=gCMSbT289a3WFu(?2H2h& zh%Qgi0(k}jq*iy5G;%!%(qAGCS(y%rulO|3Nka?_uoHnG<}^t&FhF)Z!Ccr25<w|f zS4lH4z}CS+bnOB83tq0KmF6XfBqo9DCXhS`GccT!W?+EqW`db~Um9{h2qLwBu62Zs z>O*uf%0Su@2sQFD3=FW5C5ReV83qQ}nq+W@<-{jL>NrG*MaeKQfNp$1)RpNn3=E)I zMMTL~3=)C+0u*B1AiLnH4kR*9hJgXLhYn)<IvGem4q?tw(1j8xA$C!QfdRG?2cqkd z3<Cq~3`vN{FB!!BL=X`{Sq28!JR=hW>?~8*iK7rTCbA3+kiCJRP)#c@$}CA`V1VpR z28n>&Y$waW02={@=n9r)V1VtlhlnJ~GBCjA2O%QWAd^w*uP*2zN|5veGI<e($Yxmv z2H3e75OWU5GB9YM)DG8VA*aA2;`FO50|N(&8h$wj2H0vkh&l3d3=FV!R!j`>kfY@p zV0%I#YK-KNTjr2HEdv8&zc4H`T;w3N9>Vq#kX<P8HB*j(0XB{VF?o+1q_jr({RZ?< zU4+O7IR*yU&Q^%7e{u{Au-#`65n*`-h6v~hSD=1(Mq*KJVub*T?b`B?<5VGS<KmK{ zoYXu92FNJ~Fke{7BW~@1nCvN!xb+Dlk|)o=02@bwi1dI=hK><IL{`Z&Fu>*=AR@cv z85m%5b`X)L@(c{Hl}-?m-|`F$u=M~C5n%-e2G}}bh={fVa$JB?i!Z3L3SxlH>0%UR zfUJ!M3&4p)1qKGl4lIyQ<4g0v<1~<c#~=}q!dwLg2H0vbh+VA;3=FVYSBS_u1;p)0 z5RttK=;h&A1qKG#t_>!JGH4SPa*_tjuICC23@y;nIVOg*qEzs?G?3l*Ff~Goi1VMB z7*rHNx3i=sm8Qoh7A5ATrxr8lrGUAad1?7Dc4AUeQEC~Cmy?;73S*}gf%gBz$3xB@ z$;>ND%*jj%NCb(Nq!xK3=B4DM7BLhQrN$@6gN{vwUeBVUSCpDoP*S7^)0bJ2Q&OB# zlwT5`mS2>dieFh}9ucaFOY;e-f*#b4ZdrVMW^Q77YJ5^+acX=#x-7)Si3J7m;46M} z6Y~<&Q&SQP3eXkCLvwv<UJ5QL@Wn(Jl8~wna>^P!pg@i)h=*2#=yJZEe(vG%o_@h0 zpnK0<T%AJQ<9!`NJY0i<AYornfHm}Cr8EQhUI0jpgO43VSeud$JA$7fKE4EWoq}^v zNHFNyt5PgJ&Py!GEK7|C8&_HY8MZ`sY&@Ex;*!LYRECVi;`n6H&7K&+5uZ|-2Oi`C zrJs0E=Q1fVIU7?MBx3S&Qc^3D3*e{xVXDi_Er^d#OwLWo$-^ND4y)9>GE8akFg1pU zDnN}MOi@rb3!7*nLwIJMZ(?R1a!BSt0<D~ZKoF<rmBy!5B&QaXWaj6=jxxk>DD)5@ z2IyhIj*d{4Cx``!;i{@ChWL0dXZU5!@$u=&$?$94<6$`)B$$#~l$xGdT#{M@70yge zO^q*zw@froO*2eRiZ3oriZ@`uCTfUP)CjAnF;-C%tfHn^Ma{5^nqw8UfQgzU8l<JA zCR>7iVTo1L(14+&C^4@%F&P}}1`MecsmY}!sqw}}2&OTDX@X#yBADg~rUim&31^xh z%r!AYh$5^oL0Dmeu)+jkg^3wL8^Sgdgl#4W+e{I*86mV8A+#AGv>9146sP7DXO?7E zr7}P>S{}H?f|0<%*$dj<iH}dok5A9ZPfE;*PbtYSDrP_y0p<2$jHHWlt2ijjXC~%k zR;8ve<W|P#B<JUqfpaHAe0*_ga%oX!NhO#cpPZkcoe8Rz5=#<QkR<Vo$3w1M&rQuM zVTg|}N(Ei05T9P0mYA7ST9nG*9vttSp9flQ5tN#oUz7q8aD`<)EJ6YKnRz9t5N}pO z3N4VgLB57^K_0^JGIWeMKE5QUC^a3b0}{$biJ8Tzup_M*ic3O^Q;U2POEO%GiWp$E zZgFZ!X>n>1EYHWMq$cO5q{bIO9K#Twnup4V`7^bsC?8}BxEUUwo0^+nRH;{xSX82C zz>u3*5uBO~O6<i9@dc@%E1BbqO7lSXImd&K^-V0vFJdT9ECz?Zo`r##p)rQP;)6o{ zLOgw4;{$?SLtXsigIs<5ogsI_yZX6cR;}@PG{nQb8|>;44|Y1d^n(QiC~)IbVcWVv zj>||bO4YM4Fac$e+|qc+5rC<=rSXvCk>jzKrcm=rGKxTcj?Yf5grr_r;2_B-7c;=5 z<8v~LL8&Od+{^^h9s(JVn34iF0Okddcrqm97~<nm)fc7a=9i^nXo(N;35HjF$j2+| zf^5}GW{3|1wY(BbQawR!7pKJHRJdy~WEkSzGV@XbT%j?H#&xRnLvGW#r<Op~x#br* zrlb_57K5@eBzYnvo%2gUc?iW|*NW6+hIseXk^on@s~F-vi~aKRKxr;9DJRu4&$+ay zC^fGHtN<23@u_*Gx$&8~;Ov-_nN*ZmR2dK2X-;D66s<`MsnQ@WPfsn0hc;K^3t;V4 zM2f;M4Kbn^zY+MQaoP=vOK`Oe$s(u~Z#<~Xge)-7gEq3Dmm(s#;6#q#f^-z?nVFgz zn}QM>?kow)VW7er6sM5(oo8NJz9R#q<q4V4fUq;OGvmQ^eO_s9YEfo#d~r!iJZMBV zH6=bXuOvP(C50hAJ|{ED%mhScrxxX<<`^5rC&p)jA`xmL$ds~-l$`j)qN2n~a7mn4 zlp0?Qs$$~fK}Y^Unndv+hsC>u_#?GcK<<RLg&|IYG&LdYppu-h%%YOg#GKH)^31#x zhTzna&^$=7kOD8h-7<4ZQbENZ$Sk;9ijy-^Q%ZAE<B_X-kk=A(;?oj~OF*{}ftsHN zFi#X`<~kK+rlhCFr>B;LrzC}g#x!8Yf^uwrGE8Z9W_)o;Zb^K89=HbuaV*GYTww_b zM{wI09NFL!6Vh*r$KHyLj|b-?Q1)klb+I7!KszHyZUKiq(f$R;iy?!1YKc!`afvIq z>Hwvb%HopL+>p%NRL5es%$!sZ3)(;cmBu+G#fW}be0)(!d_gg|`Y49PEs}Fm3QCJJ z7~(Th6I0?V%Hp9H80HtnLy`|@W(=wtJWB>`VZb~I$&pYiK^+E2HxjHg9@NiEOfE?+ z$}BF)OfCi|C{Qws&rbt6BRLzC_;NE#z!E6Qp(wLBGcP?pH6=Y2YB?yrz#*3dN)2U+ zIi;W^o1T}LgD6HoO7oNAK_iPGCQ^SR9vqAady7(wQ;W(#y-d(mby@jEpf+eRI85Wq zQj3Z~ZG3R@PcA9}jUvO60VGacQgc#EQk{!3OEQxabD*U(%trjh4=mi`QJP!OY!g_T zT2u)&2jt)4g8Twd*#UM0C=n+Xfx-%51}Mx>vtwdzae6$sffyej57h@5^M*JbWNczi zW_lj7HK`@>$wg(w@hPRb1@WM*Q}G3fB^ls?A3ZgLn;oDo8X|Wfn*>QqkXkstJTni} zsfy1jaL!Hf0S{h4M<3t~D}-0@myeKShSA4F_z4v1#bw~30dyZg6uGCCKx@2^jH1-U z6i?9L03=UC42Py+M7BZb2q?-=PAx9>OkoJgDRxgS2?M1*hLF^v+|0bhl2oWPQaGeQ zA}*;In%&?DJU-qzzPKPYIWsLY8BythYTS5G%zzVkaz<)$c071c2%KPZGLuu|AqfF@ zAVDfEh*xo$l$n>3S^+XCs3gZHKR>&)z^yb7+}3o>D?v$kV0WaJrRJ3&`u&J3l#!ZP zfGy|3%d`SWctHaMrRai3ILi12C>?`G&EV3|ViPom1Mw6=H-n2e(1->!GX)gom!u|_ zKnq3qxDhN{6o5(~aCU;2?~|HXh9yfw(nbL&aWG(wJeZ3i3PI{XrCx4g1;Y0snaSCZ zW*ST}v>_Xhk)RO@2uC#}dl!J#Ac50YZf0J7QG9+eN&yB6SzOhHE2z(eQHtRk(?U28 zJd*_qIg|lANPdE?y$LGGaZW5KDJ@EccIF_RM^NV`9$W^2x_@A&Lr11ka&o{0Mp0^F zaef|Tg;i;BDyUHd@<(oJE@*xcXOjY36nHv@Km*9HG&d+UCqEg~R7Wx~xd2q+;tp+4 zEda6{l&L_4Oi^-tNl|8EPJA-B;SJ6y;5G}W)`<t_8Bps3)K-C}fS{5b$CMOURto{O zz7eG=^y)ciDlJGZfF(6>I}EwxhR)icRve%hD2R^-wShn(mspfu46Vwsv;skm2;Y2A zD+@9{3~FM6iYSOJu((BpBI?Mnduj<HAw%qig$d~L<dn=JP+Kq&9J`rm@kOb*i3J6q zxC6H=;Lgb{E(29di45?TR9SLzPElq_JS^6s^;R-8-tYz=dTR_k4*+o-_S6kpv<EH+ z5%z=Y_EK<pPy%XgK=Ku+aSf?%VdKe=L1T#F*y;v|Og!pf2`K5{s3<`Fv!eWDMAr_b zNdSwEcwED<<*A9;dhuRm@j=ibLg$>s;^Nd|JsjhSAeTTI)X;PZiapqH8nnbt1@$mN z3f)sn&{GOZ8xqo20I3AGSprgv((;RP6Z4W&!37;=`yQMzz<m|;23syD<iV3ykN||P z?t>MPutr2)a7KQ)b8ZSer+|uDL_Webpa5>opcXbDSA+ZxZRw`Qr=)_y1sW=zd6^}U zkuQvr5jAGhQ^BM1;4Ftv6UaJfgDf`@)Y8OKLc?a2pl*nVx3Una0MhP;r9n`!22Qu& z;uB;Aba5^u3~?5b5NUk<GMJ5!VgRYy1X}`e1*jkaHF^+97rB>+(G7$d39%eD1ppc= zEQv?6AQ-%`3rAfHb^)j(h%)L1Z`p&JCCCkXNJcI}s_2VLlc0_Kc!qf7rZRj?6EbWG zYTXp%q=M=vXp|r~{4g><xL!jY3j{ampmT*#Bfx16KA4N#;YxyZWHCBiARXWe2Aqn^ z5{oiHl?QyP0BSB;dnX<=oC#i;3{D%67z4GV@aI&hDPToVgTbk=B(*3Pn&&_{4pb+= zrvc+J8gcRQnTQS;s5=NLZ!?kFk;th5sqp|w93UU3ROTh-W`e3&#K1o&6c`ZhK_~`| zfPmH~=Yl#)pacS2Mut!VP8T4zL$WoZ%z(`I!8(AMpz%ADwo^`iVhU*HB_}Z*(szwd z&d4q<$&Swj6_e053pf|TrrZ#gf*c&5nU`7wDoo;wQnNr!QS>q`!!xhA1XO5(G8A-f z4>I<HNMi8b3TiJN6rpIg!JFUU<_L7g1XQvm=EN80<d?%@75@+$#89yF!RBCX)<Bw? zh}?wSWroOMh9)R-U^Dd)OVCOHNQncAC{Q9po|1+(D?yu85)sh{Sx*U1PWalbkZ}!+ zYz7?`gUFWVfQHtQMm?ai5VwL7W-+LD3!1zGc?B#08?=H~pYe$$@!+xgs??%<27KcL zApOZjsfi_M^$)g2Gw#+YwA+W-3II)g<m5myKQ!VIX$n+!Lq?NfKEX8-R+I`FuR#uT m(0(CUAF2e}L_->Lgf;Il0uPrBxurQJnFTqZMmSm_%m4tzE86}5 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..8be5a2e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk.exe -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 63467 +Design successfully loaded +Design Loading Memory Usage: 28532 KB (Peak: 28532 KB) +Design Loading CPU Usage: 452 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e1f1a8e095204de0ad2b2f37604a50ad1e84a042 GIT binary patch literal 9860 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}xH>|G?^+tR$Efx*F_fq~&a6gapsFfe5Idn6=pN^tecbX?%)<+i{hIb(sV zXR>F~s>P1IS^kc$UYS{*y`ITgey-lVNm&d06Fj^;ec?(LWO(`}xGkWfQM?Qc4D29Z zF+7K{92i&_7#JN+GB7Z3L&Z2d+8Y`dcJ#C?W?$H^z`L+dK_8|O$-4{;4vY*88yFnk z?^`PeHWNmmh&wPd2rw`(+nWnOO=p=mf7fIN1_uWQ?j#2WMge99b3vHYW(+AInA9u` zDPfq@3=Am|nAA=TDJiHFr<t@2Kfi*gxFFaqoG`aYL*-fgvoeywQgCO=K&6;cGQbjW zJs|Icf|Xfu<wCFwT#GzZ3$rr1i~>wX16@WDCZmNeqXd)DM3+&9%CM~P^7I2cK^W#C z6`0g23@KHZ)JhB~HJH?D3@LS()DjFSO{f%edm|(uq+zbmg2^;Aqsiz%W!PKW8+&XU z8^Ep?g6Y$R%JMj7IeKL{cz8KFcsPQUz(ZdTO^KryObOf+eW((U9ZeW^7(it?eEd9; z{V+6}K&6=%FI>dH;K0DZnB>5~07|!JP#IQ!jn?)?up9$aj>#M*x2(IbV=)7Rg95`1 zE{6aA&8?vloGrEs`+65IUeN^B2shLYCg0lF+umfmx}gUw-x%q@z;K3%!Q25V&%U~$ z$98cCC^Q)uVAh&DLS;FjvK<g7!{uF|@?6ed4jKLlSy`FRVB?vf?luRNTcEVe+1b$E zW!td0p&3=)9clo#I7rU6xo<@;#0hW*dcaf|;ZorVRl$|&<>;C00E$|$C2&`ILltm< zq#V**eNm--pwe9F)8;H&<>Qgy2k``4zaLZq-}Y&97cE-okd>L>;N$0kY6_^h2Kf}C zXfakr0-;coSQTu+Q4A~N7zEOwG6LZ8-N7^6)z8s2%hlf%u7rWXJRhovWgg5E@OUnO zNo_`#5-5gBaX@3p3)#qKs3fO2xZ1JpYXN%;9)T?|c_Zxdtx$Qcc@T$ZWhQ_PfCpY1 zOu=UC3IsZ!3b+@q=<RE<1zUsc!kJKcb_L<q_Qpl+VB?!%>30@PRtcNzLZ~cP`ZS+q zt2{IOGLyjC;i+p8Q~_tl!j?q{<C$UUcL`LUBg@|doS(tk;kIvvO7nNL_xCgyF7E0O zU%a9p8iw$=fvFPk^h@$}+>zzx;<`C2GYJ&8$oU^#nYZV>tjr`>fWl)0rc4lOXLo0d z(c%^Ti&yl5-3C{;1?o1AlpQXv-u?-Y^a^v7z+tE~`=S=9#a$ikNI@=e1}evq>^d9d z+GHe2JWNIhP<a6>su&y^rp*8~20((aVhBYy3nQCw1k@@9c7?tcP^M6Zi~MJB;ARkD z5RQT=;aCXGdCG91{|pYgAT`l&HH%?t;6ncy9P~hHV&H04z|_En{xdj8fz-sp)vSW4 zfeZZymAV29!tpRQY+jzA(t-spz{1EPoB@+%Zf$Q=hVx*WGvU&U7cNqU^Zqk9$b;<9 zf=f3vFI0x}{xdj8f~2$I((R24mEpYq3=R^Yb`b-}0VOaste_&lQ5nvMg;gnB!QzgE z9gCFVe3&E3U<%kEMY=Lv;6H<d6389pFcr*;SM(~wdH)$4)WGgwU|?b76YhkmVimC! z6&F;7^I<OOLQ-ICXrv71!(7r0Q@}1_Yi(>RCjm}ja8X9#9%KbZFa>Z?M&VwV0+y)` zJ7zlsFfcG6gjiS^82$_QAt{+V7i<Guh(Wj?Cd(>fD{d>S4Cnu6a4-ag#RMb;Mz+Gr zaQ=S=2P2SziEst7U=!f{{|pW$AO(|<6o5>C^ZzqAn1U2chACj%;4o+2jLFJyf&UB+ zvLF>xU@F*UK|yUKt_&CX&)^^jQZf}uiGZ<yu%R+s<UfOh3`of|xDpXth!VKSe+CC> z1_1_07Katdu-pwRgh9C*q5@WKf<zcVsew)TD9rHx3=Vb-0u0K>kOUk+0>_aAoInC6 zkOV;4Tp84_09CRK&;+7<3Q2`Eg8%~yBb)L$Br$uCG3Sv496<sXkOaUMT|^Q9TXYFY z0F*J6FCz(nEP{HBfq_v{3)UB5U|?i)U;||Uhbc@93|g>`2w0pQAr9+>fW<iw;;=3V zSez3f4(oq_#kmmTu+9fq98^>?K<tHeI>6#Q2=%ZY2UwgJAr9+sfW`R`;;`-pSezdr z4(n`y#RU-Ju&xGJTo54+>u7+*g%IMfZU$If7%aX86mGCS23TAKAr9+cfW<`-;;`NY zSX>Mt4(nQg#l;cguzm$t99(cZOaj>p>s5foLFFw2L>$(q0E>gF2?htSJ7K*EusA4p zK*Jx_g#e3#G8R-ltlt0@2W4BRIIPD276;{3h&ZD;Gpw_~zyM=81T!Ew3=SQP(1gec zsX$-?)~2xZ%79=oGCF|T$}j<C7z37@4B!F|qKpg-DDt3W#0=}9FfhPaVCTR%sLoMf z5P%CfgfK8Lz#9e#79)d$B1l;@$Q#VCz6=8cj0H9x#(|mU0BW!!1VPOUIFAKfe8ahj zzyVcT@W8Qw2M&S-4IG$&GK>KV9FS&BxBw$7iX<pQq6mO;I*I@&E29X2@;r(FsL%k1 z5u%+0V}XMJ#$j+s1BDa=I0z7epdf(rM8QD-=OThY85GiB{miglAGpN`9>W1!0~dyc z6axbzgM$i4A2gvcgRBCVzzi@JSSO4Fiz5cGPE}-`u)ZP#1B?aM3FE+Yg1v?i1Q`tH zL1GuqMR*O=>VUbK8P?}yV1Ti}7Qr|$iy%%^M{*)K24Fo>1_l@ltQ*Ebi2;Nl$eD1S zI5-C2T!b?<K$d}H0BjYkYs<g@V}Y%LaZs#62!gDF^B`8ixd^K?;Z`w&@;oHUU@WjT zFb-;XXo2*>A{*9mW?+D^z&c?ZRGr$$Izd?!Vke9R)(PXlbb=!rAqessoCitAa4y1Y zI>;8m`sNG_Fc#P%7zbt%B(gzOJ3N`dI_wM#Fcw%RjDxBZ)PaEMw1V~G85k0v1&K0T zh?C!TS<6aLM+W3zMn(sHP`$%o1?%54Fyz4Wz=gO#{d@;-_ZX_l0IZ1_*57AffU&?% zgmGX_1cxF*5EP1V9wdRlxghf)VF7Bp!hFOG8$DoPfU&?9!8j-uAp}7d!Fdpi;9P`7 zpf)hfA}iQf1Or0_EEwQI9G)KjnF-FG;PDAYMh9a^Aizc_7#LtIu-jl96t^J+L2iTd zAZ~+m5pDxDzhQ1;h7ENvFu+(~i(niSix7e!i{LznMQ|>{B2Y6PZV_zEgn<FZ0$T** zpjd<u1X%><K`erE5f+&tXAaod3j+g;1-1yrL9qxS2(k#ygIEOTA}lgTvd9WHhQq)x z9Tu%{A@=sgjkXJwK!E~kA6tN96<q!yx)CrI*m*Dxto(zdS4)t6h_VyW<$$rkdSM(? zy;g9&c5|TaV_=vMWvOBcF)%Vp!bKfoL2V&87ut7aU{HYz!Hq(l#Bg8*dleL9pcXR& zBa_@*sGST9aF#Nh^`F53<Vgk;5m0kbZXR44oTUtB{bz6h`Hulb1Z)rkIFw;yU<?c} z7C59}9Mq5kb!{MR3})ES7y|>01=a`Sz!DE6c5FZ)46Qb-7sH*4U_qN#Fac#41Jt|% zY376rIEaD58_tD>iUC{z(l&*2q2333718U2vA|A-abR8rmzM}ZP<n^+n89^7oC}IP zh!1T+J_M&fyJc|yt%I^uF@->B2rddqLvSu44Z(#NU`An0L!d?<G!5}AhuX=&um;BB zgo`-{fC3NB{m<Y4b~nh2a6x_*IFn%&%m|d}HwQyTP@fj$d8n;&E8q&@EM++BKZ64( z;V^*14lc?lw-T-i&QgZ6pl*X`f{QXR$icmZ@SrkW48<zAC<D)0xK(hLGMx3F!2y)U z<lq7fa2ARpP*^c=!sQ&;K!FM8LLChDBwP>_Gt96dSq26e3!Ls?99UKXr#ploC{o}& zNI47Vf`T42ZUPx9VQ>JAV}K?(1sFi#h3Hr@!$x@-7+@^0)i4f<)d)e5)o>o9RSoAt zt!89!01b`6DlO}6@Bl`zpqUybpbTSxGBtRJ2U!4A*II9fn}T3LO@Rq0!x$h_K>kJ% z09B;cJK&}uSWr`70va#|gEE};AL=EL@8N<B5J41GpfM2Zop4JKET|<g0Sy>~K^e|M zwgfH+4NMeOpaB)@U2sbfET|<g0Sy>~K^e|MwgfK71oa7A;6H-{XmrMUH{22g3u*~W zKm*2LP=>RRErAO%LoI;|{AX|g4fR;>fm?!LK`ns^Xuuc@%5WC4C2&C&s3mZL{|pYG zaU<)!a7z#@s3kA~Wf%jLd_aRrC<5S^-3K=X!Gf9s6HtaRK&F6(pil(BQMVs%3W5bS z1ty>jV}MKn4OpQFfaB%>+!O>0Y6?t18O8va0vg^z5da7NLAWUh7St4&fHI5$G6ggk zh9Uq8T`Sm>5Cg+$sCmk8A?8IbpwW3oCUEfw7lsVT!?^;Cj1JD=R*w~I4vB%`3d}IL z5UOEtVTfUHF32z!uwmBMphhq-AXrfU!330H43Pgoof{6gfCij}q6j=_04fXM(oB%~ z3lvqLVhcq8G*oSU9qu3m3+f=4fHI5$auBE!!~qx3fU{5(!5svbhB*i>0G$y)(FPjQ zw!05^&=V+26;lY*CV`7W+9Yr;qD=x9Vt^S%piRQa^8jim1H)q&ixVyeX_LUY{}~*> zgD#+uhYK>Qz?ldmP}?M{G1?>#VRkXVS;}zMe+CE8a0>&92q-e;9>KN2S;}zMe+CE8 z&<O*I2&mElRf~*_;NeO}N$@DY18AVn0W_B902<+Q01X6!XDl5+gQX6j0d@yadE)>o zF2E%*xTFM^WDcN`$pKWbIDpCp2T-<l0Od;XL>_pu4?H0Wo^%0E&Vc86z%w}v@Wo_k PtH(f21YrlE9C>B{dgEzD literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb new file mode 100644 index 0000000000000000000000000000000000000000..75670eaa779a7d6d529c62e5132312315d27c9a6 GIT binary patch literal 15164 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}yQa`kt0NO1MabYyVwXJBCX4+ReH3=9mb_!hVHwb=HyG_PpjZEx=8ZCtdF zx20oIN58UC1JB~VhL+|Pi#vEa7A<aX>Eh{M%-h%7*xb<rSHionqo=o<mzritFfcH% zf;`6X4aRa{U}0cjbU4Ytz`zI<<Lqc}Xk6IQ)3TU-VZQ?J!afCkm_}qDGcY(XGB9jl zaCpCOtsK};7=a@0z|0`Pz`&wpE(tZBxwXBKfx*Fnfiua0fl+{&!CVR^vv}bmunad$ zMj9s5(7X^VBMp<0fyuNtqRKFV+ye3>*TQ5kuZb?6o3k<)7#tE9Zg4UD|IZ{3)yK6M zo2&v<mR+G?af9$;kjXHOiZCf93@Ig;)S})+izHBVDMO{$JpB`9p*TbZF5~Al6-7oB zD#N~{p}hmu5o%B=?&iMU#*T&J;_@iAX+WiUc5L3TYsSpo4!fq#pNiypO{hGxu#pG@ zgM$JzOtfIaMwTF9n2)ug!mJ>7G$V{L*M&)REN%eX&%n?e>A=8nhKa#k4=Tsum7K5z zls_049FU~-VbY#koM*$O8)3Q)pwevqGvShOqYa^wtOB5ng=%gzRF1iGVGmdaZe$Eh zrU~j)xJ)cmhRxN%G0V{lRa+cPG65mUl;ps`07|g&P)Qa~{{)CWxKsjEiWQU=I>2Va z<r1NCoE`m379->tV8$jv<=GcCHw(0^TnyID2$M~Q%5p4p%yOLN;+YJVhWjQJD$U*} z0gErBa8HBEa%A~?q&oU}LR@_~$bo@j8WV#+E>xN?+0)N2Avw!G!Nb8dB@33Jp){jI z00RR9EPpYuuz-p@h#)LmF|e>OGBEsy2*Pp`0}BfiTo9Iz7+6@C;exOn#J~bF6sjGT zcNkb$Sm7#R*@l6Ig$*tU%P|ZrEbMSWSYBaZVc`c0Ix;XYz;XzKGQ^3n9Kpbd<Zf7g zU|@v!kQ0^@7#uhl85kTu1|fuAFry1eFe<~c2*ZB{2LVt42r6Vj`e2C|8l)hK5o{nV z{ume-SQxp5^Pn-pz$#)ZX(*!%=l^GLkYNyD5Y9(ZAY^Q)4Cnu6aF7KlD1a$o7O@pj zhV%Y2I4Fap3z4LSmEpYq3=VQ2=_0tatgWyzocEu>K^`Ps43lQt;4pLkhFQvRf&ZX# zMSwxL1g3&j*4D^KLK)8g&)}d4GNKfwfLT;rP#Mnq&)~oYk}iWuv+UZjd51Eb`=7yq z9VA~4lV=tXHdKc5{xdjmfTSzn(&B=K%5dI)1_w?^bbvyhfq{`l3sx^NFfcNLt!8kT z!o<L!1*?_7;vg%b;;=diEDo}Z!C?|eJ*-9oi-Rozi!-V?gdpb{ME+r9aNuGPU~q^A zRlHzD@La?IXE8E3aHA@M=PCv`i;=;B2UQU~modOuj0_IEsEXjZjsebMWN_d^RRqt4 z3~&}Bg9AURB6zN3fU_7O2_MOK@Lb9OXE8E32%?$<&$SG279)d$5UL`0E=J^MMg|9A zR7LQt%>ZXHGB}8!DuQQq1~`k6!9f&N5j?Lmz*&q84q~W^;5nWF#&QT|V1RQN9MVA1 z%;4aIA_yuHVCor|!36=F3rV32j0_IqAgjRo!N~+x$1*U$SYWGQ92Bb%f*`BlJO!{- za4y0s2~?|K^)&+nj0Ls|#(`M{Zaq3kqUwcJ<_rul7FaKggQ`~wRWG8Lgt5SSVH}uV zaA+a~L0*LO*uY+da}i#YhFfK41S=&NqM$5QOd$qFMoGA+Lo6c$gEE{8Ev*<BRNz8z zqfi^j4y^EU2b6Ca7#S6ep>{GbM8a4O+92cM68{+-K(1v}RyTpEV1!f`a6W?^f(d6S z!&(0s96(XZpa~aYWI~Yzr*%-h4p$)0!oVo%z`*cd-4xAI1YZu$WPr1j;VihNa1ll( z6j`{Xa0T)#3=CpmOU=+Mh4Y!@;7kTMOBv3BTM8FpWI~aJTMAbIvQ!*wsX3aZa6Ypf zoXG%ZDZ^QCOW`7nOenH&OW_JYmi_=+YJp}coX;W$XEMN9%5WCkQn&~s6N)U{Qn&(n z76xHSu%(u0mcse0a&RUCoTUtB!7YW0FfyUY!Yzd>kY{0F)&g5<g=Q(7&n5?FGQe5N za2DKBxCkQ?iY(kxxB`%+nqW(<(JY1Y+2!C&1~^L@&VpMC7hz;Vk%e0dR{+W~pTL&d zpjis%bI8G&3~-h*oCUWOF2cx!A`7<^u0WoJq5db>Qd=}j;e1XxIFkX+QiikOmcm6C znNVcmmckW)g7+8LQhPK@;e0MRIFkX+QiikOmcm6CnNVcmmckW)EL8wo>VRe`oX;%> zXM#GMkYNsGxES10xCkQ?iY(kxxB^g|DuFEpRV$zo1yBPK#0Ft7pGOYPWPr1j;VihN za1ll(6j`{Xa0T)#44jH!OP$bs3g`36!I=zjmNJ|Lw-heI$b=#bw-l~Go`vC=D%etI zG)v)pJ~=p(0nSo}v*4D(MHrb-WZ{;=6@V;N16%5XW+|M{F9&Bbz*)+07Ti*}2qP1U zEZkDK0#HIy2V3fjW+|L6AO~kMz*)+07Ti*}2qP1UEZkDK0#HH{09)#YW+|L6C<kXU zz*)+07Ti*}2qP1UEZkDK0#GfZ0k#x0770$R(3S(7FC+(NGQe5Na2DKBxCkQ?iY(kx zxB^g|f^yY=bq_S3!ui5-a3%wsr3`1mErp9PGNH)AErlxpS*il|sTZ21aK4BfoXG%Z zDZ^QCOW`7nOenH&OW_JYamod@)EmuGIA2r_&SZeIl;JG6rEn2OCKOq?rEmrEEDWsN zU`s)r2;?}0^Tp)gOa?eh8P0-R3KwByLXm}A3ReK~DG%6EUo@Y>`QmbLCIg(M3}?YD zg^MsUp~%85g)0F0loxENADX3bzJwf{$pB|5!&z`k;UbJoD6()%;R-;710UE@&{#fl z@WT0$a&RUCoTUtB!7YW0FfyUY!Yzd>09ncpwiMI`N46Bsmy&}s8Q?5sI16qmT!fJc zMHX%;T!B0bgQ5)B(m=G}h4ZE5;7kTMOBv3BTM8FpWI~aJTMAbIDv@NtmIk3&3g^qn z!I=zjmNJ|Lw-heI$b=#bw-l~Go`t~?)H3+54(f~}2QQp2D+gyXz*)+07Ti*}2qP1U zEZkDK0+3JT!9E3z{2*Hj=gY~#nGA52GMojs6fVNZgdz*K6s`c2Pd|e#4MhuHIA2~4 z&SZeIl;JG6rEn2OCKOq?rEmoxpMC*b8ir;moUb4UXEMN9%5WCkQn&~s6N)U{Qn&(n z76yJ$8}Gk*IGUw!zM>qQ$pB|5!&z`k;UbJoD6()%;R@tgY8jZoK8--L6wX(YgEJZ6 zEM+(gZYf-ZkqJc>ZYf-WJPV^D4``4bG&2c`Y1lLe0|Sf&9u|RdV8bGi;TX^`F=W&U zJZc1+2w`A=vA}v^98|ra5o9F2u&ELT1{e#h7si3<1rNs{1VOD@IFB7X90TVfhGRg( z%@C`YVUsQl3@{eh8W@Mcp#w37h7bf<1Lr}kfpZbofQGH1`WcvE6F3YEFc#P%7zbt% zBpek$<3$jg8JJ=7I}8jk7FZ{YgQ^oW&JDK{Hv7ZC0Aqo5!Z@fpm5}X(%?mLwz*u0N zFb+&7IP?*MpkRUXAi)CXB7y}p7XWi2IGMnvnHU&gEU;xT4oXxb1VNU;c@WFsT!dvR zAYUQIF%eT9Fc#P<7zbt*Bo<Ur^};5!7#LtIuwEF4!ND7xR3LiQ;CihyVY!z9!D3`| z@MK_s3uwS)l;JGstO+=X;ey~44d+384d+6v2hX*Dr_JCJ>@3y{4F9dO;SNEtpbmiv zXuuc@%5WCUA)o+<3o=0FbinRG2wK5r^%xi`p_VDbh1mSEW=!3(3lukuj1KDHu}QmH zs4@nICMZi4QwTI13KxY8hr+pt;ZV2`+$ciBp*(d^I~f=nVJuF#n1cXlU=+^%&)@(~ z5TIay3o^>p!!$C$S;}zMe+CCoLSW#8i#V`@425%{3c$e#7i5%!y9VJdWw;nr4+Dw_ zXlj6g!LAkVnhq#S6;lWloN!S{aKgEW;Die?z>FdooNZ7$85r7OEKazXgD@il18y!j zu;GgTLuMe9kp)2WO-TANG=K|DQ0%}pF(N4!1cf_X209}M4tKa9qa57X2oEU3#r`um zfJzev6cJDv&%nT7*A4S9!&fLv6;lWl@NiK`z{9zSfQJjgjlvr6ObiU*={N>PMxGw1 zoeT_LU@T6!7$hCTxzO26u-D;&j4E&@!vvTSD64H8&NB*tvM$_KX1QLtLO4qq&Vt4u z1BwV}URtgXt_{vohO?l#i~;OCxF~~MKU@=>r3`05=PV$a;Gzr+Dih(l;NgPJQ=D*5 zvC2(?Yk{+r;VkG>H3PVKg^N0X2JlpLp#ao)lA8=S1<q22v!K)E5a+^08RVwGHNjcR za29kD9-;{@${;rlt_jXkhO_=NfM>`cn&6@g3e(}5KEqg$X&|@+H2N4h)n~v|FfuB` z+5aIE$trLGhD9)Cr~&){oN7Qd6J!dCg=Z#Q;XD|N6D|fxt8gxKW*?jw;DU@Qa3%xX z2vl1?fo%mPSBR~QJhNbSG0cUrIN@RtTj5;f!T~PGC^s9Xkpa$9hO?mI#egCLn%9<t z+lR1O87>CbhAaY_eP>{hn-8}S&QgZ6U@1`pE`lNlnt%hh9N^O6Fog5uQMsVSb_|ed zJ2}vzL~sWZygU)iQihA6Bwe^DqufHcTj4BaI144|!bKSw)EC2aF)}K{+5aKS4^-d+ z3~OM@P$L;VX)*IGfh$}IV{yX8Adw8`A}1}lAfpPL$pAM3)mC99)MAj4XDQ4sh7~Xt zCtM6-E1Zj*wBUk_a?4;E8Q?5sI18RekVU{r3vM66W@We-TpO|os19XdkXr?}56)7C zv*1Y!E`lNlPg-zka9V=%#8J7B&;rj0%dLi63uh_AStv;hF3QNDz80p7kx?1W{tsDy zq5>CSI0#dQ8eizCgoS4vT;X0AixVyei7z-8IhDW#8CBp+2DlNZwi<#{38L6z<XI21 zi(wCp#R(UK*b3(&rxLgzqud6VMg}-b8P0-7H?jyQm8filYlGX2>R59O$8Lga*$rcH z!o?gwO(_jHR~gQN4mW_y9k?JkHNbh09t)fcDxsm}4yrl^xy>+(8Q?5sI1BDsWD(F( zBb6<1ZE%;Pde#B#S&+q$s)0#vD_jelr3`2NXK(<m6M@vAa8X8{?Ql(?4h^`J<b;bs zq7%-AHcyb`!JPnPdGJCaP}>zQ$SAi1ZUvmB3}?YZ09gdIL`n|s9tJo|8P0-hLlyz8 zhXMr%&px<~a26+=1@@E%oU06H!J-}He7K+jq&5M^DqN6J4$fqNvrr5I4In|n8ZOVs zAh#dxE;vgW&Vm<La1j(acyR@n2D=H)<45IkfC2*IcDVy^YvC+qI18n?f{QXTs2_sq zVq{c?v;RX@!l}Ro7_P#Up(aoC;)<E)FkIm!7>g4w296YEI2XCNf(tULz?lqiBT#KE z24@Q7;_3*@E{2OR7AIT`Vk?}BTwK8g8Rd?`G%~<h%5WAuAtH-_i>qUBZE%}W9b1X! zSZ2B7a4m3_GMt5ygW;l#JSX6q;4Dr!3+zJ;I9D0YLQd>(LFB{^7i5%!Ga2A46oWvE z*C2@<F3-ro1KJk>E>9RPz+A`)7lXJ9&Q*r9VD17<qQM2hNgK|CRLpQLQpF7J&cG!& zKuf0?{;R+R7|y^Pf*KJ`;D`Wa7+6ty3a${&Qiijj#UKMGT*QG5)G3E^p$fpRh6^&v zorW6<XDP#3@N|SM0$RJtz;hO^4-t@XF>pXC!?{qaIpG43aT+-HKXk1psEmUPGRmEU zTLEV&!&z{rA&Y>EXt;Y2QLGFXgKI+;ffmtnm*Ez|S;}x0yd;E+pvb{XLbx<Iq~SbB z-3;e~;uTsq%Uyw63uh_AStunTT$GVP{TfUcBcn2${U5TlRs}A=a37`&HFaT@gxBE; z@4#4`a4|@P!nw#LAzYAA1<quE8-Z%;F0?ew$a4c`7sG8BixVyeu@%lmE(zg+jB+<& z8X4d$WjG5S-^e1Ml28tAAHrs3xENd;vIw;2co%LToTUtB!BYub1Vs*>O5oDqR08Kg zdX8`|a?kM|+*&wG8O}mUC2&zj2K5IpU5t#%aQ1)5qHq<s0K+4gGSv8jq!LhCg_J>z zau4AO;Vfl13m$67BA_Ml4C;?z`WP9N;q3pAb>}K@0fr|qWq94ez@YvVrjU_Q8P108 z%}{|0Fg%AT!)qQRquevNLO4qq&Vu_3Sp?#*7chN{jLLBKf5<9$6}SMyCzvv9?m)B* znB-o<6~bA{a2Cq&9bA-==M`MjM;MC}E(Xa&a4vLw8=UOmf($AMCfo>Ar@jJbU4&Da z<zB<=Vt})h;VcxV!bKT)-oQ1zhq095V$jBf3S58zt_amJpTUknq)R5bw=mNf;4Ec0 z3tIm$aKc3(bt9Y$RR9hYxFDn4JGh~6mNJ|Lbu6Tb0T%`B4EX@p24^Y5S@5)kECNnT zAn!0RF!Dk+k$?&t$R-d_&kM4N15~|%cOOAES%4~H$R-K!CJ69!GiauQkp;Z%1-z{W Yym<z)MFzeJ7H!un#9jsl2hhG*04-SS8UO$Q literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb new file mode 100644 index 0000000000000000000000000000000000000000..5cce2dccd2f7836dc79a5343c09905ea762682cd GIT binary patch literal 1707 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}yqw_Vn<(zdm|k-@>Afq~&a6o5Ia_~5d<2v&a!Z{wncye%DzI{KBB8h94> zHMBIZSlq$Wv1oC7OBYZ7V&1;q#^#P5xDwul9X-9>ytFXWje&uIg@J*Ak<sA{0|Nt` z>u?&0rwkKj5ZN$${#1wg8>a4>xOwLMnNu8QZr(71fx#gFNi~DR30?*UmD<$eoWwNS z)RfGkqSUhd_?*Pjyu@4`M?YKFfXI;eAa~z*Z)blWXB}4`cR$Zy+th-}lKAZ8#H5te z)HEH4=9JWeg8Y)=`0SkIyv+F2;!>T|(xMXEl+^6x{2T<oB(*rT2*L&1nOB~l5?_>B zo?ieKG|)3Jv`xv+EJ`hiH_FS*&Pmom7BYkgnb>CMB*&MO=Evuj=IQu4`}*3thIxi~ z`g#Th==g_(I>viDxwzS;CKr|F7b6^MV4GQy7@wMxl$e(ab#;1XT3&u~YEgV%WeV5< z5YK=@AtSjYvmC`gU<a3^Wo8t|rxqt>6kup7Dap)=&y24~%tbK^ESw*omkAXHg-c0Z zYHnV7W=<m1C{TbI#8+jOg96YtH8(LYz9cU-FTWr+Ke<}RAl@k6v<xP#16K%2#SAIX zbiv>NN*;_3Cm9$RT%cl{9qkQ`3p;vR7PBwxSKwXPub}S%lY%F6P)1^8VA#Om@P6M~ zIZ#f5V+Uk$2X+Pl1_lNWb8n~-><WD?;QYkk;K0C{<iNlvz|3Io3zg+qn3b8};N$1P z0FoAhN&CU17h{zM<xEg2=U9PN8kBm$(yOpa2SE*IUEHy-V-eV5xVwU(a%^6nJ{}2v zU`d#(1j3+_ELj=;u5j<e87z$K!jVuV3@~pi!$tlxIB+otFbGG(l)(M23>W&(;J^)1 z69ZQR3jk%f(0>L89*~+?xEfd}D8q&RGdS>q)WpHnz=A><F7%(lfe)l60j35L9?Ee3 ze+CDBkb*>*0%)Kp!v+2`I0%5F8yW_T>{_sB2Nyvg|1vmCVPas=f<-u39OOp^hb<s+ zSWJV(LH>e@!=e~04)P0B92U1=agh6=;;={si-VjEwHFqlU~#Zx!S*sTTESwIfguU% zL1nm*AhZ&&MNa>qLRAprT}F0rT!JGD92?-^28Sm&%pk!6uXE5U8E9xRIDo1t0BJ{- Ar~m)} literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb new file mode 100644 index 0000000000000000000000000000000000000000..9c7216c3aa2e8794cf944ea5c962129d843ff1a6 GIT binary patch literal 6134 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4?Rm*0R#JwY|}n-xkVZaPVhfVE7LO4&e+846FE{atKwtaP_?XExe737V@@q zEb8c2R%+l`+}F_3ykc<&PsgIg?JZqA{fl|~dK;TNdf-ZU7k2dYcJtEGY&Ql51}2dI z8Lq=v4h$>|42%vZ85kH`pkkaI?G23!J9=6cvoGvd;9c0SpbyiCC14mB92glGHZVB6 z-?vr{Y&MKQ5qDr_5MW?nP%-y_+QP2T*8&P-1_lQQ2F@f021Ws926Hc{EXTsE%mfD? zKM%095KP(|CcPM|v=2;r1y*TanDi>F(x4ayg%0cDj)fhIz!r1EEDnHbW%Kg%@ksCk zOA5mz!=RFa@aVTic#eUACCPz-L4cV-AQY;O$HhC-+0|j8zbn{-u<&9qPlPJqZ0=aN zqHl$5Z!-gf0|NsC)By7&s601Reo6BpG!@BE6}(Ut9eusc3;R(`Nr9^2%JO%0fcuAm zfeB_!DpY~cB8PO>6i_TUWMw8edxKqz?4C5JLNSCw?@VVLia{9<6ubgno*9|`nGVj_ z&CGzB$q)5G6aV5By{+wysD8*qSEYbWRTjD`C2Xp)(N!s8Q<Vc%#RqjhC=Fo*NG_V9 zm06hy7>e@H6s^XlC?Bdw7#a-C9sT{iix)O^VFX11c9nfC7%B^)D!JTTT-_Y9JTp9# z!J*0si}*=U1xOK(ln)Up*?bD7LZlptq;MLhLZrNjq;LkNLQn{U5+B%&@J!STRmi(| zVPA{ws^$jUp2nUYcphS45ZDJ*!2wE(kVFfY7B~Wx<nCJ0WD8F;2zgLJ2uh`3d3bU` z$e%^l4^Jov`HRT%%CLk4mxnP}7@34&#RdbTtTLSapTU8bL4ZLRRKtMW!N4e@3}^pm za9{%$qcCHTXck6A;R#U788~+B+%S3eoOv^p;X?lz9E3pzPlT!A6f(Au6_OGa7gUCe z{bz6x1u23h1O|3~V+&c361d2J1_uR@lF2Z0I3_RJwQ~c=F1XNt1_v>a8dx%6U{`<| z0~h(v;GhUnG8JLWLZ~rtq5ljH;vhA!M8v?Z1T_XO@}I#$38Z8?!kEQSW8gyn85|@) zYG6r>fn5=53|!<tgM%_i$xMVXE1<@}h5j=*NP^VNf~jFwh8hDG`On}W1yV8_u0#W> z1TONQ!9g0NWDZ=37E}pb<UfOh3`og5xDq)LNIbzs{xdj;fRxOKDPdbUe<~;n-~zC8 z1Zw<%(-GTZunGrIO$HZaWC68mgcre#;g~mb2SOQKn308%f#JXK5|}bpBVl0yVX#qf zF?NViOJQnQ=FFQh3#<e#1hs7$ObN4`1jG=y2vo&#m<pz;5F_9M0w7yJZdn0WvSF4o zob#W-fgcnuD`B!MTW4*ar3~l(XK)Yz$*+RRGfP-PoB$VrI$;e=1*@pIprJh2BXBXO znzb-BEc0jX*aTJr7lJBT2UEf^W9F<G^QS_U!G)p9*29#s&78ks){afeaDo2}4uT*T zZ-lFuHFM644fB-Y0{<Btgg`1b!Bp_fnz?DyhFy?|RE7)xXK;`MsoRXM4pLCSh5s`+ z$b!^ufvIDgzh&0uEfD{~1)&b#3RA>vY-pqm=ly4JkY^BJ0F`q4VJaA9mEmky`aJ-b z6j6q=p(Pba^HI3GtTLSapTU70<iKNaNfBi@8(KVpG@pXY%PPa!{}~)OK$=g(B}J6s zY-phb(tHjsFRKh^|7URE1Zh4GmlRQkv!O*1Nb@DQysR>u{hz^s3#9onTv9|C&W091 z@ba9IT?^JwW?*1sgcSP@Q<xYSv|vqSusA3n7#y~M#9?h?usFz%P;pqJ7%UEQK2#jm z8U~AlTm}_~HG{$8+@R_PVlSxR0GA{n_wazlLH06AS;5-13=FWEN*ON1GJEG_P=OB8 z#0S#Ezzl2UGBChc4#5lv4ueAnBPbjkKn{ngU~q6mR>F_U1u17>U|@vgeyDy1W>|BX zfdR$>TLk04EQ06+l?!m4ux2y^1B?aM3FDyZ1m#b-PFUlbfdR$>>x6Mob%OFOTqms6 z&A<R-fpx+-s5(JaIb0{K8P31}V}W(TIH)>7^#)ugtWD0q0Aqo5!Z@fpK`jHgPFORY zfdR$>>x6Mob%GiLaGkKGI|BoZ1=b1Upy~wG|8SkK<~#!fj0M&S<DlvURrzq8uqHkO z1B?aM3FDyZ1l96zov>y<0|Sf&)(PXF>I7B(aGkKe00RSz1=b1Upy~v*3E(<m9Rvmj z7z?Zu#zEByYAV2W!g>u13@{d0Cyaxt6I9B>b;5cP3=A+9SSO5wsuNU?z;(iU6$}h8 z7FZ{YgQ^o$E5LMG6~jtG23W<R3>V_sJ!_)F;+b=2%$*C$pOV5QFvXnG#=;_&!g2ya z;2IY$?f@z<Ra{)7JbgVw0zjqMf8kP?CVmNF0YO6nL0f2>4XhO|tD)i=?BfU;<oGXK z2Ghbbf5X&W6L-v?xoPf(8DRBrDGe1DAAgT1aNDUIu720liCY{t?cB9_;;tQF^>8U< z^DAKLc_oBJtVD!uMa2cJM1;Ww8eEQ1xDuv}U&_{4+(O0{)>!9-%P{lvX$e=s)bY*S zF>%@^2Ux?O7cRlf&&MxZ4O1qvW0S+o`BP^*%-pdPt{CiExDsU7*1+@$%yyVLYwGT) zvmBtUeO|Z}*d4Vng}f4m0@Cuf(0&6iTpa9-I+!Y<ne%3Bo#C)`*G`0ia7ktjK7QeP zm{MUwd0PoN5h+^<LkpO(U<bohAUn7Lri~BkTxhQX9KLW#X5mJdI;eZ09TZ-;IM}^S zFjdIzg__6<mjt`F8KxA)y-;Jp?uDyBc5e$z8_d1X?hDwxa7iQKR+u`dd!aocUbr~e zy=^d6$nJ%j$P1SQySE*t6ve$zW5Mo)t3Y;d2TU8xz0iIV*u8K`9^p=yI;eZ0T`pd@ zIM}^iFjdIzg__6<mjt`F8>SS+y-;Jp?uDyBb}wjT0NiTf6_yn-l90DmkP{IV7vzPD zvn=Xgw2+a}K?U46vEB}|h5^B1WOVRkV1NrK!)2h&ToeINi^+N?+!O>0Y6?t18OC7v z&)@)RPN4{ZS_W3IfeZ!)Sld_`F2pir_D)cL8`Q2+1v}jeHnhRO0Ba2^!-bHQt3i~* zMmiW6VC`RJxDc{(b%=7<zy|{Zti`Jg7eZF90Z|Sc2Vr1<wQ-f<LdeQB!O9sJ*}+X* laKj$lKnFLp!3|~yP>6y<hygx)k2YQpZT~Si2<6B#0|33n$Ke0~ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..6270efc5023fbed30bda8aba793c4dca2eea1cef GIT binary patch literal 12285 zcmWg2k!R*+U|7Jwz`)?}q)MKdrKsynIwOM!4}-(|eP?z#q%&;bV&HRj^~>_hboBQ0 za`1Ca<6hj-x1zmw(c-?|#)B_owt+QAF)%Rv|NsBLLkI%{gO^)&f0nENPQQfYEdK<L z1%6&`3p|oD7PxvQdnT<~?AV*-@9654ndRB*;^Enwl(k^j+^GwkGpA*_PFawV<?rd6 z>5(vNfn%0`!i)tO$(|WI7c8GaGlN+f6hOXbWMJ69%*4oG&BWZicp-;ZvZI&3V^&5< zkLXE|2O+|239eabo>?7Co4<hsL4mYZ&YFqE)6>(HZ`JCB3mrUMT@w5pys|PrsVwRM zsbOY>sB(C}Z><~?G6zW<!h{;c-Mo0AZDY%#j-C~L|D=suL8d?yfX!fF5ODBkU|?Wm zP+$N#6@(cW;6f}u$q6Z70$C8`K(H$r7#tQdFff2TjU104wgog!85kUx7z7v?7<e4= z7#JAX859`UTN``Z8*N*lw1|TxivR<IgBgne0}~S?g9QUvB?n05;*NzKi)>riAv6w^ zoD2#KLSC+Zj@h1mNxqI5S(!=xt`4qXq1|Ai9T1_Z*lprsP+$=7^iS||@psM0@bpMX zPI7Ps3Cuzgz@e9$L4kpLaaT+C!sdqFcH3Ss+Yro_MX`;U!35$j9tH&l-h~T07Bx3F zEN<y*vF(NO1i(BTx_FUvEowy81?J(<#mAt)z}d56A=u<z&Yl&9U=|M5{7}_k*Mn7q zSvXV+fZ}HfSVb?p37E#AQjkG`LA1H0y<t^Hdw);E!sd=e9gEvrx@>#FqE=v0L#U{f zEe=zJKq1i4*|2yaOgArxX9(rVI-FtzB{L=_a7Z&U2rxKAa|<vy#DIuc5D^C=;z2|L zh)4txNgyH_M5KU-R1lHIEx^FQ?C_UafZ@MG2pfoGWe{Na4-)*%EWqFp#3sPtzznh> z3Z&ElM6x>6L$eVBlS4Sjm<VnG21bM^qeCP}u@w`jgkaPIQw$6YaE3zz0|Nt06r8)j z1Xve}99q$U#$^DzTNGx{|NjgO3|7n#JHZslP8b7hE{p@V4oo2IgvlY7BFJn8aQKMA zltF9*6$cEAdSD7<8;k+A4aNZ#G$0HKE0Et|GVsz8&R}o=g}*3F0mv3cP}RclUj*zi zMKKV?-~cLh89~J^xM%|tNJSk9Whc5;C?8ai2|2hhFfd4f5`V|yhQ$kQ7qdfXV+IBW zW_E{(P+4wps$OWjxTRqww}iO7jDVr7v50`BLoFy3fa*5}xLVeZMZJp`vPu}q8XH37 z85tP<Bjow}dwbh$JNsG|F6?ORUDVGnFDol<D=8;pXec2lYa|cR!-S%TEh{rAD>H#@ z*UnA5c5Z;EU`A2F4|QR4UkBKg{7_d4%Sj-)lm$f(H#D~`Uft2hZ6skVE+S=XY%L)N zF`pGhEf2&64t|dQuHHOQcg&kOf9h<AYBrE+=Kuc<K(QwbY6f(yYFXT{sH4Bvwxzpq zQ3FWK0!@s8!J!6}xj<RYp&G=h0}=Hgq5(uSf`}#%(F`J5xCIzgL9xZmq{9Tlp!$yq z>;MQM1g@Mwq7HnF3=9y(EX`{dG=m9{1P<lmaOKQQ>P#SvM=>vwVm&5iCOsxRiuvJ+ zS(?|b136?}GaluV$jaA)l&{C9TmqzALy|#(fghZ57x#fG*?!wa3&DIt7~cq*78x9F zGcYh{NP){=P?BG?V)2SD+eHg`LD~%=JT%3!3<?Z<o-U4A8Ofemey-jQ$r)ho4k&l? z4rp-=Hk*Ngfz6=}6kY8gq60*9f`~2<(G4y~L3z)i6_j_tB&1*kr5pw(aBBs`1eGTs z3~j4`gurbVFu}k8Ei<+<D1eFz0S0h+1X2VFTTq(?)(%BxGq5;Jf@Wz3NQw!EaoHUR zr#*-H3=9mQux4O%=mGf#RMLU@pvDj=01+j~VzBuP3}8K=;AUWS=!NM4^9kqyg*pSH zLmx;_Is*d(m=E$C*rzZa`=XA{j>UZ~Fd7^a4#`OB9cqy{ApIchkjKctfFc7<!l2eJ zxaLKX0%-tY78WIN(F_W3h7Hid7?goQk|4~*40an-74{Ul5SAhrEkuMNOb57?ho#|% zD&zoe0D!_CrVrG<!)WlK2snV+3k-}7@eB+MDo|g5On|b9b1R3IDuV(8BiNH57Rb*i zRRgpT22~T_<izOE!@$6x2C+{JN<)$=j6;f-9|$loSim$gb1HCxumcN=0D}V;ivR;7 zn>xfMumhoN25`n+2+7#cY!2svQzX<wF!j*-3tWpifQn)UMm9|(bD?aa&4sDQ8&Fz0 z@PGxeKmm*44n{UzBxgX`L^}hf9;5n2tBxH&6_1ua+&Lf?$T>vmgT%K1#F=7H8WP_y z4v|UK0UXybO`v!NVdS_rgxCU(YbcxOz<{ZTwJV^NJE*#4U}Q5!G8xJy+GLn|qJm4y z3?9rN7ATmpxCj!c<`74TL1{>!!Z_gS2(5NPa3N*r1yHvXR2ITC!ArbNjG(+@0kHra zo=`T?zJ{qsX;C4yt{gzk7Y0T)D<nIhY@+ResYh*zL1PZwEOP+0kF;#zVG3e_!W6Ho z7}@NQ90g?)?I@UfjLfR#0Cxt61#$)fhcmJ{A~^)gCfXq|^%(77XpszS7dwDjlUmMj z$AMTN#}TCuk`i4Y&J=^vkdz4HkX)R@G=j1>2qR~4SBOpEBnf2`9Uw6E7%{`Z$mWh@ zE|g8QxiIxa1(=o>IG~q+2L2#+GW`F~z{ute;eb5`WfScHn0mbR38Zz$5(Kpe)R)B8 zUSR^e0ICXoD60iB<N#_Vz*Rtou3$r0E#UD8P-BpRfguE9ml%|WBnub^I_8C{5mLs( zlz<{1gb@jVi7gajCfJowHgPQ#Xq^tzgEy?$!jNo%vaz=J(MnVXMsO<;rUR6VK$y{? zih+S495nm{B0xf5_ro~c9i7b`;QnvRB4jqy$uMQm@kH3TA~Z7MSOpjuSeV!%kem!< zlN2y8Jp>y^;09b2#5OS~%>ZYBJq8m6ces$6x9EIGa~D*vqiDlY<3YMl3_=VzY$B-* z0dpQGZGkW%eL09TfCjZdP1I<p51}+9@xnMH)vhp2Xtiq$!~(F-p={#Pm>5hosahQp zU==>h1W;EQoE-Oq2Nxj;HI6}nK@3EIWk8u7#zBuibUs>q!n6^n=D=~w$QF+j9#A%M z;Q`KbFdZN_LoiDk)IeNC9i-O+RYi1O0d<!$peBRD2FfN*KZjN(xcCQY1hGIa#aj}w zvt=RK1!ZH7$U{fx9YCYM42%{qb<CXnoFMGL%p$<RmW^Z*l#P9)9y)IC02)SSV6=d# z1(^iG5YuvyOoOuFrh&)oVdMS{5S{~QJeq;g0;U#Z5(q;~%SAE`%0?a8hmP?(fCjV~ z7%gCGKqi1N#FRWFQ=n|HDd5q2(1<@QoIoSu42%{qH6Rl}7-C93k||I&13PRc07^T6 zhSM1sEnxB>-5?Axp#aGQC>!4l0mi(618AI{fzbk{7i2OBgH6{ef+rym3zUQ~S~Toz z#Yj$ovI!;|m^x6I2*TiUk*x&DBq$pm(ctM8ctk^Zuw(;M3o;3WA*PignFeLUO@ky9 z=yVZUvVo}u1p^3!gMzIL$uuY%9N`d`Af|?3$p)qd<Q@=)xTze;6et^P3a(@WQv-4b z2t(Xbfn*AljWgN6<UzVY7-B*tk_k{YS;+>b7i2OBgH6|}h9?^k3zTe#>XEXu)gU<w z$|jhUVCq2DgD}_$Y_&)xLD}%gheR1H@*zA}Qi7=knFPWR)9R2+gR<eK;Y&&|wV(t5 z!jMEzk7OE@4Ne3QmtZC(m>Q6KKp5ht1|(CUY_KV~k`hb}$Q>XIaZ4kTDNr`fqy&=( zxdDVB?r1_X0m>#TDZ%uDOa@`F=~^xDqy%Dtk`k6C8#`Mok|UsOf(Zwv4rCb!gDqrh zLox}<hDS9dg0LqXm|9TKf-od_+mTFzvf-xTOE@sKAa{W<#BCi&ra{@@D2KQUGvUD0 zfZPMZ5I1!qnF3{lO~I9LU}``nfH1_AE+kW+Y@7)PCJ)jL!VnX>kxYQH$x1jdy`XRc zVQ^S!^}-Vlhy_YGct>K`+4_*217#CTLNIk8Ye5)nHCsQDNl-RC(jifWJqf|of`SNy zA;B~O$uuY%ZW_KM1XBxg7YIY#HWA4*C>tF45O-lFA($GFdq5cCrb$SqK-pkZa3vv_ z8jw3c7~+=6NTxv9IFk@e9;6$DAtp>gG6BjaD+$5$f=mWsu<2UU;7JI?0wo~=-3xZM z=|~QOvI!<4m^zTfAPlygZ3dD_P&Pc;A(4eW5y8}gOaftuX)}>bgR<eK;Y&m?wIGu~ z7-HHiB-5a5aP&jmg_($8YCtA{FvOJENTxv9U{i1<BA6PG2_OtHWe$=lP&Up)1d|6P zWDtfV=DA2FK-pv^BA8x~+dvrXKCSuiL<C}i5)n~@ckFBnkemf&6HH1lbs+0O80-YL zg-9kr+3?7RL>cy^1XBw#34|f0EkZI4%7&YUFDb#)f=mKoh-r(FOoOt)i2&j*%%lWU z12O@GA*L)rG6l*8n}RDT!PJ0E0AYwJOOZ^0vT-IQm^?@~2t!O*hGYViO;%EZ=>;WH z5C$hytrg&;1RBo;u|P@b|9=K{wv|YhLfHfp3QQfy=^zYo{wgGsplo>5LLvlvLV>9T znFPWR(^eyy24%xd!<SHCYC$G}FvPSqNTxyA;3$T;3p1g>)PPI?VTdVfkxYTI!KUC! zC@?i36F?YZ$~q)dplqB81tt&D4Z;u;)+3n!Ws{XqV0u9&gD}{1$oRX%A_fMA%}Dk@ z*$m*teyB_T;5-NLiaiEKQ;=fFs1=9>N^3+6M1t0)N`cm;GB7Yg#_#PwD;+>XP!LfD z2F}Ko&fa$0=EVyUEXe9kkR1$CptY(X-Qcx`aNQ75!n#50S3$bLYZTzRA)<tJgI2GC zbc0qaIKXv7L<#E#tzQM{1`Uikguwg_5hbh}w3Zd58#EAx&<znKtQ)kZ6{H(9@P*I~ z5hbh}w6+zb8#LgB&<znKtQ)k}6{H(9(1p+q5hbh}wDuLG8#Ks;&<znKtQ)i*7Ni?A zh=tG%5hbh}v<?=e8<gfDx<N~QAflkfIG}V3<H45rz-R~1N*(Z0AD9a8*f5L(PRt-P W;7fgAGVq~!kO44dFxmmM@E-u+R%P)3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb new file mode 100644 index 0000000000000000000000000000000000000000..193d1186ac52285daa43e8613cbd4f2df53e5f67 GIT binary patch literal 5856 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}y$^zhG2aQ5_KaPVhfVE7LO4z3Ig46FD$8v9oCHgz=fwl{b4HZEGo+tRV9 zqhDF6foE}FLre3D#T`5yix#)Hbn)~r=I!fkZ0_iRE8$(((bL<_OFfgs85kJYKwe_V zfw3GISQr=>9ZoVZFfc;JI6K-K8W(o-v@B*{*ss95uunlBrV-&+1_lR428Im`4)6D^ zl>-|IBT&R0m>C2Z7#O+DC7_10wl?;*H!?6dI52P~IWRB^Ff*7-!sHfrEbLeWmg9!W zNkQe<{1dXWGM!N+rJ<6{i&yl5WhO^DFfg8BVlW3e3FK3jMOpqH3=9qo49$@a3=Akz z3vo*=#x1o1x6~>ODS4;^nRZV_aRieRRD#XZ*L9b(7XyPs0>ce1hX4OTp~&dq$iTn= z^8zS(NaHg=41ie<GXQ2MgTn%TkTp=u$f5;{90mqPMh7-fj5$nUVqnmMMGRP+9U%^j z6b6T21_lN=50rC!kOjd0fJ?KWa$(jpI&dINhQ%nvWCSk_lsFk2K&B%EL7@WYK}?5p z5vFs3P2a-Az`)4j5P}l0upkC|1IA%+K(Y)W2(k>$;{kgG&V^dW%HY5Swu~E0@_<QR zFv-Ut05uov1Vq%pSYRi>I8db!{~`oIPJr_u{)Ka)PGDqk;77Fz9_tKn79)d$07y|Z zC{XQGph=N|Asfn4#S~&-WR!%9I>a(EFet;h{}~`@hCu}`1UCw`m~dbP1qK5X0|SEs z$ia+^JgQJT85pu)EKazXg8;}PIQKt;11J%K!yYckr~+p)=)jCXDV!XP!M1`dgxJa^ zrv_IDXDP#3|6$Px3U9b5gPb~C6P%?CXZ>ezfW$gT6I_%*P6Mt9&QgZ6{xdj05(!8X zT$Djh6Rru)QiiksGdMuf6i5?XltE4lt_jXkhO_=NIDnEM132v9q6~7{a7}QQGMx3F z!2yzaKsLce85mS_;kw|FhAqUo;PK4LqX*Yw31e}>#lZ2b0p}{iS^pWpg#*~ta6tx$ zAP)85<PUN%vU-r93PQjX<^)t%`-0O6a_ZEFD>Q+zIN@SoS1ZH0&}4%m0Lo@6a1{)O zFhfx74F}tcNS};y25^ONmIj=q3}-<j2pl|cK?Z27;m`mI3JeV(K?W5gxUF#C5^|_9 zTnn6~3}-<@gn<(-;=l&V&~PqP0oXZkK}H4!6*IW8@YI6p5=c}bf{aPd9Ige<Qiijj z7D19fT$Dl10<H<p(txv+;jI7AQWcb};DQVgK?WQeK$RNUW5^mnf($%Xa9crbL{Kw@ zffFtUPE{Ikt}>j3oEPANjL^IQ7eG-DidL{2;L_0gK?N?r0P+*q3D^P{IWJhlUF`s4 zal*yGu2zO~p`{^;05~teRWR7X3_-QG5hE|yz!kz-8gP~}oQ0eh;DU^>yZ{$L(Etx0 zxHL3;7*y=ww!(c&$f5RdEpV1HoCOUL22QvLBrm|ZPzB)Vf(wH3f)m_Wcxpj)31(h! zhHHVdl;JF>MUcDz7iExhfop=ZG~g^{I14#1zy)E!hC>4=*@HcXtN|p*z~ctDH4w() zgo}Ywl?I%v3}+$d1-Kv+G%vsfP}IZY8ZONQ$-pXb0R~@~6R-s^a$azUD+IMj!P$os zE(UhBGMo#o<WU5`c>%71!4qyAa_7lmHAY_WfGdQvG~g^{I14#1zy+CLc>ykhq5&R0 zaA|1xFsOLJZH4<5HGnW3>J8TdXDP#3&=6tZgo{A(0-Os~08TP+K~P@sgBuG^EvPQR z%nP7CIXHqC;4Ec03u+N0FTh0^<O1OKz*!n_mNJ}$oEPANuwcWX0hH{)9z)gu5@g^B zg4-GkV{yX8z^O_D&Q*r9kn;jukQtg6-~uS>;c*R@W`^bkxBx>W%n8^67&$M1x`2=X zj(}<5go}Y)tqkWv+pZ`A;Jg4=!4L{F1l8WF7<mEIm4ny|XKBD$%5WBPUVsZS!}0=L z1VsZpeBjd1@L^C1gF6rITS5*Ehiieel;JFBh%j)%MId<r&V?!fCmFaPC@)08jfJNc zRF`1pg=n}II7=DMf?5R03vf{exfr-6I7<W0QiijT^8#ED7Hl{)fRa7fW5^mnf($%y za9cBBEKax>I8|xDxyo=Ba$bN7vOx0!TmVHqJg(u=EYQ3F7hp(*IRRS$Bj<&9xWW_| zixVyecC|8`3+<Aj2!QhfTm?fS%n($2|6}BZ1h_&tO9ReQhO?0K0$h*<mKWe6C>r45 z1DA$|4}(e)+*Y`62{|+wt_99ghO?j{!oUd^f#d}^7pef9WZ;6JypRSr7M@yAU4oex zKtsEb;sef7hO?j+LGl7zltC^7ZV#NL0cR=0S;%<-E(i-Y92!8$9_%q>4In{KCx?*{ gJYvJh0v>6BjDmpVApLXrKsDOPG)N~1JAlTv0s5*H^8f$< literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb new file mode 100644 index 0000000000000000000000000000000000000000..e49855db8fad1537d8e9975944badda965d22779 GIT binary patch literal 20583 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H+ZI=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_Dj)Y5fKyv|te^yMapC<X?G|4`uI#K6Gd<(A!_?CQP1&&zFrM{>pjSI=b6 zq*aR@d$ar<UA;21JbOKpv;17Wdy}#j_$PRHdHTYYEXeTmO>kR4C1Y3_7#IXV-eGWH zWMJ69!o<j6&BWZicp-;ZvZI&3V^+or8`buFuZU9+VYUR<tTfLoIcM&||Hl(lK>@H< z&YFqE)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLGW=4oAhxhx|$}u5xki;QOs6pJ# zix=89wk+!CS<$y_naTy8RK3#>1<bhglVAzh9T4NJnb2a5eQ`_QibXA5${+W*wkok6 zfT(2<bns_jU|@uX6)1Q>n1KOBn8hbKAq7mJOMn6g96}5X4htC=7+`@8N+Tf5z~I2d zAi%)nkjKElzyY;|%`MBzHN^``IXqwlMH3?<BZDOaSRE%+9qYpWhQ)ml5=oUI0|Pf$ z9S>9;b6-m{2s_MX7GPj-Xkiv$U}9oq(14i63suFEm6_lLCQ#HdGpIn+@j=ybu3Tu_ z+0xb5+lXMH=wM{9fau_d>R@hdZv<h7b`<-esz9CtVdljP7lAN#Rf15nm^?k0JUy_h z5rV4WS>%wFnUs~8;Naryg~CB`Co_Wq#GS%0eG8HGA#<?n6M^YljI0ltgW^uOeWEaZ zE0FaebFk|ZgXvp^tPh!ksgIFCfWcummjHvq9uTn?MC=0*`$5D35OEMh90C!CLBtUd zaTG)x0};o$1Q-~Y9fFtz82&pfWd)I}3<3=QL4pCy0t^m|Sp^szm_gR;0x5L>k*p5& zNV$fA$zeOls2yAa42-BUj1D_Nnyi?hUSnX?gEPTp69WT=fI|ZV0|UA$a3%v2U=y*b zK`W)uxC~%FilQ6*|33o*gB3HveQ+ksedq#UyU_)~P6HDN_o1snF8q+$4B&7VMOO}S z8LUKMVAO*%VJ<@#0J{ub5LBLlFeHvZVT-N;ULn943=W_)Ad0RK<RV5`Ey?g-3La>R zGB75jvSFffX$~%|X<;VVU`QFw=HSV|z#s?p2&}pQ(H4tZx)>lHgH$dKcR`7o4O|E- zz%;Zr_O>_Lwy;BJ5tOXT2rG&dVX7B*EbLfh+rkc^ai~^;s^;xz@9$|?xOhc>UyE%E zgl7ohiJ%l+aC?-YI)%Jk{T#DB{gQkgGqN(1{9PSf!9u&iLOUQrQ?a{M1!{%>SZ#)v zXR;@#;o^`05!eA2*nr{&crmC7)i2uI(%!HN;)UjpMIDRVTe@s}!J<}RQA4<>EQ(7& zCV`W>!#zlNKLC|74?)Bu5b+p9JOL3;LGlijNb$$ua33TA(I^FrBnAdX2e2V_j0_Cm z+=7q++r+@x*wWeCZri+gA%X>Q6hxkZfk6rui6GO!u7R6|kb$U1n1NuSn+A(ykZE9V zflT8>h(b&PI~H9~AUPq+!!sMvFvAc4#|)!GG6Mqxx@L!3EQ0J1+ZY`5K>>tK1ylh8 z*kmvPYSutSrC{j}<QZ`EfII_sJ3<Dc77-u_7JAUYQXt4Qa6E@FGB9u=L?I@DjYk*6 z2nh@Uu-hR)gRU7IH0XkuL4&RW;v5DXK?BPUAkTo(s{_b0V7DV=ASNIJ1i?ZJ8h9aW z04sUf+&q#UQ+=Tn0|U5>0$0`!cen%?G&G^Pk;UKD!3#vp0tW!3x#)14fdQd}b@7T` z+h#B+jIPMs0BR0%<Dz~L#!@gseBtnqOMrok1*RU%Wnggl&n3XX#0e9HD23)lbU{S> z6Txx-wQC@;hOQhOYv_WYN)==YB0;080Lg+d*krJGnZU7vt`5|~24M$K8;gO_A)bMO z!3Y`{3=9mMNNkXCkm3bhkSp2K#WTy_)gd7ZiOIl7oNf*;Pk$HJ4F60x!y$@IfLPs# zKt!;>o`wV`x>Lcyi7tp4oaidReg}1_K@kNFPLLzPE>&>Q<`iIHU=h?ZhK3v?*cuoQ zrWr;vvLVTUV+Dy1Rs>4P;N<M(@CQ^0Fmj@+z^V-tksu6?Oo;!{^@*ViU^NKZiGxy* zmUsiG7X~Uj(M<q#GeNF)n8zppDPn1039LAVSOQ9{kXX<%K?!mg59A&YhPjQA4M_&< zWh6dGAp=H`i=nIFL>Itn4k-LV7%2|W^<kKd)gWl#Ln*X4KsOTRPe|~9syP~10<SB; z5siog2LS;F1`Bj2F>~^Bg0MpbsJ}InS%5*y6eX@;JaF(sJ4YZkBO8(oIB1agAO%?B z3S9*!x&T&lK=A~^NO6U(PYhiEt3l96f>LO4g>C|txI$M#xg~7ifMQ^PBnY&)a+t%w zz<_QiOY@>d&0xZz0~BM^K`~~A5@RqP)NBS=xyi_eBm;Ik5+AG>Gk2k@;6xX|Y7Qv2 zKo}{;(DjL-3t%+}8dXpVEymDIz!GEVN+`Dk)GY;t748^Ax098LpP!!zLL$YPIZB+t zcp#%em<2?DhB?@fWWcUR;)B&-#u>T_PILjR=78c0gpuM5U7r}b09J#bkp-pD;tbsc zEOCaegmOz@fr&fL(CuVvUbJY@qGl+C6l)eJu?FLTBLX@C3t}^}A<2N<kHiNlz*07% ztKdWzz-kUC#y}V;*3k8dp$lL&2pU~b3N6;qO~4Xs=t?NJ1XgU}jx}^U*+DstpPvav zBgLB~O1!~%;HY3=V1O0FjBH3UU>_jy!HO|+8@dWkbOEg9fZ_~<k>U+qpBTCTR)e4s z2BpyA4c!DR@rJI1a!WvioS?A69dGD%a)5K&qD9Sc22#vfp~M`F2eJT!VT~O|HY6Fa zACUMUg;;VNx(ZHo0j%bLVhw~LF(*cp9%$@9DYQsJHvu)0;B5j%aQhA1CP3H02}$?- z{7eWIBm`%GS_jrBAqeAv0|h!U1Y$Ef^e`|mAjyg$u|WzLIMD^b;e##+3L6lHh7Y<l zRz1*wfl_GUgKh$jJ_e)115o=GT{Wm}3&N20Z9KC810x%f8m!L2$mHbs96auYWD__D zF~St9{m^iLQUrnnHMxNM6zH~6ZV8SsXQEwTVhovK2!MN*j2IzGTwJk1Ml+z4Lo|3K zgQ#!=rEL&~r0)?C%i!Pv6ABIqu<-@-z=Eg4UN!-wQAh<X8<gS)#sg;u(9ji>0V#fv zWW|u!U^SfR0^nqgE(nSm5Qan#h9Ow>Kr<SYLd$RH7U0MV(BcPOHK^zTVdUZmNex!# zU=%+Xz91(kz$q2UYH*lhn2gmy(13wb1i}ZN4#2|?;PMFFR?01bRr^@m7#8UEfg%8e zA&~$Y<6vN9LsEp*J=BT?jB*P@09ueCIS3p##6>c+1cOrGOv*@{PEalZVMtCH8OZ}w z&V$1UsUSlScX$FuE6Bj@FCx;GmMuzw2jhX`l!1YP3(9~Lcu2BhNNlhgPILiqIz<-* zMIi`7q7lOota_k18A_pLXmks3<TYr4hprlw&p;TG*C6dJBsEx_gPGNkbdVhs;1rE+ z5Dmfyo?Z|I9=feqeGLtLC`BL=U_Df<1s=FlfNm#vj1Wvfx&okqLIy@QBxP7#MXk8N zDCIB&pv4}NgGh*KXn6;vz*(A+ocuB}5(&0q4?W!B$rxL)M|29)vO_8SU_5ZlGB7Z3 zLm8054@p)Gi49i6i7o(6t>}WFf)9kDg&(>!Rz1)R2c^*R9l8lP@*TABLstzd_&^xB z@Iz9A)j60M4oL^uK><$J=muc~GFAsc0|rVF2p@Q=K@@)Iwo+~h;W2k~J0YX(5E7;M zLsE#<UDS#VjM5H609yPZIf#VFhL(F!3R?W38->+_pxgq&kQ_5Ik_oor4?VEp2^nYc zhpq#Xx*#MXjcM7V6o4=uIBppj7(mOWL2O6?h$Jh9#0Du~;6xVyM<==<C@Mi15}g=^ zVATW7*-#2CgQHu3Bkw^AKy=lh!ViRz3qT|_Se=8J<&boc9Teb{jcyPP!Uvvi5CtH* ztyp~x4Sgs@AQA{qV4>Ryow<Tih~&w@$cCg8tIMbr9~dPbh5)n(L~;-b(G4y8pcJ$S zL^len2SND-gdurmWF!=9MId@$!ILu1A`o2%G<iWOL@LvAKq&-aJaFtXFff32g@D+Q zLJ&z-42ca=z`%(v0FF>}K~Q9ZFeE}T48f`gn(?3%TK+?~07w3V7J}%iK?NWPBNu{5 zYOp#7Gt(jIAUi0)X&c=j8iWr#<sb?{bX&3d8XEdgia;a~o)1R16E+VFqY(*|fsqYK zF;=%xD@HI%Jq!V8F^J?K5+WR0{y`~dF^FyyRu6)53<yJV&B#b9*or~)z=9`coW&rz z4p{nv(TH@W<%m)c!g%2LWnf?cZF>W;Aq63ltQZm-q=11FT>u=V=z^f=1Yt;&Vi<x| z4>a>ZDYQI@VFB1N80*!b1tErNl(mJBu|Om>Se=8J?T~bUZ6_xvz^NPEAR2@ZJnbL~ zLUdcP`WhPgP>Mh#5ME$`ZYO+Q1)PCMq6~~|NXoIgj#_boQSxC3K#M{o2ayov&@vE8 zL5o6kqp*4qlxIK~l5a*vV!>7vq6Zc{IpZt}(RIKR7@UDfX<DEy>Y&}YkahPk9yo>> z7#Ki1dO>VPHY6EvbRqFU3a~8YL07?vE`Ze>P?Uo(B-+J@(gV$+Pzo)lqMHB@KX7q? zZ7C1B4#WZ;1Pc;^;H5my@akj<0|Nt$2M!eQSpW=-Y)FD&Pb2Zc>M%01!wf7&F*?k~ zDvHBe3v^pR?Ozavw1Gh@l^GpE!8^3mKs(OOLE9ZcNr6+r7P>bc!h&pfWYB@hJM7>A zDRby#U|@iWfxQ9R<zdXoz@UdA3fg6gE(qQ~4<;Ny8->9EgRTa&+Y+=%A4W4ctOafA zV3YBN20GkckPYa9(5;uSEtoA18$nwDv8e>@RtI4R&<1G+86Rw>qYFZ}YQnZ`wm58p znU1a!w96fY9Y8y;8DxC1nT{?9+0==;#S_MJ*bK7?T^D*nlJUc47rG#L2Pl?Jp{PO* zTVS@L>xb^q2W=^5kO3W^07@PxF@r7$*)fW-g%nA^VJplwbiLqxXpr4#%?^J-$w4Ln zn=jD?!5d7mZ#%^hb=bxwz@Ut70yOo3He7&ruYofH6Zk*}ht(kG{sy_m0YpN!y~Fn6 zF))FTkAQJOyRShQdUym(7@QZt1Oo%ouIgB*=N!OW`$4G}rU4ejpo1kqn1RXR8ORy) z85kH~+xr-p!26)U0mTUygz5z6Idnmc1^|Wtq(uO(lF>DTcW<E!!e^^ND^IYhfVu~1 zjS>d~1B(L#w*Z5~P96aUheZqw3}MiK0Ig6$VuSU9eS$6sKHLIKIDn2ofNbkRS0sim zfYm5y-2$c1su*+=P^%b_r)gjb>Y68z|G?40#K6F03Ud%6R=;3mAPm=W!VCb1EvQ%r zB|CIM#I8XE3%Y+0wAl?^Iefqdz7Y`GML}1{%&EW$!VZz3%`~7c3IPwHmwJ%o5{{Hy zkl0`^fx`t|5S&uL1X6NAS0simfYm5yI)PGX$pzg6(D5!HS3%YhfRYP1kDx09DFI=y z<6v>kXbMxt2yQdLcp!x!3~4i9ih|k<=%WAsGgzRDf!YfojL~LbV3Go*KuAf?2=*al zhbux9WIqD~dwav86^k4CU^L|58n6%1m4eQ5foOt|QlOB5*#J7u1Y!e16rv1fGK@yD z0bMDqJqyvuz`!j9n*4!T0d5R}HmGwVL?J4{k&G^gQ7&Q#fMW?#?4WBFLl?km1vCLc zDR3w|z;~0Pn}AvDfI}Hw8N^4pcBO;HfnnhRE>u9f(m4^L5Zz$Mq6=bpA432sJkT|Z zp$lNO0vZrd3N1X)O~4Tz=*l2I!WAB%;UHLefD0~g`ap<6bb}p>E{NfM3<0F@K-Ub8 zI&?vJ5ehFP&{aU3gUd6Z<PY-<sHN)w@(kGR2pNb8h=T?YEVLsBq(CNtFz5gSP|Fr! z8b}m`A!acUb%+M+z$cJtpq3=UG=vPqJcNr7EOfVliV}zm7#+Z;9D$QDLKI>W*z4$m z7_o#QfD~=$n!(YAE{NIwL018B4z6f}omT}48t`dNV9y{#A-chyK^MeuJB9#~XV5i+ zJ%cWY=^1nt5a;0X4D1jskY~WBPJuiFb~{1_Vge#|5iIn0h8?X1G7Wru72Gt04DqJH b4%`Bn208}|aU=&qhG^3u3Xw?%&=G?GC;{j_ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..301fc9cde4c58963d1245e7b18212a30db8d8b75 GIT binary patch literal 9487 zcmWg2k!R*+U|7Jwz`)?}q)MKdrKsynIwOM!4}-(|eP?z#q%&;bV&HRj^~>_hboBQ0 za`1CaV_)3Tw_;ICm-5Fwu3(K(3=9na|NsB*5Wv8|;N_OxpXKVmGuhR9fuEP#0*~a3 z1+JdSo=K|~JN9PzJGy#hW_k9yczE_EWi8k>cj^M?%xPJ!Qx;@o`Fr|idL+zR;F#r~ zFk?YRvS-H51<Pm9#84522_PRcGB9jlWnyHoW@2t$ypY2y+0o12F)O2_NAx7fV-R7s z1lO!I&#Vrn&EG(RpnzE`XU)Xo>FMdpw`%pmg$^FBE(v}PURfERR2FrB)G#wbR5`rg zw^oh`nS&$_VL}b!ZeG05wy|YVN6(7Bf6~USAX6X;m~rVR!4j}LAjVlUp~WUQC^p-B z7cK7VZ9MogW*f+6THDDBva@4V%i@Mb9sRwGDL3OlwnALVz~$i2z`!8DFo6LSDIm<i z02gBMNlr)s6Uc&~r~t<Y1B1gt1_lOD^ukgph_+bN(#62wz{DWHz`(%fkjKElAkHv> zfxWe{x4qG}1xkxJSh5H(FgTd82rw`)F)~;%fK^I>R4(pV*s;jAg&jiUP$|hUfq}Q9 zy}zen;o=qjeJ!>v5S}4~C*t6X&3q|_2@FDBu6~Z$o_<Nbju}~*N&c=5u3(|vV4)ol zp{dy2C(ST{K>(~S!^<<-Gt1A_+aUoWumdiz0mW`+1`~+iWEdteh&H#hH>`p<ySZag z$Kv*uF56zPs1;b$5H2c<;sUTKj0^${4)xpu3=Rz-q7g(ifrw@h(ZVgjz`*SAmsx<} zze5Nch-76DVE7Lb{LL)D;1I+nz~I0PGNTTp)B!}YI@CiG00WalHOQD6ZUF{Hgeap! zEl9DIASf3z>VYW+1_n68p@D&c0VWDg7hnRc3q=ks`=W6f!0r}>8T9`@0|SGV5X4R} z1+o*y0GkWrfUN@)2s>eN$T=OE%>WJ`QJ6A_ZJ>nAz^DhNK(@gcVB26EP!<7UNLYdV z4wHeGXmAFD11S7OVG2ODFoKGFhX3+lk0~mGC<X^m$;eFQVgQ`Khl-hC$3lt>UI$MG z1_ot@2@J?(C5UYSEjJk;0R|~y9cF<NCl9#nPz9A8_)8fBNKRA(sf3g+xJntQN_BF} z3#fh#aCzaM;N{})nvvn@k&v9^;40whpD+ta0KKe%>eU1XLRU-o!sdqFcH3U=#a%7k zhF~^&*#Om{1u7F4F6>y;+}yCZrLV=dmlwhl0P}F@(niv?s1aEgn1@4`4#NZn&Yl$u z!6x@|_N*`jvv8=^g{lU-9;_P7!l7CZ6hBMADtg&Xz%&k(`lOVpQnoluF#v@?M`y$0 zg|HHt7sNA!@?;%OF@h>HCMISE15oA3z~C?&lJ4e$O3Zm6Vm^pi03sHGh(#b`F^E_K zB9?-PWgucXh*$yA?NA9#=?o5YK!Ok>r9jD;fq~HhY_uIC0|U7Hfrv6Na5lDd_O{zL zFJ6dXK`IKc!=yk-8>AcTCb({hC}G{8<POpe_6%G%M3k^@P+|w^28RM%H$;@MZcuUu z=>`X12+ZFQQNp@GNgt#e9PV)45K+RqL0JH#8ysP9-4Id2x<Oe1q#GR5aNQ75!n#3O z1Ed?AMBut1qJ(vWvI<ByIBmgoLqrMd24x?RZg8T6>xPID)(y%wAl;zU>;TrC&cMI` z5e2pQLA4`{$G)hevtw~z3ycP5DTibv^$xX29B^U=nUM!d2*@%_P${qh5H`3@H2_tn z;I{6fmM+_t?#4w8AReeS3gIy@fGZ1dtH)s`D8qqj8WT{~M`<C0b-~-qIBOd-P#Hy3 zZDS5nNmOlP0dfqu8Aw!{7Fz0CqSg~FNc9AI+Zn3E3S<wYW`NWaEhzN_4qetry1+F9 zTo;&!LzfM-<OkOdE%15*hiY4>YH*nkRt;w1P;CbaSx7z60<9--sI&*U9aN^nO86F( z5?%zFnm|1fXc#$Qsksx-Ywit@q8HkW0o4xRmWU&$KefcRm)XQt7OgJ;t3Qr|Y5*RG zlc02X3PhX+5obWeSrBm!M4Sf^7eK^C5OE1aTm}(WK)S*82PpkAIGg|pLX4CGB}`DR z1RD)6g(0GZ^E4>2f^>u31lJ7_C9E5ifI+&!o&o9Rgor>ZF&IZ6IU&o#GaJ#D#}ELA z8Y8%TfN27k4=@fpB<C|Y=)=kfm<&{c0c--8;D888f$|B+ao|7(ISyR0LPQCB4U}g< zy1}sn*9{RRtQ(YfK)S)v3fB!0C9E5ihd{c)=>e`AB1%{{C{KZOgA)>5H$;@MZcrWr z=?14jxNe9jVcnp72ht5rx?tU)Dgq)3D&9d=1dIo(8elXyPlA&GOa(Xzz&MatWngfC zR}nB7ELDUQC_9530?t0*x(Z}9M3k^MKp7gO8<g+BH615J1e&g49E@a)AplO0kaP{x z1ojk+gPE>jGH~yL#x=mkK+`q2_S0}>n7|;|(bwDD+1IjgVMk-{qJG=Pg&+}OBoRSF zqyo#~HUk5Lh8x2K2A(W$SGNo=#{@qIj|?zp2beQs2ZnNYh6xOO85y3*US5t_uKunL z9vM*XL@*bN77rvX$sQ<Lz+5a^JQ*f1aQS9tfQ|IX;PTDf0cK)R>IGE_b}&RKn2ANH zH^T%5j#RL$M+V1MFawJ+ACP-JT^zGApaVP}8GIn_4k&lV4sgn3U;qc8c_652QLqKI zA)q}}UN8^QUuDn%sc~T90d*oAIvE%kKzvYyIv6uDFzCT~pd<<7fXCgygac?q23%Ib z<Urlw6;R8N*$fVmpo)P<CKx=h08O1R4s_%VHUih;5DTg`Kz8C(0UB#!kO@IH4aR|v z&cXW6Ee>%o({QQ)4O%hCgd&>;<A4X?u#Cx}3OU5X>>yDeXpoFSCJfnT7zb3PWAx5Z z1RN4zw!-v)vK3}VLltrO1&RupaAZ4S9B>N_xmOQmJ0!wvf~f>&MwFZh<2d{Vn;(H} zK8yox%|Y6BurUBA&mjqB2TV0I|Dt7Q7}wzs*q%sadte-xJ>d2q+#U$eAsJ>5Of}3N zaHfYB8;($}!(XsHQONedI4I)`&=CiR6qxxiRj369tn6_32R1hv*<2V0WG=XU2^x`r z#X%~}T$n15x!|$_T)M!+|3BE=7-Vx{9Ck>Xv=>G@q`}ODsX!D%u=2=(0aQH5#3Gvq z<ABG9v5y*Kh&rUh>>^7yco1184%rnj4!Fe(Yd`m*@*FZ?w!&0{i%hf<6v1_11o;+H zT$v{^Okm&#mzgWz{VoXK0?ub(V9)`nbI<{4WB`|<AU>iLh4Vlq8jJ%jK*0o}6otuw zI(i5TQF#mw*`Vm<kx4-g2^a^XB+ZBU9;XUONt%jm8jOQck`};B!>IyNlBOY>2ID|x zK^8)0L7;OYFrGsp%w(cegXR|)WYUpsfpL&ZFi3wBl6zVlieNUtR1z$~GLX%OabP72 zq}Pbbb0~({0aFbtS-@=sM9Bi>LQAkrWP4y7n91NW3)Y`)fbbkjVD`XN!|Z_;S!i5n z36_Oy4~zpUX&`<<upCNZ=EGEhN*bu6k(kgDEF0Ne7zbn;xC8_Bm0@vE1~V6?3S=10 z5-bPVTo?yha<#x{hjN&iFcmmUuv}#GU>uav0@C+}l_V_=6)>}5s);E(Wb%;hfpO4l zb8wFxxi(L5sD#-D(?ddSo{wxNjKd7-=`XSEb*O@w43mLO3}Va_A_+KvX6~Sc4FdxM z6S$EGF5{TNGpG*XATu*T#4%8T<NzWevvZ*FE(RtC(EKfk37V7wVdzvXNC;f+fe8i% z=)_YI!vxUu31|k9fq?;}2vmTArfors3}7?^m%~bsl~+N;d<F&vQ160)5!|E!H$=gF z2E6?y2arBc=YoL|+*ASU1M`W{2kK=oFoH8KSRa^Agg#JLgMks85yASvd?NIL`Wp<4 z;EV>=2j&x@57hZ!U~~XA1;F~id?NILdLj&r;3^-i56mY*AE;}>zzD899Y7svFdr0y zU{hc`SO*$LJAkGyz#VCr3UHqj#sTREVMIq7CWF?IW^!QW5nyo81=YZ8QlLqFP@fo7 zvpImf_MnOvB8oR<fb5b2P4t6wgK9E_Zipyh-Jr>TkZw>71=h_85rOu2U>uC@H--SX zBMs?~!!&`z2FAhckHcg@z5rpc319-+AD05HF#tIZ)ad{_4jefUQNmsWtuO%T1{DmD zp$3Qu)N3#fhEFgAz;1$g4W<d~H5doeYcLs*w?G(d0+@h#4YY&-<Ty|(5$rgy*C3(< Ny#_K8f*n9hp#X3#cuD{O literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb new file mode 100644 index 0000000000000000000000000000000000000000..2df468ba06f298e947f90beb23f8e48c2dc7bc63 GIT binary patch literal 5635 zcmWg2k!R*+U|7Jwz`)?}q)MKd<Iv}Q=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4_FMbM|v#aPVhfVE7LO4zUaj46FFso7y{hds-H*=3Uj$-O<FWVB6EO5G26Y z*t<x+x21W70B>9GqJG|%jzt~)%1RA9i~AZ{npZ6D;OSVjxV@!|r++bTUvFb`M-N;H z@4}9r-fmvn+rY-az`z0WK0`2!<-owgz`*Eml7Rul0LyZAv^O*^?C5D(%)YQ+fp=lQ zf<8<mBwQF692glGHZVB6-?vr{Y#fY05qDr_5MW?nR$~HL3BsJx#=;_&wt})o3=9ql z3^%wK{{Ls<gt`PSX^bSv1(oEQwrkeZEe<<oZk~c9%MFv=wRz&M9cZ%Vd{9|tBST3B z1_uTP#v}&@1_5RUbAFhNp)s0_08B>05+cI@(<2C#VVN~=^Cnc|grHI!GdFM81$82l zZNf0=B;PEDq)dk-u<oXK2L^^eObq5CP-#v{Q9)U8TXAuDuskD3{s$9-xfoQQYu@B} zQyeBw*#Na3?lN%{1smqfn=u1y0$hOvs)EToX3R!aAPH5#E-oZ4X)7y?Dl3H|D}p8~ z1Cw3c*J29`>E=iW28J_C4Cb=PvaryG%YxDlC|z)5`MWy6!xb(q57o>Al12$zxDq9( z5?-jwjcp|bjKxshuZ*HbR1ljQ6%;ih!r0WPqNp*H!KOwHs)i37S-W;@-sLc5;;!ka z4$^=s5(GP1!pOo{UO*7x@CI1mX+qWUgVh;J7)lsnQKf~dN=ii95Q{2ps44-l!)ET9 zIBU~Rgwx<w>Ohr2oMvn&VJv5X%~Cz6I*30P&YQUdVOS%~{rV`17GqarfTCyxc14CL zidJD)WDHd#3=S>@Sz%*aBMCzbL}0)jW`d$p36Dxs6qSm2RGOivRK~56(ZLa_6qNKC z92T@OGC;&&B_XIh2C0D+ehdx*>M$`_kp~fj6uXSdurh?<KZ655sL+K-Ad?U)VDSbs z4i-f)J}fq1d|1GP_yG(I46ra}U}R%p1R4LI(E%h53sH1&Sooof!$J!o4l)dk85tOL z9YT<U2wW+F1B;QtfsH|c!66!CH&_uO%-}3W1_yRjMeu-RfU_7G95_%F!9$h-&SGS6 z;Djq;hSetw3^0}hs1}8B7#uo4t^)aik->orqz@{<46AP#7+@^0P8bJOCpWTASbf9* zj#N+>fOW!!QS9VF)(NYx7#LtIuud2U)lObyov`YRfdR$>>x6Mob@CzWgw=Em3@{d0 zCyc}3P=^#kpmYjzJ~KQ4Gr(A2oiGlALk*Hn0l1xfFa-{6j0_A8MIc4YunLob0mcHG z1>>N)Ul48<0|PUxCS_oNvA{ZE98{e`$U0$FECU0K1=b1Upz0Jx)(NY785m$Juud2U zrqjWP0il8cT!g@tFr#uoDUgAIfsw&M1lb~3HO{~QV}UJ#aZoHm2!hHXI1geGoQtqX z6v-lRwuH3`7#LtIuw^g~gF_l9sz3%XGB}8Vbb|%JdSPt_1_l@ltQW>X)hmvw7uKR+ zV1Ti}dSM(?y%MN;Va*K&1{e#h7sf%=D+$-j3~PfhFu+(~eJ~CzU?EW=1=0sA7ho+D z1_l@ltP{pT)hUgv6V_f~V1Ti}I$<1CoifNeVXYVj1{e#h6UIT+DT}NV*0y0_fU&?j zVH{MQa!5MCu?lPSFfhPaVBIhdYUs*?bR$v(tRcj}0Aqpm!Z@gU6;SoUnnw%_Fcw%Z zjDxCI5mhg&(Zs+2V}bR;IH-D+Q1!xEQVa|*7FaKggQ`~<RWG8JhOxkUVH{MwDyVu9 zH9Cw1)(hjH>QzP6i>Uo!EU;b}2UV{cs$N7(0mcIBg>g{zs-x<Kw<_T63$R|eFiL5m zfvOkY@?e0mz<OaERC_g1^}<^x3@{d0FN}k#R|{1yyamGmV}bR;IH-EH;d-qtpe-8) z1{jME#&9SGRbs86l97?o!IJ@@L>Z<8)W8EZEl>nN<)^hJ+*}xo55~YW7bc?&V}Q&B zH9SxRKxM1772I4Hix0+tRJzFSg~=$x7$9>&jSCb3P`PVu4L29Y;)5|T-3yaZhA}|q zf|?#E0-!Qk&=zj4GnA!*DTF$J;lK)RU4d#N1rWi=Vqyo?%fJ9<DZ^R+p;gvgP)vcF zzi=r=7Dfh!|0ecu?QoVdob{i<0Tcob2<>nwh;|(ZxOPzW3u^T-D8t46L)yS5a47~j zOBv3>bRt}ekp(oU#bDwDw*<~ohO;o;2$zED7j%W|2c=YyBULbk2m~~fi5uJ$I7=DM z`p@71N`put1($+`lsjCz2aKf*7yA$C2$;a77~m{rI1AGbxD?c<41(S;vl#-REEP;4 z0$yb`0kz;j!NkA-XDP#3{}~)W$rs71a4Cp0bbO&!Gcdqe%5c_yXiw!J1ClgCA%lq@ zTsNGh3}<0l4wr(4GiV?b;&wPo8P56-?Ms0yhYK<wSSFwzB1AWwr3`0bS`L?j_?&@} g1=8yS_v*mCHc+pOfdM|2i#B)*%EKV+02=-U0OhS)2mk;8 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3a8e6851662546747cd0faf85c5051a1c934f798 GIT binary patch literal 20252 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H+ZI=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_D!U)*TBP^rcH(bQk%w=+LEL@_Wh{D%SuHwFd<FSqP|zbp@jjK!`C{Jh*2 zcqC^maP>^~Oj@<ru{X=#(bX$6%d^)rIm^%0yEiFofq#OBm!~gW$$|_|-vqY>R5VJ3 zfq_8~<TVBdMh1orOiYXn)=bRJix+ZuB|CcgJ7#69uu*N#_lh_L5oSwp%}Vpkl5^%R z{C_+_6%-h2<*b=lJUu;K`Btr7xX{7F)g{5t!7D4{@v`>}hZie8fT(eJzi+J^1B0-G zKLZ1U9Mo2jMIg+;fFjJ|lbnzOCeS57*09W+IddulgTq1w1_qe(VIdEr85kUx7z7v? z9r73$7}TM*@wjDqxu!TcW;uE}c<@ZyHEZe?hl#r;&T^RHkj5y$z`(%B$jD&Hz`zIA zr3KZ++G5+<-pDFqD=IGN(9SHtz~IorEWiK?DGLU$N^OM7#S0g)ir5+(8evzd169f1 zV!L{=ZC?kwh^@7;t(*jQ)w)Qk7eQ4U;Z&^$Rn6k+km1cT)nUhMhuPR%tB+9U>&r6L zVeVWs+YKPTGJvXMZLw{)ZDtj*6}J^eGf@Mg(h#9?5m==WE|q35mAzmyWiiZTW>A5c zX^v0{GE>$T-AuSj3#dxA1P32K4`;Rw4s+(sn2h2lW(E_8sg_W+?7g5kUDVDl3yM`E zaqOzC5ULv%H#9F~m$elz77#YXuG$)=y2TcvS_F$~Mg|K|MiXFgSk5ZI;IINjtOOCO zK*VYgu?9q}1rh5&#Cj010Yq#B5t~57W)QIjL~LahU|?W!2x1ms`0v2VAi(e+#0_8; zU~ph&5MXdv29gJpEDrTZ8IOU<VKGSm5>`+qL6Ko}SPIf)B@guv1EU_C2`(rY7%&7J z8W<QD&{cuU1TX<M5vv-sA_I-f0QQ(Dy21bdGcYh%!LmLBqaK_Ib04|@*lu(|P{9Mj zkdOfR09^&V41_Znz=14^t`OuRMpz}m@ZT05bc*&cCMen&HZX#U4RBEiCXkCpumFO9 z6ku{1u22IwK#AKS%ijaUnCy_{4=c1BZZj}27{Kh|@^eg1aLsmf^K?cqCo?cO90f%; zC^<MBVHIG|@PHc2+1lQ?sNHsPUn7_$Zo9Zo5Lz074c736DQs_V=mO=MCeHTu1}RX! z5kkrcXo@=)wk(1u?pWAjgk7-@)MVbpeJ!?d(_uUuHlS#N+XB{v+ok}hP0WiF7BCwr z7$Cd*00RSq210?-0%jv6d<qm7FdHdiDhPyG(WS6}Sqh642n9+Dn5D2-fl#2hfLRKQ z6(LY7II{d*!9^XIfmYZ-;vpQWloJ%R;1~w8M8ySB$~uVR2&iIKutHYsilU*4ctDnC zWhQ`YQ7C5vh%*bRZh)8@2i3w2azr1bRD`faKqVw{WdhNV2-N^~E4YRLv(Re^huNSg zOF>n<$hH}(7`38+C<Zm&K&>2bh$TCCCV&~69cFI8ND3%Q{a{MxVN;p~bpqJ1WCza_ zFk`dB%q<wEqA2x)DV>K+X)erE&n(Aehiq3bj+whAZg$u`b(X^ea4Q8ISmu>bWo#MV z4q2|wY&&K<?3xP7=%8jCv|It@d1#U7aGzCxfr|yE3(RF;aCiVN|3PIROa`i+0ov>V zH-5nN6a%9}4+8^3HPl`P1_n+fHdq_Dm_--l?&xgpu<h(?S=h1&na#k6RXK*e4r^Eh z7#LU>9pV`n7-|r<gLNbE!7hL_en2fC2T(oAp;Zgj!06D&z`y|Gfz>iFFt9Z*TC`|U zGn8`ZU>0CtX<pR4jgbvWCD<Y)K3FpcJa%9VhkKxElhFaB5`;nN4Xl)bfk6Vw(5gdm z4vYttWngPw2yqUWf;wj*$T>(V!OlVAgEixK4!UYkTNk8F3smug+zAOC7!M=`!fefp z7D7S?L_wXi7~~uzm0;%}@j)6H@H+=xH4j)11A`VQ9U`0q<3VLXp#yRUTk}E?1$E8} zkaLh!f}MlJ2W!Uf91PW9Jq!$5jVO@?<3VM?X=foM?JQi>40X;bkaLh!f}MlJ2W!Uf z9CX!eU_A^BT1_a<f$^ZSpwL+acFsZ&1$E9!kaLh!f}MlJ2W!Uf9CXzzU_A^BTFofV zf$^ZSpwL+ecFrOY1$EA9kaLh!f}MlJ2W!Uf9CX!8U_A^BS}kzrEMZ_^fbpQR4FCT# zFtQ;Df^A0PgVo`;8C^9jAQ-@&V_;w~2NjQ?<jdaL-e|k1V<Ee!xS*|(gdqc@?T4jM z7Gwhz$}oKnAT9%ggAg02Q4UI$Fd4A(7#MIC%Am3hWHYExMq-1tfxU_@h+GgOv!R7D zx^mowGRTGf(4c2vU=TxMBWy<(WNonpb(F!R1GxM1K!Ab40$mw1rvfJkJ4Ax|Gx4BK zH`@e+U0{zP@xk_iTF1_?W-^%Ja12o{qpJpM0o4N&(TqjngVlg*c2El(&TzO4Hr4`N zB{L^KCkQ)4FoR;Tih+S)64Y=p7z1o1XmAM>$LNAMeBb~IA`BBS1i*oUVI-`Mf~RnB zW#zCyfPn#AU!iM&<tm58i~<Y}4Y-ssLOtRz52S1=qW}XF+hnL`VctXHgH_}39s{J} zL{|;g0*at1XvQM(!D`^~0%t%YNDN&iIE2sz;kw`quy%(FA|QY407WI+G&Cn5@gYtC zr4MXLPYhip*a_%@I0D8Y0T%k`8sMQn7u+mlFkcIGAZK4kC#dtasGU<zLK4*ZG7`sK z2APA)AedeU5SM|$!2(_e!DPUG#8U>XhuRJ*gOJ!@ZD0?h3nG_0$ZTjCgsvQa8MFam zyBHE1VLQ4Yjxq>c8L0dLVdOGsBf>7Q$B_77`@lVEM4uYWayS7lF2K1BT|HP6D3NbM zGZ={vRs-#!f%|C=SHT8apsN9uJs^x!{%nRCD+XhL4Fe@?Q2B!{2<qM=I{FZn!%5KS z0jLDBKvxb591unX5Tu{51!^g%Qb1yZtp<l0x**O_1DAZ@fJ4^;8W;i@=m05twj!(q z+knIesbaus1GMl#R}a<%ikEF@1|#voYOutM7`hs;i_irzG$Iu^42*0$(CkIxgYCuR zAu)8dVEfSpaT<Uqb<nk-mpTmQ$Dpp{f(!~cBztCXZGa4{Z=SgWcfk`2E_h(N9Y9<L z28TF!!2^>4dlgT?a{_8VsNg|jgSCNujV_2>*dVi^1rNG%`~}ZRgzaKTY=rITf;b8u zbY-AC1;U7Y#msgJVHYP78(|l^AasBR5-}(ohil-TKd7UMt_hy2KuW-)I*bgA7U-%# zWef;|%Ne%QNG?NSBV2|qh~gL&4%B7I3=9nDn!tqsx*%vS31p9hJ|hEz7`iN0EpQ)# zTQ;CV5M3MUR1xyL5oF*V+~9$S4-+)ypqm1nIss3hIDkg;+0Gz^6A~Nbeg<$_MHfT~ z8WavvIH7A2Ll*#t0=ghP;NYQvu7apg00lc%^TD11)iNmIG=qVG0o@)(@F*RoD5xig zF8cpJg9W-6C>??@I4y#^V~h@=pzeYLsQG;s8s=g!2Fzg?0^k@xSB1Nb1l5ONpQCGm zSpcq=92kTc7>KkAKA_DBXE~gLSH0-gfQknYMidbayx>VsP^au1)YqW;7l{pa8rYxc zg5cp(aMJ?8a<~Gw99=ocVh~1H&dhcmVJ9aN8(}B9AWDKp;XqS2sC+`#1kb(jbOkD( z&{cub0|<lD1lt89mm#qcE<+bYaSRFv>M~IIgsusk7|;db*$k9X#L#82YJvL@o-@(4 z5tTFH;R7q5&`n`&u?0=8f=LI^L?hcpq;NuFBf<$?5G80(I7s1yu1O4C02~VFg7AQY zhXT3^qCx=_>{!hQdkSm$gl-R{e8Ln3l~3rRpz;Y_43rK*7@QW7$|nY9w#!HXj>HDL z4V+EU1yRBng#!(6P?ka01W!=#Bmv4Y=&C?Y1Yxi<*{&eD42g|!8M+{fV^BCymw~bj zx+ZYUpbNrd9u#+C=(1R~z<mf$Ea=*ZN-Xg3fn^zVQ!uOat4QI5#72Y@x*$r>pm31F z30;#Ix&Sy7&;{WE2M+~w6-0#sDA=)@5B3z+EQ4+jB+Fomg0c*{C@9OIi-FQ12!qoi zQkDVN=+~h^E(T-3-34mPp$ih|(4s2`RcauNsMr|UZa^&rc^za05+7_eN-Uyqz){M; zD2A?s6I}qD+0g}2j6&gn&1G}|Whrz`;Fv=fgr^L6+@h-hdj#Y`L>_Pu01eBcD+EOg z2t%S~CTNWjX!QFrG>o`hLDRur;BMelBD#SWz}-NYZU+#Tfx+P-yc-CUfw>Q(I|iMY zd<wN6)D1*pgSCMJ7hMp!>xaySHs;ZlW7rFym;^Pqo*`@(Lt-OrM;FA=4MbN4N{Jwh zNR7;F&k=TkJ%+>w+XtCsN1kwpupO?0hm0IRO>lHQko<}%3d*nOqM-bWE(S^vAPh+q zpovsS6P)b@nq!gpV8?<Rn~2GNFw5Zv!lCHuAr8e91vwO56y#8JF_0rc7{j42(Hx4z zM|LP|p+PUG>Ev(|;ZSt-5Qk!lf*gu23UVmA7|4+zjP6h-a1r<l>RK@v1MEgnV;@}r zoJ7zCiRjdzYk^sS)Tu#Ng54_k(jG)Xj5MBzZU!i^gD@h&BXw$CLwybMClVX%G_d99 zg5cDJyHkU%9Aq&FBP?fPdxNkOYy}b@Y%kafaI!_Ppb3~0T`g7v@Mc#?5szUGsF8;* z2yX&`ig+<}S#Yg@E~o(Z0Rwc32UN46t01bq04i6&14T@1Z_z>vi4V35uV)z;!DSP= z9!O5Y6b0oZbWu=FLKg$&A`nK;NeoPE@6nu##0NVU8l~7WJtw+aaO9#3;`J{ia?!Pb zV+vgmHFD8qv1-ALTy$-uM(zi+&_d#aLkq8Gp^=NO2NJoMqM*n{7X?Kwx)>;8K^Q%9 z!KLIUsDs5|46rjnjT}%~M;Am4x*%9c!!PK{K?MZ}BMJ*fw$D%tVOAjV!B!IpAu)9I zoah4JM20Si*HlO%L)QXMG3bJrjYM=6U{8QNhsg5aMj}>cu|t|23t_YaXtlBfticEx z(FZR>12203&pU$W0KhFkM)2}|hvT5?6-+XMmoLLsxiT<;_Y1(dpjEse4BagN69(@f z022%hNUL+z86jI992h|>q(KP*rUB+V*e(JX%^=_~pMik^wiK6v5xl0r0kl*QE(X&J z4heKo_C+0?9gF)~U^IAzEx7THt`ZzC=z?&w^BAEM_UJ0$#RFs`1K7E+CB6)d;K@g@ zbKzoeBSHR07lk<!MkAbyt`h8AbV0b;aOa|{z~)@oLSqIdNb3MR?g?@oTmot?XJboe zZ@X>t;)MtnWWgl^*x#@v!VF9fr$NJ)XFvqVAh-mP2EkSZGcY@x1(|UUM4Sf^Ak*No zM4AR$-^;-4Z~<h-MG$cbM1V|#%MxiCY%wqcBV?fh$PBm`k!HYF2{SN4#(6<zz{Q9( z1GadWfe}0*4Nm=VF(S=?tu1C?1ovt=;e4omu%YOJ0?7$k9-i5V?M4^^;1&s_^h4JS zPPXWR@I(n}KVek?bq@pBWH7<O07-MOwayHT;7%4NoDbCk_5!*fhKn%-z;-~qfUX(r zKy*P&FQBV{x(ACFV5_eg7{RSqPB<T`1?&ZMK@1mT2q1X@U9%Xv09GpqHB!JsU7+?b zx@qv4YVc$oJW|n>LA{41L|{wI85qI+JWe<tss-#^bU};&zz{$R5p>OB=mJ=+AUZ_Q zO~Vl)=*pnp!xAE(8V|hAkjdc|sN}j0B0$9kTmq^WQ8FV~$YnHaJv;-G!yS+rcR>Wm zAh-mP2EkU#GcZDyw1Lcki$Tp`Z*N$%VsS$sjE1bE2WKF3rLaYv{0<L6E)r%FU~pK( zz`!sEtzC!22U`N(fCBI6qVmAC5Ca2~DNGZi1&jsO%fP?@YDR)ExG5=yE=yPdoJ`QQ z;aX4znzkg@NJ0w<(cOS!AtAal)PxCI%>$V=MOTO2pP(%%ptW~sYxh9@#2?ZQpf#o7 z;RWp0VT2M^`$3yI!P_%iY*&M52k<sNVr^FtWnduQ9`L47=;l!e@SZ_p?coD8c0tp_ zc#|R0+EoTf%Abpt@{#!9)C*4Q@Y!lqo&%`q1xooaO^g;W7FaJv%14(KBPall5p-2} zQ$D(43_GwJNhsx`8;c|5qbtLe^3l~{cND&qk8T2}lMKR;jxt)xM^{W-px{aQ=mvsH zHxLGwaFBclPWkBSu=*2Z4~~?Nt{-Fv2qW2pNck|u*peY;$_JJE;K~j(KE}YnfW!x< zUc_)Ff(7onFfcHh!W2U`Il*{feGCi?;B11wx&T)@OVKhP5+7_7Vw?iO0*_dLoB&e{ zaRQ77)(3L}{(K8|!U{AeAo0OQ!M0sPc3(Sy_9ugKJWLtHau^S+gMonoUQ)vu;9iUv zx;hL2aPmM`1xg;Ef)_?36~O39K}iFIk&*{E8KSGhX%CKMh^`-G2M8nC14@RbFm;R; zFcvIGK&n9)tb>8U!4lT=*pC(gNPMtf_+EDCo^}V&?r=~Pz!XBF0LBCB0gb(YN5vrv zP{Ca{F?1Ce0^mSFR|N`m9D#za6y!Y+273{y3_w?h(;gg=jIJMK2M8nC0}gzcIz|f^ z3l<olauI~VWh1oW18odO&i+Vzur~0}FgOqqEO0*>l=onYAz=^Wf%Rbvdj<xFcvyVj zLyK=DK3G3{FKG8{^FkQyumv<o#=v9>Q^?2(V}aF!M(aSWVst^2>0}fRxEBuDkAbcU z-ob=V5;KaSs{uzJx*(opg02)4bs&t0K7`jSFcqT~+?Qa%_ya8%k@(<XVFgdwKu8DB z`3j(DgDHYU8;l2551Lj6ZDa;(1IIX+1@0?DQ_N2^E0OqMBUr(6h7i&LbeaRmN|+*u zl`tMyJ;F*X^A8M+Qm}JP7#JAAt5P8=ND-nCLtuk=Fd96V2if+6t`xRS6{3-Wflmr{ z2nxsw@L?5ppb<z=aze;JR3rL%2o|)*2T{PlAO$-p1!Nj{+ZWt4gbYM6!VClp-89%? zDj?IqO<}lc2pQr{gB`X4G7a2-hnt3wA>K6DVJsliKpT-AK&EjbL?I@Da|*g3#&`jS z0MY;gx@K^v7G01TVjBZ^g)~+bPz9(xewd&X?8F$5XTS%OfII_sJ3<Dc7!g?r7J9V7 z&Xoa~23ivh2^xeb#3Zos=z<s_fgyktH0YYe&;_tsLG;)Px@o8ldH84(x-y9G7;uC% z>?9pf*nrk!Lc#_i3egRAIJzK4P+$lkg$=r9F?0c}RuCOF=%(Qa8+2t5-{A@y*x5m# zumP3V4k3&T44ep2h;FdM(FHMr0z&{PY|u4}p$lNOg6Ob8Hw{PFpeuv;4p-Q~PD=uX z4X9#)gbhLzq8sdRbU}=uzz{$R8+6TL=mJ=+AUbT&O~Vm3=*l3z!xc8L^Pxat1L~cC z!v^e5gbc(4M45$Pp_g8;6Qn?<fg0Kf(-1Pmn+7{!3S=6n8HX?pAw#@ruoI|2rh%tg T;pH?!hG^3u3Xw?%(7AX3gT?-f literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2ad2321ac296882d59a7d09147a119061d19de52 GIT binary patch literal 39538 zcmWg2k!R*+U|7Jwz`)?}q)MKd#iHR%IwOM!4}-(|eP?z#q%&;bV&HRj^~>_hboBQ0 za`1Ca<6Yd+x1wWJ%i@Mb9sRwGDL3Q5+M^g482<nN|KA~ufq}uxExSL<)qkgFwriGS zvS(7l0zWUe1s=&63tT;uJ(E@~cI?gacXaj2%<}AY@$l?T%382%?$ia&nbWddr!2_G z^7r)3^hlVsz%k1|Va9@tWY3J93zpBIq0y`i3ZO7xWMJ69%*4oG&BWZicp-;ZvZI&3 zV^&5<kLXE|Cn3UY39eabo>?7Co4<hsK|!@v&YFqE)6>(HZ`JCB3mrUMT@w5pys|Pr zsVwRMsbOY>sB(C}Z><~?G6zW<!h{;c-Mo0AZDY%#j-C~L|D=suL8d?yfX!fF5ODBk zU|?WmP+$N#6@(cW;6f}u$q6Z70$C8`K(H$r7#tQdFff2TjT)OEo&`K^85kUx7z7v? z7<e4=7#JAX859`UTN``Z8*N*lw1|TxivR<IgBgne0}~S?g9QUvB?n05;*NzKi)>ri zAv6w^oD2#KydCZRJq-&Nujub<v2B6y3?V!b2VZRFb1^6|a4+s^>0a2}(A#d?0%jY6 z**NUs2HCT4VaKB8=7z;BV0$1u0Wc4TE*>OZiyD!2fq6J|@iHhdaQ3WN2sXKevuA}N zn1w?%A5=Bi^<dRt77o??ppabxR?)(40;X}O6acxsqqAZ0!bKg6+grLoA=}xo*bvGS zaX7^Yidv*t6J$_e5b*R*@N)5Y&B*ZdNJvg{NB{}ULK4`3664GaCXg5x0;R(xw!O?I zwz4R323EnyAi&@d%`L#-5CbA&K|~yghzAh~AR-Y&B!P%z5Rn2RQb9x-w*UhJv%_Cz z0fzq$A#5O$l|g{vKS=O5vjBrb5Ssvl12f2mD3DSI5XtIL56v75Ob+27V<NZ(7#I<v zj1G|?#a2w9e9x!{rWhC);0%Wb1_lP0C^*l639v2{IkXIq#$^DzTNGx{|NjgO3|7n# zJHZslP8b7hE{p@V4oo2IgvlY74ajT;aQKMAltF9*WpV~aJun5b4aNZ52IGK=4-kfg z70B-}8F(28XD~Q`!e11o0AvdzsM=uoF9P<Mq8Nx`Z~&F1jG)34Tws9-qymhD5)oZ1 zln*MJ3>{n;7#JiN6c_}TG%Q-w(9ze@-m$QusjH*65iBAA6%hi7IB>Cpk~|wDIPEk4 z|8D?ts!*1ze<r-5a&YrVb_59_>MpPl1A{{iC>?=PmqRtT0D~&XR%Rw0CJ+Xd&P)!q zAO&DT2wZG}L>>4T85kgnS(?`_Xa*A?2^`AB;mVnr*qJ~Wq?i%xbg%^=E(3!DJCZss zCT1osCXfWOp&*BV#26SH7;z{Dg$LMBFhM{u$UF>Z;58X;JhI8)7?WgBU=T`mOip%8 zcU!d5%_A$p-`g|611vN#eHuh)K1c{`BLf2>&Qw8qL17NUAcL74+CbqBCNRPsq!=9J zU;>YFNVtQPgTfqyL5iW_4l*0Wg@-#t9dfvXlpq`eRSXFoOvT`Uf$Jck7_1JigMebN zIxqp!hO8JI?oyy49vto&8IDOQ34Wj;761uM%*b%ul#(zHB!C&_Abp_l24Rq)Ob&gZ zFb5MDVGdFZ4sI}kM>!<SLCQhl4Z<MB&@cy?4dTMX9HI_6%t1;J4uL9$ggK^SaG=0- z5Ks(O2iHMBF<2d#0BJ*3tRc&wz`zeJg2086?V^P+KDbD-H8O<cJ#Z7s;Wh&UgN7U^ zl2G!6Lvn@yYOdJ41Dx0(EiZ^Rc?JarKBQ=MNX`Ip;gPy|2RN*dw1AU@5<Dv=duC<0 zx_BmMdAqu0K(ZoKXfs#{Gg*N2f|3LXgZ$0pFcXw4zywCJ04WBi2rz+1IV4$tl!KB4 z2!j+ulLg3Z5Eq^-AnK5l1xN|PAyCDTWPzy|9B*(P1QdhS!F3Q&3|0pwK-!QMYbb+a z7#g;a;0DDoQ~(;-n|FZyfD~OCs-V=Q(A)>^cr9*U(PP`VkRQsI1@i?BA(4cXn$$p= zl+ZLm`8YMHgBp*E+gB{?SOhk=aUn05XSBF|g^Vp0TQnFH7<jV$GBZ4ry}TS9JTf4h z%^=Q<9T<+%WKdvW^Ucg~NX`ILn=zGWF(@#wq&g&Luxxd}BCQKa?O^M?96ggEsU5_f z2<C3y0j}g37$BL$0OTP^i@#xY11R8lAv^&PPtXuqvAG?i0s||!djTOqH7Ena259RA z)C_>cCWFH&ZUF`^7La-{lYzluHMal*6S!>!5(TM)c7R|Vp%zFR7}Umst3d5kwSb$- z42%vv;4UesW6B`rzzC)pz(&J3*o}T5z`$SuQ^L%tzzM<*EGz;H4qPk(42*1!5VOEW zLD>uf(A0;K9N_{E3qdVrMu!;;3=A+`j1IF=cuSCY|Nk?PqSgXtCNn2LCkQ(*gIs)q z4b*OD05L(?h|vKeP{qK&;KZoFzzHHip$zs6jKkg0+1z2<+1IkLWf3x)fe}R+v=a;K z%tFH5A&ymmfq?}Q`p%G$5`)r^(1&rry-wsFD3t90?%IH30j3lb10alu1ttg3u!$?g zd~jPCn!G>>h=JK0B*Wd_(bUjxySQa_$A4rt$iECIDpq&&!Bv3RU=`dTGZ<SKTO5kP zT|GIlC<7xSNE{pxAO=Vfq=>;GnSp@;CW0aj%_^uF2g-9;2`cgR85tNHYLWDV8k``L z9ZrCxkAaBepdJ{T8^p()P#O}VFb<K45E6?pO(4fZtN|rZaG}Y_z(|<|VlczO;Q-?x zY-#`vdVu^3mjZbflwA)n3NV0k3dA979#H>6X^4Me9HRXTvI?dNY7??842+yGS+Ij) z95ydce;3ybC<QKsAz=qo02ypq2pMdF4!yv54&ZJ&xB>$a;Nr*Jk5PevCj(l_Cwut2 zqHu5*l=r{|B}lgeh{?d<a35Y!f<!@{!d{wz3QD*NJOyO{B%sBhG$f#59PCDevnWgn zD0_l1B8xJz1wzaOhbfc|YGQ$E8Aos}18!m=a~)Pd3Q16e!E}K92EvREpi(OcRBC|; zkPz5p7zeqeLS{otEtoRGrB*P+*<w%{5)?2FEHi`0q*_pU4&d<^aInCXgMtKvk%J`! zVm{dMP&Ns{0@DHVKL|sDC6rNtK@3EIguo`lI4~!Ihuq)}hVUG~V@F^I!<2&@3&Kba z23MqE5J!taX-J^JIDF6~jVDqaE`ahpC^f<~!5ju^K{PHz<w1(YO^l%GHXLFz*i%q8 zals`9Q%$N?hXjyYL1_?X0=zul53ULr7#Pe;7!?@U8yg#JdsZxj(YP}(6A!4R1JdIF zVlpr|F!R7#Iv`O{v|-O>pdf>*z>|T?Ai*RCr6Can<6t)$9PuzEphyQ{q=;uLhnNWt zKPVfe-I<)>undx6L9H5?3P`I4!2`8wV7&kT87yFIP%8z5(OW8z6kP$z=pX_VG+-CN zILMhBnGMb8FlB@@dL_hrVo(|qWH1iO5Hxf!+5tSw3Jx}yGEk6#FmkX}LCgg^8p_5K zY%mp&U_<ag!3N`jf(^z71sMoq54LJhK>#A)!3N`iJOm!`291)#+FD7VrUlqTFl8Xm zfH1O$YLGkxW#jM=D7C^=K>9E!9U3UdVJRrpFff=mf$Bp@zsJEdIUx($?@5MmaAzkq zaCQReb^tLM7#!5$*$E^H@;vr54{{(}1)l8G0`amKl!l}Y7zex2;9!I)0R<rlBL`zE z#7uCyfU==|G*Cy)VL2#JARPplEF?`Jc%U=^<AKryj15W;AdEdtw1Kh`hyVo(*mf8P zIr|{9p;-y0jBr+Jhj>j4N<)GR#$kuexIk$K@Eip=xL`^^K?TA{!3C~%I-ur4X$DRh z1MCeLhd@eW1h=hWirGM+0BUQ35+Srv2X+fg0mvO7jN}%!PKZ0ewnEvMQ6~nI;e;_z z)Dv_is0RVp395cz96p$n;T;8-3_DB)?inUT?FCZ=uf4261Ne+=-AJB+vN1iw36lZ) z0mdQ94=}~xV25!q{Q#4};s>a&6ddY7<x>NQXao^WAfg#Ww19|K5YY}IIzU7xi0A?l z-5{a|MD&7)eh@JML`(z`lR(5|5HSTrOa&3MK*VeiF$YA<1rhT=#C#C307QUBE5OZf za1{<Nco@Mmybg7soC_u)b7Y`-5e6pkbTWtuni>LO=wvcT2t2tACKwo?(?3%f6+p8- z0+74|QUnSG(3CP_xd1AULBL@WbpD0`JPgVKnp9z61b0QjOi(fhB_tRJWFiPV<iUEJ zFd0y}2$JE134o&=#({Q$pcFLIfRZar0XV8*9C(DnlPgRHWCI9;O#l-dU@w5i_!+=M zvK(M0#0y|1%nL9MNIM84ya1C)0SPlOFmS>Iz+QlHpl*j!V7u|yg6RdAIZ#U&z$SnR zh!;RZ<_zE=Vh%79VmO!y^8$<mHv%3DFc~hW6emmo>;)JH>UJmvwi}Nvm|lRH1GNOj z3-cKm7(m1A42%xbK#3ic9>9DC23(VaDAR@D^vA&905S(O0?)wcFdb$Nn9sm~bIJ;J z77LsVpeBI^<rx?qX247W^BEWzz;iB+NTc-_0^pcnU;w8s(6BWFctC*z%!C9Qm<fv- z7zZ9S@Th^wfC2<0%Lx+zM-7YvjT0z^6g4mf;0yxeU`7p024n*WgG~Sv9N-`Y4S6## zLPkbFE&=o5L5ec?2%dUyL=gh}9U7#dQE&!Eheg~144hy#C<s7C!Z=VrKq;^rAOQwb z0QL%ugXtBR49FWG3^oBwa6p{^8dGOrbXW{>0+<bR0*nK-8%lxoLYx3o0Cob5gXsjA z49I#A2AcpTU`_yy&@(VPECD$I%!WAu#(~-mrNDY2PJk%@I|0Vw2iXEL0$$?5WS|nr zc?}v0pfP_2MsQP@6U+wb2So&o1GO7UAvpo20PF-9M;L081KbHP8K?vnCxGS=7#P8Q zI8HDd<^&iAYB!WZaso^N*a<KWrW0T?xSar+r(j?Nw|zOmY?u>Z9H`w;3dspD1z;z@ zIGAYxCWG4vpt%qRM)1&+LplQk1DFp|4$k2)9{Zw>&W^=>Eil>vv;YWP)527M9RlNk z^n);>nu5uIq8Ka#G60$uK=UgMjF3?ekQ2asup*FZ7!PJOj7B&CrUL8)7zd;ugb_}F z$$$(6%RrpK=dg%@fx!&C?gY~MfU<E;zQQJB!F2@#1EVQO1ElK$Vu8(W1Xac65JMrR z!Z@7G9etfG3vGKBBUlVfV7J4RfJ^{k6hRJ<2q=BL-~`Pva9SW64&xw<M6jTS!<0ac zfeg9B1knw*L^d48K^Tc(K@Eo~K`|UAh;FzQvf(fe!bk)QYB)>@is3Lpbi=KY4To_M zMj}{H!(mEL42KD#8*YPaIE;fZ62XER4pV|+I7|@Ta9d=<VH|{!2o}_Em=YAjVS?y} z+aVhc;~<Pgu%L#+l%N<66GS)M9@%gh2Vo?F1vMO|1jTTeAiCiW$cDo>2qO_JsNpar zD2BrX(G7P*HXO!57>Qs(4TmW~F&rj{ZnzV&;V=%uNCXRNI7|tO;V?mT!<~^0hj9=_ zB3MwvVM<U8hY6w^?t*MMjDs)|!GanNQ-WeROc330S7gIs9E6bw7SwQ<5){K>g6M|3 zAsY_kAdEz?poYVgpcoDlL^s?W*>D&KVI+bDH5{e{#c-G)y5SzkhQl}rBM~g9;V>mA zhQkEW4fjMg9L7NyiC{quhbciZ943fvxEHeFFb={<1Pf|7ObLqNFhO*~y^#%vaS%o# zSWv@ZN>B`k38EYBgKRjAgD?`of*KA}f?_yK5Z!QJWW!+`gpmjq)Nq&*6vJVH=!W|t z8xG?jj6|@YhQpMg7!DIeH{2iDa2N++B!UGs9Hs=taF`&v;Q`2o!#D^d5iF?TFeNC4 z!vxU{4@5Q`#z7c~U_lLsDM2wDCWvl$5VGMg4#G$T3u-t_35ww`L3G1|kqw7&5Jn<c zP{UzLPz;9&q8lE9Y&eXAFcQIn8V*x}VmM3?-SALk!(kkRkq8#laF`Ml!(oExhKC^= z4&xw<M6jTS!<3*H4iiK-JRI3@7zbe_f(11krUb=sm>|005y*zaI0z#VEU4iyB`Ai& z1knwTL^d48K^Tc(K@Eo~K`|UAh;Dckvf(fe!bk)QYB)>@is3Lpbi<>O4To_MMj}{H z!(mEL42KD#8y<sfIE;fZ62XER4pV|+I7|@T@K|KSVH|{!2o?jA7)%=6gM)G4GZCN_ zKnxD;pw&L0@oAVmx`lDb7Q#3PYY;33CNY>a*g_ZwmxVBSbPMB=Erf9p)*x66Okyx; zu!S%VE(>Au=oTg*TL|MItU<6Cn8aYxU<+X!To%IQ(Jf3wwh+caSc70OFp0sW!4|?e zxGaRpqg$ASY$1$;um-_mU=o8#gDr${a9IeGN4GE;*+LixVGV-Cz$6Bf23rW@;Ia@V zk8WWKvV|}X!WsmNfk_M|4Ym-*!DS	^JxJWD8*&gf$2j1Ctm`8f+nqgUdpgJi3Kx z$QHsl2x|~51|~6>G}uBI2bYB~d2|cYku8LA5Y`}A3`}A$X|RPb4lWB}^5_<3AX^CI zAgn>K7?{Lh(qIc=99$N{<k2n6M79vdL0E%eF))e2q`?-#IJhi?$)j7Cg=`^=gRlm{ zVqg-3NrNqfad24(lSj8O8`(k_2Vo6@#lR#6lLlJ|<KVIoCXa4m4zh(X4#FA)i-AcD zCJnX_#=&JFOdj3BTx1Jj9E3Fp76X$QOd4z<jDyQUm^`|LdB_&RI0$PHECwbqm^9cz z7zdYyFnM$f^N}rtaS+xZSPV>JFln%bFb*yYVe;q}79d*);~=a-uo#%cVA5a<VH{i* z!sO8{EJU^t#z9zvU@<U>!KA?!!Z^4rgvp~@ScGgLjDxTS!D3(%gGqxegmG|L2$M&* zuo&4w7zbeug2lij29pL`2;<<g5GIdqVF|K@Fb={R1dD-53?>b>5XQk}Axs|K!ct@l zVH|`t2o?jA7)%;$A&i5|LYO?dg=NSV!Z-+P5G)2JF_<*iLKp{^g)n(^3(Ju$gmDno zAXw05JxqxMv|SIj943fvcm=ZIFb={<1dD-53?>aW5XQmf8<;%0g_Xz_!Z-+P5G)2J zF_<*iLKp{^g)n(^3#*VVgmDnoAXp4cVlZj2g)j~-3t{r;7FHu$2;(5EL9iH@#9-23 z3t=2w7Q*DwEv!Md5XM1RgJ3Z*iNU177Q#5VEQHCUTUd*1A&i5t2Ek%r5`#&DErfA! zSqPIyx3CV`LKp{O4T8nMBnFcPTL|OevJfVZZecyLg)k1n8U%}hNem_pwh+d_Wg$!+ z-NFWB3t=3DH3$|1lNd}IY$1$;%R-nux`mC%7Q#3PYY;33CNY>a*g_ZwmxVBSbPJo1 zErf9p)*x66Okyx;u!S%VE(>Au=oU63TL|MItU<6Cn8aYxU<+X!To%IQ(JgF2wh+ca zSc70OFp0sW!4|?exGaRpqg&XDY$1$;um-_mU=o8#gDr${a9IeGN4KyI*+LixVGV-C zz$6Bf23rW@;Ia@Vk8WW*vV|}X!WsmNfk_M|4Ym-*!DS	^JwYWD8*&gf$2j1Ctm` z8f+nqgUdpgJi3LQ$QHsl2x|~51|~6>G}uBI2bYB~d2|cAkS&C95Y`}A3`}A$X|RPb z4lWB}^5_<JBU=dLAgn>K7?{Lh(qIc=99$N{<k2ncLADUaL0E%eF))e2q`?-#IJhi? z$)j7?i)<l`gRlm{Vqg-3NrNqfad24(lSj9(57|N(2Vo6@#lR#6lLlJ|<KVIoCXa4m zKeB}|4#FA)i-AcDCJnX_#=&JFOdj3B3CI@0I0$PHECwbqm^9cz7zdYyFnM$fCn8%2 z;~=a-uo#%cVA5a<VH{i*!sO8{oP=y4jDxTS!GbpHVM?H_ddLbUm>|00laURFaS%o# zSWv@ZN>B`k38EW51=(;I2Vo?F1vMO|1jTTeAiCjGkqw7&5Jn<cP{UzLPz;9&q8mO9 z*>D&KVI+bDH5{e{#c-G)y5ZB24To_MMj}{H!(mEL42KD#8$JWsa2N++B!UGs9Hs=t zaF`&v;WLp9hj9=_B3MwvVM<U8hY6w^J`34!7zbe_f(11krUb=sm>|00vylylaS%o# zSWv@ZN>B`k38EW52ib5K2Vo?F1vMO|1jTTeAiCjmkqw7&5Jn<cP{UzLPz;9&q8mOB z*>D&KVI+bDH5{e{#c-G)y5aMY4To_MMj}{H!(mEL42KD#8@>S9a2N++B!UGs9Hs=t zaF`&v;R}%shj9=_B3MwvVM<U8hY6w^z6jZH7zbe_f(11krUb=sm>|00i;)e7aS%o# zSWv@ZN>B`k38EXm1le#H2Vo?F1vMO|1jTTeAiCj8kqw7&5Jn<cP{UzLPz;9&q8q*p z*>D&KVI+bDH5{e{#c-G)y5Y-_4To_MMj}{H!(mEL42KD#8@>YBa2N++B!UGs9Hs=t zaF`&v;VY31hj9=_B3MwvVM<U8hY6w^z6#lJ7zbe_f(11krUb=sm>|00tC0<daS%o# zSWv@ZN>B`k38EXm2H9{J2Vo?F1vMO|1jTTeAiCjekqw7&5Jn<cP{UzLPz;9&q8q*r z*>D&KVI+bDH5{e{#c-G)y5Z}Q4To_MMj}{H!(mEL42KD#8@>VAa2N++B!UGs9Hs=t zaF`&v;Tw?+hj9=_B3MwvVM<U8hY6w^z6sfI7zbe_f(11krUb=sm>|00n~@ENaS%o# zSWv@ZN>B`k38EXm1=(;I2Vo?F1vMO|1jTTeAiCjOkqw7&5Jn<cP{UzLPz;9&q8q*q z*>D&KVI+bDH5{e{#c-G)y5ZZA4To_MMj}{H!(mEL42KD#84lh8=>XdH0zPGHln`JT z4TFIT0|o~0wyxcf6c66Z24yn{U>=W&e2%O`at3(wE@)#HNDE|R7l;MQ1`es9ja_>n z#zHoB!8nNQgJ3~35=;rm1P}%fo4^FoG7{%rWW!+`gpmjq)Nq&*kij4fHXJ61ZumZA z!(kkRkq8#laF`O1!5|Da943fv_<m%=VH|{!2o}_Em=ch|APhDfCWvnM0c68r9E6bw z7SwQ<5|F_l3^p7lh;H~nWW!+`gpmjq)Nq&*kij4fHXJ61ZulW&!(kkRkq8#laF`O1 z!5|Da943fv_+ezjVH|{!2o}_Em=ch|APhDfCWvnM5oE(*9E6bw7SwQ<5|F_l3^p7l zh;H~%WW!+`gpmjq)Nq&*kij4fHXJ61Zul`|!(kkRkq8#laF`O1!5|Da943fv_;F;z zVH|{!2o}_Em=ch|APhDfCWvnM31q`z9E6bw7SwQ<5|F_l3^p7lh;H~vWW!+`gpmjq z)Nq&*kij4fHXJ61Zulu=!(kkRkq8#laF`O1!5|Da943fv_-SOrVH|{!2o}_Em=ch| zAPhDfCWvnM8Dzs@9E6bw7SwQ<5|F_l3^p7lh;H~<WW!+`gpmjq)Nq&*kij4fHXJ61 zZumK5!(kkRkq8#laF`O1!5|Da943fv_<3Z*VH|{!2o}_Em=ch|APhDfCWvnM1!Tiv z9E6bw76X$QOd4z;jDu^s0Va=b;YDN%VH|`t2o}^gFeM<LfH2r{m>|00myivIaS%o# zSWv@ZN<apKFxYUIAiCj~kqw7&5Jn<cP{UzLKn8;_*l?I2y5U!l4To_MMj}{H!(mF` z!4KNP024$v{3^2HFb={<1Pf|7ObLqNFhO*~uOS-_;~<Pgu%L#+l%N<66GS)sI<ny~ z4#G$T3u-t_2`Icl7#!v>L3G1!AR7+jAdEz?poYVgfD8s<u;DO4bi;2V8xG?jj6|>) zn8aYxU;|+sc=(|0Q-R5&TX+lELKp{O4T8nMBnFcPTL|OevJfVZZsBcY3t=3DH3$|1 zlNd}IY$1$;%R-nux`lU;Erf9p)*x8W_<|_`#T5vHEr$uB8-5qra2N++B!UGs9Hs>B z2~Y-v38EW*57}@S2Vo?F1vMO|1jTTeAiClAkqw7&5Jn<cP{UzLz}Jm{j<Nu`943fv z_yc6aVH|{!2o}_Em=YAjVS?y}KSVYh#z7c~U_lLsDM1Mqm>|00kB|+AaS%o#SPV>J zFllfKfpOrrpk+XqJi3LCku8LA5Y`}A3`}A$X|RPb4m`}zEQHCUTlfUoLKp{O4T8nM zBnFcPTL|OevJfVZZsAj83t=3DH3$|1lNd}IY$1$;%R-nux`oe>Erf9p)*x66Okyx; zu!S%Vt~i9rqg(hK*+LixVGV)>O_4AqaC<;`2_}ec_zPsiVH|{!2o}_Em=buXfeeQU zq8t7a*>D&KVI+bDH5{gd8B{XDN&%Q4y5X;o4To_MMj}{H!(mELTn-aNH~clS;V=%u zNCXRNI7|sjn8O6o4S$1dIE;fZ62W3%5`#&DQxJ>;w*@Uz!sO8{e2Z)$jDxTS!D3(% zgGqxegmK_uhGrp59^Jxs$QHsl2x|~5Xz;<5*ds?gOc34h_sE9BI0z#VECwbqm^9co zFb*!?z~s>_{D5pBjDxTS!D3(%gGqxegmG}i7fc@A!jH%n!Z-+P5G-i$!IZ%50cA>< zAiCk7kPU}%5Jn<cP{UzL;GqUG943fv_-ACpVH|{!2o}_Em=cs64--T;{0p+-Fb={< z1Pf|7ObLq1VS?y}e?>MN#z7c~U_lLsDM1Nym>|00-;fQ5aS%o#SPV>JFllfKf^p!s zpk+XqJi3M7ku8LA5Y`}A3`}A$X|RPb4m`}zEQHCUTlfRnLKp{O4T8nMBnFcPTL|Oe zvJfVZZsAX43t=3DH3$|1lNd}IY$1$;%R-nux`n@xErf9p)*x66Okyx;u!S%Vt~i9r zqg(hJ*+LixVGV)>O_4AqaC<-*6()#o_#b4$VH|{!2o}_Em=buXfeeQUq8t7f*>D&K zVI+bDH5{e{#c-G)y5awj4To_MMj}{H!(mELf(0gsZuoy>!(kkRkq8#laF`Ml!(oEx zhBH9Umxjy@!8iyb5iF?TFeT7R5wfZkCWvl0BeLNz4#G$Ti-AcDCJj!WFb>=cXgMAx zk8U9ovV|}X!WsmNfk_M|4Ym-*!DS	^FD_WD8*&gf$2j1Ctm`8f+nqgUdpgJi3J} z$QHsl2x|~5Xnetxpu`tU5Z!QAWW!+`gpmjq)Nq&*6vJVH=!UZ)8xG?jj6|@YhQpM= zLkN_+VS?y}vm+Z0;~<Pgu%L#+l%N<66GS(h1KDsG2Vo?F1vMO|1jTTeAiCk4$cDo> z2qO_JsNparC}9p0L^qrZ*>D&KVI+bDH5{e{#c-G)y5Zc&hQl}rBM~g9;V>mAhQkEW z4d+2N9L7NyiC{4>iNU1784JdN2R>TS0h33!kQdoP7zbeuf(7*rObLo_V1np|^C24! z;~<Pgu%L#+l%N<66GS(hAK7pi2Vo?F1vMO|1jTTeAiCiK$cDo>2qO_JsNparD2BrX z(G3?wHXO!57>Qs(4TmW~F&rj{ZnzM#;V=%uNCXRNI7|tO;V?mT!-bIzhj9=_B3Mwv zVM<U8hY6w^E`n@0jDs)|!GanNQ-WeROc330QDnnm9E6bw7SwQ<5){K>g6M{eAsY_k zAdEz?poYVgpcoDlL^oU<*>D&KVI+bDH5{e{#c-G)y5SPYhQl}rBM~g9;V>mAhQkEW z4VOeV9L7NyiC{quhbciZ943fvxD>MCFb={<1Pf|7ObLqNFhO*~rI8JXaS%o#SWv@Z zN>B`k38EV=gKRjAgD?`of*KA}f?_yK5Z!QDWW!+`gpmjq)Nq&*6vJVH=!VN78xG?j zj6|@YhQpMg7!DIeH(Vasa2N++B!UGs9Hs=taF`&v;R?ux!#D^d5iF?TFeNC4!vxU` z2j2z(UUD|NmT=(K5)NqCLl-itKvEfaAtRIxx(5(`4?E-vV`MJ)-Vo3tMv!vIB1RAk zl<c@URUyVfI;k)YBB>%+3`}A$X>el@#^D8-0~)+XYYf8V(GoJJ8nT5j4#FA)3z|z{ zO5pZ@`YJF%bi>t=4To_MMj}{H!(mDUV4eUO4iiK-Tm#u~7zbe_f(11krbHCkaF`&v z;hM;X!#D^d5iF?TFeQ@6hQkEW4c9_89L7NyiC{quhbfUlHXJ61Zn!qG;V=%uNCXRN zI7|tO%VC1(hU*|34&xw<M6jTS!<3+eIZP1Ua9w1>VH|{!2o}_Em=cuK0uw|xTo2iB z7zbe_f(11krUWIO!vxU{*GD!S#z7c~U@<U>!KA^t7RG_wf|hGx@@N)<uMy%Vv-o3R zU@?Zc7x{+j8qj57(DcOxxlb6Xih;MIvtjYVMIDRVTe@spP<ak1AbpGu@eB+MrchHs z_jo|rg!Qs$nK3FbK<>f=v7k->Q;cj-5pd}WWiz1O$%@JY-&KNU8pt^y<Fw4-7J*nG zAz06ukqs&Wwg}25+9H^G*iBzr7I2F|ERaR8p;bmUs0i31D4S@DVCrG_acNnCEdpJ? z2x5UO`v0GSkqycL+W}=0Z3j#}EYujl_l!HN0o`@=07QT;Wr;(IX(*dPz|%j$%f;U{ zBg4}pAvwt*0Yktc6_i#Om`p)h7%e~~$jP8f4M7-uv7s1D6zq5yhnSmu8w433wI)mx zYRW+6fzye@Rz?8^496hdQ_sM_k_h!FBLl+*=w0q8IfV)APN*uvsU1Z%5x!!8<g8?< zD?pI~WfQiGMJolIJV13Qhy@C37-nQkMKTh~X5d$7?rT`o(cinceMOIL<3e;k_<Ak| zMoyS|uy0@-{*Jzu_Kt-OuuFK*`QS@=AO#Cd3lRYT)&<_e#Hf`9_YQ~!@(LpVrXzU= z$|l-7F!f;Xz&J#1MuB(;rimC2f$yJd;}&3G)XIQ+2*d(;2vJUCB6$ePCfY+V^<WRd zIDAO=(&1>7LOg?{0r?ViG`~SErUGAq*9Z1Y7T7bOvIxWic?Mh-Wh40m$|lwy4xsCs zVR|5U&LVgqi(ov^owG1D=)PGHM!$0wT8@EAuY4pYLD>xaN@z(RjSs#S4%BD|X<+08 zksvRELm0*pK)u~AIRirgd{Z7Ilwq3Cf)$Mm3FU>La(5;ul%buD0wf<m*$ljk+gB{? zSOm?ws66nEav;}(6f=r}NVw}^9Q4eN&If0HhXBwW<e*{*t_>}q&;t@2a1Mo_D_$Wx zzY3Au4rMbCxW5(Ta*$F+F%SuIIVX$(jsO^k%`@FK!!sF5F+j>@m?Ub5pz^@s<FJ&0 zfdQr(#asf;Ls84-mgVB_=?A447#w~vGeGaS6J!!#a5xUWBn)yJE+`yf7P9$fW;i5g zKq>I$cMd9$P-Ec{U~td?5unsN2`T)bYzF2fw!I+ikOr<{8BIYFjGQ166k1>h!Z-rS z30WSV*$y7AE(v}PURfC!0uH_`0?@0LVVYP#S3!db@SS*I6<|Vvfq{WXiXA*}%jggd zN~m_AOI$%-gNQOPa5lDd_O{zLFJ6dXIasp5n(a~?P~9;ox*?*3b#p>>$HH`TLPVgg z0T>6vmly(I|3Ge2glPh|(P13SOZ;FmpfCnuunAxS+FqC9f;uh^<~VRvKtu_9jT@>v z9z{1ql(23dsO|(5-4Id2x_P0x!FS8UqZA@aST`S3H~1oVxNe9jVcq;t-QWwk;kqHB zgmnu*b%S~)4q)A&y9*(r;DHg)O|4)x3=FV43t=?k?n0P)a1wxVK#>f>h`S46GO&sp zYye0J1A~+x*b+u?v)3U6mMb8lguNjI)eXwhVBMS$5oo%GaWIlGh5$H0Lee!%6WCKQ d4raQB$w2(UzyLM@OhD5$OoD;IK`2L_82~f>4qE^K literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8131f554c897261ca74f45ff8a7af9ee5e2eb273 GIT binary patch literal 7671 zcmWg2k!R*+U|7Jwz`)?}q)MKdWlP_gbVddd9tMZ^`_AlgNN3o<#lYw6>X+r0>FDk0 z<>2R<#+BvjpPB6H?ckiHSD_vb)*HpZ!0`Y7|Njob3=9m--K+Q(xAa*oYU#3VTFl$t z+|Ap#Xd!P)$D)pYWu*q5#eEGe%_|mn@N_nI@boX{HI@<KZCW9{xJ8n8;o`oIo)wL~ z!n_TO`+5a=7cS~pXu+!{NGo$?7!*KWXJla5z{14HV9mtbym%ppSF)p*zhhQLNss7B zkoO?MYzeMeX`WdfOq;)f1VJIRR?eD<#naQ%m2cJRg$o@#TwN0U9K5nJKB+9~0I6YS zgs5_Ozi+J^6EX)$9KwVe#NE7jp>1Q!qK=*wegCA5TS2Bk6fon`Pl6?2cR-A@W<rZr z_QfrID;BkMDSzDK3bGZVmVw2=pMilvf<b`+6f_{rzyKFw@kvfd0TalApa21f3Il_~ zLIwr~P#_~E8W3|QB>gZjI505?fNf(0(aa8inFSdBJA|--NLB^`hW`u<%nrYq1sEKH z*aR3Hm>C2Z9MZT27$798Lp{`S3``Em+yV>^Dck}Kj0jOihg6VaD@jm1GwOjU1_lN= z!=ZtJfdM88b~Bg&>q3!3i(oV^1K8c7FoXX8XJBBkl7iR?ra*SW7+`Z@9I$m@0%0di z4mm9#vl+nQBMMUnu?-Z+42*hU3S=9M0k#ds0Yx7OL&6H=cbE)3&BGZC4xsQCg((2p z!U!rI82-zGJ*FrRq8J=Nd54M0i4&amXkjMUU`V3lbns+gU{GLCV1T835DhA{7$Ba4 z<P(P)Py%9e$YWq&Py#7#ZR~Atv~6LB&>{|&ETGhA#v;JL#Kg#8zyMaM3{ttcV`0Z4 z+ZJ{RjYFjhg8~C@M|*!y!@|WY`ukdJTOd3`2v5Yp7n}L23<?ZFUao$Q*`9t$zK$7L znMwYx4z6IK-C&^|5TU8q-KWN&z#srtm*M4^?3v}~>g|vK5!eA2*nna;Gt6)53<?aQ z%`NQ>t02y9?pV~ZxV@#zwihgF1r{}gi^`(70Ava%zcV=0Lc+BklxrJ6L?ei30ujw1 zq6H-HPzjAA28TM3AViZCC?Xg@`5Yu>$H>3{PUR3$1_sW?md@UG+vdd!5iE!!z&1;P zA_t@!Y%5$hM3k^@P=tYWgPjY~%?S~KIs(QKNKVM|@XSWk2^a$4&}DQ;W?*1|X>zDV z;;@5V%fR5E5Aq<g3{-*vYyz0zfCx!}(gnzI;9vwf4jeELQNmsWr3#R4aQKHXGB9vL zM4(=SaWH&>Apmw0#A`53V6VYAm|la)K>Wdg#cQBs2XY)JT{wUo2lg67l%UtZ1(yM+ z#1cTXUL0KAJdzzj0?_IRBEY}^&hy}E#-W;9fI&lxL4kq8$0Nbd!@(m1%9ybOlB66! zIp5(n0|SGGHb_~PXNG4oNEw8IMVStR0s|i;??LjYM+Sro&9XCgfP;?#$z)vy1qNZH zEC(qY8W)1apcxJ#CTNJ^EIkGV20?J9TnNvUjSC?nh-@loh-@pU*`uM)puix8RLH;! z29FG|Ftnh73#0lKWQ2JVg8~Dmf-SUZ0bxOkSOy)CoWnv;E@yD)WME(b@j=n=V9dzC zpa<uHYAF~8+|&RQ;EEoc0$_5W(i_x%fzb>Oks!0#WKzKO6f_OPIMCu7R*bhe#Da<v zkX<kp(0GPNxJQP=Y><I6smSKRIM6~KR@k>V#KFviso;Pl2#*Xn!(k5CyfkF<U>ry# zfLbNMcn<L}vtg<s=?7X1z>*W3>o6B=Pdc(aFb=rJz*32z3OOXeY=r3pr#xr{07-e! z)QBeLFb`~Z2D05S4y3-psDO|J91>yn!t_9rEoMSS7IBylwlfpiP8bJVMM2#N&kc}@ z3q#Z)31&M?H#pftg9e`Lp}7H5*kJ+49gt+m04lmbiJb}D;&liI89fUu4<aE=R#5rG zzyxkxgP5R}8VEz%)*vBp+6NO14A9nCHiH7Fy&?dq13`*FnF!R#hIZ1Bm<;R=tssl% zGcYiK3N;2saGD0^BQT!<UrA~KQ^L&2&k4c~%q#*74j{uoMIQqrI6;FA1M~432GIv9 z3mF)}36vAe2KB~3xe&&|s9G=tz{M7%u7PO+*EKK>W?ci50fiF?gG~Sv91IX=fy!G3 zMsV5%dk4(N>m3W25>U8+Fd}R~<u3yxI8kzf*)TuCI2c~S5CA(4;zyV!upeO@Oh3Y8 zK>h<^unAxS=0{NJ&cFyxqhLRR`FQ=v!0NDwfq}solCQv;plpoV5ms0-Fq(ptFgi3N zG%|>Rgusph#WakAqr7#vAOZ@nEEWL<U6@j^-(eh9kVzmV$c8ax_%mhrJG@~8buPiK zHbHVVl+6GyiQx=z3T9wnGzBSPwg8bJ<3SM$!jMP>`2{9}q(p&}nNxw&fd%AJm^9d> zFpe<DD40uOf=Dg}2NFyi$zY_+rVEosG1!uT!3+%GATUP?0w^1Is{&dY!pe4VUH}CQ zNTa9)hy+;*3KS4V1dIj55(Wzx0~9DA3<(&RDA@fl&O}C#S<o69CWsy|mN0{143NDb z47OVrCJHtf#`%hDFia5LU@MrxFb2rAAPjLaOcZP|j3da0<YJg0y1~{kgJBGiYe5*| zVwfn{U>K(q*<hF;y1_OugJBGiYe5*|Vwfn{U>L_z0?EZNL3D#{VFtq(AlHI0#KkaC zu)#3STx5e`g6Ia@!3>5mK&}O0h>KyOV1r?tohnE!h6$n@Y!5RS#sIk%gdr}5iGmG= zab#7I42B7!8|(lx7{&m(7K9-#hKYg=hH)$nkqm|jq8sc8GZ@AIxfX;WE{2JM4Tf>% zA{z`7L^s$8W-yEaaxDl$TnrNh8w}&@v_*0;Oc32*XPCh-2FSG_3~@0`6l^ezBWs6b zFia5LU>BIdFb2rAAPjLaOcZP|j1%aFWH3w+-C$Rk!7v8MwIB>}F-#O}FpRSm*<hF; zy1{NRgJBGiYe5*|Vwfn{U>N6O5R!{wg6Iaj!wiNoK&}O0h>KyOV1r>CQ_x^LtUiGW zq8sc1GZ@AIxfX;WE{2JM4Tf<7<B?np6GS)I6J{`s0dg$}LtG3K1se?GY(+L0CWvmZ z7tCN71LRr|hPW6e3N{$VxtNXQVwfPh!QL=~VGNLKK^Wp<m?+p_7{@dR$zYfulEKib z)emAcxJ?9QWAEdmb;=kRO+m`J9p*4FFo0Mf+qjw+K|wPT6Wn+MHxc|HmN9@e!8lya z3n5@pGZGW5Nf)LD>@ye#rP757BKZt#RRFS8Fb<NVkeCpwU~0ft!8j<jB}@?Asz79` zU>uP73l}X~xCrDcFb!fttb(ZlTLt5wRC6#vbgP1pt%7l2RxLzWwQx~0#44B?uvIV) zO8o>AM7Js!*(w-^s~O~@MGF@#1V!+|MIetYgjxkt1GWmrL8(|^g6LL-AX^3FfYQRE zg$oxg1X%^9K}?9RU~0ft!8j;20!$Fys!(LBU>t~5pp*+vfnYDf0uZJKY!!@yQk264 z(X9$YwhG1p#TCdRNC1Lai(ml=Qv<dN#z85AVS?yZg(F)9<A8hx&RC#i0cI?OCkvPw zuvIV)O5q6;M7Jse*(w+ZoGccCA_<Z#AWTSH!PJ1Qf^kqvJ(wVpRiMHNG{gWZRG`=a HH028b6ztea literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..9303ddc518e0e9d53a2684f053ddacdc973e0972 GIT binary patch literal 40371 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H+ZI=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_FO%kpqd$y)R3*%p0WZ8?W11_p-zP~hOoz`)Sly^61Wv7m^hF>iZwH*e#j zg}f~ti#qz1l^S>!_cgRMuUOo{)3IoAdrKEj|6<<0-p1yR9=H<Tg&jS;-MrK@$&P`6 zfeGX<1_wq4h7GJtj11OH%*~4za(E>>digtMWvsAKZO`|LI0X@AOK{Cf^URWS<}Uny zJV6x{5^LqGnOHnMJze=$tzNj$!Nb)h!Oy`fE93F9_Y8*@D?VUiVuUDjc)xG090n7r znZJ8+%c2I`#+J_BcH8E~3#U(6+;{3=ju$g7-2{w9vJ7g2ke8>wi))5|Cc=psX_Jy) z{@F1L>OiPM&i00mMYi3G8+t6i<@}t{@bo^iqZtGp{23S+OrZe>3M&w1U_cRO@kvfd z0TbvFppasjIdkSzsDw4tAdW15Pd`@|S1&k&fx%%R0|Nsril9l0%`a=l)GfOh7#x@w z1Q-|{@)#HxQlMsVF6da))!NwG-pFYnVI(CgC@U`L@PJW(fq{XMk&(fYfq@&WJ`Jj# zwZ*n`VGpZ_t)!uhLp!qo1A{{gvj77qXe}7PDnV%;ls;HnY?~G@WEHU$GB(7nG6QNR zbBk>Qvxu#L!)$EkWg=8HGmF>?V^@_0Q`KwR%q)whikZO#;<jw4Dz*d%PyYljwhaz5 z=Wm#W;ySq69H?5>UfV^BI#^|Gjf^C)tIUO}WNvM5WEK?{L{Y`a01X#VsDr|VB`YI2 zgJsu_%{#EGD}tHU(7cdYKo~Xbm>6szJ}HK&YHwV~EG~#e6(fTHgF_#u0E0t6h?oE( zCW44bAYw9zm;xfEf{1A#VmgSJ0U~B{3NSFRIs`EbF#LB|$_gU=IY8tIFv-dw!0;cW zAb?qb!C^700E2@shX8}aF%ZEFvbPsxfCGr+aHvO0`wUDDogfRkI6(;!MTXI#8>Gp~ z4C*rmMm;zaT--1)U<f!gFfcHns{)rSU;=C+RyAk^4;q&N>~m3cga7|$U|_H^N4O8p zgt-r00Bkq9AlPYO0^vS%HRxp<I-da?E28MyATG2(xDd{Sxe#3d>_T)wu;ai4!iDH+ zu#}Bhgcuw^=|&V?GsK;i2zSDnFn6L0fZd5M2zDZvK)4fK4Wej9uoxUb=~xt9DcDuu z<O(Zc85kXUz=;x8qB1b*!I?0pq6>hXiY^E$@Ie@o{6WbUT?M@Qgfkc%Kp9OGT_MPs zjIhQ9!~arvC@Pl2n2@TO5mYyWYc()|R3(9mYp@uYK$1XWQlT0Jn+~lh!E7Ysp?pw{ z<Pgcgz#vfpbv%!YzpGb*hrg$TZ-zGt$KesEUNV6BlFQFAIl(pC(aqBt!JN#%;IIc& z!hp&ihuxe44BS;vgIWAMGQ3&l&Db#;Qo%Xw=M-R&=B|cHvoBuJ+tJgqnBCYyRzgO^ z7^>(1SWyjB5%<E5_QoZRw(X4z7jqj*hzpts+KLMr!W19m6kw3zu7fJ(%kcE`NcC{Y z%1rR|b<N`2F>~IGtuq{U?c6YP?$ljS^ACZvIJht{Ff>B7ad{@CW;u9fIc8-bF&P*b zn7JJ$BB^8Z^z(Af$^cPQvoahGgAyvJ?Z|+lihc2-hQ$jPF9g$uix)!FF)}dxM^(q> z<>;B5l>wqQ!%SnsP{rP{xMk7G#S6i-CCoHtOm&NT7cJ^oypSD4OTg5zV5nnDO32E{ z$^cP2V79WtRW(6Fgw4mp5v0n;V<KD?8&*}mSs83T9uw!n%woq-#ogb#cwuW}LtoG0 zg&?+JYomai49tZb80vYlk{z=$d^{ZeeX}yaoE<(M6X(Ni;Kb0u4f1mb$eP6q!EA~C z-o@~c=K`r`{{P?M2q={v1rf(U#BmUD0z{ky5vM@JX%KM+M4SZ?=QsrzRAH%vnMsEU zgh8bc6F5>K1P24C?FJHc;A3Q9KxklTUb~<fOn@YDXc0%z!px-31j2YU@S<tZV`65~ z12uCPaM-|)qJgD(?K+U7)-~g?LlRxfdXSd&__RoXw19IJNEOIEydC{Z7Tb0#Zdkl< z;ff{%&v4-iP%>j?2Im@>YA*kj1b-j@%#2KDD062<rnAFU)I0`L&EC<msB7VhCJ-&P za77bD9U_mx)h$`Lq6wsK$-)&vaCP8Z21*gvK#_bMMBD(yG)xyLmO&U2LLeuA&3C|v zX_y9ZB!dY&S|BkE(*lZR5XPec64M9`$T5x8QIMF1X+V!@tXd#3jid!7rm<>4#B>X^ zw15<v$qC8Ho=8kk`N;&1W0*R2P${rzQ3pGy1X#4F!{I(^?84NsIVU?NCwsCvCr?aH z_JpVc$1Nz%9)P0jAt<_F%0W>D!jPZ@nF-c{8C@_9;Ftmvc(g#G3#J7WRUnK<10=c- z8jzz4tD_*%1=D~YU0Ah1q6<k2N_1h>0*)??R%leQg7YJU1Q%b74tqfX8p^=H&<0h* z0B%pgc_1${FfgDr3{W@_6M~UUV8m(y#8wE&;E=}1z`*G60%Z9dB&|@}7}%Oq9g|&} zp%kPc;&7XRfdTFnHgJXQ52e7KVPJqL>45r##nCIni^b7vhZj=M4^;_kL-WGsX4Zyg z!{%n3ia-q+FBU%!eCD(_g3M`eL^J0A$jO~h_ppJhHg7Ps!yCgom@-ySRn`R}4ZDyP zodlWH1vQHU?EQ>PXE0+2qOgScuN$T;8PvE*PWI&3?3t9h3!a~#Aq7*$4l1lcS+k>m zi7_H;Ld@!cn#Gmn@8Rj0><ucTv;1dxdM3{XSqmAwaF`8p9ZV@(mcNIeM+RG#{|rBm z3`on10ivWA$uwv~jVsH4MpotqP@4@!X&+Q6=i-)zl^v@Z+82UYmS7fgz<|>QOfmc7 zg}v=fiyA<*c+;W=42AtrQ#m}dQk@;M9KAq{$sh*ONC(8{C`!E?6a2tRK@8kVlfAqg zlan(&IFh}*CW06kN+&=a07{<8pyZj1;YPR;KaY%LP|Cwp0&1Cp>RE97XE<kOfEhcS zGczzOoCLLyvte;Vb9dw7hISB37|g=38m@Rzb8`b&3Fo5bW&tn@r()KQzTV~*5GmY( zQxQvYvZpIca`H@9+)5n1GF(AHf=7v;M}{jXNN_9R$Z~bg@^*F0;K*{F3})c8kSi-Q zA<Gq<47jo~H)Od&5&~kN4U!OGfx^1DrD^3N*2OJCD;ME36BM}3eW2h2v4rJ7EDXhy zp>e{I<)4}0k>%<PX3WTPb%tdDaH^gHRmQ$};Ue3`eJ$*Z7aD<Rbo0z-K^1ajczZi| z_@`!Y?3g{<VaEKeI~X9HQ)pKZ)K`NRvJU)Q0t{R%Fr8p71A~JA7ig#m)MJLpK-DvV z$J<)l8y!HSSD>ys0|Nu7@CGGA1_lOBBsN$JxEeqg<nHKf?y&9bYgyQ`2${_QsWs4* zquA-NhDCsZfrXiEF4VUS43OFii4V3Jl(j%5e}<RCdr%_-Vm7)eX3&UVL-Rrh&=?%s zJTx<r_+T^PRmH;QW`_?5Q_<C-+08Z|&0Hit*j!lclHuj>5n(F2DrV5QV|(L52k=lK z1B3Z8sIlN|pW&L4#W8c	cce<IbRo0xS`(0FH2&P6rT|fx$r$9^o(<u)9DFIM4tq zT7<8F+6#(sBsN$JIKt5dks}$I4UKSg<=7&e(E(JktVGx>hQvnLj4p_jX~3Dsfsado z!Qp`b1A_&+Qf5vCP7rp81dXu9GYc><vaLec2lf~eA8aQi<Y85C2B<FliikjT)zEGY zQV$2jbO7~1m>fVuCaa;=iNP3POJFG+T@c)e$bhsZGQ1r=gBns`pP?%R`3i)QeYOT_ z70hrXKG;(HK0{Z{j?~Kn^|l;9y(zGl)<W$OgE7FS!)!$tL~UQdni>v2U|w^mWnf@H z*913lDkCV))<JEA*@46dtHkd!bk(5#C9Izbq8&h;MUXk>o1yk_WchnIcxO6iaqOBu z!(sN$$)H&u9J$XHocmxp9Y9<L1_wKM?t{sIEC6AYoB%Cuw?gd&<vt`fNI9e=L>ENP zamZ|F?n76OVkfk?h2*|%2%E)_*kGFp3xG2ax;C_u50W#{6)|)2bAqr#1T1GdD2OsJ zU|5OW251ieS`QFy10QHkg?MZ56f~ILK`&?=K$bDGZAXMJ*eghUa2Vss9niQ$*MTy2 ziZqVu02(!A1ZU74P)o&N46s!U3=9|oU^k(w!Wx0-ia>=A2qOw02L>UcZNL_RMA*O! zns{Z~3H2VVG(h5mJ&HF>#n4rQg8*F+j}Z<HpxIhbp@psqG*||5fWth{06PN%gZWvg zOF29el9L>=GLkuFY}mZXVb_k$xSMZ@;PM2f(*eX~U~ou+mnSe8kWC<rlDDDd$$6-~ zpz;KX4N?v%PtXOC%MfHXG!3FFN3j#7Jh_0dSqzB{wi!bJ9Q5d_AVUcd5;BqissOPn z1&!H5hwfdoG9W|2=Rx%fI3=PRjMWIpG%{!|*#SIn3$n`sT_>oZ0%4@W3X&2pB76%r z9f=S2G1d}<fzbk89msGH23r8h!<V2&i@_LR17S%XT@b4`&=rBQD+nX9EF;@xs5LNy zk@#Q>arppU9mre|2Ad7iX%6b>fVvRui~GP6J?zGE;7K0bDZd(=@?rWMKwJg}hZ=aw zhsl792Vs;{2u=BS5&q#sVuO@JQa-vMa%x9rLsLGwauhpJQvN-J&0<Jwu+10(;M9Vy z3NgF_8f9_#2};SJ^ayStp(_TP3yL*R3kgzpqAS5_2_$1dNVM#Qt{-Rif>dqy5grEH zgv19s4vTjf7{$<4VbzMaj&oQ5D}d3>VgcLBz~HbL)M^A*g%6;P6oWCKN+5{=T@W-7 zg&2~8upEBF;=ux4IVj75FgV*fK)M$Xp%#Lo2GrX|;)AWm9xCXnz@dUJh(#-+GD263 zSsA^Ax{Mo?A)&Lh+@MSeovFp0F=v7^CQQErh|9p>FbkeBVKQJ3;^<($hT0CQl91S7 zEnwfG3nFJmWHvNoqASOpG2b9;7DHl#ZN?A)I|W@8s5O8X)bxNd9e%+Q1~`MFYXX}O zN(G1vimn8!Wdzb7x*4GK2f~On2yJ=3MR*%*4-y~jG(4Vy1~Ix0^oe-Xd3guW6g;Hv zdIz;y48{Ok2nq{OjzAYA;9+#-pjrlm!8Hvu)4hjU2(to-54IYwU&YW>gM$lQ5RVav zOo*-tGZX%Vx|GkwGubV}0XA68H)ZDLX*(Qd?%Fze;;xCaaA(D>;H(HU#R0@+U~t$5 z&x$Y^u(xq!#otgjfU+VI8>|KFcXUDI?1#*TrW17KxU=FPgw0||Y_QFQ1;B1Y*9IDl zMT~w!SPsna5g~Ntpp*l`h|~kAJJHo)w+l4p3m!5D4W2iESq?0u*afONA^jwxEW}pg z!&?OCCV)ecfq}sRT;PBjsLX7C5djYN5fUG)95nL*9wvt~9R4B(yRfPT&69vtgBT9~ zI0YEk{-N1|#D~~|G8zsV7I)y~5&+E<g1S-Ys@cJM7#P5#gA9zYWzq~{NNlhYu#eCM z38V*f<)E|x!ie<1$i|4U6Kn+%A8aq!3iwO_WKO_=2kapWbhV(O8HB;bGXo<VGn&~* ze1c}9s|C3mgu!lyl)S7^<HcYMu%V!yKe_<eXXt{+Q!J3V76(pv@<vw-vKoZJ)`JUT zNXkZ6ht(#$DI47cm?sb^n~9AL>QhjH2h}P_e6VtG5(Q2F!5Pq$Erza=6I}r8V01ya zF>nUhJVu9P1_lOn#Ta%tEXAUV6nn6$WpQ(HbpsO&3=VIY85qEGgy5MbM4g20Hk3Na zL7$O<0o;5+R|XD5P~d{f1F*SF4g#QtH@3h=n;dbN$t=LY#*P+4NPMv2;HfcCVE|`9 zO9e4>m0-`K3&M558DQ-QkE3fq@i@o@=3-D6^0;^=JHTdmd8W+V?67&(tcja9@0@|V zBK{1nh+!r;fVd0{4qxCEF-!(z5eTDHiqOs~XcY&jiwvrWk=P*Rkp2m}Aado4%!XFP z=*m&-MCq)8mYhIs7DHkqY(^JERCov$Qq_m998@lVFrsW=WRrr1HYibn5)l#~Y%geP z9X`hnW;pyu)J5p3!Mhz=Y#SUvi}Atw%~hcm@PJ0|!3!yzGc$NVBlzGsw8=a1Oqw!r zgC<R3COCk&3=9s;+^|Vgm<-q^9PKJ~sQsYmL}G)rfRiw~AaWEUv!T(6t{iuCY9MSD zLt-OrMi+$i{~;vWC;+-rT%!PtY?=sL!QMmSgKdV)%D~nzfEF`2FoA1H2hemdx@wl@ zMT?rj1h}+;)Mr{yE5u+7u)(ktgf57;QbAV?Hwn~SVr0{X+5|Hji4RtZ(=%e|D#2la zE{H?BLjtHs1+{|EHNZ=&2oA_fRC5EU3ppEm7xmjV_AOb+DJW|sZ!0KgVu-t(k^(1e zm|h1Emw~}S8lJFWGGI^QNZ3YDn?VU1i4E2Q_AR;~a$-hiLlZW-a%>5kjm;QgE7%ew zKG<eR>V=TtGz>KzT^)2S8I*E(2WqjJqM41v2b&G5&_IiLGLjt_AW0TfVqsGSTGx`{ z>cGw=z`$mPW-1aNVk&gqPe!r>Bf?Z{s$4;HFs=?9AXClJOhw{@O@-~kf^5ce0PRMC zh6K7w&`KW2dY<MM2Ud{T7HDQ8@xf+8cWr_9ZaILqI6+NDR|i`J<mu|b1~S(Y&0Hit z*jx_y0yY@K;Txi?M^}x!j%_h$9ou)1S_TGlTc|a>9nHOs9nH3jSM)Dl(aS3#EGsA> zY-?;GZ)_opJ8PJLvj)rz2N0Km!NHVUfPojB;9xRf7lYbw;5r4qHW8GNu&TqA*Fi}L z-AqXGg^=JxjNL?7D8a)G99*2}reW6%8)QIlxq^xvbQ55H1vhS?1u@9ocF>T9$zv;O zv8jVM&fpAaD-E>263tAsOb7N1y1k%$0a`i-%|ck!psxOcEd+Dm0`+9Dxe>Ic5Vp1u zL_7Qe4eVi4334IQ0wk=q<L(`buz|MvLYrM+y-0j;z=F(2Do~)A4Vx;Y!imih5sqL} zk@yf(p=;|hAO#B4RBWn{LYB=5%~T{l*i?+dgn`ilT@9$`3&P;uFPk%(iAa2~iD-og z1EU4H3XoYK3^C6I%{(MN*gWjT24v9~x>|U~f-}H5jLj9z4kSL<4jesZ21W~Xl^}P6 zFvRU{XyzjE!R8`b*$5W6z0JUAfvy&0DhNYNc1JTAi4QRuWw|KQfEfd$1-e?0sUQqC z89W~2i7;IZi48UxocPcMAw?U6gcNY#0W47NLRSjjTLs%=wQ%u52k^EY(1b3dLp%cm zgBQYXuvJKWu>Cm7T~;k`s76L`!xP2>>tSGE0Jk~8ghK~-g_sXiIjkv�Tra$fXwO zDnMNy5C(UDwEUpvL9B=Iz(#?sUkGaVE?m?Mww{U2AF3Q?JrW<R2h)0V6(FlY7;HUT z0GfG7e297I#e)U93XoYK3^q?I5NaO8J1`#DD6n@HEd({=7s9*~1XT|64iX=%2h%&~ zDnKa^gu$thEf~!_BtFDE4DX<;0C@$3A>Ijrng{U?j0ZLf?45-m?<|CSClsn2<{czH zSP!Om&{crE0>TjQgrS*-#D|!N;T?1pAhSRiY@Sv))I3IqB@7G<Fdo<_(5^<%-fM6= zgO0C8LzRPu2$9%e^<wA(;9Q3;2+7(I5-o$HD+TRO1Z_Zs>{fID@6ZEfZ^#flx@t;o z18*8c-arUtJAgI-I)Lp34aY;umKa1hfbB)%gTn$x*}|d~3)KioJ}@3w4><Y2JGGFq zB@U__mVA)-U_F@02VDgyszDeM-3d_hAlAcpV57j+qm?a*P~|Y|k@#RenAW4K09g&f zVC&hE(9A>PL(IcSz33`H84-jbnK2n^9>hB^9@r?bchJg~6sU5TcaZpCJ(%7>R{^pb zgu&LcrJ|XK#D|!N;T?1pAhSRiY@QZqI~1tB2g!^u9@r>snK27ty%-W3tR6!EoJ`SG zL9!u)M9YlmN<mwcp*xk6voajOyJx|f0=z&HT{XqF!S^16wjeuz_vM0ZLuA2hgzv$& zA@RW>fFldCYUMyRLJ|#(2i5~gG@$x*5ww0~WXpvrhb0;$K3ETCqCr;yieM0iL~=gV zJc#u$9@r?b^(a}8k*yG_9A-TdAFKz|!$Io)Gca19s{mOI!Vv3=pyolWhw;Ehfvrc$ zGK_2`P~|Y|k@#RenAW4K09g&f5bH~!=0U87@xVrbtw*Uo8QIFA%3;<c@xgj9tw&b@ zDttf~TmWfRK+S{XFc=SP6t)~z162;H?vU7E_25K@E(pm=5E3mzp(_P%=7(+SU%7ap z19&ewD3L>|m|BG0V5^Y$VEb{V?>eYPND#w#U_Ia<Myq1#p~_)FjKl})!ARd=*PyGy z(}~t<gxUac5R3;l9PA*p6yFS04s#F^AFKy6&e2tXk{bv^l3WYaJc#u$9@r?b^=K)+ z4XPYwJrW<R2h)0V6(FlY7-D@p)I5mwFdo<_u=Qvuz7wh(W<3%gtOwJ2bQPe~4#JQW z-vu=flHy@Juu<4jd>>RfD8(bO!Ro;gk1hyF>ktwx#iJ_)9Vh`gD#Fn>E5iYN&H^I6 z_akfuTZF_1+m186Pk?HK1Tc&T)&mY;wDdj^svH);NPMs!jP!1St^yReAPfoM$x!nk z*28#UqrldqrS7Rv<uL1!_+UMl@r$kkl(aw?lDwut&4XAE<AIF=TaT8yXF!$1tViO5 z^<Y|$t^#B=2t%x&2{jL5J&Xr73T!=E>Yfc%4znJK57vWeJ-P}|z=JR(@aI6ygQRX4 z4{Q{+)V%<z9F)3|*kJWy=mOwWh%N|8=nxVuxuYuuopXYG<_V1L06tp;l<*-P8FYP= z+6z7|1?B7%7{>v8pb6M!L_2CBB22(GBk{rEf-~POf@*|BJ&Xs|1CDyMe76{?92WIR ze6SwOe21<Al<GhjlJb^9&4XAE<AIF=TaT9SmP3`ptViO5^<btvbQK`0K^S8F3aEJy z>tQ^wQDE!Q^4%(^a+vi<e6Su&>(Nz!tOj9-^{b)gL9B=Iz(#?sN6U9>p~_*_Bk{p{ zFs(;d0m^eA49R!vpyomH9gGJy3R}M01XT{ocSvlodNG0m;ADxe3X%mOBw9v9R|=|k zK;sCIJ_S-|0=(}7T{TwQu+3k92PQ$2BIqW7y#)$s&{VMlXk{H_r4zb3tTy4uZs1L8 z;PFUwQ&^heDIIi@qr)oDaeyF8Av^XqBLzJY8|+4~1JDIQ`4D;10_05#bVZ<q2*TjR z2wu#^%(ew#6WCxRKG-&FGXUsnKxTq4*j%=)XeJ`@!6stP0HCV?nFYcS^R}Uxhr|b) zhi?V|LoMPQn}$BnBqQid8ptYy?NDpPU<|O~pacOL#zq&!IRONYatn0jpgah|;Cu)U zc}BLKPzzyJAo0OgV+(n7HK0%eVQ@%+3<7Po-it6;42caklneoIvOqTnl7%58T1G}! zigOGCoRQI0W3`Q7_ChxWXZC{RX>{dSEyY`Upql^=Tu=m{l^*Eou-XKlHia`F^QWLp z3|_Z~ZVIMtjBNW5u@1Hbi4RteEjgj90VO36h9)QQWY9r`xnf9cu$dSFV4KlZK~f2X zL`y5^N^y6uArXhJ9;<!Wr+PtwX@RaE<XI2~dl;NA!6iR4+aZLn!FC|=!9K?pn&@gk z27@rzaJIu}CL-~{CSn$g=qf;FfiT3pBWUI!@xkWdD;Ck!B983>o!;dDI-?6Zb#NSE zix?6cYy%kr;IKqD2a+-%BwG4FS4t>-psUAfAKr|OZUWe+STZ)cI;=JkEVs~2VQGdp z0a2R;kWR-5L~MZFB}PyH>@0Lukf4T;XaSC{6lW_8Jb;X@nqu1!2Wz4ZSA#k}kP?6> zD+z`>x+%Ep3y{r>Y$p)`5B4Jx9~=?5R|uf11Z7|l24`YuCOd;LT?~m0HW_Rkx*#O# zAS7A@qASHS-_CXxVK3MkBtF<~#Hs`Y3${K1T`kB@AdKv<3kdVYkl0|eF$BOaKvxCv zB7{WqD7sRdDHYtzKv#{`HiF3p-4vYJm67ct!tY?akoaK#gBy_G%|!?ncuNy#=Qu(y zSS=`AK^Pjg4CdFM3Iw4`;=o4(+Adz*(I*I97AIjWU~Fh>Y%L*&dud!R4`?|w%q#~G zmw~~dk4Jz(0PJL#4A>0}3=EKHfRK>L0JV(KRY3v~<7geMCgR=|h|Of|^*T0{(DU@c zr|LU^Pjkni3Uod{_>_Lg!S5hd*P&qx^ELXedu(bTe#Te?4fZp-dGIzKoB?U<VKW!& z#(eCiVqgD<RV~V@daNpNtkTD-7JjZ;)5=8-+_2?$=)T5Q=VJ3QI5S|~cE!YY3lTG5 zmmu-Mkp*4+3#GtofT7Fa&{aaxFocApWYE&1J80%3@xkUp)I&(HE-tORP%V)4W-uOD zHFzy4{M2dK(G`&H{XM8Q(8vlB8>~-^pa9tO=&CT2Jh;J&t_)O+fH1fyfi!r*<s-T} ztad<>IcC-d*@RUC)<c*aZh}@`g2p-^H9ApNqvt}9l@{naK|O2`M)b15t$9Ya`-t!c zyAz2I4s&Rdfl|mR2VEuB>Xd;&>k-rjNJ4<|z=kt0FksaMNeYjl8bL_`i4E2%hAseh zJh~txRxk@6P!NH~p3pU5t+l|Z09_?k`><tYuw9^0HFOie{y=FyGqOEFco}Q~5+AG_ z8VyhiIX2K$V(ktvFlar8+5m|S7!PbXC^nD}W{1t;LSo|uR3j)hkl0|IV7H<RVy}}x z!C`@}6qM~i7?JfD*<K>-0~?RT2ipk^11N<Y6qqWplr>ch3=FTJR*1nEV1q#(Bq#uO z61pma$qiit?&OB9603c9lN-7TFux%-kwVHCbahy5f>+3J2Bc;N#U^+d2Hg})+Zfqi zLxUTX=0O!45+AG_8kJBAIYu#5LPox!?ON~vID^(ZsPT}L4da1L230tiS|I8AJyaVg z+L72`ePZYW;J`r_BpB`J8gNHDx=O6};f;256Ttoeg*bfl4dU$&NZv+bgO!V+3xJ)0 zE{MGa21*eY=t@C(8-x-08`5b<SI3DifYoC7J`Okoyjz12oDk7X0DB1JfA~ILM#wlc zx<ZiqKp4r5kPhxgL`Z?1gTx0L4o$C63OU(gsDv#1gf0UGum6PZg#C=LLkx)xHXm#$ zx**QRAjEU%%0V6jVT9)(so)Eey+~|?z376NO<Hh-qbmd11;R-7v3*6@1@;mWA8a2q z0HG9eIAW;891CG!Wc!Y0J`$gR`RFRK)}4^4ke^UX#9$1t(V+AND$&pdakM<qm4QME zgpooEJih!3Y8j|#LSloh6hjvPhbg)s^qw`OejGTu(3OEK2VsN*Ao&wr9acMV^@s`t zp~o1bn}XWO+6<cBgXFZ|2>*g@LgIt{3{4tP3OR+KtHj!>gyyxs2wTLE*kBvL)}jmI zNb~5*KmiECh(Kgy`-iX#Y%~%dY#-DsPzu>c7%H)*dC(P#par(D1c<~ZU_QD^>}j5j zg$aKC2-pKid;%6=sKiqEF=%l@&4*OlFdo=wP^FEj2U2cvLA8N$1`->rPYhiE>~M5J zf|WM929{>{3JApbGq`Jjt`e(#cq?sm6Ttoe#VMlFX5&V98EgR(AFLdjhM*L3Qo>Y; z(H>@C(Bgxd4~YpF4{S6jCNPs9BqsQw+CVXZ#0KjVBPam&3A!qRQG>1lcY6w5C06_J zMh&_NV2`0h4Wt>3t`4hB@YXY&f!2&hHwAtc5F#OfYX$+NP)A~e9Vdn^0CozxAfDD6 zx>C@%0SF_F9e~q4x;m`(;HU!8^@0jc5JnW9knstyZv~Nji^PWb7F_`B3Uomn^&q-3 zkPAQ<$ra$q9CUS9?I2hWqML%V9uz|O7i<#}AM9sn9*0uMSsh&^fu(Z{jBFxkb|LWz z*oC3e#{;ws7St(&t%hY_WD`d-ABj)Ed~}s~mme}PXh}nDf)rpd9@r940fy;1NC74T z)dniSkl0{-V(0?k=s*`FSYDxPz+GOUtHf#_-tr3F1h79)$}2`TS%jCt79jD#%ApAh zN+G8&43(JG0t17V0@Qp+Ou%?xqd|8rf$s}Kz6uXzIVU7m6ro0dVg-o}HUsQtbU{3$ zP3THNIUIx$xtx(r31J`DcqBg9PH0#_DdZr*REbf$Lb?vhP%Fe>46wl<526czU4Sk~ zFqNTez@5s_RbsUdZz@AK0p=CN;1VO73e>-##0TmeA@RY=p<xN7kb@LmCHB_5mO9h| zNHT-*z-BWrFyJjNAc;%^Y5*t>kl0`oz+r+eh$oSuD+MJm5Jpa9nh5*A#v}2;c0z*! zN+E{`rb--%Obcp-7>ofn800~80k8|u1qmiHbPc!@8M;cW_Tf!r=qA9tf}F^-q5g#> zG9*4&IW#Px6mpPasKo4RFld49pak`=Aj^VbJg~`-Wx<SW`e-gd;)B&et%g#_F2GcY z5vdH&8{ili<RCkCFMuY9E`o?lAmTEJxB?=;Ge@^TtlJ>s4v4r5BJP2RM<C)ch<E}b zo`Q&HAmTZQ0Nt1bzBUJZdk6T)eemhy;FFHQXRLzHcLSda20lRvd{zv2R-6%h8N9<j z(1A2yk`a9KG3;_(1}5<3^e`^y>S7Rv-aro%247bXCKwoyt|YdGhO7hl4suWt0@DBv zSWu<zm*wG_k_Ek>o<ZCpnt_1<b^|a2<O)BKKDZE6Bb$rAD`@X0gaY5gCk>hq07W$e zBlt#NaB_u<LG`h>H!NDQxS<b5JAiJ90}nf*t89>B1M2~+kcM3j%)saXx(FC-He3v> zj)4JYCX5E_1e=Ynk_)N>tU?-gr!WH}_y!EH*>EwaN|>228myBOG${r4F;o>;E4m;| z3ycP9Wh727i=V5f2bgepz#+guv@S=_WEMY1&t!)cpmWT{(4B-KfYsrg3py5cwKn#) zHzHV&i|hknXO5v8?BK)?4hn}wi~<ahW7a{b2VEIxZ-F<MfTR@AY3pF8f(Zq%Ul~}y z0R<)$z@#+nK4%6-@KMoVN5jRy_ToqcoS+NtF(L|G5Eel&8f+;eaeBdV1SY_aCt4R; zM4>xL3|#>1XLLbC^dMMB5e13?bS>~pwxP$kLvj^3*5HwguIB|aB#a@E3rbmdqssww zIUekmWJodW5RP<hHUl^0z76mhE#S@L;O(x2uU-Zl47;0_0dfZ~$Y8h-Gx+u_@TE+U zJEuS{f!&VE0J#Mgqya9(<N#W#4_=z;0J>leb}cFc6L={GxXJ;CJX``25)6=gZNaB{ zAu*v<j>Ah()c`UNcJU|!3;5bO@GWuROWhnkfs}wE2(AQbGG}8;XK%Z0^WudF7UXh2 z2Cx%hmx3}dLGJka0y79ML8L*ji$fV09YD8~fxQVAgPMW3r5W|kW)Ke?{*c2)(Y4{Z z+6?Rm*e#<BOyHxE96*P%f$fJ&K<$TwE&5Hu;D}^^1P5qq0yu*+LGA?uUwx6zz`y{P zfEopHDY_^u%fo1J)^`9M%!{rP>^^is{I^ZOPsl~r26qyu62$H>{3e6a7VO?s21dwr z0w7Pr#o#u8k{G%u%(E~W;c0Z0U{9k95^<P6;&5Pe&2WbyypFDf81q2^0K4FofeCWa z2K?+bxCGQPL`Fiekh2u*R#ygQ@N6jfWODEUvYc=ks7c`PKo=B9PRR1`%y#f_bxH7Z z@XE@-5P+VB2TE4xn!(K!bV2yoB|HV8tAM%(dTcXjRGkBwAz(MfGB7!S&YuJy7YTMY zTmq^W5f%s*BvdTWm4bR>APnh|fx;ek*(?Ji_+VB}I3H>_*hX|gjBvpaKnf^y&ESAS z7la>m3J)lB6;St}1QdAv61qb0F<xK-><Dl<1*$&4g$oyW5iNL?GWhgM@KKfElRLo& zZ9<MZ2cHrMUaJT?(H$HDa08&uK!h}c1qpLVX$HFlmVps6x(&+Ha51PEkn#du6qc7^ zG$K!<s{{umx**)_JlLt;=qli85|VL2Ejye_Kq&-vQ7r=_<d#iPK?E0rT89V(1Pc-z z7U)Wuk#GGIa}WeA30=g%z_1wY0s$mG*b*$)Vlpr=n!=PZiosZ5)u7%!x&SyL(FH+m zSkMjN&^uZo6B+1=u<Jy-!0v(wr~|$ObeG`*5CNJWz^W1Bx>*O1dIkmuP%wZ_>;k7$ z=)^G+AM7#E<>3p#SBNj{asV|MK!E^L#3%-1fz^Tn0bKy>B6LA)fq-2hnx8=qhXfhA zkyy23`W!9L7#JLsKncPgM1X?hAX=(G;)A`2bBQ<u1CuFCF{1^H1=a&P;TZW+STS^2 z3<0ob&{d%a8hBL!x+0X+j<lc_T^&vvkdEVoENem62`bJ(7*eEzE}#O>8X<Z95F!l0 z{zq{WG}#?Tr~x|#i4WF;b<__O#xRA97BCiAJw_O#%Zd>c!0HaHfsSqzC^$eEDM&z> z5yMLCHlQVOaI|9Dz>j`)DPqMkx?-HxARXWeiCc7?AWwiWl1D(+n8MUCTAV<{8#vt2 z<2D(Tk!nH2Y!I;>M1b-Y589#(BtF<2@Uk+{?JjTzxG@EaahOubkTZ-2)&+|77TX5U zq#pQ8elc`ea8RHNB6bfUSdbbT9QNqSK>-57h(IxgDS<eN7vVRstC(ACn?cxN5@>`D zQq1xp)PUWD#0TrabMz6Yz=bJi<b<)nx<C~GsCq;f1P3DKMI?;iD?!i|V|5P>6R@fU z-v$CEFs}qbtN?^&d;zq8K;nbF#N2D!48jhR!GXtU3R3_HYZwo#mVto*hdUV<9KOPm zo;;e3NPMs<7)y;n0S;5d$O&VC)xrWCT@c4SG-P=sx?;FVpsOapZAMVjiG^E$!9fN@ zn1P6X9sx+oF@uiC!mLK(gAGEtdLEQtU<w#5U@WjYP+s9Q<7DPE<8+8(7GMxVmj%Zy zx*+Hv2aI9^T@fgEfG|=Hfhl3MFh{r_><;u215`R#Ak=_efy9UCLA|n`$rPr5(E`Q- ztHbakx-3@HL1jEfwTG?~<UbHb@*_+MqlG2H{a|;X`H@j71zv12f`{24O>u-MSQqx; zXvkGL=t_+sI^ZO?R2q^M{h*;8&@tv<{~}}{$`MUw1Pju5hR8E8Fi3&=50GXdBV@-K z+%$v?L^Z+;1Pk4?3?#RK?oM$4nZ}6_g_s0(EV>{@PY*)?l7qlQFX)=VJr;C9cz*=m z^+Q(yaSrTiBWNEPCMcDO<QY&25Ah5_6rvF98FWDmw_^w(c?MlG*fZ#Yn4Upb0dWp4 z&txHa22|oYfDR+(M2JFkgFS;Th~ah&0VL0$YX*A;T@ceV=qe!2!R483B+r1ZqyT#c z>~@3<!~{fAMX=BlZ4Q!Yplc`)rXggAH!T;*v}q{W6Cp#qX?aMdf$xw8Whk&u5i-P^ pR)l2Q43OJEr~iXZL&y+sS}~GoGf_-K$PjHBL?JTiAe1A|3;?3l{__9; literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b19c81a9a5e7ea9b0eee6408a40b655598e1e503 GIT binary patch literal 1864 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H+ZI=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}yO@N><|bWO?f43a;jaWFYh{y!8rxH2#>G<UD!YhNrVVrk6V-rUXGxM(47 zOUI&)er2Twp2d9)EzK(yckpy9THM~!#nZo-x39OcxuXZJgm+;_Pj5Fb^-L0FU|?VZ z`HI1Tk%3_YBZD;)bMxYb9A3$eUjB|*87pj5+w;95PC2~aw^ojULCnFQfq?<038WH) z85mH6S$vWcQosZQgF^z6dU())89S$L*#!z*CI$hJ<pLnK3j+g#7}QC;9sNrd+jcB& zSiErIiY5flaN&w31_lOZW`~JLs=54A68wGqGcz)sq0F5bna&OqnLy?;F#KmgQO&+& z;fkh(E1E#G(83i>5Os{0>N+|Wb%E4%bS#pBt78JGWB&i&VG@%7gTrJHF@;HhK^5k5 zW+ojb5C(-S6WC}7!NCA=3<HA$A0q<;LIX?l+6B#E0*@AP6fMk5>P#SvM*}aK20bQb zCOvRu<8Tx|iUyYEwd+8RTGx!n4oP$^>p@!9<I^Gm(&7M$X>n*wb9pAEW;rA$Bqw_! zF+p+61dcVBIyUEI$K+&BHs|Dt$;qA$vr*#~ri#6_v7v9#q7L@fMgh4+i#j0cz;O$T zvpJyXnhT09m<CW(fiT3IAXC9w95A8_rU4vNU;>X8NOZxpfT9Y7@o0cV7eWJabYXQA zB)VW4(4z~h7D#j<X+eoDtXja)#lYym${@h-pMl3=Dk%J>fr#lKVg`tq2_j~Jh<PAl zK8RQVA{K&(MId4^lK=yw12ZVEPXNW61BhgDs7ERu7?>RTKuY^TO08g-iGfiM&IE-X z0|NtwfI|aPNdQ&E04kY47-A?k74T9H&R_t0Qxx68|Nj{n7-8i<!+!~Ax@BN=C}&_` zkb-eR#Tdf|Mn+KWuvQLSWP%9>2T&OYb{8X<DFD*~E(k%D1(*RT5gpcm0%0{ckk*2N z0vt#%9Slt1vKGb#c@Tu5MKDYlT>63u6o*SgUFyIHDT$aICLsqLq?8Ir7Gg##<G>nV V>4yQ5Pe2;rLQD?u4CVl;Ljk95Rc8PI literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..18f33e0 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,8 @@ +0.7 +2020.2 +Nov 8 2024 +22:36:57 +C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/controlUnit.vhd,1741458025,vhdl,C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,1741454254,vhdl,C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/operativeUnit.vhd,1741455408,vhdl,C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, +C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,1741459119,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..e2f5709 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,489 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +cpri_v8_12_0=$RDI_DATADIR/xsim/ip/cpri_v8_12_0 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +an_lt_v1_0_14=$RDI_DATADIR/xsim/ip/an_lt_v1_0_14 +axi_chip2chip_v5_0_24=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_24 +g709_fec_v2_4_11=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_11 +audio_formatter_v1_0_15=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_15 +ats_switch_v1_0_12=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_12 +xbip_pipe_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_10 +v_gamma_lut_v1_1_13=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_13 +vrf_fft_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_fft_v1_0_0 +v_hdmi_tx1_v1_0_10=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_10 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +axi_mm2s_mapper_v1_1_32=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_32 +axis_data_fifo_v1_1_34=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_34 +mailbox_v2_1_18=$RDI_DATADIR/xsim/ip/mailbox_v2_1_18 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +sdfec_ld_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/sdfec_ld_wrapper_v1_0_0 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +tcc_encoder_3gpplte_v4_0_20=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_20 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +vitis_net_p4_v2_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_2_0 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +v_frmbuf_rd_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v3_0_0 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +dfx_bitstream_monitor_v1_0_6=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_6 +rs_toolbox_v9_0_14=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_14 +perf_axi_tg_v1_0_15=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_15 +xbip_utils_v3_0_14=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_14 +dptx_v1_0_5=$RDI_DATADIR/xsim/ip/dptx_v1_0_5 +rs_decoder_v9_0_23=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_23 +dsp_macro_v1_0_7=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_7 +axi_vdma_v6_3_21=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_21 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +qdriv_pl_v1_0_14=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_14 +axis_data_fifo_v2_0_15=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_15 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_i3c_v1_0_2=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_2 +axi_sg_v4_1_19=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_19 +mipi_csi2_rx_ctrl_v1_0_11=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_11 +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +xxv_ethernet_v4_1_13=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_13 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +ernic_v4_2_0=$RDI_DATADIR/xsim/ip/ernic_v4_2_0 +rama_v1_1_19_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_19_lib +rfdace5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfdace5_wrapper_v1_0_0 +tsn_temac_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_12 +tri_mode_ethernet_mac_v9_0_35=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_35 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +ieee802d3_200g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_12 +fast_adapter_v1_0_10=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_10 +hdmi_gt_controller_v2_0_0=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v2_0_0 +xdfe_ofdm_v2_2_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_2_0 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +vfb_v1_0_27=$RDI_DATADIR/xsim/ip/vfb_v1_0_27 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +vcu2_v1_0_0=$RDI_DATADIR/xsim/ip/vcu2_v1_0_0 +shell_utils_addr_remap_v1_0_12=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_12 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +sim_trig_v1_0_13=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_13 +v_hdmi_phy1_v1_0_13=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_13 +displayport_v7_0_25=$RDI_DATADIR/xsim/ip/displayport_v7_0_25 +cordic_v6_0_23=$RDI_DATADIR/xsim/ip/cordic_v6_0_23 +axi_crossbar_v2_1_34=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_34 +proc_sys_reset_v5_0_16=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_16 +polar_v1_1_5=$RDI_DATADIR/xsim/ip/polar_v1_1_5 +xscl=$RDI_DATADIR/xsim/ip/xscl +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +ldpc_v2_0_16=$RDI_DATADIR/xsim/ip/ldpc_v2_0_16 +switch_core_top_v1_0_17=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_17 +v_frmbuf_wr_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_3 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +audio_clock_recovery_unit_v1_0_5=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_5 +xdfe_resampler_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_10 +sd_fec_v1_1_15=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_15 +fifo_generator_v13_2_11=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_11 +axi_timebase_wdt_v3_0_25=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_25 +psx_vip_v1_0_6=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_6 +axi_memory_init_v1_0_14=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_14 +emc_common_v3_0_8=$RDI_DATADIR/xsim/ip/emc_common_v3_0_8 +ieee802d3_rs_fec_v2_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_24 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +v_vcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_13 +v_csc_v1_1_13=$RDI_DATADIR/xsim/ip/v_csc_v1_1_13 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +zynq_ultra_ps_e_vip_v1_0_19=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_19 +axi_cdma_v4_1_33=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_33 +v_dp_axi4s_vid_out_v1_0_9=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_9 +i2s_receiver_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_9 +rld3_pl_v1_0_15=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_15 +axi_firewall_v1_2_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_8 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +cpm5n_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_9 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +axis_switch_v1_1_33=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_33 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +mipi_dphy_v4_3_13=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_13 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +iomodule_v3_1_11=$RDI_DATADIR/xsim/ip/iomodule_v3_1_11 +fc32_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_28 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +axi_hwicap_v3_0_37=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_37 +g709_rs_decoder_v2_2_15=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_15 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +tmr_voter_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_7 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mdm_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_3 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +amm_axi_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_19 +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +axis_accelerator_adapter_v2_1_19=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_19 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +vrf_channelizer_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_channelizer_v1_0_0 +uhdsdi_gt_v2_1_5=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_5 +v_deinterlacer_v5_1_6=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_6 +noc_hbm_v1_0_1=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_1 +in_system_ibert_v1_0_23=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_23 +axi_pcie_v2_9_12=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_12 +axi_epu_v1_0_6=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_6 +floating_point_v7_0_24=$RDI_DATADIR/xsim/ip/floating_point_v7_0_24 +v_axi4s_vid_out_v4_0_19=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_19 +v_multi_scaler_v1_2_7=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_7 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +can_v5_1_5=$RDI_DATADIR/xsim/ip/can_v5_1_5 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +xdfe_cc_filter_v1_1_5=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_5 +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +v_smpte_uhdsdi_tx_v1_0_5=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_5 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +noc2_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_sim_v1_0_0 +tmr_sem_v1_0_27=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_27 +axi_ahblite_bridge_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_30 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +axi_uart16550_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_35 +ecc_v2_0_17=$RDI_DATADIR/xsim/ip/ecc_v2_0_17 +v_frmbuf_rd_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_12 +axi_data_fifo_v2_1_32=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_32 +xdfe_equalizer_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_10 +axi_mcdma_v1_2_0=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_2_0 +versal_cips_ps_vip_v1_0_11=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_11 +emb_mem_gen_v1_0_10=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_10 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +displayport_v8_1_10=$RDI_DATADIR/xsim/ip/displayport_v8_1_10 +tcc_encoder_3gpp_v5_0_23=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_23 +pcie_qdma_mailbox_v1_0_8=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_8 +v_frmbuf_rd_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_dwidth_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_32 +axi4svideo_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_19 +util_ff_v1_0_4=$RDI_DATADIR/xsim/ip/util_ff_v1_0_4 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +microblaze_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_3 +cpri_v8_11_22=$RDI_DATADIR/xsim/ip/cpri_v8_11_22 +v_vid_in_axi4s_v5_0_5=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_5 +dprx_v1_0_5=$RDI_DATADIR/xsim/ip/dprx_v1_0_5 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +axi_pcie3_v3_0_32=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_32 +v_smpte_uhdsdi_rx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_4 +axi_datamover_v5_1_35=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_35 +interlaken_v2_4_19=$RDI_DATADIR/xsim/ip/interlaken_v2_4_19 +nvmeha_v1_0_14=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_14 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +bfr_ft_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/bfr_ft_wrapper_v1_0_0 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +zynq_ultra_ps_e_v3_3_14=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_14 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +v_hdmi_rx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_5 +ethernet_1_10_25g_v2_7_18=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_18 +v_tpg_v8_2_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_6 +qdma_v5_0_12=$RDI_DATADIR/xsim/ip/qdma_v5_0_12 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +jesd204c_v4_3_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_3_0 +axi_timer_v2_0_35=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_35 +c_compare_v12_0_10=$RDI_DATADIR/xsim/ip/c_compare_v12_0_10 +axi_clock_converter_v2_1_32=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_32 +axi_protocol_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_33 +axi_dma_v7_1_34=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_34 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +dfx_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_11 +axi_sideband_util_v1_0_17=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_17 +tmr_manager_v1_0_13=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_13 +fec_5g_common_v1_1_5=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_5 +axi_ethernetlite_v3_0_32=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_32 +lib_bmg_v1_0_18=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_18 +high_speed_selectio_wiz_v3_6_10=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_10 +c_shift_ram_v12_0_18=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_18 +axi_pmon_v1_0_2=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_2 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +uhdsdi_gt_v2_2_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_2_0 +v_tpg_v8_1_12=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_12 +noc2_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_sim_v1_0_0 +axi_gpio_v2_0_35=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_35 +axis_interconnect_v1_1_24=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_24 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +c_addsub_v12_0_19=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_19 +sem_ultra_v3_1_27=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_27 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +v_warp_init_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +roe_framer_v3_0_9=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_9 +axi_remapper_tx_v1_0_3=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_3 +axi_traffic_gen_v3_0_19=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_19 +dfx_controller_v1_0_8=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_8 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +tmr_comparator_v1_0_8=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_8 +rfadce5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfadce5_wrapper_v1_0_0 +axi_mmu_v2_1_31=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_31 +axi_dwidth_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_33 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +picxo_fracxo_v2_0_3=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_3 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +v_frmbuf_wr_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_12 +sd_fec_beta_v1_0_0=$RDI_DATADIR/xsim/ip/sd_fec_beta_v1_0_0 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +lmb_bram_if_cntlr_v4_0_25=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_25 +mrmac_v3_0_0=$RDI_DATADIR/xsim/ip/mrmac_v3_0_0 +tsn_endpoint_ethernet_mac_block_v1_0_18=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_18 +axis_vio_v1_0_12=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_12 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +floating_point_v7_1_19=$RDI_DATADIR/xsim/ip/floating_point_v7_1_19 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +pc_cfr_v8_0_3=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_3 +axi_amm_bridge_v1_0_23=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_23 +c_counter_binary_v12_0_20=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_20 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +axi_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_19 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +axi_msg_v1_0_12=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_12 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +xpm=$RDI_DATADIR/xsim/ip/xpm +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +gtwizard_ultrascale_v1_7_19=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_19 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mipi_rx_phy_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_1 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +dds_compiler_v6_0_26=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_26 +xdma_v4_1_31=$RDI_DATADIR/xsim/ip/xdma_v4_1_31 +axi_fifo_mm_s_v4_3_5=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_5 +l_ethernet_v3_3_13=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_13 +xdfe_nlf_v1_1_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_2 +axi_mcdma_v1_1_14=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_14 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +xdfe_common_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_4 +axi_intc_v4_1_20=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_20 +axi_uartlite_v2_0_37=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_37 +gmii_to_rgmii_v4_1_17=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_17 +cdcam_v1_2_0=$RDI_DATADIR/xsim/ip/cdcam_v1_2_0 +blk_mem_gen_v8_4_9=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_9 +system_cache_v5_0_12=$RDI_DATADIR/xsim/ip/system_cache_v5_0_12 +cmac_usplus_v3_1_19=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_19 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_interconnect_v1_7_24=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_24 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +v_hdmi_tx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_5 +dft_v4_2_9=$RDI_DATADIR/xsim/ip/dft_v4_2_9 +ieee802d3_400g_rs_fec_v3_0_3=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_3 +srio_gen2_v4_1_20=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_20 +mipi_tx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_tx_phy_v1_0_0 +axi_epc_v2_0_36=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_36 +i2s_transmitter_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_9 +flexo_100g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_30 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +axi_emc_v3_0_33=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_33 +multi_channel_25g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_28 +axi_protocol_checker_v2_0_19=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_19 +axi_register_slice_v2_1_33=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_33 +v_letterbox_v1_1_13=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_13 +gtwizard_ultrascale_v1_6_17=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_17 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +axis_broadcaster_v1_1_32=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_32 +axis_clock_converter_v1_1_34=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_34 +ahblite_axi_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_28 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +sid_v8_0_22=$RDI_DATADIR/xsim/ip/sid_v8_0_22 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +ten_gig_eth_pcs_pma_v6_0_28=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_28 +axis_register_slice_v1_1_33=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_33 +clk_vip_v1_0_5=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_5 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +v_vid_sdi_tx_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_3 +processing_system7_vip_v1_0_21=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_21 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +cmac_v2_6_17=$RDI_DATADIR/xsim/ip/cmac_v2_6_17 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +mpram_v1_0_5=$RDI_DATADIR/xsim/ip/mpram_v1_0_5 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +v_hscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_13 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +axis_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_17 +xbip_multadd_v3_0_21=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_21 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +axi_vfifo_ctrl_v2_0_36=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_36 +v_warp_filter_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_5 +xfft_v9_1_13=$RDI_DATADIR/xsim/ip/xfft_v9_1_13 +axi_bram_ctrl_v4_1_11=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_11 +v_hcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_13 +v_demosaic_v1_1_13=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_13 +lte_fft_v2_1_11=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_11 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +mrmac_v2_3_2=$RDI_DATADIR/xsim/ip/mrmac_v2_3_2 +v_tc_v6_2_9=$RDI_DATADIR/xsim/ip/v_tc_v6_2_9 +div_gen_v5_1_23=$RDI_DATADIR/xsim/ip/div_gen_v5_1_23 +rs_encoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_22 +axi_utils_v2_0_10=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_10 +v_axi4s_remap_v1_1_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_12 +v_mix_v5_2_11=$RDI_DATADIR/xsim/ip/v_mix_v5_2_11 +xdfe_fft_v1_0_8=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_8 +cic_compiler_v4_0_20=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_20 +sim_clk_gen_v1_0_5=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_5 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +usxgmii_v1_2_20=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_20 +v_smpte_sdi_v3_0_12=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_12 +mipi_dsi2_rx_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_1 +mdm_v3_2_27=$RDI_DATADIR/xsim/ip/mdm_v3_2_27 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +g975_efec_i7_v2_0_24=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_24 +axis_combiner_v1_1_31=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_31 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +dcmac_v2_5_0=$RDI_DATADIR/xsim/ip/dcmac_v2_5_0 +convolution_v9_0_21=$RDI_DATADIR/xsim/ip/convolution_v9_0_21 +microblaze_v11_0_14=$RDI_DATADIR/xsim/ip/microblaze_v11_0_14 +cpm4_v1_0_17=$RDI_DATADIR/xsim/ip/cpm4_v1_0_17 +cmpy_v6_0_25=$RDI_DATADIR/xsim/ip/cmpy_v6_0_25 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +spdif_v2_0_30=$RDI_DATADIR/xsim/ip/spdif_v2_0_30 +oran_radio_if_v3_2_1=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_1 +v_hdmi_rx1_v1_0_11=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_11 +cam_v3_1_0=$RDI_DATADIR/xsim/ip/cam_v3_1_0 +emb_fifo_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_6 +axi_iic_v2_1_9=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_9 +axi_perf_mon_v5_0_35=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_35 +v_tpg_v8_0_16=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_16 +mutex_v2_1_14=$RDI_DATADIR/xsim/ip/mutex_v2_1_14 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +lib_fifo_v1_0_20=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_20 +advanced_io_wizard_v1_0_15=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_15 +c_reg_fd_v12_0_10=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_10 +axi4stream_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_19 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +ieee802d3_50g_rs_fec_v1_0_25=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_25 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +vid_edid_v1_0_3=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_3 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +mult_gen_v12_0_22=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_22 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +dfe_channelizer_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/dfe_channelizer_wrapper_v1_0_0 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +v_frmbuf_wr_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v3_0_0 +xdfe_cc_mixer_v2_0_5=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_5 +mipi_dsi_tx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_10 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +ieee802d3_clause74_fec_v1_0_19=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_19 +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_tft_v2_0_29=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_29 +viterbi_v9_1_18=$RDI_DATADIR/xsim/ip/viterbi_v9_1_18 +ieee802d3_50g_rs_fec_v2_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_18 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +ilknf_v1_3_5=$RDI_DATADIR/xsim/ip/ilknf_v1_3_5 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +g975_efec_i4_v1_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_23 +c_accum_v12_0_19=$RDI_DATADIR/xsim/ip/c_accum_v12_0_19 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +ieee802d3_25g_rs_fec_v1_0_31=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_31 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +xdfe_nr_prach_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_4 +gig_ethernet_pcs_pma_v16_2_21=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_21 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +v_sdi_rx_vid_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_3 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +ldpc_5gnr_v1_0_4=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_4 +soft_ecc_proxy_v1_1_2=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_2 +axi_c2c_v1_0_11=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_11 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +v_smpte_uhdsdi_v1_0_12=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_12 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +fir_compiler_v7_2_23=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_23 +axi_hbicap_v1_0_8=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_8 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +canfd_v3_0_12=$RDI_DATADIR/xsim/ip/canfd_v3_0_12 +nvme_tc_v3_0_8=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_8 +axi_usb2_device_v5_0_34=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_34 +ldpc_5gnr_lite_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_3 +tmr_inject_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_7 +v_uhdsdi_audio_v2_0_9=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_9 +xsdbm_v3_0_3=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_3 +v_vscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_13 +vid_phy_controller_v2_2_19=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_19 +mem_tg_v1_0_15=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_15 +dfx_axi_shutdown_manager_v1_0_4=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_4 +ibert_lib_v1_0_12=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_12 +ta_dma_v1_0_17=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_17 +cam_blk_lib_v1_2_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_2_0 +noc2_nps6x_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps6x_v1_0_0 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +tcc_decoder_3gppmm_v2_0_28=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_28 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +ddr4_pl_v1_0_15=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_15 +advanced_io_wizard_phy_v1_0_4=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_4 +ft_prach_v1_2_3=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_3 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +x5io_wizard_v1_0_6=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_6 +axis_subset_converter_v1_1_33=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_33 +axi_quad_spi_v3_2_32=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_32 +g709_rs_encoder_v2_2_13=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_13 +hdcp_v1_0_6=$RDI_DATADIR/xsim/ip/hdcp_v1_0_6 +v_frmbuf_rd_v2_4_4=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_4 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +quadsgmii_v3_5_21=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_21 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +rst_vip_v1_0_7=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_7 +ethernet_offload_v1_0_0=$RDI_DATADIR/xsim/ip/ethernet_offload_v1_0_0 +cpm5_v1_0_17=$RDI_DATADIR/xsim/ip/cpm5_v1_0_17 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +displayport_v9_0_10=$RDI_DATADIR/xsim/ip/displayport_v9_0_10 +visp_v1_0_0=$RDI_DATADIR/xsim/ip/visp_v1_0_0 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..e2f5709 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,489 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +cpri_v8_12_0=$RDI_DATADIR/xsim/ip/cpri_v8_12_0 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +an_lt_v1_0_14=$RDI_DATADIR/xsim/ip/an_lt_v1_0_14 +axi_chip2chip_v5_0_24=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_24 +g709_fec_v2_4_11=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_11 +audio_formatter_v1_0_15=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_15 +ats_switch_v1_0_12=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_12 +xbip_pipe_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_10 +v_gamma_lut_v1_1_13=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_13 +vrf_fft_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_fft_v1_0_0 +v_hdmi_tx1_v1_0_10=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_10 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +axi_mm2s_mapper_v1_1_32=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_32 +axis_data_fifo_v1_1_34=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_34 +mailbox_v2_1_18=$RDI_DATADIR/xsim/ip/mailbox_v2_1_18 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +sdfec_ld_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/sdfec_ld_wrapper_v1_0_0 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +tcc_encoder_3gpplte_v4_0_20=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_20 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +vitis_net_p4_v2_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_2_0 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +v_frmbuf_rd_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v3_0_0 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +dfx_bitstream_monitor_v1_0_6=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_6 +rs_toolbox_v9_0_14=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_14 +perf_axi_tg_v1_0_15=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_15 +xbip_utils_v3_0_14=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_14 +dptx_v1_0_5=$RDI_DATADIR/xsim/ip/dptx_v1_0_5 +rs_decoder_v9_0_23=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_23 +dsp_macro_v1_0_7=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_7 +axi_vdma_v6_3_21=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_21 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +qdriv_pl_v1_0_14=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_14 +axis_data_fifo_v2_0_15=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_15 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_i3c_v1_0_2=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_2 +axi_sg_v4_1_19=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_19 +mipi_csi2_rx_ctrl_v1_0_11=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_11 +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +xxv_ethernet_v4_1_13=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_13 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +ernic_v4_2_0=$RDI_DATADIR/xsim/ip/ernic_v4_2_0 +rama_v1_1_19_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_19_lib +rfdace5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfdace5_wrapper_v1_0_0 +tsn_temac_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_12 +tri_mode_ethernet_mac_v9_0_35=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_35 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +ieee802d3_200g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_12 +fast_adapter_v1_0_10=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_10 +hdmi_gt_controller_v2_0_0=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v2_0_0 +xdfe_ofdm_v2_2_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_2_0 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +vfb_v1_0_27=$RDI_DATADIR/xsim/ip/vfb_v1_0_27 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +vcu2_v1_0_0=$RDI_DATADIR/xsim/ip/vcu2_v1_0_0 +shell_utils_addr_remap_v1_0_12=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_12 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +sim_trig_v1_0_13=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_13 +v_hdmi_phy1_v1_0_13=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_13 +displayport_v7_0_25=$RDI_DATADIR/xsim/ip/displayport_v7_0_25 +cordic_v6_0_23=$RDI_DATADIR/xsim/ip/cordic_v6_0_23 +axi_crossbar_v2_1_34=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_34 +proc_sys_reset_v5_0_16=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_16 +polar_v1_1_5=$RDI_DATADIR/xsim/ip/polar_v1_1_5 +xscl=$RDI_DATADIR/xsim/ip/xscl +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +ldpc_v2_0_16=$RDI_DATADIR/xsim/ip/ldpc_v2_0_16 +switch_core_top_v1_0_17=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_17 +v_frmbuf_wr_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_3 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +audio_clock_recovery_unit_v1_0_5=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_5 +xdfe_resampler_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_10 +sd_fec_v1_1_15=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_15 +fifo_generator_v13_2_11=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_11 +axi_timebase_wdt_v3_0_25=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_25 +psx_vip_v1_0_6=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_6 +axi_memory_init_v1_0_14=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_14 +emc_common_v3_0_8=$RDI_DATADIR/xsim/ip/emc_common_v3_0_8 +ieee802d3_rs_fec_v2_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_24 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +v_vcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_13 +v_csc_v1_1_13=$RDI_DATADIR/xsim/ip/v_csc_v1_1_13 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +zynq_ultra_ps_e_vip_v1_0_19=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_19 +axi_cdma_v4_1_33=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_33 +v_dp_axi4s_vid_out_v1_0_9=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_9 +i2s_receiver_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_9 +rld3_pl_v1_0_15=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_15 +axi_firewall_v1_2_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_8 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +cpm5n_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_9 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +axis_switch_v1_1_33=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_33 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +mipi_dphy_v4_3_13=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_13 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +iomodule_v3_1_11=$RDI_DATADIR/xsim/ip/iomodule_v3_1_11 +fc32_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_28 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +axi_hwicap_v3_0_37=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_37 +g709_rs_decoder_v2_2_15=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_15 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +tmr_voter_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_7 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mdm_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_3 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +amm_axi_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_19 +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +axis_accelerator_adapter_v2_1_19=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_19 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +vrf_channelizer_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_channelizer_v1_0_0 +uhdsdi_gt_v2_1_5=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_5 +v_deinterlacer_v5_1_6=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_6 +noc_hbm_v1_0_1=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_1 +in_system_ibert_v1_0_23=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_23 +axi_pcie_v2_9_12=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_12 +axi_epu_v1_0_6=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_6 +floating_point_v7_0_24=$RDI_DATADIR/xsim/ip/floating_point_v7_0_24 +v_axi4s_vid_out_v4_0_19=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_19 +v_multi_scaler_v1_2_7=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_7 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +can_v5_1_5=$RDI_DATADIR/xsim/ip/can_v5_1_5 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +xdfe_cc_filter_v1_1_5=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_5 +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +v_smpte_uhdsdi_tx_v1_0_5=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_5 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +noc2_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_sim_v1_0_0 +tmr_sem_v1_0_27=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_27 +axi_ahblite_bridge_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_30 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +axi_uart16550_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_35 +ecc_v2_0_17=$RDI_DATADIR/xsim/ip/ecc_v2_0_17 +v_frmbuf_rd_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_12 +axi_data_fifo_v2_1_32=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_32 +xdfe_equalizer_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_10 +axi_mcdma_v1_2_0=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_2_0 +versal_cips_ps_vip_v1_0_11=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_11 +emb_mem_gen_v1_0_10=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_10 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +displayport_v8_1_10=$RDI_DATADIR/xsim/ip/displayport_v8_1_10 +tcc_encoder_3gpp_v5_0_23=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_23 +pcie_qdma_mailbox_v1_0_8=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_8 +v_frmbuf_rd_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_dwidth_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_32 +axi4svideo_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_19 +util_ff_v1_0_4=$RDI_DATADIR/xsim/ip/util_ff_v1_0_4 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +microblaze_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_3 +cpri_v8_11_22=$RDI_DATADIR/xsim/ip/cpri_v8_11_22 +v_vid_in_axi4s_v5_0_5=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_5 +dprx_v1_0_5=$RDI_DATADIR/xsim/ip/dprx_v1_0_5 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +axi_pcie3_v3_0_32=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_32 +v_smpte_uhdsdi_rx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_4 +axi_datamover_v5_1_35=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_35 +interlaken_v2_4_19=$RDI_DATADIR/xsim/ip/interlaken_v2_4_19 +nvmeha_v1_0_14=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_14 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +bfr_ft_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/bfr_ft_wrapper_v1_0_0 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +zynq_ultra_ps_e_v3_3_14=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_14 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +v_hdmi_rx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_5 +ethernet_1_10_25g_v2_7_18=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_18 +v_tpg_v8_2_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_6 +qdma_v5_0_12=$RDI_DATADIR/xsim/ip/qdma_v5_0_12 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +jesd204c_v4_3_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_3_0 +axi_timer_v2_0_35=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_35 +c_compare_v12_0_10=$RDI_DATADIR/xsim/ip/c_compare_v12_0_10 +axi_clock_converter_v2_1_32=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_32 +axi_protocol_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_33 +axi_dma_v7_1_34=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_34 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +dfx_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_11 +axi_sideband_util_v1_0_17=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_17 +tmr_manager_v1_0_13=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_13 +fec_5g_common_v1_1_5=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_5 +axi_ethernetlite_v3_0_32=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_32 +lib_bmg_v1_0_18=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_18 +high_speed_selectio_wiz_v3_6_10=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_10 +c_shift_ram_v12_0_18=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_18 +axi_pmon_v1_0_2=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_2 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +uhdsdi_gt_v2_2_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_2_0 +v_tpg_v8_1_12=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_12 +noc2_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_sim_v1_0_0 +axi_gpio_v2_0_35=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_35 +axis_interconnect_v1_1_24=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_24 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +c_addsub_v12_0_19=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_19 +sem_ultra_v3_1_27=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_27 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +v_warp_init_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +roe_framer_v3_0_9=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_9 +axi_remapper_tx_v1_0_3=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_3 +axi_traffic_gen_v3_0_19=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_19 +dfx_controller_v1_0_8=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_8 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +tmr_comparator_v1_0_8=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_8 +rfadce5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfadce5_wrapper_v1_0_0 +axi_mmu_v2_1_31=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_31 +axi_dwidth_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_33 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +picxo_fracxo_v2_0_3=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_3 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +v_frmbuf_wr_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_12 +sd_fec_beta_v1_0_0=$RDI_DATADIR/xsim/ip/sd_fec_beta_v1_0_0 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +lmb_bram_if_cntlr_v4_0_25=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_25 +mrmac_v3_0_0=$RDI_DATADIR/xsim/ip/mrmac_v3_0_0 +tsn_endpoint_ethernet_mac_block_v1_0_18=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_18 +axis_vio_v1_0_12=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_12 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +floating_point_v7_1_19=$RDI_DATADIR/xsim/ip/floating_point_v7_1_19 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +pc_cfr_v8_0_3=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_3 +axi_amm_bridge_v1_0_23=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_23 +c_counter_binary_v12_0_20=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_20 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +axi_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_19 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +axi_msg_v1_0_12=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_12 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +xpm=$RDI_DATADIR/xsim/ip/xpm +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +gtwizard_ultrascale_v1_7_19=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_19 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mipi_rx_phy_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_1 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +dds_compiler_v6_0_26=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_26 +xdma_v4_1_31=$RDI_DATADIR/xsim/ip/xdma_v4_1_31 +axi_fifo_mm_s_v4_3_5=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_5 +l_ethernet_v3_3_13=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_13 +xdfe_nlf_v1_1_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_2 +axi_mcdma_v1_1_14=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_14 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +xdfe_common_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_4 +axi_intc_v4_1_20=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_20 +axi_uartlite_v2_0_37=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_37 +gmii_to_rgmii_v4_1_17=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_17 +cdcam_v1_2_0=$RDI_DATADIR/xsim/ip/cdcam_v1_2_0 +blk_mem_gen_v8_4_9=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_9 +system_cache_v5_0_12=$RDI_DATADIR/xsim/ip/system_cache_v5_0_12 +cmac_usplus_v3_1_19=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_19 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_interconnect_v1_7_24=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_24 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +v_hdmi_tx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_5 +dft_v4_2_9=$RDI_DATADIR/xsim/ip/dft_v4_2_9 +ieee802d3_400g_rs_fec_v3_0_3=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_3 +srio_gen2_v4_1_20=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_20 +mipi_tx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_tx_phy_v1_0_0 +axi_epc_v2_0_36=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_36 +i2s_transmitter_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_9 +flexo_100g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_30 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +axi_emc_v3_0_33=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_33 +multi_channel_25g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_28 +axi_protocol_checker_v2_0_19=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_19 +axi_register_slice_v2_1_33=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_33 +v_letterbox_v1_1_13=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_13 +gtwizard_ultrascale_v1_6_17=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_17 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +axis_broadcaster_v1_1_32=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_32 +axis_clock_converter_v1_1_34=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_34 +ahblite_axi_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_28 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +sid_v8_0_22=$RDI_DATADIR/xsim/ip/sid_v8_0_22 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +ten_gig_eth_pcs_pma_v6_0_28=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_28 +axis_register_slice_v1_1_33=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_33 +clk_vip_v1_0_5=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_5 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +v_vid_sdi_tx_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_3 +processing_system7_vip_v1_0_21=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_21 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +cmac_v2_6_17=$RDI_DATADIR/xsim/ip/cmac_v2_6_17 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +mpram_v1_0_5=$RDI_DATADIR/xsim/ip/mpram_v1_0_5 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +v_hscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_13 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +axis_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_17 +xbip_multadd_v3_0_21=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_21 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +axi_vfifo_ctrl_v2_0_36=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_36 +v_warp_filter_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_5 +xfft_v9_1_13=$RDI_DATADIR/xsim/ip/xfft_v9_1_13 +axi_bram_ctrl_v4_1_11=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_11 +v_hcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_13 +v_demosaic_v1_1_13=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_13 +lte_fft_v2_1_11=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_11 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +mrmac_v2_3_2=$RDI_DATADIR/xsim/ip/mrmac_v2_3_2 +v_tc_v6_2_9=$RDI_DATADIR/xsim/ip/v_tc_v6_2_9 +div_gen_v5_1_23=$RDI_DATADIR/xsim/ip/div_gen_v5_1_23 +rs_encoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_22 +axi_utils_v2_0_10=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_10 +v_axi4s_remap_v1_1_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_12 +v_mix_v5_2_11=$RDI_DATADIR/xsim/ip/v_mix_v5_2_11 +xdfe_fft_v1_0_8=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_8 +cic_compiler_v4_0_20=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_20 +sim_clk_gen_v1_0_5=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_5 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +usxgmii_v1_2_20=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_20 +v_smpte_sdi_v3_0_12=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_12 +mipi_dsi2_rx_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_1 +mdm_v3_2_27=$RDI_DATADIR/xsim/ip/mdm_v3_2_27 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +g975_efec_i7_v2_0_24=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_24 +axis_combiner_v1_1_31=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_31 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +dcmac_v2_5_0=$RDI_DATADIR/xsim/ip/dcmac_v2_5_0 +convolution_v9_0_21=$RDI_DATADIR/xsim/ip/convolution_v9_0_21 +microblaze_v11_0_14=$RDI_DATADIR/xsim/ip/microblaze_v11_0_14 +cpm4_v1_0_17=$RDI_DATADIR/xsim/ip/cpm4_v1_0_17 +cmpy_v6_0_25=$RDI_DATADIR/xsim/ip/cmpy_v6_0_25 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +spdif_v2_0_30=$RDI_DATADIR/xsim/ip/spdif_v2_0_30 +oran_radio_if_v3_2_1=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_1 +v_hdmi_rx1_v1_0_11=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_11 +cam_v3_1_0=$RDI_DATADIR/xsim/ip/cam_v3_1_0 +emb_fifo_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_6 +axi_iic_v2_1_9=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_9 +axi_perf_mon_v5_0_35=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_35 +v_tpg_v8_0_16=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_16 +mutex_v2_1_14=$RDI_DATADIR/xsim/ip/mutex_v2_1_14 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +lib_fifo_v1_0_20=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_20 +advanced_io_wizard_v1_0_15=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_15 +c_reg_fd_v12_0_10=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_10 +axi4stream_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_19 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +ieee802d3_50g_rs_fec_v1_0_25=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_25 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +vid_edid_v1_0_3=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_3 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +mult_gen_v12_0_22=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_22 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +dfe_channelizer_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/dfe_channelizer_wrapper_v1_0_0 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +v_frmbuf_wr_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v3_0_0 +xdfe_cc_mixer_v2_0_5=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_5 +mipi_dsi_tx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_10 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +ieee802d3_clause74_fec_v1_0_19=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_19 +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_tft_v2_0_29=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_29 +viterbi_v9_1_18=$RDI_DATADIR/xsim/ip/viterbi_v9_1_18 +ieee802d3_50g_rs_fec_v2_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_18 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +ilknf_v1_3_5=$RDI_DATADIR/xsim/ip/ilknf_v1_3_5 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +g975_efec_i4_v1_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_23 +c_accum_v12_0_19=$RDI_DATADIR/xsim/ip/c_accum_v12_0_19 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +ieee802d3_25g_rs_fec_v1_0_31=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_31 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +xdfe_nr_prach_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_4 +gig_ethernet_pcs_pma_v16_2_21=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_21 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +v_sdi_rx_vid_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_3 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +ldpc_5gnr_v1_0_4=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_4 +soft_ecc_proxy_v1_1_2=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_2 +axi_c2c_v1_0_11=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_11 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +v_smpte_uhdsdi_v1_0_12=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_12 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +fir_compiler_v7_2_23=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_23 +axi_hbicap_v1_0_8=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_8 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +canfd_v3_0_12=$RDI_DATADIR/xsim/ip/canfd_v3_0_12 +nvme_tc_v3_0_8=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_8 +axi_usb2_device_v5_0_34=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_34 +ldpc_5gnr_lite_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_3 +tmr_inject_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_7 +v_uhdsdi_audio_v2_0_9=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_9 +xsdbm_v3_0_3=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_3 +v_vscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_13 +vid_phy_controller_v2_2_19=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_19 +mem_tg_v1_0_15=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_15 +dfx_axi_shutdown_manager_v1_0_4=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_4 +ibert_lib_v1_0_12=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_12 +ta_dma_v1_0_17=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_17 +cam_blk_lib_v1_2_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_2_0 +noc2_nps6x_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps6x_v1_0_0 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +tcc_decoder_3gppmm_v2_0_28=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_28 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +ddr4_pl_v1_0_15=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_15 +advanced_io_wizard_phy_v1_0_4=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_4 +ft_prach_v1_2_3=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_3 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +x5io_wizard_v1_0_6=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_6 +axis_subset_converter_v1_1_33=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_33 +axi_quad_spi_v3_2_32=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_32 +g709_rs_encoder_v2_2_13=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_13 +hdcp_v1_0_6=$RDI_DATADIR/xsim/ip/hdcp_v1_0_6 +v_frmbuf_rd_v2_4_4=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_4 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +quadsgmii_v3_5_21=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_21 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +rst_vip_v1_0_7=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_7 +ethernet_offload_v1_0_0=$RDI_DATADIR/xsim/ip/ethernet_offload_v1_0_0 +cpm5_v1_0_17=$RDI_DATADIR/xsim/ip/cpm5_v1_0_17 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +displayport_v9_0_10=$RDI_DATADIR/xsim/ip/displayport_v9_0_10 +visp_v1_0_0=$RDI_DATADIR/xsim/ip/visp_v1_0_0 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log new file mode 100644 index 0000000..0e6879b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log @@ -0,0 +1,12 @@ +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0 +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/src/hdl/audio_init.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audio_init +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/src/hdl/debounce.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module debounce +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/src/hdl/audioProc.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audioProc +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/a24perei/medcon/tp-filtre-etudiant-a24perei/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000000000000000000000000000000000000..743a2f97ba63b92ce2ceccec27813ed859107401 GIT binary patch literal 1640 zcmd-&&d8<an3tGSS(TZWuAo}1kd~Q~s-UD=tfY{cSCX%glbKYMSX8N?S}edS#bRh_ zY@)?oQJk4umRgjVmYHnC$;BR)T9lcSpKcV%6|SF=pPO2&pJ-%KkXn?Qsh^vglANEX zUs9l(mYGvhl&YIrQks&Pm{+0;S5jP*te;t+pPZ8&U!GYNZ-8LML&fyUj0Cv&Dl&88 zQ&Q6sOLIzcGLxd%4GnaSjLeKP7z$V`SSK(t2rzN^a|tKHy^@=sQks(raW}KEp&_cX zg}DTwrpFt=?1^GCG|)9RG(<LXKlOqqkjtN{!9#SgxDy{N++3XSV8Ip~+o=~Eo?Px^ z21iCpj(%ckN@jk1W?p6q(ZS$Bd@%5EaUm?g77kmf7Y^=Rt`vkrN@`MmX<l+F(V^f* zd?;{nalnkn76#j>7X}_&ZWM$8II04Q@{@@U1k!Q@+<a`IaF%+Z5YH7$Mko{%<!9+T zg0cfR2#Pav^@}re;|=wbQZo|E^g(5{etJ$)4$*<*M0^Ti<6;3BjV)aGxVT*NQWSzx Klk<yG1Q-EI7xrcV literal 0 HcmV?d00001 diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr new file mode 100644 index 0000000..b1f04a7 --- /dev/null +++ b/proj/AudioProc.xpr @@ -0,0 +1,301 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.2 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="68" Path="C:/Users/Conta/Documents/GitHub/IMT/tp-ecg-etudiant-a24perei/proj/AudioProc.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="28a0d6f3e5b24b30aaf0ff38c606bf43"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.2"/> + <Option Name="SimulatorVersionModelSim" Val="2024.1"/> + <Option Name="SimulatorVersionQuesta" Val="2024.1"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2024.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="15.0"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="115"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="UseInlineHdlIP" Val="TRUE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="controlUnit"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Filter Type="Srcs"/> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + <Option Name="CosimPdi" Val=""/> + <Option Name="CosimPlatform" Val=""/> + <Option Name="CosimElf" Val=""/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + <Simulator Name="ActiveHDL"> + <Option Name="Description" Val="Active-HDL Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/proj/cleanup.cmd b/proj/cleanup.cmd new file mode 100644 index 0000000..ab966b0 --- /dev/null +++ b/proj/cleanup.cmd @@ -0,0 +1,21 @@ +@echo off +rem delete all files from subfolders +for /d /r %%i in (*) do del /f /q %%i\* +rem delete all subfolders +for /d %%i in (*) do rd /S /Q %%i + +rem unmark read only from all files +attrib -R .\* /S + +rem mark read only those we wish to keep +attrib +R .\create_project.tcl +attrib +R .\cleanup.sh +attrib +R .\cleanup.cmd +attrib +R .\.gitignore +attrib +R .\_READ_ME_.txt + +rem delete all non read-only +del /Q /A:-R .\* + +rem unmark read-only +attrib -R .\* diff --git a/proj/cleanup.sh b/proj/cleanup.sh new file mode 100644 index 0000000..ea903c2 --- /dev/null +++ b/proj/cleanup.sh @@ -0,0 +1,15 @@ +# This script is useful for cleaning up the 'project' +# directory of a Digilent Vivado-project git repository +### +# Run the following command to change permissions of +# this 'cleanup' file if needed: +# chmod u+x cleanup.sh +### +# Remove directories/subdirectories +find . -mindepth 1 -type d -exec rm -rf {} + +# Remove any other files than: +find . -type f ! -name 'cleanup.sh' \ + ! -name 'cleanup.cmd' \ + ! -name 'create_project.tcl' \ + ! -name '.gitignore' \ + -exec rm -rf {} + diff --git a/proj/create_project.tcl b/proj/create_project.tcl new file mode 100644 index 0000000..b00a9db --- /dev/null +++ b/proj/create_project.tcl @@ -0,0 +1,111 @@ +# Run this script to create the Vivado project files in the WORKING DIRECTORY +# If ::create_path global variable is set, the project is created under that path instead of the working dir + +if {[info exists ::create_path]} { + set dest_dir $::create_path +} else { + set dest_dir [pwd] +} +puts "INFO: Creating new project in $dest_dir" + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set proj_name "AudioProc" + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir ".." + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/proj"]" + +set src_dir $origin_dir/src +set repo_dir $origin_dir/repo + +# Set the board part number +set part_num "xc7a200tsbg484-1" + +# Create project +create_project $proj_name $dest_dir + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [get_projects $proj_name] +set_property "default_lib" "xil_defaultlib" $obj +set_property "part" "$part_num" $obj +set_property "simulator_language" "Mixed" $obj +set_property "target_language" "VHDL" $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj + +# Add conventional sources +add_files -quiet $src_dir/hdl + +# Add IPs +add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] + +# Add constraints +add_files -fileset constrs_1 -quiet $src_dir/constraints + +# Refresh IP Repositories +#update_ip_catalog + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 +} else { + set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] + set_property flow "Vivado Synthesis 2014" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property "part" "$part_num" $obj +set_property "steps.synth_design.args.fanout_limit" "400" $obj +set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj +set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj +set_property "steps.synth_design.args.resource_sharing" "off" $obj +set_property "steps.synth_design.args.no_lc" "1" $obj +set_property "steps.synth_design.args.shreg_min_size" "5" $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2014" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property "part" "$part_num" $obj +set_property "steps.write_bitstream.args.bin_file" "1" $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +#puts "INFO: Project created:$proj_name" + +# Comment the following section, if there is no block design +# Create block design +#source $origin_dir/src/bd/bt_gpio.tcl + +# Generate the wrapper +#set design_name [get_bd_designs] +#make_wrapper -files [get_files $design_name.bd] -top -import + +#set obj [get_filesets sources_1] +#set_property "top" "bt_gpio_top" $obj + +#puts "INFO: Block design created: $design_name.bd" diff --git a/src-ref/ECG_raw_3filters-inc.pdf b/src-ref/ECG_raw_3filters-inc.pdf new file mode 100644 index 0000000000000000000000000000000000000000..355124ca47ee75d8f7d5002187e1919781adbbb8 GIT binary patch literal 3091 zcmY!laB<T$)HC5SR4`D;Ps-x5vEkAW$t=l9RnTzDOfM};RWQ=z(swROO)SYTQqXYs zF$xG)Fw`^AGtyDeaMn~Xw6wI;H8L<VP;k~$a8E6*O3chl)#TC-D9TSMO-?OR(C|+# zNh|{ifep#b&vQvENmbBru`)6+GBq$ZurM??Ffg*z<g&BlO3h0FIl>6pF_i_W3i{58 zC5but>0J5&iRr1u3dRZs3PDI3Kzxu!u<OAZ!0Nd4y)#pa6{5{R%450oo%2icN)!x{ zG@2mU<dd40UXr0;3Q`PGQe0A$nwZO_?;f0}kY3DXr~raR>0Aa11_~yI77E6OCJIHV zTxnc|IOW2*(zpV-3emNMbLDXba_I+W7$_8Ha2YC;a~WEi=$RRrDj1qunkblB>RDP^ z8Y=|3b3vF!7RCyOmWFyJMrOvwh6=ez!bTQ`dM1_@MwW&OIb6YDv!Fr{vkWcF^-Rqz zKxP>l8S9x_SeP4_C>U9o=$ToX8<-j?<Z?lk7=o;aIK#lw2yPmR%ZyA7^^DEHra_qI zre>C?PD2uhIs~WNjLj|dEKSTnZZkGC)iX3Tv$QZmbsJQPiJ_qa)FG&D1BVI3r%<IJ z({O~EvAL<9xv9B{xjC|v;lXBLre|qx2r&#|0yqkw=7OAH1W{sQ3W@_WV?9F)3nL># z1tTLPJySz7QwuYAs2Les=oy$9K@5YMfa)@c3PUqXkXcABLlTF(%+y%V%)lIC7!H?# zm6%x=nJeUSA-T-VQqR!T(9*;l;W9|nLkz?2GKdOD;y`v8LLBZgh!DguB$q*$pk#}Z z(y$7H%+e3eFob0VV{<(-OG`66W*VF5nHm^a7=sco!bn3S6FpONBMSqBUm-%q5Tl^U z925&kIl<7#T+iIx(A3NVH77t^VQPtpQiurDU653co)M5822laY9|T;66bnfC0L5ic zC7?tIaR-XaAmIV=CR8QJn~1PMb{JBOB7{Ma04j$}&Gjq{EilU=sHq_Pp-PMlP*R$O zp`M|!nUR43JfRsHnCO|9n&5F2M1`@11;{8QXCaBh+yw~?aDFmU00%pgiy)HFI5g5T z00WS{a5l^ssE0vvIEq=Q3UJ!OZUxLNh^<g3K?*TQc?Jq3WMj;5xhOR+1zN{I>pf7_ z1FFUh&5X=obs?zk0*RqkZJ>&<C^fGHS`+H~rlw>jI^|a=L?hKs;2JNlBsH(3SOHYg z1##&Ir55Lx7A2<^gDd)gqWt9G)Dneg{Qws?1^tlJiV_8V&)mfHR3|9y9IK$93K8<; z(s!*WaStv@EJ+3H2Gxt^u*y<DI3qD7GcO%11FG08z%t;BZ3$-D*>UMd_$OthCYOK| zfw*q@c`&w}9jMBMh6Bh{R6iqZ)(>`6NK4EqPUX@M_Ej*@Gce-P_YZ&x<rlzM{sF!U z23-11?nVmwE~#mWr8y;B`k~H2$lMTQ9-{dHayrC%1(>%&K#d9$1%2oIocyBTg2d!h zkf~*v$*Do^P73-?nI**msYTBD`9&$2d7$=<u@RDJZb5z?D6%ZzVs1H!=?WGgGm`UD zQWc_&v854s@<l2{4HQ5vH874<(1$izjLj76?6{z5iGf3dEC2uh|NnA7<uZVPN$Vo} zPr08MKw=>N|Nji2#06Cj4RTN%4JtoFvKKg0li=ruPYob1GL-S2sQ=VJz|R#$scBpW z3Wf$;;1UB&D43a=8k;JlDImlw6(BNsa4|zu157bXOm#-4X6Wh+Esaeu#Y{28j0`O; z(A60k8<}E=ff`_N_mvbSX6B?8f%2DraAs91$Zz_dd1?9JR0GQY`S~RZpyoBW^;}$% USX2TI1tSYXV=h%ySARDy0AQrnXaE2J literal 0 HcmV?d00001 diff --git a/src-ref/ECG_raw_3filters.tex b/src-ref/ECG_raw_3filters.tex new file mode 100644 index 0000000..2c2602a --- /dev/null +++ b/src-ref/ECG_raw_3filters.tex @@ -0,0 +1,33 @@ +\documentclass{minimal} +\usepackage{graphicx,color} +\usepackage[utf8]{inputenc} +\usepackage[papersize={418.00bp,314.00bp},text={418.00bp,314.00bp}]{geometry} +\begin{document} +\centering +% Title: Figure 2 +% Creator: GL2PS 1.4.2, (C) 1999-2020 C. Geuzaine +% For: Octave +% CreationDate: Sat Mar 8 18:00:29 2025 +\setlength{\unitlength}{1pt} +\begin{picture}(0,0) +\includegraphics[scale=1]{ECG_raw_3filters-inc} +\end{picture}% +\begin{picture}(418,314)(0,0) +\fontsize{10}{0}\selectfont\put(59.9993,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}} +\fontsize{10}{0}\selectfont\put(123.789,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{2000}}}} +\fontsize{10}{0}\selectfont\put(187.578,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{4000}}}} +\fontsize{10}{0}\selectfont\put(251.368,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{6000}}}} +\fontsize{10}{0}\selectfont\put(315.157,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{8000}}}} +\fontsize{10}{0}\selectfont\put(378.946,183.904){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{10000}}}} +\fontsize{10}{0}\selectfont\put(55.0001,191.426){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-100}}}} +\fontsize{10}{0}\selectfont\put(55.0001,206.971){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-50}}}} +\fontsize{10}{0}\selectfont\put(55.0001,222.517){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}} +\fontsize{10}{0}\selectfont\put(55.0001,238.062){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{50}}}} +\fontsize{10}{0}\selectfont\put(59.9993,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}} +\fontsize{10}{0}\selectfont\put(123.789,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{2000}}}} +\fontsize{10}{0}\selectfont\put(187.578,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{4000}}}} +\fontsize{10}{0}\selectfont\put(251.368,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{6000}}}} +\fontsize{10}{0}\selectfont\put(315.157,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{8000}}}} +\fontsize{10}{0}\selectfont\put(378.946,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{10000}}}} +\end{picture} +\end{document} diff --git a/src-ref/coeficientes_vhdl.txt b/src-ref/coeficientes_vhdl.txt new file mode 100644 index 0000000..e1d30f9 --- /dev/null +++ b/src-ref/coeficientes_vhdl.txt @@ -0,0 +1,247 @@ + +--- Coefficients du filtre Baseline --- +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(64, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), + +--- Coefficients du filtre Notch 50Hz --- +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(-1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(0, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(2, 8), +to_signed(2, 8), +to_signed(1, 8), +to_signed(-1, 8), +to_signed(-2, 8), +to_signed(-2, 8), +to_signed(-2, 8), +to_signed(-1, 8), +to_signed(1, 8), +to_signed(2, 8), +to_signed(3, 8), +to_signed(2, 8), +to_signed(1, 8), +to_signed(-1, 8), +to_signed(-2, 8), +to_signed(63, 8), +to_signed(-2, 8), +to_signed(-1, 8), +to_signed(1, 8), +to_signed(2, 8), +to_signed(3, 8), +to_signed(2, 8), +to_signed(1, 8), +to_signed(-1, 8), +to_signed(-2, 8), +to_signed(-2, 8), +to_signed(-2, 8), +to_signed(-1, 8), +to_signed(1, 8), +to_signed(2, 8), +to_signed(2, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(0, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(-1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(-1, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), +to_signed(0, 8), + +--- Coefficients du filtre Parks-McClellan --- +to_signed(-13, 8), +to_signed(13, 8), +to_signed(16, 8), +to_signed(21, 8), +to_signed(25, 8), +to_signed(26, 8), +to_signed(25, 8), +to_signed(21, 8), +to_signed(16, 8), +to_signed(13, 8), +to_signed(-13, 8), diff --git a/src-ref/octaveScript.m b/src-ref/octaveScript.m index d62a754..2c6d3fc 100644 --- a/src-ref/octaveScript.m +++ b/src-ref/octaveScript.m @@ -1,6 +1,6 @@ % ECG telecharge de -%https://archive.physionet.org/cgi-bin/atm/ATM -%Echantillonne à 500Hz (F_Nyquist = 250Hz) +% https://archive.physionet.org/cgi-bin/atm/ATM +% Echantillonne à 500Hz (F_Nyquist = 250Hz) % Script OCTAVE (pas matlab...) Fs = 500; % Frequence d'echantillonnage @@ -9,49 +9,74 @@ figure(1) T = csvread('./ADCSamplesOctave.csv'); subplot(2,3,1);plot(T(:,2));title('Raw ECG signal');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (output of an 11-bit ADC)'); -% Pourc Octave (a supprimer sous Matlab) +% Pour Octave (à supprimer sous Matlab) pkg load signal; -%Pour les trois filtres suivants, on peut jouer sur les ordres -% donc le nombre de coefficients des filtres numeriques +% Pour les trois filtres suivants, on peut jouer sur les ordres +% donc le nombre de coefficients des filtres numériques + +% Suppression de la baseline (High-pass FIR) +fBaseLine = fir1(128, 5/Fn, 'high'); % Génération du filtre +fBaseLine = fBaseLine / sqrt(sum(fBaseLine.^2)) * 2^6; % Normalisation et mise à l'échelle +y_minus_BL = filter(fBaseLine, [1], T(:,2)); % Application du filtre -%suppression de la baseline -fBaseLine=fir1(128, 5/Fn, 'high'); -y_minus_BL=filter(fBaseLine,[1],T(:,2)); subplot(2,3,2);plot(y_minus_BL);title('Baseline wander reduced');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); subplot(2,3,3);plot(y_minus_BL(1:1000));title('Baseline wander reduced -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); +% Élimination du bruit à 50Hz par un coupe-bande basique (Notch FIR) +f50Hz = fir1(100, [45 55]/Fn, 'stop'); % Génération du filtre +f50Hz = f50Hz / sqrt(sum(f50Hz.^2)) * 2^6; % Normalisation et mise à l'échelle +y_minus_50Hz_simple = filter(f50Hz, [1], y_minus_BL); % Application du filtre -%elimination du bruit à 50Hz par un coupe-bande tout basique -f50Hz=fir1(100, [45 55]/Fn, 'stop'); -y_minus_50Hz_simple = filter(f50Hz,[1],y_minus_BL); subplot(2,3,4);plot(y_minus_50Hz_simple(1:1000));title('FIR1 band-cut-- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); -%elimination du bruit à 50Hz par un coupe-bande plus elabore -[b,a]=pei_tseng_notch ( 50 / Fn, 10/Fn ); -y_minus_50Hz_pei_tseng = filter(b,a,y_minus_BL); +% Élimination du bruit à 50Hz par un coupe-bande plus élaboré (Pei-Tseng) +[b, a] = pei_tseng_notch(50 / Fn, 10/Fn); +y_minus_50Hz_pei_tseng = filter(b, a, y_minus_BL); + subplot(2,3,5);plot(y_minus_50Hz_pei_tseng(1:1000));title('Pei Tseng band-cut -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); -%lissage du bruit haute frequence par filtre de Parks-McClellan +% Lissage du bruit haute fréquence par filtre de Parks-McClellan (Low-pass FIR) Fpass = 50; Fstop = 60; F = [0 Fpass Fstop Fn]/(Fn); A = [1 1 0 0]; -fLP = remez(10,F,A); % Voir pour Matlab: firpm -yLP = filter(fLP,[1],y_minus_50Hz_pei_tseng); +fLP = remez(10, F, A); % Génération du filtre +fLP = fLP / sqrt(sum(fLP.^2)) * 2^6; % Normalisation et mise à l'échelle +yLP = filter(fLP, [1], y_minus_50Hz_pei_tseng); % Application du filtre subplot(2,3,6);plot(yLP(1:1000));title('Low-pass filter to suppress high-freq noise -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); figure(2) subplot(2,1,1);plot(T(:,2));title('Raw ECG signal');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); subplot(2,1,2);plot(yLP);title('After 3 filters');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); print(2, "ECG_raw_3filters.pdf", "-dpdflatexstandalone"); + figure(3) -%L'artillerie lourde: fonction intégrant la methode de Pan-Tompkin -%merci Sedghamiz. H !!! -pan_tompkin(T(:,2),500,1) +% L'artillerie lourde: fonction intégrant la méthode de Pan-Tompkin +% Merci Sedghamiz. H !!! +pan_tompkin(T(:,2),500,1); + +% EXPORT DES COEFFICIENTS POUR VHDL +% Conversion en entiers signés et exportation +printf("\n--- Coefficients du filtre Baseline ---\n"); +fid = fopen("coeficientes_vhdl.txt", "w"); +fprintf(fid, "\n--- Coefficients du filtre Baseline ---\n"); +for i = 1:length(fBaseLine) + fprintf(fid, "to_signed(%d, 8),\n", round(fBaseLine(i))); +end +fprintf(fid, "\n--- Coefficients du filtre Notch 50Hz ---\n"); +for i = 1:length(f50Hz) + fprintf(fid, "to_signed(%d, 8),\n", round(f50Hz(i))); +end +fprintf(fid, "\n--- Coefficients du filtre Parks-McClellan ---\n"); +for i = 1:length(fLP) + fprintf(fid, "to_signed(%d, 8),\n", round(fLP(i))); +end +fclose(fid); +printf("\nOs coeficientes foram salvos em 'coeficientes_vhdl.txt'.\n"); diff --git a/src/constraints/NexysVideo_Master.xdc b/src/constraints/NexysVideo_Master.xdc new file mode 100644 index 0000000..b256a38 --- /dev/null +++ b/src/constraints/NexysVideo_Master.xdc @@ -0,0 +1,365 @@ +### This file is a general .xdc for the Nexys Video Rev. A +### To use it in a project: +### - uncomment the lines corresponding to used pins +### - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + + +##Clock Signal +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ] + + +##LEDs +#set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS25} [get_ports {LED[0]}] +#set_property -dict {PACKAGE_PIN T15 IOSTANDARD LVCMOS25} [get_ports {LED[1]}] +#set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports {LED[2]}] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}] +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}] +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}] +set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}] + + +## Buttons +set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC] +set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND] +set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL] +set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR] +set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU] +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn] + + +##Switches +set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw] +#set_property -dict { PACKAGE_PIN F21 } [get_ports { sw[1] }]; #IO_25_16 Sch=sw[1] +#set_property -dict { PACKAGE_PIN G21 } [get_ports { sw[2] }]; #IO_L24P_T3_16 Sch=sw[2] +set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7] + + +##OLED Display +#set_property -dict { PACKAGE_PIN W22 IOSTANDARD LVCMOS33 } [get_ports { oled_dc }]; #IO_L7N_T1_D10_14 Sch=oled_dc +#set_property -dict { PACKAGE_PIN U21 IOSTANDARD LVCMOS33 } [get_ports { oled_res }]; #IO_L4N_T0_D05_14 Sch=oled_res +#set_property -dict { PACKAGE_PIN W21 IOSTANDARD LVCMOS33 } [get_ports { oled_sclk }]; #IO_L7P_T1_D09_14 Sch=oled_sclk +#set_property -dict { PACKAGE_PIN Y22 IOSTANDARD LVCMOS33 } [get_ports { oled_sdin }]; #IO_L9N_T1_DQS_D13_14 Sch=oled_sdin +#set_property -dict { PACKAGE_PIN P20 IOSTANDARD LVCMOS33 } [get_ports { oled_vbat }]; #IO_0_14 Sch=oled_vbat +#set_property -dict { PACKAGE_PIN V22 IOSTANDARD LVCMOS33 } [get_ports { oled_vdd }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=oled_vdd + + +##HDMI in +#set_property -dict { PACKAGE_PIN AA5 IOSTANDARD LVCMOS33 } [get_ports { hdmi_rx_cec }]; #IO_L10P_T1_34 Sch=hdmi_rx_cec +#set_property -dict { PACKAGE_PIN W4 IOSTANDARD LVDS } [get_ports { hdmi_rx_clk_n }]; #IO_L12N_T1_MRCC_34 Sch=hdmi_rx_clk_n +#set_property -dict { PACKAGE_PIN V4 IOSTANDARD LVDS } [get_ports { hdmi_rx_clk_p }]; #IO_L12P_T1_MRCC_34 Sch=hdmi_rx_clk_p +#set_property -dict { PACKAGE_PIN AB12 IOSTANDARD LVCMOS25 } [get_ports { hdmi_rx_hpa }]; #IO_L7N_T1_13 Sch=hdmi_rx_hpa +#set_property -dict { PACKAGE_PIN Y4 IOSTANDARD LVCMOS33 } [get_ports { hdmi_rx_scl }]; #IO_L11P_T1_SRCC_34 Sch=hdmi_rx_scl +#set_property -dict { PACKAGE_PIN AB5 IOSTANDARD LVCMOS33 } [get_ports { hdmi_rx_sda }]; #IO_L10N_T1_34 Sch=hdmi_rx_sda +#set_property -dict { PACKAGE_PIN R3 IOSTANDARD LVCMOS33 } [get_ports { hdmi_rx_txen }]; #IO_L3P_T0_DQS_34 Sch=hdmi_rx_txen +#set_property -dict { PACKAGE_PIN AA3 IOSTANDARD LVDS } [get_ports { hdmi_rx_n[0] }]; #IO_L9N_T1_DQS_34 Sch=hdmi_rx_n[0] +#set_property -dict { PACKAGE_PIN Y3 IOSTANDARD LVDS } [get_ports { hdmi_rx_p[0] }]; #IO_L9P_T1_DQS_34 Sch=hdmi_rx_p[0] +#set_property -dict { PACKAGE_PIN Y2 IOSTANDARD LVDS } [get_ports { hdmi_rx_n[1] }]; #IO_L4N_T0_34 Sch=hdmi_rx_n[1] +#set_property -dict { PACKAGE_PIN W2 IOSTANDARD LVDS } [get_ports { hdmi_rx_p[1] }]; #IO_L4P_T0_34 Sch=hdmi_rx_p[1] +#set_property -dict { PACKAGE_PIN V2 IOSTANDARD LVDS } [get_ports { hdmi_rx_n[2] }]; #IO_L2N_T0_34 Sch=hdmi_rx_n[2] +#set_property -dict { PACKAGE_PIN U2 IOSTANDARD LVDS } [get_ports { hdmi_rx_p[2] }]; #IO_L2P_T0_34 Sch=hdmi_rx_p[2] + + +##HDMI out +#set_property -dict { PACKAGE_PIN AA4 IOSTANDARD LVCMOS33 } [get_ports { hdmi_tx_cec }]; #IO_L11N_T1_SRCC_34 Sch=hdmi_tx_cec +#set_property -dict { PACKAGE_PIN U1 IOSTANDARD LVDS } [get_ports { hdmi_tx_clk_n }]; #IO_L1N_T0_34 Sch=hdmi_tx_clk_n +#set_property -dict { PACKAGE_PIN T1 IOSTANDARD LVDS } [get_ports { hdmi_tx_clk_p }]; #IO_L1P_T0_34 Sch=hdmi_tx_clk_p +#set_property -dict { PACKAGE_PIN AB13 IOSTANDARD LVCMOS25 } [get_ports { hdmi_tx_hpd }]; #IO_L3N_T0_DQS_13 Sch=hdmi_tx_hpd +#set_property -dict { PACKAGE_PIN U3 IOSTANDARD LVCMOS33 } [get_ports { hdmi_tx_rscl }]; #IO_L6P_T0_34 Sch=hdmi_tx_rscl +#set_property -dict { PACKAGE_PIN V3 IOSTANDARD LVCMOS33 } [get_ports { hdmi_tx_rsda }]; #IO_L6N_T0_VREF_34 Sch=hdmi_tx_rsda +#set_property -dict { PACKAGE_PIN Y1 IOSTANDARD LVDS } [get_ports { hdmi_tx_n[0] }]; #IO_L5N_T0_34 Sch=hdmi_tx_n[0] +#set_property -dict { PACKAGE_PIN W1 IOSTANDARD LVDS } [get_ports { hdmi_tx_p[0] }]; #IO_L5P_T0_34 Sch=hdmi_tx_p[0] +#set_property -dict { PACKAGE_PIN AB1 IOSTANDARD LVDS } [get_ports { hdmi_tx_n[1] }]; #IO_L7N_T1_34 Sch=hdmi_tx_n[1] +#set_property -dict { PACKAGE_PIN AA1 IOSTANDARD LVDS } [get_ports { hdmi_tx_p[1] }]; #IO_L7P_T1_34 Sch=hdmi_tx_p[1] +#set_property -dict { PACKAGE_PIN AB2 IOSTANDARD LVDS } [get_ports { hdmi_tx_n[2] }]; #IO_L8N_T1_34 Sch=hdmi_tx_n[2] +#set_property -dict { PACKAGE_PIN AB3 IOSTANDARD LVDS } [get_ports { hdmi_tx_p[2] }]; #IO_L8P_T1_34 Sch=hdmi_tx_p[2] + + +##Display Port +#set_property -dict { PACKAGE_PIN AB10 IOSTANDARD LVDS } [get_ports { dp_tx_aux_n }]; #IO_L8N_T1_13 Sch=dp_tx_aux_n +#set_property -dict { PACKAGE_PIN AA11 IOSTANDARD LVDS } [get_ports { dp_tx_aux_n }]; #IO_L9N_T1_DQS_13 Sch=dp_tx_aux_n +#set_property -dict { PACKAGE_PIN AA9 IOSTANDARD LVDS } [get_ports { dp_tx_aux_p }]; #IO_L8P_T1_13 Sch=dp_tx_aux_p +#set_property -dict { PACKAGE_PIN AA10 IOSTANDARD LVDS } [get_ports { dp_tx_aux_p }]; #IO_L9P_T1_DQS_13 Sch=dp_tx_aux_p +#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { dp_tx_hpd }]; #IO_25_14 Sch=dp_tx_hpd + + +##Audio Codec +set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk] +set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata] +set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk] +set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk] + + +##Pmod header JA +#set_property -dict {PACKAGE_PIN AB22 IOSTANDARD LVCMOS33} [get_ports JA1] +#set_property -dict { PACKAGE_PIN AB21 IOSTANDARD LVCMOS33 } [get_ports { JA2 }]; #IO_L10P_T1_D14_14 Sch=ja[2] +#set_property -dict { PACKAGE_PIN AB20 IOSTANDARD LVCMOS33 } [get_ports { JA3 }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=ja[3] +#set_property -dict { PACKAGE_PIN AB18 IOSTANDARD LVCMOS33 } [get_ports { JA4 }]; #IO_L17N_T2_A13_D29_14 Sch=ja[4] +#set_property -dict { PACKAGE_PIN Y21 IOSTANDARD LVCMOS33 } [get_ports { JA5 }]; #IO_L9P_T1_DQS_14 Sch=ja[7] +#set_property -dict { PACKAGE_PIN AA21 IOSTANDARD LVCMOS33 } [get_ports { JA6 }]; #IO_L8N_T1_D12_14 Sch=ja[8] +#set_property -dict { PACKAGE_PIN AA20 IOSTANDARD LVCMOS33 } [get_ports { ja[6] }]; #IO_L8P_T1_D11_14 Sch=ja[9] +#set_property -dict { PACKAGE_PIN AA18 IOSTANDARD LVCMOS33 } [get_ports { ja[7] }]; #IO_L17P_T2_A14_D30_14 Sch=ja[10] + + +##Pmod header JB +#set_property -dict {PACKAGE_PIN V8 IOSTANDARD LVCMOS33} [get_ports LED17_B] +#set_property -dict {PACKAGE_PIN V9 IOSTANDARD LVCMOS33} [get_ports LED17_G] +#set_property -dict {PACKAGE_PIN W7 IOSTANDARD LVCMOS33} [get_ports LED16_R] +#set_property -dict { PACKAGE_PIN V7 IOSTANDARD LVCMOS33 } [get_ports { jb[3] }]; #IO_L19P_T3_34 Sch=jb_p[2] +#set_property -dict { PACKAGE_PIN Y9 IOSTANDARD LVCMOS33 } [get_ports { jb[4] }]; #IO_L24N_T3_34 Sch=jb_n[3] +#set_property -dict { PACKAGE_PIN W9 IOSTANDARD LVCMOS33 } [get_ports { jb[5] }]; #IO_L24P_T3_34 Sch=jb_p[3] +#set_property -dict { PACKAGE_PIN Y7 IOSTANDARD LVCMOS33 } [get_ports { jb[6] }]; #IO_L23N_T3_34 Sch=jb_n[4] +#set_property -dict { PACKAGE_PIN Y8 IOSTANDARD LVCMOS33 } [get_ports { jb[7] }]; #IO_L23P_T3_34 Sch=jb_p[4] + + +##Pmod header JC +#set_property -dict { PACKAGE_PIN AA6 IOSTANDARD LVCMOS33 } [get_ports { jc[0] }]; #IO_L18N_T2_34 Sch=jc_n[1] +#set_property -dict { PACKAGE_PIN Y6 IOSTANDARD LVCMOS33 } [get_ports { jc[1] }]; #IO_L18P_T2_34 Sch=jc_p[1] +#set_property -dict { PACKAGE_PIN AB8 IOSTANDARD LVCMOS33 } [get_ports { jc[2] }]; #IO_L22N_T3_34 Sch=jc_n[2] +#set_property -dict { PACKAGE_PIN AA8 IOSTANDARD LVCMOS33 } [get_ports { jc[3] }]; #IO_L22P_T3_34 Sch=jc_p[2] +#set_property -dict { PACKAGE_PIN T6 IOSTANDARD LVCMOS33 } [get_ports { jc[4] }]; #IO_L17N_T2_34 Sch=jc_n[3] +#set_property -dict { PACKAGE_PIN R6 IOSTANDARD LVCMOS33 } [get_ports { jc[5] }]; #IO_L17P_T2_34 Sch=jc_p[3] +#set_property -dict { PACKAGE_PIN AB6 IOSTANDARD LVCMOS33 } [get_ports { jc[6] }]; #IO_L20N_T3_34 Sch=jc_n[4] +#set_property -dict { PACKAGE_PIN AB7 IOSTANDARD LVCMOS33 } [get_ports { jc[7] }]; #IO_L20P_T3_34 Sch=jc_p[4] + + +##XADC Header +#set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { xa_n[0] }]; #IO_L3N_T0_DQS_AD1N_15 Sch=xa_n[1] +#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { xa_p[0] }]; #IO_L3P_T0_DQS_AD1P_15 Sch=xa_p[1] +#set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { xa_n[1] }]; #IO_L1N_T0_AD0N_15 Sch=xa_n[2] +#set_property -dict { PACKAGE_PIN H13 IOSTANDARD LVCMOS33 } [get_ports { xa_p[1] }]; #IO_L1P_T0_AD0P_15 Sch=xa_p[2] +#set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { xa_n[2] }]; #IO_L2N_T0_AD8N_15 Sch=xa_n[3] +#set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { xa_p[2] }]; #IO_L2P_T0_AD8P_15 Sch=xa_p[3] +#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { xa_n[3] }]; #IO_L5N_T0_AD9N_15 Sch=xa_n[4] +#set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { xa_p[3] }]; #IO_L5P_T0_AD9P_15 Sch=xa_p[4] + + +##UART +#set_property -dict { PACKAGE_PIN AA19 IOSTANDARD LVCMOS33 } [get_ports { uart_rx_out }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=uart_rx_out +#set_property -dict { PACKAGE_PIN V18 IOSTANDARD LVCMOS33 } [get_ports { uart_tx_in }]; #IO_L14P_T2_SRCC_14 Sch=uart_tx_in + + +##Ethernet +#set_property -dict { PACKAGE_PIN Y14 IOSTANDARD LVCMOS25 } [get_ports { eth_int_b }]; #IO_L6N_T0_VREF_13 Sch=eth_int_b +#set_property -dict { PACKAGE_PIN AA16 IOSTANDARD LVCMOS25 } [get_ports { eth_mdc }]; #IO_L1N_T0_13 Sch=eth_mdc +#set_property -dict { PACKAGE_PIN Y16 IOSTANDARD LVCMOS25 } [get_ports { eth_mdio }]; #IO_L1P_T0_13 Sch=eth_mdio +#set_property -dict { PACKAGE_PIN W14 IOSTANDARD LVCMOS25 } [get_ports { eth_pme_b }]; #IO_L6P_T0_13 Sch=eth_pme_b +#set_property -dict { PACKAGE_PIN U7 IOSTANDARD LVCMOS33 } [get_ports { eth_rst_b }]; #IO_25_34 Sch=eth_rst_b +#set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS25 } [get_ports { eth_rxck }]; #IO_L13P_T2_MRCC_13 Sch=eth_rxck +#set_property -dict { PACKAGE_PIN W10 IOSTANDARD LVCMOS25 } [get_ports { eth_rxctl }]; #IO_L10N_T1_13 Sch=eth_rxctl +#set_property -dict { PACKAGE_PIN AB16 IOSTANDARD LVCMOS25 } [get_ports { eth_rxd[0] }]; #IO_L2P_T0_13 Sch=eth_rxd[0] +#set_property -dict { PACKAGE_PIN AA15 IOSTANDARD LVCMOS25 } [get_ports { eth_rxd[1] }]; #IO_L4P_T0_13 Sch=eth_rxd[1] +#set_property -dict { PACKAGE_PIN AB15 IOSTANDARD LVCMOS25 } [get_ports { eth_rxd[2] }]; #IO_L4N_T0_13 Sch=eth_rxd[2] +#set_property -dict { PACKAGE_PIN AB11 IOSTANDARD LVCMOS25 } [get_ports { eth_rxd[3] }]; #IO_L7P_T1_13 Sch=eth_rxd[3] +#set_property -dict { PACKAGE_PIN AA14 IOSTANDARD LVCMOS25 } [get_ports { eth_txck }]; #IO_L5N_T0_13 Sch=eth_txck +#set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS25 } [get_ports { eth_txctl }]; #IO_L10P_T1_13 Sch=eth_txctl +#set_property -dict { PACKAGE_PIN Y12 IOSTANDARD LVCMOS25 } [get_ports { eth_txd[0] }]; #IO_L11N_T1_SRCC_13 Sch=eth_txd[0] +#set_property -dict { PACKAGE_PIN W12 IOSTANDARD LVCMOS25 } [get_ports { eth_txd[1] }]; #IO_L12N_T1_MRCC_13 Sch=eth_txd[1] +#set_property -dict { PACKAGE_PIN W11 IOSTANDARD LVCMOS25 } [get_ports { eth_txd[2] }]; #IO_L12P_T1_MRCC_13 Sch=eth_txd[2] +#set_property -dict { PACKAGE_PIN Y11 IOSTANDARD LVCMOS25 } [get_ports { eth_txd[3] }]; #IO_L11P_T1_SRCC_13 Sch=eth_txd[3] + + +##Fan PWM +#set_property -dict { PACKAGE_PIN U15 IOSTANDARD LVCMOS25 } [get_ports { fan_pwm }]; #IO_L14P_T2_SRCC_13 Sch=fan_pwm + + +##DPTI/DSPI +#set_property -dict { PACKAGE_PIN Y18 IOSTANDARD LVCMOS33 } [get_ports { prog_clko }]; #IO_L13P_T2_MRCC_14 Sch=prog_clko +#set_property -dict { PACKAGE_PIN U20 IOSTANDARD LVCMOS33 } [get_ports { prog_d[0]}]; #IO_L11P_T1_SRCC_14 Sch=prog_d0/sck +#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { prog_d[1] }]; #IO_L19P_T3_A10_D26_14 Sch=prog_d1/mosi +#set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { prog_d[2] }]; #IO_L22P_T3_A05_D21_14 Sch=prog_d2/miso +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { prog_d[3]}]; #IO_L18P_T2_A12_D28_14 Sch=prog_d3/ss +#set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { prog_d[4] }]; #IO_L24N_T3_A00_D16_14 Sch=prog_d[4] +#set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports { prog_d[5] }]; #IO_L24P_T3_A01_D17_14 Sch=prog_d[5] +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { prog_d[6] }]; #IO_L20P_T3_A08_D24_14 Sch=prog_d[6] +#set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { prog_d[7] }]; #IO_L23N_T3_A02_D18_14 Sch=prog_d[7] +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { prog_oen }]; #IO_L16P_T2_CSI_B_14 Sch=prog_oen +#set_property -dict { PACKAGE_PIN P19 IOSTANDARD LVCMOS33 } [get_ports { prog_rdn }]; #IO_L5P_T0_D06_14 Sch=prog_rdn +#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { prog_rxen }]; #IO_L21P_T3_DQS_14 Sch=prog_rxen +#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { prog_siwun }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=prog_siwun +#set_property -dict { PACKAGE_PIN R14 IOSTANDARD LVCMOS33 } [get_ports { prog_spien }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=prog_spien +#set_property -dict { PACKAGE_PIN Y19 IOSTANDARD LVCMOS33 } [get_ports { prog_txen }]; #IO_L13N_T2_MRCC_14 Sch=prog_txen +#set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports { prog_wrn }]; #IO_L5N_T0_D07_14 Sch=prog_wrn + + +##HID port +#set_property -dict { PACKAGE_PIN W17 IOSTANDARD LVCMOS33 } [get_ports { ps2_clk }]; #IO_L16N_T2_A15_D31_14 Sch=ps2_clk +#set_property -dict { PACKAGE_PIN N13 IOSTANDARD LVCMOS33 } [get_ports { ps2_data }]; #IO_L23P_T3_A03_D19_14 Sch=ps2_data + + +##QSPI +#set_property -dict { PACKAGE_PIN T19 IOSTANDARD LVCMOS33 } [get_ports { qspi_cs }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_cs +#set_property -dict { PACKAGE_PIN P22 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] +#set_property -dict { PACKAGE_PIN R22 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] +#set_property -dict { PACKAGE_PIN P21 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] +#set_property -dict { PACKAGE_PIN R21 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] + +set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl] +set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda] + +##SD card +#set_property -dict { PACKAGE_PIN W19 IOSTANDARD LVCMOS33 } [get_ports { sd_cclk }]; #IO_L12P_T1_MRCC_14 Sch=sd_cclk +#set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sd_cd }]; #IO_L20N_T3_A07_D23_14 Sch=sd_cd +#set_property -dict { PACKAGE_PIN W20 IOSTANDARD LVCMOS33 } [get_ports { sd_cmd }]; #IO_L12N_T1_MRCC_14 Sch=sd_cmd +#set_property -dict { PACKAGE_PIN V19 IOSTANDARD LVCMOS33 } [get_ports { sd_d[0] }]; #IO_L14N_T2_SRCC_14 Sch=sd_d[0] +#set_property -dict { PACKAGE_PIN T21 IOSTANDARD LVCMOS33 } [get_ports { sd_d[1] }]; #IO_L4P_T0_D04_14 Sch=sd_d[1] +#set_property -dict { PACKAGE_PIN T20 IOSTANDARD LVCMOS33 } [get_ports { sd_d[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sd_d[2] +#set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sd_d[3] }]; #IO_L18N_T2_A11_D27_14 Sch=sd_d[3] +#set_property -dict { PACKAGE_PIN V20 IOSTANDARD LVCMOS33 } [get_ports { sd_reset }]; #IO_L11N_T1_SRCC_14 Sch=sd_reset + + + + +##Voltage Adjust +#set_property -dict { PACKAGE_PIN AA13 IOSTANDARD LVCMOS25 } [get_ports { set_vadj[0] }]; #IO_L3P_T0_DQS_13 Sch=set_vadj[0] +#set_property -dict { PACKAGE_PIN AB17 IOSTANDARD LVCMOS25 } [get_ports { set_vadj[1] }]; #IO_L2N_T0_13 Sch=set_vadj[1] +#set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS25 } [get_ports { vadj_en }]; #IO_L13N_T2_MRCC_13 Sch=vadj_en + + +##DDR3 +#set_property -dict {PACKAGE_PIN M2} [get_ports {ddr3_addr[0]}] +#set_property -dict {PACKAGE_PIN M5} [get_ports {ddr3_addr[1]}] +#set_property -dict {PACKAGE_PIN M3} [get_ports {ddr3_addr[2]}] +#set_property -dict {PACKAGE_PIN M1} [get_ports {ddr3_addr[3]}] +#set_property -dict {PACKAGE_PIN L6} [get_ports {ddr3_addr[4]}] +#set_property -dict {PACKAGE_PIN P1} [get_ports {ddr3_addr[5]}] +#set_property -dict {PACKAGE_PIN N3} [get_ports {ddr3_addr[6]}] +#set_property -dict {PACKAGE_PIN N2} [get_ports {ddr3_addr[7]}] +#set_property -dict {PACKAGE_PIN M6} [get_ports {ddr3_addr[8]}] +#set_property -dict {PACKAGE_PIN R1} [get_ports {ddr3_addr[9]}] +#set_property -dict {PACKAGE_PIN L5} [get_ports {ddr3_addr[10]}] +#set_property -dict {PACKAGE_PIN N5} [get_ports {ddr3_addr[11]}] +#set_property -dict {PACKAGE_PIN N4} [get_ports {ddr3_addr[12]}] +#set_property -dict {PACKAGE_PIN P2} [get_ports {ddr3_addr[13]}] +#set_property -dict {PACKAGE_PIN P6} [get_ports {ddr3_addr[14]}] +#set_property -dict {PACKAGE_PIN L3} [get_ports {ddr3_ba[0]}] +#set_property -dict {PACKAGE_PIN K6} [get_ports {ddr3_ba[1]}] +#set_property -dict {PACKAGE_PIN L4} [get_ports {ddr3_ba[2]}] +#set_property -dict {PACKAGE_PIN K3} [get_ports ddr3_cas_n] +#set_property -dict {PACKAGE_PIN J6} [get_ports ddr3_cke] +#set_property -dict {PACKAGE_PIN P4} [get_ports ddr3_ck_n] +#set_property -dict {PACKAGE_PIN P5} [get_ports ddr3_ck_p] +#set_property -dict {PACKAGE_PIN G3} [get_ports {ddr3_dm[0]}] +#set_property -dict {PACKAGE_PIN F1} [get_ports {ddr3_dm[1]}] +#set_property -dict {PACKAGE_PIN G2} [get_ports {ddr3_dq[0]}] +#set_property -dict {PACKAGE_PIN H4} [get_ports {ddr3_dq[1]}] +#set_property -dict {PACKAGE_PIN H5} [get_ports {ddr3_dq[2]}] +#set_property -dict {PACKAGE_PIN J1} [get_ports {ddr3_dq[3]}] +#set_property -dict {PACKAGE_PIN K1} [get_ports {ddr3_dq[4]}] +#set_property -dict {PACKAGE_PIN H3} [get_ports {ddr3_dq[5]}] +#set_property -dict {PACKAGE_PIN H2} [get_ports {ddr3_dq[6]}] +#set_property -dict {PACKAGE_PIN J5} [get_ports {ddr3_dq[7]}] +#set_property -dict {PACKAGE_PIN E3} [get_ports {ddr3_dq[8]}] +#set_property -dict {PACKAGE_PIN B2} [get_ports {ddr3_dq[9]}] +#set_property -dict {PACKAGE_PIN F3} [get_ports {ddr3_dq[10]}] +#set_property -dict {PACKAGE_PIN D2} [get_ports {ddr3_dq[11]}] +#set_property -dict {PACKAGE_PIN C2} [get_ports {ddr3_dq[12]}] +#set_property -dict {PACKAGE_PIN A1} [get_ports {ddr3_dq[13]}] +#set_property -dict {PACKAGE_PIN E2} [get_ports {ddr3_dq[14]}] +#set_property -dict {PACKAGE_PIN B1} [get_ports {ddr3_dq[15]}] +#set_property -dict {PACKAGE_PIN J2} [get_ports {ddr3_dqs_n[0]}] +#set_property -dict {PACKAGE_PIN K2} [get_ports {ddr3_dqs_p[0]}] +#set_property -dict {PACKAGE_PIN D1} [get_ports {ddr3_dqs_n[1]}] +#set_property -dict {PACKAGE_PIN E1} [get_ports {ddr3_dqs_p[1]}] +#set_property -dict {PACKAGE_PIN K4} [get_ports ddr3_odt] +#set_property -dict {PACKAGE_PIN J4} [get_ports ddr3_ras_n] +#set_property -dict { PACKAGE_PIN G1 } [get_ports { ddr3_reset_n }]; #IO_L5P_T0_AD13P_35 Sch=ddr3_reset +#set_property -dict {PACKAGE_PIN L1} [get_ports ddr3_we_n] + + +##FMC +#set_property -dict { PACKAGE_PIN H19 IOSTANDARD LVCMOS33 } [get_ports { fmc_clk0_m2c_n }]; #IO_L12N_T1_MRCC_15 Sch=fmc_clk0_m2c_n +#set_property -dict { PACKAGE_PIN J19 IOSTANDARD LVCMOS33 } [get_ports { fmc_clk0_m2c_p }]; #IO_L12P_T1_MRCC_15 Sch=fmc_clk0_m2c_p +#set_property -dict { PACKAGE_PIN C19 IOSTANDARD LVCMOS33 } [get_ports { fmc_clk1_m2c_n }]; #IO_L13N_T2_MRCC_16 Sch=fmc_clk1_m2c_n +#set_property -dict { PACKAGE_PIN C18 IOSTANDARD LVCMOS33 } [get_ports { fmc_clk1_m2c_p }]; #IO_L13P_T2_MRCC_16 Sch=fmc_clk1_m2c_p +#set_property -dict { PACKAGE_PIN K19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la00_cc_n }]; #IO_L13N_T2_MRCC_15 Sch=fmc_la00_cc_n +#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la00_cc_p }]; #IO_L13P_T2_MRCC_15 Sch=fmc_la00_cc_p +#set_property -dict { PACKAGE_PIN J21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la01_cc_n }]; #IO_L11N_T1_SRCC_15 Sch=fmc_la01_cc_n +#set_property -dict { PACKAGE_PIN J20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la01_cc_p }]; #IO_L11P_T1_SRCC_15 Sch=fmc_la01_cc_p +#set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[02] }]; #IO_L16N_T2_A27_15 Sch=fmc_la_n[02] +#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[02] }]; #IO_L16P_T2_A28_15 Sch=fmc_la_p[02] +#set_property -dict { PACKAGE_PIN N19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[03] }]; #IO_L17N_T2_A25_15 Sch=fmc_la_n[03] +#set_property -dict { PACKAGE_PIN N18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[03] }]; #IO_L17P_T2_A26_15 Sch=fmc_la_p[03] +#set_property -dict { PACKAGE_PIN M20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[04] }]; #IO_L18N_T2_A23_15 Sch=fmc_la_n[04] +#set_property -dict { PACKAGE_PIN N20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[04] }]; #IO_L18P_T2_A24_15 Sch=fmc_la_p[04] +#set_property -dict { PACKAGE_PIN L21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[05] }]; #IO_L10N_T1_AD11N_15 Sch=fmc_la_n[05] +#set_property -dict { PACKAGE_PIN M21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[05] }]; #IO_L10P_T1_AD11P_15 Sch=fmc_la_p[05] +#set_property -dict { PACKAGE_PIN M22 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[06] }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=fmc_la_n[06] +#set_property -dict { PACKAGE_PIN N22 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[06] }]; #IO_L15P_T2_DQS_15 Sch=fmc_la_p[06] +#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[07] }]; #IO_L20N_T3_A19_15 Sch=fmc_la_n[07] +#set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[07] }]; #IO_L20P_T3_A20_15 Sch=fmc_la_p[07] +#set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[08] }]; #IO_L24N_T3_RS0_15 Sch=fmc_la_n[08] +#set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[08] }]; #IO_L24P_T3_RS1_15 Sch=fmc_la_p[08] +#set_property -dict { PACKAGE_PIN G20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[09] }]; #IO_L8N_T1_AD10N_15 Sch=fmc_la_n[09] +#set_property -dict { PACKAGE_PIN H20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[09] }]; #IO_L8P_T1_AD10P_15 Sch=fmc_la_p[09] +#set_property -dict { PACKAGE_PIN K22 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[10] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=fmc_la_n[10] +#set_property -dict { PACKAGE_PIN K21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[10] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=fmc_la_p[10] +#set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[11] }]; #IO_L22N_T3_A16_15 Sch=fmc_la_n[11] +#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[11] }]; #IO_L22P_T3_A17_15 Sch=fmc_la_p[11] +#set_property -dict { PACKAGE_PIN L20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[12] }]; #IO_L14N_T2_SRCC_15 Sch=fmc_la_n[12] +#set_property -dict { PACKAGE_PIN L19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[12] }]; #IO_L14P_T2_SRCC_15 Sch=fmc_la_p[12] +#set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[13] }]; #IO_L21N_T3_DQS_A18_15 Sch=fmc_la_n[13] +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[13] }]; #IO_L21P_T3_DQS_15 Sch=fmc_la_p[13] +#set_property -dict { PACKAGE_PIN H22 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[14] }]; #IO_L7N_T1_AD2N_15 Sch=fmc_la_n[14] +#set_property -dict { PACKAGE_PIN J22 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[14] }]; #IO_L7P_T1_AD2P_15 Sch=fmc_la_p[14] +#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[15] }]; #IO_L23N_T3_FWE_B_15 Sch=fmc_la_n[15] +#set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[15] }]; #IO_L23P_T3_FOE_B_15 Sch=fmc_la_p[15] +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[16] }]; #IO_L4N_T0_15 Sch=fmc_la_n[16] +#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[16] }]; #IO_L4P_T0_15 Sch=fmc_la_p[16] +#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la17_cc_n }]; #IO_L11N_T1_SRCC_16 Sch=fmc_la17_cc_n +#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la17_cc_p }]; #IO_L11P_T1_SRCC_16 Sch=fmc_la17_cc_p +#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la18_cc_n }]; #IO_L12N_T1_MRCC_16 Sch=fmc_la18_cc_n +#set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la18_cc_p }]; #IO_L12P_T1_MRCC_16 Sch=fmc_la18_cc_p +#set_property -dict { PACKAGE_PIN A19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[19] }]; #IO_L17N_T2_16 Sch=fmc_la_n[19] +#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[19] }]; #IO_L17P_T2_16 Sch=fmc_la_p[19] +#set_property -dict { PACKAGE_PIN F20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[20] }]; #IO_L18N_T2_16 Sch=fmc_la_n[20] +#set_property -dict { PACKAGE_PIN F19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[20] }]; #IO_L18P_T2_16 Sch=fmc_la_p[20] +#set_property -dict { PACKAGE_PIN D19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[21] }]; #IO_L14N_T2_SRCC_16 Sch=fmc_la_n[21] +#set_property -dict { PACKAGE_PIN E19 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[21] }]; #IO_L14P_T2_SRCC_16 Sch=fmc_la_p[21] +#set_property -dict { PACKAGE_PIN D21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[22] }]; #IO_L23N_T3_16 Sch=fmc_la_n[22] +#set_property -dict { PACKAGE_PIN E21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[22] }]; #IO_L23P_T3_16 Sch=fmc_la_p[22] +#set_property -dict { PACKAGE_PIN A21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[23] }]; #IO_L21N_T3_DQS_16 Sch=fmc_la_n[23] +#set_property -dict { PACKAGE_PIN B21 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[23] }]; #IO_L21P_T3_DQS_16 Sch=fmc_la_p[23] +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[24] }]; #IO_L7N_T1_16 Sch=fmc_la_n[24] +#set_property -dict { PACKAGE_PIN B15 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[24] }]; #IO_L7P_T1_16 Sch=fmc_la_p[24] +#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[25] }]; #IO_L2N_T0_16 Sch=fmc_la_n[25] +#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[25] }]; #IO_L2P_T0_16 Sch=fmc_la_p[25] +#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[26] }]; #IO_L15N_T2_DQS_16 Sch=fmc_la_n[26] +#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[26] }]; #IO_L15P_T2_DQS_16 Sch=fmc_la_p[26] +#set_property -dict { PACKAGE_PIN A20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[27] }]; #IO_L16N_T2_16 Sch=fmc_la_n[27] +#set_property -dict { PACKAGE_PIN B20 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[27] }]; #IO_L16P_T2_16 Sch=fmc_la_p[27] +#set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[28] }]; #IO_L8N_T1_16 Sch=fmc_la_n[28] +#set_property -dict { PACKAGE_PIN C13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[28] }]; #IO_L8P_T1_16 Sch=fmc_la_p[28] +#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[29] }]; #IO_L3N_T0_DQS_16 Sch=fmc_la_n[29] +#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[29] }]; #IO_L3P_T0_DQS_16 Sch=fmc_la_p[29] +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[30] }]; #IO_L10N_T1_16 Sch=fmc_la_n[30] +#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[30] }]; #IO_L10P_T1_16 Sch=fmc_la_p[30] +#set_property -dict { PACKAGE_PIN E14 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[31] }]; #IO_L4N_T0_16 Sch=fmc_la_n[31] +#set_property -dict { PACKAGE_PIN E13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[31] }]; #IO_L4P_T0_16 Sch=fmc_la_p[31] +#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[32] }]; #IO_L9N_T1_DQS_16 Sch=fmc_la_n[32] +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[32] }]; #IO_L9P_T1_DQS_16 Sch=fmc_la_p[32] +#set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_n[33] }]; #IO_L1N_T0_16 Sch=fmc_la_n[33] +#set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { fmc_la_p[33] }]; #IO_L1P_T0_16 Sch=fmc_la_p[33] + +#set_property -dict { PACKAGE_PIN D15 } [get_ports { vrefa_m2c }]; #IO_L6N_T0_VREF_16 Sch=vrefa_m2c +#set_property -dict { PACKAGE_PIN K14 } [get_ports { vrefa_m2c }]; #IO_L19N_T3_A21_VREF_15 Sch=vrefa_m2c +#set_property -dict { PACKAGE_PIN H18 } [get_ports { vrefa_m2c }]; #IO_L6N_T0_VREF_15 Sch=vrefa_m2c +#set_property -dict { PACKAGE_PIN C20 } [get_ports { vrefa_m2c }]; #IO_L19N_T3_VREF_16 Sch=vrefa_m2c + +#set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { prsnt_m2c }]; #IO_L22N_T3_A04_D20_14 Sch=prsnt_m2c + + +##??????????? +##set_property PACKAGE_PIN D16 [get_ports {netic20_d16}]; #IO_L5N_T0_16 +##set_property PACKAGE_PIN D20 [get_ports {netic20_d20}]; #IO_L19P_T3_16 +##set_property PACKAGE_PIN E16 [get_ports {netic20_e16}]; #IO_L5P_T0_16 +##set_property PACKAGE_PIN F4 [get_ports {netic20_f4}]; #IO_0_35 +##set_property PACKAGE_PIN T3 [get_ports {netic20_t3}]; #IO_0_34 +##set_property PACKAGE_PIN Y17 [get_ports {netic20_y17}]; #IO_0_13 + +#set_property -dict { PACKAGE_PIN R2 IOSTANDARD LVCMOS33 } [get_ports { pic_ss_b }]; #IO_L3N_T0_DQS_34 Sch=pic_ss_b diff --git a/src/hdl/TWICtl.vhd b/src/hdl/TWICtl.vhd new file mode 100644 index 0000000..e0dad08 --- /dev/null +++ b/src/hdl/TWICtl.vhd @@ -0,0 +1,571 @@ +---------------------------------------------------------------------------------- +-- Company: Digilent Ro +-- Engineer: Elod Gyorgy +-- +-- Create Date: 14:55:31 04/07/2011 +-- Design Name: +-- Module Name: TWIUtils - Package +-- Project Name: TWI Master Controller Reference Design +-- Target Devices: +-- Tool versions: +-- Description: This package provides enumeration types for TWI (Two-Wire +-- Interface) bus status and error conditions. +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +package TWIUtils is + type busState_type is (busUnknown, busBusy, busFree); + type error_type is (errArb, errNAck); +end TWIUtils; + +package body TWIUtils is +end TWIUtils; + +---------------------------------------------------------------------------------- +-- Company: Digilent Ro +-- Engineer: Elod Gyorgy +-- +-- Create Date: 14:55:31 04/07/2011 +-- Design Name: +-- Module Name: TWICtl - Behavioral +-- Project Name: TWI Master Controller Reference Design +-- Target Devices: +-- Tool versions: +-- Description: TWICtl is a reusabled Master Controller implementation of the +-- TWI protocol. It uses 7-bit addressing and was tested in STANDARD I2C mode. +-- FAST mode should also be theoretically possible, although it has not been +-- tested. It adheres to arbitration rules, thus supporting multi-master TWI +-- buses. Slave-wait is also supported. +-- +-- +-- Dependencies: digilent.TWIUtils package - TWICtl.vhd +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.math_real.all; + +library digilent; +--use digilent.TWIUtils.ALL; + +entity TWICtl is +---------------------------------------------------------------------------------- +-- Title : Mode of operation +-- Description: The controller can be instructed to initiate/continue/stop a +-- data transfer using the strobe (STB_I, MSG_I) signals. Data flow management is +-- provided by the done (DONE_O) and error (ERR_O, ERRTYPE_O) signals. Output +-- signals are synchronous to CLK and input signals must also be synchronous to +-- CLK. Signals are active-high. +-- Fast-track instructions (single byte transfer): +-- -put the TWI address on A_I +-- -if data is written put it on D_I +-- -assert STB_I +-- -when DONE_O pulse arrives, read data is present on D_O, if any +-- -repeat, or deassert STB_I +-- Detailed data transfer flow: +-- -when DONE_O is low, the controller is ready to accept commands +-- -data transfer can be initiated by putting a TWI slave address on the A_I +-- bus and providing a strobe (STB_I) +-- -the direction of data transfer (read/write) is determined by the LSB of the +-- address (0-write, 1-read) +-- -in case of a 'write' the data byte should also be present on the D_I bus +-- prior to the arrival of the strobe (STB_I) +-- -once the data byte gets read/written, DONE_I pulses high for one CLK cycle +-- -in case of an error, ERR_O will pulse high together with DONE_I; ERR_O low +-- together with DONE_I high indicates success +-- -after DONE_I pulses high there is a 1/4 TWI period time frame when the next +-- strobe can be sent; this is useful, when multiple bytes are sent/received +-- in a single transfer packet; for ex. for write transfers, a new byte can +-- be put on the D_I and STB_I provided; +-- -if no new strobe is provided, the transfer will end +-- -if a new strobe is provided, but the address changed, the current transfer +-- will end and a new will begin +-- -starting a new transfer can be forced with the MSG_I pin; if asserted with +-- a strobe, the data byte will be written/read in a new packet; the advantage +-- of this is relevant only in multi-master buses: rather than waiting for the +-- current transfer to end and the bus to be released, a new transfer can be +-- initiated without giving up the control over the bus +---------------------------------------------------------------------------------- + generic (CLOCKFREQ : natural := 50); -- input CLK frequency in MHz + port ( + MSG_I : in STD_LOGIC; --new message + STB_I : in STD_LOGIC; --strobe + A_I : in STD_LOGIC_VECTOR (7 downto 0); --address input bus + D_I : in STD_LOGIC_VECTOR (7 downto 0); --data input bus + D_O : out STD_LOGIC_VECTOR (7 downto 0); --data output bus + DONE_O : out STD_LOGIC; --done status signal + ERR_O : out STD_LOGIC; --error status + CLK : in std_logic; + SRST : in std_logic; +---------------------------------------------------------------------------------- +-- TWI bus signals +---------------------------------------------------------------------------------- + SDA : inout std_logic; --TWI SDA + SCL : inout std_logic --TWI SCL + ); +end TWICtl; + +architecture Behavioral of TWICtl is + attribute fsm_encoding: string; + + constant FSCL : natural := 400_000; --in Hz SCL clock frequency + constant TIMEOUT : natural := 10; --in ms TWI timeout for slave wait period + constant TSCL_CYCLES : natural := + natural(ceil(real(CLOCKFREQ*1_000_000/FSCL))); + constant TIMEOUT_CYCLES : natural := + natural(ceil(real(CLOCKFREQ*TIMEOUT*1_000))); + + type state_type is (stIdle, stStart, stRead, stWrite, stError, stStop, + stSAck, stMAck, stMNAckStop, stMNAckStart, stStopError); + type busState_type is (busUnknown, busFree, busBusy); + type error_type is (errNAck, errArb); + signal state, nstate : state_type; + attribute fsm_encoding of state: signal is "gray"; + + signal dSda, ddSda, dScl, ddScl : std_logic; + signal fStart, fStop : std_logic; + signal busState : busState_type := busUnknown; + signal errTypeR, errType : error_type; + signal busFreeCnt, sclCnt : natural range TSCL_CYCLES downto 0 := TSCL_CYCLES; + signal timeOutCnt : natural range TIMEOUT_CYCLES downto 0 := TIMEOUT_CYCLES; + signal slaveWait, arbLost : std_logic; + signal dataByte, loadByte, currAddr : std_logic_vector(7 downto 0); --shift register and parallel load + signal rSda, rScl : std_logic := '1'; + signal subState : std_logic_vector(1 downto 0) := "00"; + signal latchData, latchAddr, iDone, iErr, iSda, iScl, shiftBit, dataBitOut, rwBit, addrNData : std_logic; + signal bitCount : natural range 0 to 7 := 7; + signal int_Rst : std_logic := '0'; +begin + +---------------------------------------------------------------------------------- +--Bus State detection +---------------------------------------------------------------------------------- +SYNC_FFS: process(CLK) + begin + if Rising_Edge(CLK) then + dSda <= SDA; + ddSda <= dSda; + dScl <= SCL; + end if; + end process; + + fStart <= dSCL and not dSda and ddSda; --if SCL high while SDA falling, start condition + fStop <= dSCL and dSda and not ddSda; --if SCL high while SDA rising, stop condition + +TWISTATE: process(CLK) + begin + if Rising_Edge(CLK) then + if (int_Rst = '1') then + busState <= busUnknown; + elsif (fStart = '1') then --If START condition detected, bus is busy + busState <= busBusy; + elsif (busFreeCnt = 0) then --We counted down tBUF, so it must be free + busState <= busFree; + end if; + end if; + end process; + +TBUF_CNT: process(CLK) + begin + if Rising_Edge(CLK) then + if (dSCL = '0' or dSDA = '0' or int_Rst = '1') then + busFreeCnt <= TSCL_CYCLES; + elsif (dSCL = '1' and dSDA = '1') then + busFreeCnt <= busFreeCnt - 1; --counting down 1 SCL period on free bus + end if; + end if; + end process; + +---------------------------------------------------------------------------------- +--Slave devices can insert wait states by keeping SCL low +---------------------------------------------------------------------------------- + slaveWait <= '1' when (dSCL = '0' and rScl = '1') else + '0'; +---------------------------------------------------------------------------------- +--If the SDA line does not correspond to the transmitted data while the SCL line +--is at the HIGH level the master lost an arbitration to another master. +---------------------------------------------------------------------------------- + arbLost <= '1' when (dSCL = '1' and dSDA = '0' and rSda = '1') else + '0'; + +---------------------------------------------------------------------------------- +-- Internal reset signal +---------------------------------------------------------------------------------- + RST_PROC: process (CLK) + begin + if Rising_Edge(CLK) then + if (state = stIdle and SRST = '0') then + int_Rst <= '0'; + elsif (SRST = '1') then + int_Rst <= '1'; + end if; + end if; + end process; + +---------------------------------------------------------------------------------- +-- SCL period counter +---------------------------------------------------------------------------------- +SCL_CNT: process (CLK) + begin + if Rising_Edge(CLK) then + if (sclCnt = 0 or state = stIdle) then + sclCnt <= TSCL_CYCLES/4; + elsif (slaveWait = '0') then -- clock synchronization with other masters + sclCnt <= sclCnt - 1; + end if; + end if; + end process; + +---------------------------------------------------------------------------------- +-- SCL period counter +---------------------------------------------------------------------------------- +TIMEOUT_CNT: process (CLK) + begin + if Rising_Edge(CLK) then + if (timeOutCnt = 0 or slaveWait = '0') then + timeOutCnt <= TIMEOUT_CYCLES; + elsif (slaveWait = '1') then -- count timeout on wait period inserted by slave + timeOutCnt <= timeOutCnt - 1; + end if; + end if; + end process; + +---------------------------------------------------------------------------------- +-- Title: Data byte shift register +-- Description: Stores the byte to be written or the byte read depending on the +-- transfer direction. +---------------------------------------------------------------------------------- +DATABYTE_SHREG: process (CLK) + begin + if Rising_Edge(CLK) then + if ((latchData = '1' or latchAddr = '1') and sclCnt = 0) then + dataByte <= loadByte; --latch address/data + bitCount <= 7; + --set flag so that we now what is the byte we are sending + if (latchData = '1') then + addrNData <= '0'; + else + addrNData <= '1'; + end if; + elsif (shiftBit = '1' and sclCnt = 0) then + dataByte <= dataByte(dataByte'high-1 downto 0) & dSDA; + bitCount <= bitCount - 1; + end if; + end if; + end process; + + loadByte <= A_I when latchAddr = '1' else + D_I; + dataBitOut <= dataByte(dataByte'high); + + D_O <= dataByte; + +---------------------------------------------------------------------------------- +-- Title: Current address register +-- Description: Stores the TWI slave address +---------------------------------------------------------------------------------- +CURRADDR_REG: process (CLK) + begin + if Rising_Edge(CLK) then + if (latchAddr = '1') then + currAddr <= A_I; --latch address/data + end if; + end if; + end process; + + rwBit <= currAddr(0); +---------------------------------------------------------------------------------- +-- Title: Substate counter +-- Description: Divides each state into 4, to respect the setup and hold times of +-- the TWI bus. +---------------------------------------------------------------------------------- +SUBSTATE_CNT: process (CLK) + begin + if Rising_Edge(CLK) then + if (state = stIdle) then + subState <= "00"; + elsif (sclCnt = 0) then + subState <= subState + 1; + end if; + end if; + end process; + +SYNC_PROC: process (CLK) + begin + if Rising_Edge(CLK) then + state <= nstate; + + rSda <= iSda; + rScl <= iScl; + DONE_O <= iDone; + ERR_O <= iErr; + errTypeR <= errType; + end if; + end process; + +OUTPUT_DECODE: process (nstate, subState, state, errTypeR, dataByte(0), + sclCnt, bitCount, rSda, rScl, dataBitOut, arbLost, dSda, addrNData) + begin + iSda <= rSda; --no change by default + iScl <= rScl; + iDone <= '0'; + iErr <= '0'; + errType <= errTypeR; --keep error type + shiftBit <= '0'; + latchAddr <= '0'; + latchData <= '0'; + + if (state = stStart) then + case (subState) is + when "00" => + iSda <= '1'; + --keep SCL + when "01" => + iSda <= '1'; + iScl <= '1'; + when "10" => + iSda <= '0'; + iScl <= '1'; + when "11" => + iSda <= '0'; + iScl <= '0'; + when others => + end case; + end if; + + if (state = stStop or state = stStopError) then + case (subState) is + when "00" => + iSda <= '0'; + --keep SCL + when "01" => + iSda <= '0'; + iScl <= '1'; + when "10" => + iSda <= '1'; + iScl <= '1'; + when others => + end case; + end if; + + if (state = stRead or state = stSAck) then + case (subState) is + when "00" => + iSda <= '1'; --this will be 'Z' on SDA + --keep SCL + when "01" => + --keep SDA + iScl <= '1'; + when "10" => + --keep SDA + iScl <= '1'; + when "11" => + --keep SDA + iScl <= '0'; + when others => + end case; + end if; + + if (state = stWrite) then + case (subState) is + when "00" => + iSda <= dataBitOut; + --keep SCL + when "01" => + --keep SDA + iScl <= '1'; + when "10" => + --keep SDA + iScl <= '1'; + when "11" => + --keep SDA + iScl <= '0'; + when others => + end case; + end if; + + if (state = stMAck) then + case (subState) is + when "00" => + iSda <= '0'; -- acknowledge by writing 0 + --keep SCL + when "01" => + --keep SDA + iScl <= '1'; + when "10" => + --keep SDA + iScl <= '1'; + when "11" => + --keep SDA + iScl <= '0'; + when others => + end case; + end if; + + if (state = stMNAckStop or state = stMNAckStart) then + case (subState) is + when "00" => + iSda <= '1'; -- not acknowledge by writing 1 + --keep SCL + when "01" => + --keep SDA + iScl <= '1'; + when "10" => + --keep SDA + iScl <= '1'; + when "11" => + --keep SDA + iScl <= '0'; + when others => + end case; + end if; + + if (state = stSAck and sclCnt = 0 and subState = "01") then + if (dSda = '1') then + iDone <= '1'; + iErr <= '1'; --not acknowledged + errType <= errNAck; + elsif (addrNData = '0') then + --we are done only when the data is sent too after the address + iDone <= '1'; + end if; + end if; + + if (state = stRead and subState = "01" and sclCnt = 0 and bitCount = 0) then + iDone <= '1'; --read done + end if; + + if (state = stWrite and arbLost = '1') then + iDone <= '1'; --write done + iErr <= '1'; --we lost the arbitration + errType <= errArb; + end if; + + if ((state = stWrite and sclCnt = 0 and subState = "11") or --shift at end of bit + ((state = stSAck or state = stRead) and subState = "01")) then --read in middle of bit + shiftBit <= '1'; + end if; + + if (state = stStart) then + latchAddr <= '1'; + end if; + + if (state = stSAck and subState = "11") then --get the data byte for the next write + latchData <= '1'; + end if; + + end process; + +NEXT_STATE_DECODE: process (state, busState, slaveWait, arbLost, STB_I, MSG_I, +SRST, subState, bitCount, int_Rst, dataByte, A_I, currAddr, rwBit, sclCnt, addrNData) + begin + + nstate <= state; --default is to stay in current state + + case (state) is + when stIdle => + if (STB_I = '1' and busState = busFree and SRST = '0') then + nstate <= stStart; + end if; + + when stStart => + if (subState = "11" and sclCnt = 0) then + nstate <= stWrite; + end if; + + when stWrite => + if (arbLost = '1') then + nstate <= stIdle; + elsif (subState = "11" and sclCnt = 0 and bitCount = 0) then + nstate <= stSAck; + end if; + + when stSAck => + if (subState = "11" and sclCnt = 0) then + if (int_Rst = '1' or dataByte(0) = '1') then + nstate <= stStop; + else + if (addrNData = '1') then --if we have just sent the address, tx/rx the data too + if (rwBit = '1') then + nstate <= stRead; + else + nstate <= stWrite; + end if; + elsif (STB_I = '1') then + if (MSG_I = '1' or currAddr /= A_I) then + nstate <= stStart; + else + if (rwBit = '1') then + nstate <= stRead; + else + nstate <= stWrite; + end if; + end if; + else + nstate <= stStop; + end if; + end if; + end if; + + when stStop => + if (subState = "10" and sclCnt = 0) then + nstate <= stIdle; + end if; + + when stRead => + if (subState = "11" and sclCnt = 0 and bitCount = 7) then --bitCount will underflow + if (int_Rst = '0' and STB_I = '1') then + if (MSG_I = '1' or currAddr /= A_I) then + nstate <= stMNAckStart; + else + nstate <= stMAck; + end if; + else + nstate <= stMNAckStop; + end if; + end if; + + when stMAck => + if (subState = "11" and sclCnt = 0) then + nstate <= stRead; + end if; + + when stMNAckStart => + if (arbLost = '1') then + nstate <= stIdle; -- arbitration lost, back off, no error because we got all the data + elsif (subState = "11" and sclCnt = 0) then + nstate <= stStart; + end if; + + when stMNAckStop => + if (arbLost = '1') then + nstate <= stIdle; -- arbitration lost, back off, no error because we got all the data + elsif (subState = "11" and sclCnt = 0) then + nstate <= stStop; + end if; + + when others => + nstate <= stIdle; + end case; + end process; + +---------------------------------------------------------------------------------- +-- Open-drain outputs for bi-directional SDA and SCL +---------------------------------------------------------------------------------- + SDA <= 'Z' when rSDA = '1' else + '0'; + SCL <= 'Z' when rSCL = '1' else + '0'; + +end Behavioral; \ No newline at end of file diff --git a/src/hdl/audioProc.v b/src/hdl/audioProc.v new file mode 100644 index 0000000..cae83df --- /dev/null +++ b/src/hdl/audioProc.v @@ -0,0 +1,231 @@ +// -*- Mode: Verilog -*- +// Filename : audioProc.v +// Description : Audio processing project for IMTA A1S2 Labs in digital electronics, based on looper project by Digilent Inc. +// Author : Matthieu Arzel +// Created On : Fri Feb 8 11:16:35 2019 +// Last Modified By: Matthieu Arzel +// Last Modified On: Fri Feb 8 11:16:35 2019 +// Update Count : 0 +// Status : Unknown, Use with caution! + +`timescale 1ns / 1ps + +module audioProc( + + + input BTNL, + input BTNR, + input BTND, + input BTNC, + input BTNU, + // input JA1, + // input JA2, + // input JA3, + // input JA4, + + input CLK100MHZ, + input rstn, + input sw, + //input [3:0]sw, + input sw3, + input sw4, + input sw5, + input sw6, + input sw7, + output led3, + output led4, + output led5, + output led6, + output led7, + + inout scl, + inout sda, + + output ac_mclk, + input ac_adc_sdata, + output ac_dac_sdata, + output ac_bclk, + output ac_lrclk + + ); + + wire rst; + assign rst = ~rstn; + wire clk50; + parameter tenhz = 10000000; + + + + wire [4:0] buttons_i; + assign buttons_i = {BTNU, BTNR, BTNC, BTND, BTNL}; + + reg [21:0] max_block=0; + + wire set_max; + wire reset_max; + + + wire [4:0] buttons_db;//Debounced buttons + + wire data_flag; + reg [23:0] sound_dataL; + reg [23:0] sound_dataR; + wire data_ready; + + wire mix_data; + wire [21:0] block48KHz; + + wire clk_out_100MHZ; + wire clk_out_200MHZ; + + + ////////////////////////////////////////////////////////////////////////////////////////////////////////// + //// clk_wiz instantiation and wiring + ////////////////////////////////////////////////////////////////////////////////////////////////////////// + clk_wiz_0 clk_1 + ( + // Clock in ports + .clk_in1(CLK100MHZ), + // Clock out ports + .clk_out1(clk_out_100MHZ), + .clk_out2(clk_out_200MHZ), + .clk_out3(ac_mclk), + .clk_out4(clk50), + // Status and control signals + .locked() + ); + + ////////////////////////////////////////////////////////////////////////////////////////////////////////// + //// Audio Initialization via TWI + ////////////////////////////////////////////////////////////////////////////////////////////////////////// + + audio_init initialize_audio + ( + .clk(clk50), + .rst(rst), + .sda(sda), + .scl(scl) + ); + + + wire [23:0] mixL; + wire [23:0] mixR; + + + debounce dbuttons( + .clock(clk_out_100MHZ), + .reset(rst), + .button(buttons_i), + .out(buttons_db) + ); + + + + + + + //////////////////////////////////////////////////////////////////////////////////////////////////////// + // Audio input and output + //////////////////////////////////////////////////////////////////////////////////////////////////////// + + wire [23:0] in_audioL; + wire [23:0] in_audioR; + wire [23:0] out_audioL; + wire [23:0] out_audioR; + + i2s_ctl audio_inout( + .CLK_I(clk_out_100MHZ), //Sys clk + .RST_I(rst), //Sys rst + .EN_TX_I(1), // Transmit Enable (push sound data into chip) + .EN_RX_I(1), //Receive enable (pull sound data out of chip) + .FS_I(4'b0101), //Sampling rate selector + .MM_I(0), //Audio controller Master mode select + .D_L_I(mixL), //Left channel data input from mix (mixed audio output) + .D_R_I(mixR), //Right channel data input from mix + .D_L_O(in_audioL), // Left channel data (input from mic input) + .D_R_O(in_audioR), // Right channel data (input from mic input) + .BCLK_O(ac_bclk), // serial CLK + .LRCLK_O(ac_lrclk), // channel CLK + .SDATA_O(ac_dac_sdata), // Output serial data + .SDATA_I(ac_adc_sdata) // Input serial data + ); + + reg lrclkD1=0; + reg lrclkD2=0; + + always@(posedge(clk_out_100MHZ))begin + lrclkD1<=ac_lrclk; + lrclkD2<=lrclkD1; + end + + reg pulse48kHz; + wire lrclkrise; + assign lrclkrise = lrclkD1 & ~lrclkD2; + reg[3:0] lrclkcnt=0; + + always@(posedge(clk_out_100MHZ))begin + if (lrclkcnt==15)begin + pulse48kHz<=1; + lrclkcnt<=0; + end + else + pulse48kHz<=0; + if (lrclkrise)lrclkcnt<=lrclkcnt+1; + end + ////////////////////////////// + //FIR filter + // Marz + ///////////////////////////// + wire [23:0] inputLeftSample, inputRightSample,outputLeftSample,outputRightSample; + wire [4:0] configSw; + + assign inputLeftSample = in_audioL; + assign inputRightSample = in_audioR; + assign configSw[0]=sw3; + assign configSw[1]=sw4; + assign configSw[2]=sw5; + assign configSw[3]=sw6; + assign configSw[4]=sw7; + assign led3=sw3; + assign led4=sw4; + assign led5=sw5; + assign led6=sw6; + assign led7=sw7; + + fir #(24,16) leftFir + ( + inputLeftSample, + outputLeftSample, + configSw,//config_sw, // : in std_logic_vector(3 downto 0); --inutilise dans le TP majeure + clk_out_100MHZ, // : in std_logic; + rst,// : in std_logic; + pulse48kHz// : in std_logic; -- signal de validation de din a la frequence des echantillons audio + ); + fir #(24,16) rightFir + ( + inputRightSample, + outputRightSample, + configSw,//config_sw, // : in std_logic_vector(3 downto 0); --inutilise dans le TP majeure + clk_out_100MHZ, // : in std_logic; + rst,// : in std_logic; + pulse48kHz// : in std_logic; -- signal de validation de din a la frequence des echantillons audio + ); + + assign mixL = buttons_db[2] ? in_audioL : outputLeftSample; + assign mixR = buttons_db[2] ? in_audioR : outputRightSample; + + + //////////////////////////////////////////////////////////////////////////////////////////////////////// + //// Data in latch + //////////////////////////////////////////////////////////////////////////////////////////////////////// + + //Latch audio data input when data_flag goes high + always@(posedge(clk_out_100MHZ))begin + if (data_flag==1)begin + sound_dataL<=in_audioL; + sound_dataR<=in_audioR; + end + end + + +endmodule diff --git a/src/hdl/audio_init.v b/src/hdl/audio_init.v new file mode 100644 index 0000000..0f33184 --- /dev/null +++ b/src/hdl/audio_init.v @@ -0,0 +1,246 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 07/08/2015 06:07:53 PM +// Design Name: +// Module Name: audio_init +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + + +module audio_init( + input clk, + input rst, + inout sda, + inout scl + ); + parameter stRegAddr1 = 4'b0000; + parameter stRegAddr2 = 4'b0001; + parameter stData1 = 4'b0010; + parameter stData2 = 4'b0011; + parameter stError = 4'b0100; + parameter stDone = 4'b0101; + parameter stIdle = 4'b0110; + parameter stDelay = 4'b0111; + parameter stPLLsecond = 4'b1111; + + parameter INIT_VECTORS = 35; + parameter IRD = 1'b1;//init read + parameter IWR = 1'b0;//init write + parameter delay = 1000*24; + + reg [3:0] state=stIdle;//State machine + reg [32:0] initWord; + reg initFbWe; + reg initEn; + reg [6:0]initA=0; + always @(posedge(clk))begin + case (initA) + 0: initWord <= {IWR,31'h40150100}; + 1: initWord <= {IWR,31'h40160000}; + 2: initWord <= {IWR,31'h40170000}; + 3: initWord <= {IWR,31'h40F80000}; + 4: initWord <= {IWR,31'h40191300}; + 5: initWord <= {IWR,31'h402A0300}; + 6: initWord <= {IWR,31'h40290300}; + 7: initWord <= {IWR,31'h40F20100}; + 8: initWord <= {IWR,31'h40F97F00}; + 9: initWord <= {IWR,31'h40FA0300}; + + 10: initWord <= {IWR,31'h40200300}; + 11: initWord <= {IWR,31'h40220100}; + 12: initWord <= {IWR,31'h40210900}; + 13: initWord <= {IWR,31'h4025E600}; + 14: initWord <= {IWR,31'h4026E600}; + 15: initWord <= {IWR,31'h40270300}; + 16: initWord <= {IWR,31'h40100100}; + 17: initWord <= {IWR,31'h40280000}; + 18: initWord <= {IWR,31'h4023E600}; + 19: initWord <= {IWR,31'h4024E600}; + + 20: initWord <= {IWR,31'h400A0100}; + 21: initWord <= {IWR,31'h400B0500}; + 22: initWord <= {IWR,31'h400C0100}; + 23: initWord <= {IWR,31'h400D0500}; + 24: initWord <= {IWR,31'h400E0300}; + 25: initWord <= {IWR,31'h400F0300}; + 26: initWord <= {IWR,31'h401C2100}; + 27: initWord <= {IWR,31'h401D0000}; + 28: initWord <= {IWR,31'h401E4100}; + 29: initWord <= {IWR,31'h401F0000}; + 30: initWord <= {IWR,31'h40F30100}; + 31: initWord <= {IWR,31'h40F40000}; + 32: initWord <= {IWR,31'h40000F00}; + 33: initWord <= {IWR,31'h4002007D};//This sends the address of the PLL reg and the first config bits + 34: initWord <= {IWR,31'h000C2101}; //These are the config bytes for the PLL reg + endcase + end + reg msg;//New message signal + reg stb;//Strobe signal + reg [7:0] data_i;//Data into TWI controller + wire [7:0] data_o;//Data out of TWI controller + wire done; + wire error; + wire errortype; + wire [7:0] twiAddr;//Address of device on TWI + reg [7:0] regData1; + + reg delayEn=0; + integer delaycnt; + + + assign twiAddr[7:1] = 7'b0111011; + + assign twiAddr[0] = 0; + + TWICtl twi_controller( + .MSG_I(msg), + .STB_I(stb), + .A_I(twiAddr), + .D_I(data_i), + .D_O(data_o), + .DONE_O(done), + .ERR_O(error), + .CLK(clk), + .SRST(rst), + .SDA(sda), + .SCL(scl) + ); + + + +always @(posedge(clk))begin + if (delayEn==1) + delaycnt<=delaycnt-1; + else + delaycnt<=delay; +end + + +always @(posedge(clk))begin + if (state == stData1 && done == 1 && error != 1) + regData1 <= data_o; +end + + +always @(posedge(clk))begin + if (rst==1)begin + state<= stIdle; + delayEn <= 0; + initA <=0; + end + else begin + data_i <= "--------"; + stb <= 0; + msg <= 0; + + initFbWe <= 0; + case (state) + stRegAddr1: begin// Sends x40 + if (done == 1)begin + if (error == 1) + state <= stError; + else + state <= stRegAddr2; + end + data_i <= initWord[31:24]; + stb <= 1; + msg <= 1; + end + stRegAddr2: begin //Sends register address x40(XX) + if (done == 1)begin + if (error == 1) + state <= stError; + else + state <= stData1; + end + data_i <= initWord[23:16]; + stb <= 1; + end + stData1: begin + if (done == 1) begin + if (error == 1) + state <= stError; + else begin + if (initWord[7:0]!=0)//If there is another byte, send it + state <= stData2; + else begin//no more bytes to send + initEn <= 1; + + if (initA == INIT_VECTORS-1)//Done with all instructions + state <= stDone; + else //Only 3 bytes to send + state <= stDelay; + end + end + end + if (initWord[32] == 1) msg <= 1; + data_i <= initWord[15:8]; + stb <= 1; + end + stData2: begin + if (done == 1)begin + if (error == 1) + state <= stError; + else begin + initEn<=1; + if (initWord[32] == 1) initFbWe <= 1; + if (initWord[23:16]== 8'h02)begin//If its the PLL register + initA<=initA+1;//Move initWord to the remaining PLL config bits + state <= stPLLsecond;//And send them + end + else if (initA == INIT_VECTORS-1) + state <= stDone; + else + state <= stDelay; + end + end + data_i <= initWord[7:0]; + stb <= 1; + end + stPLLsecond:begin + if (done == 1)begin + if (error == 1) + state <= stError; + else + state <= stRegAddr2; + end + data_i <= initWord[31:24]; + stb <= 1; + end + stError: begin + state <= stRegAddr1; + end + stDone: begin + end + stIdle:begin + state <= stRegAddr1; + end + stDelay:begin + delayEn <= 1; + if (delaycnt==0)begin + delayEn<=0; + if (initEn)begin + initA<=initA+1; + initEn <= 0; + end + state<=stRegAddr1; + end + end + endcase + end +end +endmodule diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd new file mode 100644 index 0000000..0c18c92 --- /dev/null +++ b/src/hdl/controlUnit.vhd @@ -0,0 +1,181 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity controlUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSampleValid : in std_logic; + I_processingDone : in std_logic; + O_loadShift : out std_logic; + O_initAddress : out std_logic; + O_incrAddress : out std_logic; + O_initSum : out std_logic; + O_loadSum : out std_logic; + O_loadY : out std_logic; + O_FilteredSampleValid : out std_logic; + O_filterStage : out integer range 0 to 2 -- 🚀 Adicionado para indicar o estágio do filtro + ); +end entity controlUnit; + +architecture archi_operativeUnit of controlUnit is + + type T_state is (WAIT_SAMPLE, STORE, PROCESSING_LOOP, OUTPUT, WAIT_END_SAMPLE, NEXT_FILTER, FINAL_OUTPUT); + signal SR_presentState : T_state; + signal SR_futurState : T_state; + + -- Controle do estágio do filtro + signal SR_filterStage : integer range 0 to 2 := 0; + +begin + + -- Processo para calcular o estado futuro + process (SR_presentState, I_inputSampleValid, I_processingDone) is + begin + case SR_presentState is + when WAIT_SAMPLE => + if I_inputSampleValid = '1' then + SR_futurState <= STORE; + else + SR_futurState <= WAIT_SAMPLE; + end if; + + when STORE => + SR_futurState <= PROCESSING_LOOP; + + when PROCESSING_LOOP => + if I_processingDone = '1' then + SR_futurState <= OUTPUT; + else + SR_futurState <= PROCESSING_LOOP; + end if; + + when OUTPUT => + if SR_filterStage < 2 then + SR_futurState <= NEXT_FILTER; + else + SR_futurState <= FINAL_OUTPUT; -- ✅ Após o último filtro, vai para FINAL_OUTPUT + end if; + + when NEXT_FILTER => + SR_futurState <= STORE; -- ✅ Processa o próximo filtro e volta ao PROCESSING_LOOP + + when FINAL_OUTPUT => + SR_futurState <= WAIT_END_SAMPLE; -- ✅ Estado final antes de esperar um novo input + + when WAIT_END_SAMPLE => + if I_inputSampleValid = '1' then + SR_futurState <= STORE; -- ✅ Só recomeça se um novo input chegar + else + SR_futurState <= WAIT_END_SAMPLE; -- ✅ Mantém a FSM parada se não houver novo input + end if; + + when others => + SR_futurState <= WAIT_SAMPLE; + end case; + end process; + + + + -- Processo para atualizar o estado presente e o estágio do filtro + process (I_clock, I_reset) is + begin + if I_reset = '1' then + SR_presentState <= WAIT_SAMPLE; + SR_filterStage <= 0; -- Reinicia o controle dos filtros + elsif rising_edge(I_clock) then + SR_presentState <= SR_futurState; + + if SR_presentState = OUTPUT and I_processingDone = '1' then + if SR_filterStage < 2 then + SR_filterStage <= SR_filterStage + 1; -- 🚀 Avança para o próximo filtro + end if; + elsif SR_presentState = FINAL_OUTPUT then + SR_filterStage <= 0; -- 🚀 Após os 3 filtros, reinicia para o primeiro + end if; + + end if; + end process; + + -- Processo para gerar os sinais de controle + process (SR_presentState) is + begin + case SR_presentState is + when WAIT_SAMPLE => + O_loadShift <= '0'; + O_initAddress <= '0'; + O_incrAddress <= '0'; + O_initSum <= '0'; + O_loadSum <= '0'; + O_loadY <= '0'; + O_FilteredSampleValid <= '0'; + + when STORE => + O_loadShift <= '1'; + O_initAddress <= '1'; + O_incrAddress <= '0'; + O_initSum <= '1'; + O_loadSum <= '0'; + O_loadY <= '0'; + O_FilteredSampleValid <= '0'; + + when PROCESSING_LOOP => + O_loadShift <= '0'; + O_initAddress <= '0'; + O_incrAddress <= '1'; + O_initSum <= '0'; + O_loadSum <= '1'; + O_loadY <= '0'; + O_FilteredSampleValid <= '0'; + + when OUTPUT => + O_loadShift <= '0'; + O_initAddress <= '1'; + O_incrAddress <= '0'; + O_initSum <= '1'; + O_loadSum <= '1'; + O_loadY <= '1'; + O_FilteredSampleValid <= '0'; + + when NEXT_FILTER => + O_loadShift <= '0'; + O_initAddress <= '1'; + O_incrAddress <= '0'; + O_initSum <= '1'; + O_loadSum <= '0'; + O_loadY <= '0'; + O_FilteredSampleValid <= '0'; + + when FINAL_OUTPUT => + O_loadShift <= '0'; + O_initAddress <= '0'; + O_incrAddress <= '0'; + O_initSum <= '0'; + O_loadSum <= '0'; + O_loadY <= '1'; + O_FilteredSampleValid <= '0'; + + when WAIT_END_SAMPLE => + O_loadShift <= '0'; + O_initAddress <= '0'; + O_incrAddress <= '0'; + O_initSum <= '0'; + O_loadSum <= '0'; + O_loadY <= '0'; + O_FilteredSampleValid <= '1'; + + when others => + O_loadShift <= '0'; + O_initAddress <= '0'; + O_incrAddress <= '0'; + O_initSum <= '0'; + O_loadSum <= '0'; + O_loadY <= '0'; + O_FilteredSampleValid <= '0'; + end case; + end process; + + O_filterStage <= SR_filterStage; + +end architecture archi_operativeUnit; diff --git a/src/hdl/debounce.v b/src/hdl/debounce.v new file mode 100644 index 0000000..033a4fb --- /dev/null +++ b/src/hdl/debounce.v @@ -0,0 +1,108 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 05/13/2015 09:14:14 PM +// Design Name: +// Module Name: debounce +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module debounce( + input clock,//100MHz clock + input reset, + input [4:0] button,//Buttons to debounce + output reg [4:0]out +); + +reg [12:0] cnt0=0, cnt1=0, cnt2=0, cnt3=0, cnt4; +reg [4:0] IV = 0; + +//parameter dbTime = 19; +parameter dbTime = 4000; + +always @ (posedge(clock))begin + if(reset==1)begin + cnt0<=0; + cnt1<=0; + cnt2<=0; + cnt3<=0; + cnt4<=0; + out<=0; + end + else begin + if(button[0]==IV[0]) begin + if (cnt0==dbTime) begin + out[0]<=IV[0]; + end + else begin + cnt0<=cnt0+1; + end + end + else begin + cnt0<=0; + IV[0]<=button[0]; + end + if(button[1]==IV[1]) begin + if (cnt1==dbTime) begin + out[1]<=IV[1]; + end + else begin + cnt1<=cnt1+1; + end + end + else begin + cnt1<=0; + IV[1]<=button[1]; + end + if(button[2]==IV[2]) begin + if (cnt2==dbTime) begin + out[2]<=IV[2]; + end + else begin + cnt2<=cnt2+1; + end + end + else begin + cnt2<=0; + IV[2]<=button[2]; + end + if(button[3]==IV[3]) begin + if (cnt3==dbTime) begin + out[3]<=IV[3]; + end + else begin + cnt3<=cnt3+1; + end + end + else begin + cnt3<=0; + IV[3]<=button[3]; + end + if(button[4]==IV[4]) begin + if (cnt4==dbTime) begin + out[4]<=IV[4]; + end + else begin + cnt4<=cnt4+1; + end + end + else begin + cnt4<=0; + IV[4]<=button[4]; + end + end +end +endmodule \ No newline at end of file diff --git a/src/hdl/fir.vhd b/src/hdl/fir.vhd new file mode 100644 index 0000000..785d6e4 --- /dev/null +++ b/src/hdl/fir.vhd @@ -0,0 +1,93 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity fir is + + generic ( + dwidth : natural := 18; + ntaps : natural := 15); + + port ( + din : in std_logic_vector(dwidth-1 downto 0); + dout : out std_logic_vector(dwidth-1 downto 0); + config_sw : in std_logic_vector(4 downto 0); --inutilise dans le TP majeure + clk : in std_logic; + rst : in std_logic; + ce : in std_logic; -- signal de validation de din a la frequence des echantillons audio + dbg_output_0 : out std_logic_vector(7 downto 0); --inutilise dans le TP majeure + dbg_output_1 : out std_logic_vector(7 downto 0); --inutilise dans le TP majeure + dbg_output_2 : out std_logic; --inutilise dans le TP majeure + dbg_output_3 : out std_logic; --inutilise dans le TP majeure + dbg_output_4 : out std_logic --inutilise dans le TP majeure +-- dout_valid : out std_logic + ); + +end fir; + +architecture myarch of fir is + + component firUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSample : in std_logic_vector(7 downto 0); + I_inputSampleValid : in std_logic; + O_filteredSample : out std_logic_vector(7 downto 0); + O_filteredSampleValid : out std_logic); + end component firUnit; + + + signal D_in, D_out : std_logic_vector(7 downto 0); + +begin -- myarch + +-- Quantization on 8 bits or less + +-- When config_sw(3)='1', rounding is made by finding the nearest value else rounding is made by truncating. + prc : process (config_sw(3 downto 0), din) is + begin -- process prc + case to_integer(unsigned(config_sw(3 downto 0))) is + when 0 => D_in <= din(dwidth-1 downto dwidth -8); + when 1 => D_in <= din(dwidth-1 downto dwidth -7)&'0'; + when 2 => D_in <= din(dwidth-1 downto dwidth -6)&"00"; + when 3 => D_in <= din(dwidth-1 downto dwidth -5)&"000"; + when 4 => D_in <= din(dwidth-1 downto dwidth -4)&"0000"; + when 5 => D_in <= din(dwidth-1 downto dwidth -3)&"00000"; + when 6 => D_in <= din(dwidth-1 downto dwidth -2)&"000000"; + when 7 => D_in <= din(dwidth-1)&"0000000"; + when 8 => if din(dwidth-8) = '0' then D_in <= din(dwidth-1 downto dwidth -8);else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -8))+1); end if; + when 9 => if din(dwidth-8) = '0' then D_in <= din(dwidth-1 downto dwidth -7)&'0'; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -7))+1)&'0'; end if; + when 10 => if din(dwidth-7) = '0' then D_in <= din(dwidth-1 downto dwidth -6)&"00"; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -6))+1)&"00"; end if; + when 11 => if din(dwidth-6) = '0' then D_in <= din(dwidth-1 downto dwidth -5)&"000"; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -5))+1)&"000"; end if; + when 12 => if din(dwidth-5) = '0' then D_in <= din(dwidth-1 downto dwidth -4)&"0000"; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -4))+1)&"0000"; end if; + when 13 => if din(dwidth-4) = '0' then D_in <= din(dwidth-1 downto dwidth -3)&"00000"; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -3))+1)&"00000"; end if; + when 14 => if din(dwidth-3) = '0' then D_in <= din(dwidth-1 downto dwidth -2)&"000000"; else D_in <=std_logic_vector(signed(din(dwidth-1 downto dwidth -2))+1)&"000000"; end if; + when 15 => D_in <= din(dwidth-1)&"0000000"; + when others => D_in <= (others => '0'); + end case; + end process prc; + +--FIR over 8 bits + + firUnit_1 : entity work.firUnit + port map ( + I_clock => clk, + I_reset => rst, + I_inputSample => D_in, + I_inputSampleValid => ce, + O_filteredSample => D_out, + O_filteredSampleValid => open); + + +-- End of FIR + + + dout(dwidth-1 downto dwidth -8) <= D_out when config_sw(4) = '1' else D_in; + dout(dwidth-9 downto 0) <= (others => '0'); + + + + + +end myarch; diff --git a/src/hdl/firUnit.vhd b/src/hdl/firUnit.vhd new file mode 100644 index 0000000..7758c51 --- /dev/null +++ b/src/hdl/firUnit.vhd @@ -0,0 +1,114 @@ +------------------------------------------------------------------------------- +-- Title : firUnit +-- Project : +------------------------------------------------------------------------------- +-- File : firUnit.vhd +-- Author : Jean-Noel BAZIN <jnbazin@pc-disi-026.enst-bretagne.fr> +-- Company : +-- Created : 2018-04-11 +-- Last update: 2025-03-08 (modificado para suportar 3 filtros FIR) +-- Platform : +-- Standard : VHDL'93/02 +------------------------------------------------------------------------------- +-- Description: 8-bit FIR filter with multiple stages +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity firUnit is + + port ( + I_clock : in std_logic; -- global clock + I_reset : in std_logic; -- asynchronous global reset + I_inputSample : in std_logic_vector(7 downto 0); -- 8-bit input sample + I_inputSampleValid : in std_logic; + O_filteredSample : out std_logic_vector(7 downto 0); -- filtered sample + O_filteredSampleValid : out std_logic + ); + +end entity firUnit; + +architecture archi_firUnit of firUnit is + + component controlUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSampleValid : in std_logic; + I_processingDone : in std_logic; + O_loadShift : out std_logic; + O_initAddress : out std_logic; + O_incrAddress : out std_logic; + O_initSum : out std_logic; + O_loadSum : out std_logic; + O_loadY : out std_logic; + O_FilteredSampleValid : out std_logic; + O_filterStage : out integer range 0 to 2 -- 🚀 Adicionado para indicar o estágio do filtro + ); + end component controlUnit; + + component operativeUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSample : in std_logic_vector(7 downto 0); + I_loadShift : in std_logic; + I_initAddress : in std_logic; + I_incrAddress : in std_logic; + I_initSum : in std_logic; + I_loadSum : in std_logic; + I_loadY : in std_logic; + I_filterStage : in integer range 0 to 2; -- 🚀 Adicionado para controlar qual filtro está ativo + O_processingDone : out std_logic; + O_Y : out std_logic_vector(7 downto 0) + ); + end component operativeUnit; + + signal SC_processingDone : std_logic; + signal SC_loadShift : std_logic; + signal SC_initAddress : std_logic; + signal SC_incrAddress : std_logic; + signal SC_initSum : std_logic; + signal SC_loadSum : std_logic; + signal SC_loadY : std_logic; + signal SC_filterStage : integer range 0 to 2; -- 🚀 Novo sinal para armazenar o estágio do filtro + +begin + + -- Instância da unidade de controle + controlUnit_1 : entity work.controlUnit + port map ( + I_clock => I_clock, + I_reset => I_reset, + I_inputSampleValid => I_inputSampleValid, + I_processingDone => SC_processingDone, + O_loadShift => SC_loadShift, + O_initAddress => SC_initAddress, + O_incrAddress => SC_incrAddress, + O_initSum => SC_initSum, + O_loadSum => SC_loadSum, + O_loadY => SC_loadY, + O_FilteredSampleValid => O_filteredSampleValid, + O_filterStage => SC_filterStage -- 🚀 Agora a unidade de controle define o estágio do filtro + ); + + -- Instância da unidade operativa + operativeUnit_1 : entity work.operativeUnit + port map ( + I_clock => I_clock, + I_reset => I_reset, + I_inputSample => I_inputSample, + I_loadShift => SC_loadShift, + I_initAddress => SC_initAddress, + I_incrAddress => SC_incrAddress, + I_initSum => SC_initSum, + I_loadSum => SC_loadSum, + I_loadY => SC_loadY, + I_filterStage => SC_filterStage, -- 🚀 Agora a unidade operativa recebe o estágio do filtro + O_processingDone => SC_processingDone, + O_Y => O_filteredSample + ); + +end architecture archi_firUnit; diff --git a/src/hdl/i2s_ctl.vhd b/src/hdl/i2s_ctl.vhd new file mode 100644 index 0000000..1b608ad --- /dev/null +++ b/src/hdl/i2s_ctl.vhd @@ -0,0 +1,296 @@ +------------------------------------------------------------------------------- +-- +-- COPYRIGHT (C) 2012, Digilent RO. All rights reserved +-- +------------------------------------------------------------------------------- +-- FILE NAME : i2s_ctl.vhd +-- MODULE NAME : I2S Control +-- AUTHOR : Mihaita Nagy +-- AUTHOR'S EMAIL : mihaita.nagy@digilent.ro +------------------------------------------------------------------------------- +-- REVISION HISTORY +-- VERSION DATE AUTHOR DESCRIPTION +-- 1.0 2012-25-01 Mihaita Nagy Created +-- 2.0 2012-02-04 Mihaita Nagy Remade the i2s_transmitter.vhd and +-- i2s_receiver.vhd into one new module. +-- 3.0 2014-12-02 HegbeliC Implemented edge detection for the +-- master mode and the division rate +-- for the different sampling rates +------------------------------------------------------------------------------- +-- KEYWORDS : I2S +------------------------------------------------------------------------------- +-- DESCRIPTION : This module implements the I2S transmitter and receiver +-- interface, with a 32-bit Stereo data transmission. Parameter +-- C_DATA_WIDTH sets the width of the data to be transmitted, +-- with a maximum value of 32 bits. If a smaller width size is +-- used (i.e. 24) than the remaining bits that needs to be +-- transmitted to complete the 32-bit length, are automaticaly +-- set to 0. +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +------------------------------------------------------------------------ +-- Module Declaration +------------------------------------------------------------------------ +entity i2s_ctl is + generic ( + -- Width of one Slot (24/20/18/16-bit wide) + C_DATA_WIDTH: integer := 24 + ); + port ( + CLK_I : in std_logic; -- System clock (100 MHz) + RST_I : in std_logic; -- System reset + EN_TX_I : in std_logic; -- Transmit enable + EN_RX_I : in std_logic; -- Receive enable + FS_I : in std_logic_vector(3 downto 0); -- Sampling rate slector + MM_I : in std_logic; -- Audio controler Master Mode delcetor + D_L_I : in std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Left channel data + D_R_I : in std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Right channel data +-- OE_L_O : out std_logic; -- Left channel data output enable pulse +-- OE_R_O : out std_logic; -- Right channel data output enable pulse +-- WE_L_O : out std_logic; -- Left channel data write enable pulse +-- WE_R_O : out std_logic; -- Right channel data write enable pulse + D_L_O : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Left channel data + D_R_O : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Right channel data + BCLK_O : out std_logic; -- serial CLK + LRCLK_O : out std_logic; -- channel CLK + SDATA_O : out std_logic; -- Output serial data + SDATA_I : in std_logic -- Input serial data + ); +end i2s_ctl; + +architecture Behavioral of i2s_ctl is + +------------------------------------------------------------------------ +-- Signal Declarations +------------------------------------------------------------------------ +-- Counter for the clock divider +signal Cnt_Bclk : integer range 0 to 31; + +-- Counter for the L/R clock divider +signal Cnt_Lrclk : integer range 0 to 31; + +-- Rising and Falling edge impulses of the serial clock +signal BCLK_Fall, BCLK_Rise : std_logic; +signal BCLK_Fall_int, BCLK_Rise_int : std_logic; +--signal BCLK_Fall_shot, BCLK_Rise_shot : std_logic; + +-- Synchronisation signals for Rising and Falling edge +signal Q1R, Q2R, Q3R : std_logic; +signal Q1F, Q2F, Q3F : std_logic; + +-- Internal synchronous BCLK signal +signal BCLK_int : std_logic; + +-- Internal synchronous LRCLK signal +signal LRCLK_int : std_logic; +signal LRCLK : std_logic; + +-- +signal Data_Out_int : std_logic_vector(31 downto 0); + +-- +signal Data_In_int : std_logic_vector(31 downto 0); + +-- +signal D_L_O_int : std_logic_vector(C_DATA_WIDTH-1 downto 0); + +-- +signal D_R_O_int : std_logic_vector(C_DATA_WIDTH-1 downto 0); + +--Internal synchronous OE signals +signal OE_R_int, OE_L_int : std_logic; + +--Internal synchronous WE signals +signal WE_R_int, WE_L_int : std_logic; + +-- Division rate for the BCLK and LRCLK +signal DIV_RATE : natural := 4; + +------------------------------------------------------------------------ +-- Module Implementation +------------------------------------------------------------------------ + +begin + +------------------------------------------------------------------------ +-- Sampling frequency and data width decoder (DIV_RATE, C_DATA_WIDTH) +------------------------------------------------------------------------ + + BIT_FS: process(CLK_I) + begin + if rising_edge(CLK_I) then + case (FS_I) is + when x"0" => DIV_RATE <= 24; + when x"1" => DIV_RATE <= 16; + when x"2" => DIV_RATE <= 12; + when x"3" => DIV_RATE <= 8; + when x"4" => DIV_RATE <= 6; + when x"5" => DIV_RATE <= 4; + when x"6" => DIV_RATE <= 2; + when others => DIV_RATE <= 4; + end case; + end if; + end process; + +------------------------------------------------------------------------ +-- Serial clock generator (BCLK_O, BCLK_Fall, BCLK_Rise) +------------------------------------------------------------------------ + SER_CLK: process(CLK_I) + begin + if rising_edge(CLK_I) then + if RST_I = '1' then + Cnt_Bclk <= 0; + BCLK_int <= '0'; + elsif Cnt_Bclk = ((DIV_RATE/2)-1) then + Cnt_Bclk <= 0; + BCLK_int <= not BCLK_int; + else + Cnt_Bclk <= Cnt_Bclk + 1; + end if; + end if; + end process SER_CLK; + + -- Rising and Falling edges when in Slave mode + BCLK_Fall_int <= '1' when Cnt_Bclk = ((DIV_RATE/2)-1) and BCLK_int = '1' and (EN_RX_I = '1' or EN_TX_I = '1') else '0'; + BCLK_Rise_int <= '1' when Cnt_Bclk = ((DIV_RATE/2)-1) and BCLK_int = '0' and (EN_RX_I = '1' or EN_TX_I = '1') else '0'; + + + + -- Falling edge selection with respect to Master Mode bit + BCLK_Fall <= BCLK_Fall_int; + + -- Risesing edge selection with respect to Master Mode bit + BCLK_Rise <= BCLK_Rise_int; + + -- Serial clock output + BCLK_O <= BCLK_int when EN_RX_I = '1' or EN_TX_I = '1' else '1'; + +------------------------------------------------------------------------ +-- Left/Right clock generator (LRCLK_O, LRCLK_Pls) +------------------------------------------------------------------------ + LRCLK_GEN: process(CLK_I) + begin + if rising_edge(CLK_I) then + if RST_I = '1' then + Cnt_Lrclk <= 0; + LRCLK <= '0'; -- Left channel active by default + elsif BCLK_Fall = '1' then + if Cnt_Lrclk = 31 then -- half of frame (64 bits) + Cnt_Lrclk <= 0; + LRCLK <= not LRCLK; + else + Cnt_Lrclk <= Cnt_Lrclk + 1; + end if; + end if; + end if; + end process LRCLK_GEN; + + -- L/R clock output + LRCLK_O <= LRCLK when EN_TX_I = '1' or EN_RX_I = '1' else '0'; + LRCLK_int <= LRCLK; + + +------------------------------------------------------------------------ +-- Load in paralled data, shift out serial data (SDATA_O) +------------------------------------------------------------------------ + SER_DATA_O: process(CLK_I) + begin + if rising_edge(CLK_I) then + if RST_I = '1' then + Data_Out_int(31) <= '0'; + Data_Out_int(30 downto 31-C_DATA_WIDTH) <= D_L_I; -- Left channel data by default + Data_Out_int(30-C_DATA_WIDTH downto 0) <= (others => '0'); + elsif Cnt_Lrclk = 0 and BCLK_Rise = '1' then -- load par. data + if LRCLK_int = '1' then + Data_Out_int(31) <= '0'; + Data_Out_int(30 downto 31-C_DATA_WIDTH) <= D_R_I; + Data_Out_int(30-C_DATA_WIDTH downto 0) <= (others => '0'); + else + Data_Out_int(31) <= '0'; + Data_Out_int(30 downto 31-C_DATA_WIDTH) <= D_L_I; + Data_Out_int(30-C_DATA_WIDTH downto 0) <= (others => '0'); + end if; + elsif BCLK_Fall = '1' then -- shift out ser. data + Data_Out_int <= Data_Out_int(30 downto 0) & '0'; + end if; + end if; + end process SER_DATA_O; + + -- Serial data output + SDATA_O <= Data_Out_int(31) when EN_TX_I = '1' else '0'; + +------------------------------------------------------------------------ +-- Shift in serial data, load out parallel data (SDATA_I) +------------------------------------------------------------------------ + SER_DATA_I: process(CLK_I) + begin + if rising_edge(CLK_I) then + if RST_I = '1' then + Data_In_int <= (others => '0'); + D_L_O_int <= (others => '0'); + D_R_O_int <= (others => '0'); + elsif Cnt_Lrclk = 0 and BCLK_Fall = '1' then -- load par. data + if LRCLK_int = '1' then + D_L_O_int <= Data_In_int(31 downto 32-C_DATA_WIDTH); + Data_In_int <= (others => '0'); + else + D_R_O_int <= Data_In_int(31 downto 32-C_DATA_WIDTH); + Data_In_int <= (others => '0'); + end if; + elsif BCLK_Rise = '1' then -- shift in ser. data + Data_In_int <= Data_In_int(30 downto 0) & SDATA_I; + end if; + end if; + end process SER_DATA_I; + + D_L_O <= D_L_O_int; + D_R_O <= D_R_O_int; + +-------------------------------------------------------------------------- +---- Output Enable signals (for FIFO) +-------------------------------------------------------------------------- +-- OE_GEN: process(CLK_I) +-- begin +-- if rising_edge(CLK_I) then +-- if Cnt_Lrclk = 31 and BCLK_Fall = '1' then +-- if LRCLK_int = '1' then -- Right channel +-- OE_R_int <= '1'; +-- else -- Left channel +-- OE_L_int <= '1'; +-- end if; +-- else +-- OE_R_int <= '0'; +-- OE_L_int <= '0'; +-- end if; +-- end if; +-- end process OE_GEN; + +-- OE_R_O <= OE_R_int when EN_TX_I = '1' else '0'; +-- OE_L_O <= OE_L_int when EN_TX_I = '1' else '0'; + +-------------------------------------------------------------------------- +---- Write Enable signals (for FIFO) +-------------------------------------------------------------------------- +-- WE_GEN: process(CLK_I) +-- begin +-- if rising_edge(CLK_I) then +-- if Cnt_Lrclk = 1 and BCLK_Rise = '1' then +-- if LRCLK_int = '1' then -- Right channel +-- WE_R_int <= '1'; +-- else -- Left channel +-- WE_L_int <= '1'; +-- end if; +-- else +-- WE_R_int <= '0'; +-- WE_L_int <= '0'; +-- end if; +-- end if; +-- end process WE_GEN; + +-- WE_R_O <= WE_R_int when EN_RX_I = '1' else '0'; +-- WE_L_O <= WE_L_int when EN_RX_I = '1' else '0'; + +end Behavioral; + diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd new file mode 100644 index 0000000..ab13e7a --- /dev/null +++ b/src/hdl/operativeUnit.vhd @@ -0,0 +1,404 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity operativeUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSample : in std_logic_vector(7 downto 0); + I_loadShift : in std_logic; + I_initAddress : in std_logic; + I_incrAddress : in std_logic; + I_initSum : in std_logic; + I_loadSum : in std_logic; + I_loadY : in std_logic; + I_filterStage : in integer range 0 to 2; -- Novo: indica qual filtro está sendo aplicado + O_processingDone : out std_logic; + O_Y : out std_logic_vector(7 downto 0) + ); +end entity operativeUnit; + +architecture arch_operativeUnit of operativeUnit is + -- Tamanho correto de cada filtro + type coefBaselineArray is array(0 to 128) of signed(7 downto 0); + type coefNotch50HzArray is array(0 to 100) of signed(7 downto 0); + type coefLowPassArray is array(0 to 10) of signed(7 downto 0); + + -- Registradores de coeficientes + signal SR_coefBaseline : coefBaselineArray; + signal SR_coefNotch50Hz : coefNotch50HzArray; + signal SR_coefLowPass : coefLowPassArray; + + -- Shift register para armazenar amostras + type shiftRegisterArray is array(0 to 128) of signed(7 downto 0); + signal SR_shiftRegister : shiftRegisterArray; + + signal SC_multOperand1 : signed(7 downto 0); + signal SC_multOperand2 : signed(7 downto 0); + signal SC_MultResult : signed(15 downto 0); + signal SC_addResult : signed(19 downto 0); + signal SR_sum : signed(19 downto 0); + signal SR_Y : signed(7 downto 0); + + -- Contador de leitura para cada filtro + signal SR_readAddress : integer range 0 to 128; + + -- Limite do contador baseado no filtro atual + signal SC_maxAddress : integer range 0 to 128; + +begin + + -- *** Defina os coeficientes de cada filtro aqui *** + -- Baseline Wander Filter (129 coeficientes) + SR_coefBaseline <= ( + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(64, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8) + ); + + -- Notch 50Hz Filter (101 coeficientes) + SR_coefNotch50Hz <= ( + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(-1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(0, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(2, 8), + to_signed(2, 8), + to_signed(1, 8), + to_signed(-1, 8), + to_signed(-2, 8), + to_signed(-2, 8), + to_signed(-2, 8), + to_signed(-1, 8), + to_signed(1, 8), + to_signed(2, 8), + to_signed(3, 8), + to_signed(2, 8), + to_signed(1, 8), + to_signed(-1, 8), + to_signed(-2, 8), + to_signed(63, 8), + to_signed(-2, 8), + to_signed(-1, 8), + to_signed(1, 8), + to_signed(2, 8), + to_signed(3, 8), + to_signed(2, 8), + to_signed(1, 8), + to_signed(-1, 8), + to_signed(-2, 8), + to_signed(-2, 8), + to_signed(-2, 8), + to_signed(-1, 8), + to_signed(1, 8), + to_signed(2, 8), + to_signed(2, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(0, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(-1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(-1, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8), + to_signed(0, 8) + ); + + -- Low-Pass Filter (Parks-McClellan) (11 coeficientes) + SR_coefLowPass <= ( + to_signed(-13, 8), + to_signed(13, 8), + to_signed(16, 8), + to_signed(21, 8), + to_signed(25, 8), + to_signed(26, 8), + to_signed(25, 8), + to_signed(21, 8), + to_signed(16, 8), + to_signed(13, 8), + to_signed(-13, 8) + ); + + -- Definição do número de coeficientes para cada filtro + process (I_filterStage) + begin + case I_filterStage is + when 0 => SC_maxAddress <= 128; -- Baseline (129 coeficientes) + when 1 => SC_maxAddress <= 100; -- Notch 50Hz (101 coeficientes) + when 2 => SC_maxAddress <= 10; -- Parks-McClellan (11 coeficientes) + when others => SC_maxAddress <= 128; + end case; + end process; + + -- Shift Register para armazenar amostras de entrada + shift : process (I_reset, I_clock) + begin + if I_reset = '1' then + SR_shiftRegister <= (others => (others => '0')); + elsif rising_edge(I_clock) then + if I_loadShift = '1' then + -- Shift das amostras + for i in SC_maxAddress downto 1 loop + SR_shiftRegister(i) <= SR_shiftRegister(i-1); + end loop; + SR_shiftRegister(0) <= signed(I_inputSample); + end if; + end if; + end process shift; + + -- Incremento do endereço de leitura para os coeficientes + incr_address : process (I_reset, I_clock) + begin + if I_reset = '1' then + SR_readAddress <= 0; + elsif rising_edge(I_clock) then + if I_initAddress = '1' then + SR_readAddress <= 0; + elsif I_incrAddress = '1' then + if SR_readAddress < SC_maxAddress then + SR_readAddress <= SR_readAddress + 1; + end if; + end if; + end if; + end process incr_address; + + -- Indicação de processamento concluÃdo + O_processingDone <= '1' when SR_readAddress >= SC_maxAddress else '0'; + + -- Multiplicação e acumulação + process (I_filterStage, SR_readAddress) + begin + case I_filterStage is + when 0 => + SC_multOperand1 <= SR_coefBaseline(SR_readAddress); + when 1 => + SC_multOperand1 <= SR_coefNotch50Hz(SR_readAddress); + when 2 => + SC_multOperand1 <= SR_coefLowPass(SR_readAddress); + when others => + SC_multOperand1 <= (others => '0'); + end case; + end process; + + SC_multOperand2 <= SR_shiftRegister(SR_readAddress); + SC_MultResult <= SC_multOperand1 * SC_multOperand2; + SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; + + -- Acumulador de soma (MAC) + sum_acc : process (I_reset, I_clock) + begin + if I_reset = '1' then + SR_sum <= (others => '0'); + elsif rising_edge(I_clock) then + if I_initSum = '1' then + SR_sum <= (others => '0'); + elsif I_loadSum = '1' then + SR_sum <= SC_addResult; + end if; + end if; + end process sum_acc; + + -- Armazenamento do resultado final + store_result : process (I_reset, I_clock) + begin + if I_reset = '1' then + SR_Y <= (others => '0'); + elsif rising_edge(I_clock) then + if I_loadY = '1' then + if SC_addResult(6) = '1' then + SR_Y <= SC_addResult(14 downto 7) + 1; + else + SR_Y <= SC_addResult(14 downto 7); + end if; + end if; + end if; + end process store_result; + + -- SaÃda final do filtro + O_Y <= std_logic_vector(SR_Y); + +end architecture arch_operativeUnit; diff --git a/src/hdl/tb_firUnit.vhd b/src/hdl/tb_firUnit.vhd new file mode 100644 index 0000000..cea8698 --- /dev/null +++ b/src/hdl/tb_firUnit.vhd @@ -0,0 +1,107 @@ +------------------------------------------------------------------------------- +-- Title : FirUnit +-- Project : +------------------------------------------------------------------------------- +-- File : operativeUnit.vhd +-- Author : Jean-Noel BAZIN <jnbazin@pc-disi-026.enst-bretagne.fr> +-- Company : +-- Created : 2018-04-11 +-- Last update: 2019-02-26 +-- Platform : +-- Standard : VHDL'93/02 +------------------------------------------------------------------------------- +-- Description: 8 bit FIR +------------------------------------------------------------------------------- +-- Copyright (c) 2018 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2018-04-11 1.0 jnbazin Created +-- 2018-04-18 1.1 marzel Modified to add more test inputs +-- 2019-02-26 1.1 marzel Adapted to 16-tap filtering +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity tb_firUnit is +end entity tb_firUnit; + +architecture archi_tb_firUnit of tb_firUnit is + component firUnit is + port ( + I_clock : in std_logic; + I_reset : in std_logic; + I_inputSample : in std_logic_vector(7 downto 0); + I_inputSampleValid : in std_logic; + O_filteredSample : out std_logic_vector(7 downto 0); + O_filteredSampleValid : out std_logic); + end component firUnit; + + signal SC_clock : std_logic := '0'; + signal SC_reset : std_logic; + signal SC_inputSample : std_logic_vector(7 downto 0); + signal SC_inputSampleValid : std_logic:='0'; + signal SC_filteredSample : std_logic_vector(7 downto 0); + signal SC_filteredSampleValid : std_logic; + +begin + + SC_clock <= not SC_clock after 5 ns; + SC_reset <= '0', '1' after 19 ns, '0' after 57 ns; + + -- Sample period = 20 clk period + SC_inputSampleValid <= '0', + '1' after 401 ns, + '0' after 501 ns, + '1' after 3601 ns, + '0' after 3701 ns, + '1' after 6201 ns, + '0' after 6301 ns, + '1' after 9401 ns, + '0' after 9501 ns, + '1' after 12601 ns, + '0' after 12701 ns, + '1' after 15801 ns, + '0' after 15901 ns, + '1' after 18001 ns, + '0' after 18101 ns, + '1' after 21201 ns, + '0' after 21301 ns, + '1' after 24401 ns, + '0' after 24501 ns, + '1' after 27601 ns, + '0' after 27701 ns; + + -- Null signal followed by a Dirac and then an arbitrary sequence + SC_inputSample <= "00000000", + "01111111" after 401 ns, + "00000000" after 3601 ns, + "00100100" after 6201 ns, + "01100100" after 9401 ns, + "10100010" after 12601 ns, + "11011011" after 15801 ns, + "00001011" after 18001 ns, + "10000000" after 21201 ns, + "01111111" after 24401 ns, + "10111010" after 27601 ns; + + +-- the filter output on 8 bits is a sequence of signed numbers (with the assumption +-- of rounding the output, so the accuracy can be slightly different depending +-- on your final stage): + -- 0 2 3 6 10 15 20 24 26 26 24 20 15 10 6 3 2 0 0 0 1 2 3 5 7 7 8 4 -1 -8 + -- -17 -27 -38 -49 -61 -71 -82 -93 -101 -107 -112 -113 -116 + + + firUnit_1 : entity work.firUnit + port map ( + I_clock => SC_clock, + I_reset => SC_reset, + I_inputSample => SC_inputSample, + I_inputSampleValid => SC_inputSampleValid, + O_filteredSample => SC_filteredSample, + O_filteredSampleValid => SC_filteredSampleValid); + +end architecture archi_tb_firUnit; diff --git a/src/ip/clk_wiz_0/clk_wiz_0.dcp b/src/ip/clk_wiz_0/clk_wiz_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..1df5ec10a4ff9e7c1b2e8c55ee8f41698941ff5f GIT binary patch literal 12528 zcmWIWW@Zs#U|`^2SlempaUvnAs*sa`;kO+F11|#uLvl`be0gS7yn$Y7O4`-v-2BB> zV*mDC`p2#<Ulz2|n)k`lw;7v*xKy6{F$rEMt8xz)^PDp+lSxqV?U%T_|MzWcWZ(^u zz7@GONSOUx@wwu@-r7G-!n$)*XRMcdF!{53&fm}S3??U+x<AR6J<qC?(Rl6RzfTgj zi$ZH2GTPc#{!7Zu|MoPrM4sDZd!y`|Z4sM(KCyOeh~eUzV`{`)y_Ye``$gk41{X$- z<qjFl8^vGU{c&$@{(8s9w<@=Y$1$5EoALI%tjja+sME3del7b6+k(Q4#bJyGnC7ef zIP4PfQ}WvXrB{Dn%53h;5lp`8-y3t|jbzO)%fm-mMHQZzpWvT&FhEvYx8d&x+3$QN z&*J^^Z2mt?dBXC2x1{dl*Xqgr9rJVk{_NardDGoUv$ifrrg7Q#Cra`r`+B$LPpP}s z6L6oW$Lr@ahJXBf<*kkHf17{s@1aKN`;pd-pF2EE8|PT5iMBoazHa-cck>)C-(8!2 zV*15Pg@!!sR%<w9g0@68PE>1O`k=D;fX77<=c!7jJNRV3u*8V0nUgE<Z&A{$q~6fW zT}L-8c+7Sp{#G%|x9bJ7Km1|naVUQHBg4=4b@;U}r@A;M?B5|$x4bjf`_gZr*<mVW zg{Crl^^NyViBws#tTm)}>fWxG^)cTjcbWvcSWH-d|2li-pVErPott+EosKi$o;}TO zu9nf_J*z&Rd>pOI7Z`Ty$Fu{Arxu1i5qKJK`x%Hx6o0#^`&)#|>}~IF?{NP<F?+q> zgwhKWzP@+*?){qIriNp$vY6^7#rvC1^p?KVj-EYx)~0ouI+M11T^$wm<>}`69;>33 z?VZY*78Nja!O9*<m-u6q3a8olOn?5m$K6~ztFyFGPV#n&U~q)er%6+~Bv-mljbGrr z?_@}D<CQBZk8Mq7cKG{-PEK)665MO}L|SZa+sv1<;=PV8{b2p4r_KItu6tig#E~^6 z3Ei!y+E2)Q`;t7L_0f{Qic?lj|1G;@q36r33Qrj#4wV{Q5ZdzinazupGX!s1yjR<4 zD6@JNlP8;8*1p4@n=7tai?)`m2tImb%69HgY#Ymsr<rfrJA30E#`kx7p7J*5=bv$p z7Wvb4Y~!bA{23qj*{Q19%s%=lPvGo>L)m@Z>i6~P++IxSvGKKwQrjz7DR+{!s$BFN z%aiZ5X<ij2Q{Lu%^LkmbDnN7Z>GdMpTUF=0ou)eL@Xer^ubMdGU)*0%Y8^Vs^V^jG z^;Jvbv@ZrZ@vT@9<>!C?h~KiPOTG%P1>f~8Xr8-E`=izz*S}ipmmDlnSb5E1a*)NG z;>Z9g2~~?jLZ?nu6mYL+f4o{`M<~-J-yBaliK0`}jAUYZ*9u(-IA?CMW7C(Uh``dV z*6B|D8+Un3|EGBCL8P~hu;0wNLiTOJNzpdx<*WW0&dHkp{oIY&@z0YjuD;z`70b5# z+UuWEx4PXu)=k+lHLiQ*Bbj$8c_BA<`Ruv8T&(PUxvSo{bwM64wg~q>FKDthwD8%m z_q^_};|z1-_|BBDpX%FV<Qe+l*Nj;UEI;oN-4uHv=GJOmtv)}C|E<AuDqpYci%8hi zt$u{vLrr1X?WRptYfo{N&v1G(wUm2lbf?LlBSq5%V^xodM3^3t&~f><jBWmPLDM}V ztEx1wd<?Sru*v&-^(jTuJ0h!hX<jSbT>D^|!aly057Tz9n*G))udLu+x)<{!b^Q+t zcI%b1T9yZ!+RU|j__C<_=Gv#J+gDA$YZdox$KE$rKWz%XdOFTk|Le(+i)R{_zKTlv z^4+^OW|G{42?>j3CI<RyxN;v3xVldCuu}HDjiwJTuQ_7dqw2ljYQe)<8LB$5Dr=ie ze7dF6G@O6T&Wh}sc<bG<Q2i<4wM@d53ClQ7&MM-O;5(M)8P~p4-D>6Yh`1n=9KpC% zi%(w<_|1}e-;HB)(gah3HLErENUjj8mexCUbocV*cgs$$IjPzmchTwp{s(sscUEvW z9s6$oPk7pYZ$IuoA7sRJFHL(HBzE#(=47$SbLPdIUh*~aSanQs?}@6yEsq_yiM+~u zaqZfLm1`HqMmI-9HwQ#JuWo89_}wY(D(4V)Vcr_9mZ+)gu4Nl%?PXhhEn7Eh@3KYL zvemPex=q>^XI$oV_5o+<;&9^{r?WriUT>a%wRy7aOQ{c9b8YYXJ!9?NG-0BeIEUNq z3wwSYj?JtK+2eV(x98g$$-<ZNFN^NY&|h+_rZMx(^5@bLGgahL6t7P@;#j%AG1|%Z ztdz(5jUoYETZ%4wm~HarycogxXX2$u*~+QeI|O5$K3)jfb20FM?zL0rn{6|!Y@?1z zZ$13#e0gi@ng}mL^NbSZr43PQrp{*%zo2EZ_0EE)I}SN*iv`OpUIv#r6JOLk?J*bk zO+U?}cNSdiUBHyJF|1B5#xZ+;;Dw@?%HB6cZG|ky{48vEPI&(?`1VD>NpzcxPic|V zjOniub1GLJV-NOvaa7&3@?`6(n=4(~3%zDsNUq#tvLcF2Y{wKarjth9c`KAX!scu_ zsIpaR%lbPzW_4e0=@QF|lX=d$|L}Qn_ZKtx&-~rbe`;>|xy`ecRwgB#s-E3kbmrK- zM+;;&Z`^L7aXe?uXYZc97aezA=iPhLai>tQ=gL0|WHeRSMfl{tKUs0;;My$#uih|O zMx5S1>-M{@r0vrim!3XzdC6X%UF^CqEtG$rIlK6f-y}IU>DT5d{}r}2$^O_a&V6I& zox}egh<;iqz+TN%;c379j2){-%a4i={*0$KzjEp5XRi;7owq{Gsm8`aD6~yPRV?wz zhi@}IRSstCuk%uR*~XNq<X~>Fa24;@IcJ_&=-HMn?5O`|`u&>p`J=XSD%_5LT?)3l z78K_{_OE_=XXlgH8+MgtFMGE>cH;l1p#SDq?JjlExNY@L&p&*6bh9@k_x;?|3A-Ne zIP&1zNzP~A?>%PZ`2J(w?vi(lPM_GCu6^eD^2_<M`hrns-dMU-Ti5sfa{l>9TV=U@ z|9AE+zn&bqv2l0w<ZrIO?$@>d@She?a6u;jqWbaKhrE-X36<WfcCw49@XzZKUAsbs zFLAeLo%=tQduh%3?Hg<ZCpCTb4VCVln%w#1LV=Odi-()`nOVf$es?a;QHb?^<N|>| z<*htM*5_L9e-W-%$v2hG=#*BKyrRP&@twm%W%rfo4=(N8?Orisv3})*@VT5@b~;4g z;h0pYd!^3e-qW7Ei7)2;NLh0@`>27Z_SJQ2;$0KBT>fx2_r}fLe-GVXx$r+*s%h&4 zulSZ@FXSzEnVI?NeAB8s=byXrD%ZBnyU#^Fzwg9ivFN?ie}2@q8PC!E3j-M#7-|?8 z82C`yW|?`(McgOPoIT^+U+$^n*;9U6zw7%MZ#}QGzGt;P&lH|Msju&?Yjnlf$S6p| zPxqA1nUib0bWWZ*zj>3Fj@P*pI^LlMCLsm^224o`hu9p0EEM#`8Bi@}U|?Wi0uc=8 z4a0)sB4#wjovs`Ie_&-`5aniI;74(3aYkZ6YHpZ!j&!KV+>OG|Z^_+0U;e4ki~Cuy z#s&X3%Q8<bFR?N1^Ud|QGWMIyBs=L^u8;F9wU_<*iC1&YJvw}^@-B*2X$gr^ydtZx z@#UsyF^;LCr`R~(?J<AMFL1K*`<&mE-}jZ@uP#<p^{l^h`0(eqQ@_2MTC=#+^1Y7! zx~-p%J$m-&(j&L*@;LkbWu<#-%PNiI=V<sm+d6fsO}q5Zuh-nN{|3GOa!)qUb5hwQ z|67l}TZ=j4r!8?dXLgkK<XWh7JmK}lyKA46{jmNs*)Ta{-80Fu(sMZ#s>N!oLdSB~ zzMC5rm$TkzLSSamjva+rA4{}Z1$(5=tqDk1=sLM7^wX+oiPyHYn(!nvCG!~@NUV^( zZ04iRZ0Hl^q&9sLm-CF535Kf}W^g{y6Muh;buky`CgIC%WgMP9-79xbaSF)@+nlzl zN-Ssw*IJ9kPP?SFJNhRaEU{n8^x8B0g}|m1v1MWb9wPiQQK^ncLmW8Mq<J2%SZBE_ zZHC*17}={H{aQYA`L%k27HyWQoH8r*@XSK(&s;r<1$oC*Cpa&-xVa<2pwDZ;N}23m zN6bQ)W=5wxG>qy}sP2l`8ni+7@xN(>Q(9%0@CQBMX+Ex*CjXH|Yp+=5iR4AWb(+ln zzib6U5~p7JAhNRh!DYwe1^g}Pf8J_Us%wRveGq1Oqw}y+wC?n35iOhBZ$)P9%6py0 zHcwbqJfS6aZXbt+=sf;w1;=a?#dPmp&C=S_FjMbI_T(n<zf(W(rq69Yyg@qB+<$S# zRI#+<3H+;S=c@b&ywQClJw{L1mhX4Lll#wNjM;=&lxcr<s$=1r@%DM;52e=k+z&2Z zQh2jGN;*XCJ>T7}*^3Q2GbXH?f9?R|KCe>$7e)q0Hwq`Pv1d+p-g~t~(x=!-LO`(H z*}}tRvkja6!dKku!mrC*-_k6x_=C}+2XkkcrL&cY#VzdPnpJ)%|BTL_Td7=4mOYwM zw)f}WPJOtwZrOrEg7wF>=EYr<;@$l0qTR{`rRUQpXgIAbmasnhVvof7kkYwPq2`hj zD_RcZpGq{CSlV$wmp3)*PF8ZC`opxuW%~uJo=pAc)Uoncrv4YPPgVBLtukM^BVXvI z$YfbuI$gR(@=D-JiMx-dwy~+rVO^eQdPFGVulcT<29FH7o3}2D);s=CV!Bi*o0(S3 zCz<Q(UgfdL_`I5x``L4Kuf+}vi~O6voMdKMMC6~FzWlL)`jX~C3%w=I&IbK~$JePQ zGPZw-y8NDjO}(Pv|4ognI%j2@%niKy+nDl|1fplm(zoE+bCt1;N!>X>rB}SklPSMF zVb%d9_TSw$2ZGoG8iEx+Fwfy@lwTm)l&Q{`Zg9*owsA9~|3=Y>X9-!8*z?L6SnJeT zT#M|F-(9Zu+B4#yqN3mO2eJRs#JVm&nb&kxZ&CIW8~3Bkbr&@wUMpRSeelA;@ycdD z<H$q)jl6t264}fQHD_&H_j23P1FpM1=`x1waEU!HeZ+WHN#(>D4W}i9V<)z1-(9!n z$MI~Lb(c&<woLGyn_HFFdTiz?i9PP=mU{xTr`27lpS|W1$DaO3LudZo%ytI~jn-Y7 z^ZzU34*~X^Lp*kiF1v<SIaVKem@K1n@$<EShqHPz&qijv*k-A0r}^kZXAkq{s;eOn zf+gE$^)BXpU7<W_va*A`fq7o-_NgkF`Ze{>Ps^u#TYTo!8{?TP->9tK;8_-*cK=jP z(_bCq*+*}L8(AM-Ge@NPwfVcBeSr*}o|c;WtxhZsVrLSX_AN;HcYk_n<OxP$#?DC{ z2b*t~SF#!I_;&lSyGhMIQ&+zOXV>oL|Lai1RQL1I`unMB&y@_hWKX&(?@lR__5SmJ zmHP~vGZm(FLc5iOKW!;d37Xi^e{k*ZJ@+zvj=Vp2dTY%hyFLf|Z<<>Fug`b6CMfZN zn=w+5v6$Ip=^W9C1uw3gvRuD6>cr_Ot~~GJ)t5`$srzttzNXyMb7Iq1Ov$M(O)i$7 zIeF{#xLp;`bfix_oyubI_UyFk(zQnyF>`jhb_nWEjZC>%aj4$-+xzq)wTUsA`Y+c` z70uIjPCD>DQ0+f6YE5#E$92wh1_lNVR`i;rJSFYuBu6f1MIM*$t@m7eXC|!s9QtCJ zeAJ7d)>}^JMQw~<B=GozbfVnbvi)zu`=1LZbR7%I`Iptr$+fEN^fZBs6>L_&yE0~$ z%levg&(R2t-(RTG#aR-_-}pLVPvETBM?w{q|La8eZEA^D&0MZ?TT7cwYNBPqxg($a zf9zD0F*Go2Q~t5iQO5AYb`8E6KRJ?b?^bSCSfpyli0T71i8e7sZUzP!ZUzP(l<KV_ zk5PvE@t264iZEkyS0@Dp1qGuNvt$shkYr#|acghX*<k6DqUjC?3>2DE3p%bBaejVy zSI6v<$042U9PYCmX}V`M7Blu-zu=M7u<@dM%Yl1$y{5)aeI1s&RO`F#vnka@9&Z&b zpG<K6*ZgGO|HRAdtE-l&%B-3+b&2!$_4oHw?yr--e0kQ)=aGMZuF6aOeDL4Cefuu( zT1rLtxg-l(ignud9C;*I`SaR^ZN-5$YwpS(VxP?a=+oPnwNjclzIN;pnz(rN#iv(Y zY$gS-;gE@!t%|lP_v6?9r|VUF>BY%~&3<!*HqB1W+*9*QYH#5_x4<ROK3!X960>#o z@^g{FyZExMMs2-UpyhXY=Z5o3vK1a3Yy7(QOk2s`sBRg{<z-LS<V~NeeB5pdi?fhP zW`c9LN}@AYMuh*QqMapvQv<zjPCHWaEi&!yjZI4g+paA&Wa6GS@%(Bo$xJJ0-p7Jr zU6YI%*BA%(bSBO7NK?8gd~~M9yG|h<70HNcZ6c3PM-=sc<J{iAd+(cXhTHq!c{cC( z-&3Z&rP^b6>MhmG=?h}#lo`EE+HyFIscYpMFXu?*zdXCGrs!+5t()YTdd)OeN@-%p zPTNvGcek@%izWq$2%m_V@+IJqb0Pmpxvt8N3(3uw8WtsKxfcJu9`oPydi~k2@et;# z{ceA+ulO(ZHGc8G>?`%Xzt#u-H+{9AO;{qJEF{w)z9Ez46RQosVf=+JTNW)VG%52* zb)U|5rb+dyHk%#$vtGq@>))0{34NM$WcriYQIEHpJPO@vvP4=^xBD=s((<m;tPXGY zyi~sFT*$AwWZ~tgpQh`c9&D>kGhf;ed{q3X`@g3}oSxV9yARwE)S4^rnJkf5_2j|9 zjV4|02JA&AWKaB2IL9xPBCsaplxT>}9)}dIM~jPizh6Agb5!oKBt!T6`i`By8E5`s zjQqpAiA8-kr`*&H0?)22^L{#SO}xxb;Un4+_eD$+GoCHn$?v<V|KB^7a>vK484kw( zRWr^su$dg1p~8B4K_S2SVKKSAErBfN67L!3E&RdoLFVZ1hW9}+EPEUbnQXiZIX~2J zyYIJO=saV>TVIYG)rFFlM^6c5)cBm8Qxsfr)F){V?+@Xw{v9v(JX=<LX6K`V%l3(v z=lpOya5(;;!NJNmLE#MUmi@82*dC}H4LxAk^}6A)$o>?=)WDytM@<j#@93!W`Y8Dz zwQF|6bPYRpo#Ki6&byXxR83%xIncJVfwzc}yMR&p36t3g)|?LR8w%nZ94FakEN{@~ zsrl?sBLBzdUNWn@$+l=y1;vxCV!aE)cs;U<_PHjn31t?VHYfKY$F?kos>#JZ&IzjS zrYDv%9-Yt=(94=9%k8^I*Iwt;#f;BuO6Ej(-s$)K?%sB?R4Lx}uJ6O61pzHluZ}0M zG<-ODHBf1J&!pfdt8M>I__&{K-M6`OihJi8pF6<9d|i^mu%NlolWm#Aj*|z@OUy`n z@SNvP(gS6-yx;&%F^(BxO67L%CNn>heN*7D$M;tBlDTSceJ}Y<GIP1bc_~i$twg5k zrLHBiO1TYN{w`U%=le|-IfD&H9Ht!MYnEU(VlL#8W7B2&kaPS*&T%!CL)rpyjUTvL z!WH%~J!IvcZyemOv4Um$49#ad9Av~h9y1ljDD-h3DQvXR6FAQLXvYV8Uxnjp3yc#C zCZs=@&NHK?_uux{Q$jBCb<V5(+O_v)yJ@`9<7%A;zj+kc_#`w8pDIn9({n}r-nY9S z!v7k?s01dmp57B`x97phJy-2)-k;nv)z0Si$vvU-E`NT<H0R9j)dfcH^$wlfV;xv= zZffE7juX2X_xPPFSZ#W6wamYs!`d=+CmyEqsawu@@Zq^k-I0en?bj{mJo*sKXaDd+ zGT-{bACm3+A8a`G(3da2z~XS?)Wclumu1cc@`xIko;q2|CoOZXSfXq8ZprWdo0;Fe zmGH{oI#qdRzj1r~rn8(P=dN%VKD;}>R`}iBxf-2ETDE&~yf+ly{6=Ft?+4$O6`hgC zjNiRiKlJ;|kvaK?ZcqH7rna)v@_x(qwH(T6N%zbj<_6UWo3HGwyx+2&nN!|Cn0p^* zzW<}yGnT1|{b{J2EgFCFuF>7Ex|O>n;$KQFcTs<wn}5jFY^LP2-opCB!g^a}TCbkj zS2g+f<#3IKc0Afswg>VZ-m<t>d(9jr-KQ*4!TNu_W@fGwDJnUy-?UrT)uHd*@1JvX zPwHEC{kd{>>ykbh+YiY*F9>-TZFzCLXrJn|CmKC<+8&vi0{<?%I<J0ub*b#1_WaDl zne*mM=O|ls-1}zK+C1}V=cJyhF5mYgcTvrk2ToOPy+@p0|6eco&HwqqR%NeGwuS;+ zPdBmM3On1B_QK=-skN)0t=;!i{^NO(m(5aBCdelqeeikBKbfb#kGYp*%sY4Y%T(i) z=2`2nxlB}Jc~|yndBQ1!t-L9#B$q5N(lRdnx&Otf-}3AHI~c3XRQ9`WxUP32UwlE? zLC4(-Zs=+3Y--a8V7w#wl-VY;@NPxln!9_dRx@9m|KHdwyYGpw?()c6+qyKT*U221 zv*cC(?!!B;p3<CdcsRpqs{c|`?WN1DJr_yrYQ3ZxteWR@;Ihe*6*sTw-SSJ_ZgoP% zg|m5yB<q{zNk&u8-INQv;*+JFeNy#|!MfBb?{)}y<VGGl_51zu1<y|0D!DZG&;O-+ zpWQp!FiEGvuUzB*HNT&Gd8VYyt+^_DYJdEq9%j|Z9r5MupX8@&2-im)nDa!9H9BTz zho$?q!p3(QU0ZJoUdrcOIdQweYwpmOP0{zatrg#9At05`zdw*KUQ*+-p;E7%!;CsD z`J`Fda=vxOp#j!sn~a0RS}!a)z9}>y(Cu>Q6#bGOZ7vn&g$lJ|eV<mXl=NC|JG1)K z#1pYf2OnA;QW1XF%~SLL{xy-U+9&M~+9>v?pT6b#@@~nt$E+XDtH<5o2;RPGP0Q*x zY|1Z#Ccf?b`=qK-+$%53Dy=TH;k?J1bji-IO3EAMQe56uxTV-B7o9g!`mUs0#BU_@ zzQXO;kDepd(<WBv8wtG+bNgm^e8=x7>HonROM+#6JhP7p%bwqHAl>HT<{tH`i633~ z*BwkLH=gt+(rwb-6CRt`B_rSExlOB{Ht}g(O3Kb?$wvFa<V@x1;a{CjUE<kWT>peE zK1V9jwXU;R?#Rw#eI<%dk3aIr2+~&gwwM3Xm)xscuAKX;YRJ5?da1^_A08P@!UwmT z$0{e@+u&cl%Pl2&k$}KN{q|jM!G}y9&p4(N5&Kx<k$0hp+}pZ^k<+q{S(Pi+8UJkW zt*`w%Tm7v_k+??L$5M+34TY_f#S_$~>aKVac)`GIuCZ0c%bANaLyvECn0@P*TIBWS zDT^-qvxM!t{8ay=lSSBupk-U9x?5|Wef5BA$ESb4Z{BBjnP_L-Ebjm5J(ES!<jlh% z7u!~?R*Mub{F~MjZT~R!l+B(b)BhF^I>jV+c_>Np@7KJp^)>41I<vs75gV`99Q|x8 zebv8fhweP9<5#)DBD2lT<rXb?6cWh0w`i8{?u}(3Hnzn_k8VG)Q^)Sls#mkDtPA^Q z-4R^Q)smh+C++8wvci{3+G5v*_RmQU&k65d8f-Iv`?;u+k0-YAdmlBj+g)}~<94=W z>R-vG_A}l0Ht#Y0v8i-pRh;9y=lR*4uHUomWh|DL?GWp>>j;{7M@i}2^?NsXOCqX+ zpQgF)v;6Yd!QS=D@pblRqxSY4{bG{8=<NDe-=yMF%s0JClCsls-N`%I=0wcp;O?nO zU-wjWDRA*VzpzaGf7R2wy9M@aoB1)0?_<;6vV(QI8Mbos-C{jj=6F(=<IB|4nH+U9 zijLI%uT|Y^cS5^=%|_<zs^j-WU0UllzPE2Y`r$TeQ`#@8`oAzE1H&371_oi|rgVH# zeqvFIUPVgs+<=Q-hYdt(#s9Q(|Jrr*W%NC_+nx(^mIjBkwdn1PJ)9Mk7ZfY|Z}(d@ zjZN(r1tvLLJfBzm=tB3boZctV5owJxj(Vy*`kBL69r=Q5=aV-lFHO6f9dGuU`C8gx zq1)XvluwqNP1!0c|10>;AEk}kELVQ?^IULv!N(c0-y@~g{$SD3RFU)D{Df7i-7BMb z$p<l6i<_=5?$3Gtm$6pxp?B7iU7B5fH#cX5Pd<5nLj3Yfv12!Pa_&n|l~yu|(<rG* zj?>q_bN~LUwvv0Tt$XvcpPWvZ=(ezekJ;kNT!*E;^$*u=ds1oRFE4-K>H8ndoW(~s zXGqN}+9fqNBJ-w|?q@Eh+gF#yNWcGA?A9D~kI&ok#Ou5j*UeGG@OD9a?_W*^hM7L- zVVIg&lv4=`!@YCf=il-WK7N0G$^XMEYU5OboD@9Yv!B;KZqZxHGNEu?r=O_NH>TSX zx3_QetXTE?{`{L;#V@_kcw1t)N=PC5e0qBMbL-u5rs+pjgfox1Y;4<@?!WHtKI206 z>&`!9&Q+Y*mH7RQvzFZXd!Lg6{jOHeJ9GZm$~`Z1#2-qYKX>Ry;in`2%`@}w`(851 zv2|DED4iYldd;uzyx|{K+-?kU@hOo#{o39^Zqde!LzDNsI3=$z&v+t>_qD(0GoE~n zd4D_a5C0$0X-*rK%y7|p{`tw{^Y%~Kiw}G+jVu3iC*}D5m6t0lrDiPsurnfkjdhWu zVf{b$q<I_OWwPHWp4PR<T7pmJM6>AYUCkHg@;9*tUN2sAFHZPh!HxM}WX}J+{L=T$ zKl#axrLTJyUd}E5INAEeiTLZ`hpqQ(->keeaew;dEjntm&z0<s-*)`k+v#W3Z|wSf z^;zg<@w4am-}>{X^mF%mv;7r!{}kPp`8R8^y_48+%M*n*(RzoUs&s^9WyJ4(eYK<K z?L&^gW%oC)p7=6^d9&p7ER#5Xo^#3fKdNz<NyhoVd3d1w-QRlYmD{hzCNi&{&fWRy zj)>^VU#*(23q<6a=cZ*JeBRA;?)KaJ4@Bxq^&ZSyyz;ql!Q>6BVKU8GlNPtm=@jjb zQu*W^{6yvTd!I=5`h&%#*&LUba1>OXHaC__w3a&}pd#pDaWGP_!_>oUBM;X+HI+~C zJrkF2)^87+loUT<c|zhrB@JPoGX>YBR_~vfQE%#YdUDf3A&>nF!w;YJnR+F2qeH+= zr9Q#EN7!afcKJKo@Rdcysm#}p%Y%7TUeDd8`T0We@v{3x`Lg|PNqTQqFO~Xe)5E-P zim+O{%2COdHm7M%e9kV_NWFT!SWu;?>f*A1<1_SL6htp+xGZB(V$j&=`gMswP1LES zU7zRMChoh&^xQH<vgo-<PI1#Bv8TfB+m#M;Jyvv^&N6o|SCU+A%(>5dj_gl(el3$T zc+uwG&Xa0Nr&ezG_`^7Unzu<{LbAgKRsAKGmuGWq;;9z$Np@p4U+yfCxvSucCENdF zlGX*;4_nt=m2ZA}(%+VSjlJ&n{MfIu70JB^W%n~?Us#+tTX-S=x_aiY{ruOj#om{n z@1eG%{P(*Da(Oc6cUIkCnXobI`@v5(*$;M~o6(l%H&I2??8VM~)-nn^i|s$nG2B;} z?_PWT<=g`onm6YEEdO_*`oMbjvj6Lz^WD3A;B4n5(Kus?Ul+>rWADFwnD?|bJh6gT zV)a|;KN+zP9e#B5SuYHh+G;52{5`h%h0XJ8l0Qyuy}r)gq5iPxzU^%M2Agc|Z+-u3 z8vA1Fd=nY#|EkY*pFjKZ{>7gieOeRrnSACi-Qgp7YhogE-#oE3e(@n}noS!H=o+%g z2hO>0<E*Bd|Dhc{1>gBOXCJRQH)+CLk6l`(E`@7~_5VyvDw=&R<H)lkK~<u2W7qUE ze$H`~SYD|&b8&*?nVCM-Pq+8SsP!=!EY)Gvt(reu*!$ok2QROQi@tEo^PV1V=lAuD zhr#BfPsEp;@veXFl2IJB)6>;2&PU|!*A8x$u*t4<F8Sf{7Z#j-nKEyqz)aCix0amz z&e17%?&f{f)n&Qy=Om>zTTZmOwQD=mY{dw{IVa9!9pnD;-^O>bx?Fhd#DCLLo;=O) zQ;uJ|ch8)&2En}swnu#eHtgx)-mB1@Rpsv*m9)>B*?jp#qwR-7kFmbH$E<jmGkPP- z51!uwdS)A4LTqc4mfe2-?1|v*=Q~X29n0RyVrXTcs_zleeO~E6&(uWuri|9eN|nET zi7iKJ6aD0jzsRq5D)VhAk2bos?B}5wUoM`RecAB#;VqZ8{YvOHn7KuFYVxH8XI6&T zP8Lwma=tT(t!ZV>vMi<LA*EcCPn?x|H_zboOjes^OZ&v1wP^9Dh2By+x^l|yb4$1^ zufFp=D%*H)KKIfDp~yI=!)EHC8DVopS1s{wmF1ajIDg~iJ!h71-c;r6DiDl**cWvy zN@}a+##7fT4^CU*StgT^Q4%XYO~=XnxYQ?>Nk%=94UYv|ik=q#>1)gNTN!tTwQ|ea z%Z8#8l%^ehS=AY?vGGxo)R|)4ttJJ<UZJWF;va~2FF4S$tVh|we083Sj)s_ek(cMx zj?4?su5_u-_u3eycP22R)k<Ym#0TwZYj$`DzbvrKeqniIspVX&Ns@26+*R`)UVBxc z;d3?9IDVr<^`~QE789KpW$X8Hibv?D9h&`E>_%$bl21E?!;^QW6qPMFvTTO*1G~o) z_c-q}SzbEZcD5gDYR5gBxi?Pny1kVz-ejp-^D;$p_VW2N?rc-HFfG`a>(6?XnPFG| zS<eLtpN-ZXK5TPTboz?BEb*Tf{S!0Y@a;>PLq_C{rG}hKW|}Wg{nu;Fw&l}<o$vFn zES#Bb{pvyf?-1s^_s8sFEFW0zd&)OQE@F$z+e>q1oLp>BIbr#ak5(z)uD)E^e<b<Q z3aQCDvnqohIdA%RZpMT?br%bIPP(2CWPhLVz5Sc~CyVMg)fU~gw?yjFy+ksu^&b5$ zUvcroS=K6Rzr*elh6gWm<nX*{<tXr<c6guo#5)md{x^#E-kIUka${e@<bcY5CaYua zXKsI`rID!|e$~z9WzCX}vnI8@-&_|Ld0#lEEcD3UwRxM?ZvOR8YPD2?f5=Tv2cvE3 z9dAso#ju9*?ov;C!=fsAd-IucsqA%jtJv;tURB;Kw?$@E+wILg<%dm!{)RZeJNdcH zS*UAn*5vA<-E-AEkA1sp_I8V#Uh1W`lZ{&@o>KMo?A>-&L@U#)Xhz;5Jx}K#=aS%5 zk6Dvt?n<w;x-{j<g?kYbC#tC)|Jjz+Wuk6*#XQhyiQ>vf7Xpq3^zK}rlwqD$%<3dH zb&YfTI`&HqDV$kL{<sHEQeDxQC4bf<Yyn$WwTW_MEnocU#eyd<T@HEK$EK?7?>yCN z*5oxd?0RP|)}&k%?7i~v%8cKy3d)jaY3{x#5#qQoQh9ZA<07fE4t;;u%V)_gYjaDU zbhutw)p*t<9fn9|Q6s5II*h#{M>4#M{^YOt=UP1JaXs@>y;&32Ft)GDTgmu6bo(1N zn*hV^XNyi9JeVDBq7doG7q@B==gD714^D}kbr+lF#uaFy8XA#t?F83FpP8M<RJ>a* zt`hQf7G;`cn&hD_c{|*A)9D-01(VmfxCWn}Z2Z;2GQUxupHV?;_0zK<8ZoSeoq-ci zE_c?rZWO*aPxaf>DQ}iNjgC4}<KfNG?853+nzwKg$G((L3b9vC25{ez40)-%m~}zz zRJGlOhf6e<PWL=}JIvi9#b>McPQ}9ybmvAdk$fhs(cw^=w|Bils``_c{QEMtJeiRf z{yem3$?B5|@0t9$?>q^cY{6r+)KYTSwC6KKPR1;rx8W6+l!4)%_iL_aNUykYA;-mV z!m=suYV)65mJR&xZk1JdFw<)G60ZxN>zV&@ybW7^ciBgw(8jbLi7%PQX7&8sFOdB2 zy!~H!L~C(Qr>O^f>a^&W3=9nKnHU%(P+HuXdGX2lc_pb8C7>qb)=8(i4jBlzesB73 zU_arKS=4tgR>w6zB05eeyo~5xdQnBx<xlzI(3%A=md#dSE<P`Fh{M&}S;I)v?7+4q zL6XhSt=7FhWTV&|y|RaGVy?m2y{>!JPB}PPYX0tcAE?W%`XZQ3;wWdPMb!gl`>Cq0 zN_QC*=&0MyU7pTzT2*T6oynI<o}N4uqIR%mdF9So^_f3HGd~>QY!chD<FMubl?PW} z+VSsDu5ewH$(q)EB^sIGCuADlc1_{=qLkym`))zY<eLU9jwT%O0jbxMciI^pyCIz< zQo2F-+iwF&-+Ug&$TZ2KuUFso=CjX18`}p>aWjDk1_lN()Zhuos4Vs`DA6lP&Ox8> zHhOIJ&w-JF!JUbLK>$TpaY<>CURiE{uRoKa$e#R7{~yYlI!@E?JvRB{5znS$70OF% zPwxx3wd^g^>-FEac6V@2PqUh9ZoEE}b^W!{d1k&XA1^;Xd^g2pU%URLls@Je(v~ga zYZGU(Fiw-W@IH|D^dg;ATy|1i4c6k;HzKcQwJ;xLoAXsr#7lQiM+)ziBmO~K7@1qn z9bseUcgrrdYV_%qV_CCxLUhD!<tx_?*BIKgJ~%o-so&_*ytysMb!y)ClrH4vwD2uu zUs$@6x!StF+C=bK{)W8QQ=HqTdu*-x-RdSNFo#31(fOaK`e899yNi>zNpo!bD)bxF z<DRPiDYigyvWC}Wr};-@ihfD0+`gK*cD<W9=R`?<qtx3!+SSrcY!}~Tu=!st)3B|m z`_;FdIt{aTnx%1Cr?xHKAM$C>A>VZcm$mP_QBrh|&-x{FW<lWJwA7DiGe~y?1UB<9 zGBBuPbQ&R{osp7LJK>`DVFQ6<_qqSdzY9D#we;M=x0h$Qa<QiVdJvs)>xL|^r>EYo zU)N`g-F#C}eM)uz`+dLlHcRG|T$j!%WBGXaZ`QUM$ICzT30?iiRut;GVVcmk<^zYq zA`<OfWm_9v^1LoP&r6MzVmsHhu_x}nT;#_;Q}QEZ)=#^*OT&hjc_GKjcCT%3xcA?b zxzNUVpxcZk++d1<Q;4BT0~ep&OTjF6=C8*s_w4A&*MIy}GUv~&FZDVrSBG6$Udz1u z-`(AIdbazfEW4%H*)>Nn;bh?jdG-H6LXuDVY~G7L-n1s_*w=gh52L&TSAALk>_BAr zwKbm=h2Lifm<r##a_YQHy1K#1X0a0%52bfz1oZy=`;;A#|JQb!dh9KTI<%gVfnga7 z0|Pq)14Bx3fnG&!&eSQV^A;P3I9v~2`9ERftjH-sPI7B6tz?)i*2(Z_Vp^COe|pl? zBo;N*zrQYB$ymY|TJo^9_Pp)B>)ZD1%8xTDIFjm`QK#<X;<@90ZRN&G{1%mZ8Yd-h zlsURGNKd-_$!|i-w4WO<IbCi#!Dl|}A)|HG!L<oi@p@0CAO7N5<9ymFy{rAN`hu$} z-i*%~&K_JQYp2(+hh<ZV_SyWYnl}zCnCp{v=W!Ju&({X;ZBHbYipbbA6mr#_o4R_& zhIm=&sXfiUn>tcFlS2Q5M{0!&`>||!;4sN{armb;6}iSGU5|KHn=W3q`0DT4+f~;k z+~>@)te*Rf$Na8YY=*B9PgC$ky|)Ycc&={#$MOGtOF>AQ`bN*m-%K*4CTw<`=_^{P z=KVQw>a!JcZ&oKsO?_z|x~#Y4IA2ffh3^w(ROip-^;Yk8jeljoYTd=+&Z~-A1@VRv z(;3f}-fy`4_r#yI4`)vM-0(-?N|jv5Mk}%Y<k0>Lzb+MfY@WDk?%m=JUpu3lTT0i@ z_xrR&M)L#D^&s|n@`e1%Pif9LF==D(j(-lCuBO{2saq86Tpu6%?$xjR-!J>=>)&Gx z@MdIUU=U%zwQd_!f-y2MFl=iCu~1fVqif|sTUG?p0MR;!6L|qRXps@RUXWT?X$e{` z4&sCGwnj-VWW5E&Md(`5*9d{Mf$+9QHx#YKpcO;tn$hQwK^h@$P8LA6w>%{cT`T(J zEl4W}Z);Q)MAll7hprQStO}$HVrhX4l1{|P6}kbp(FSS|25gZ<HUK_sgKPk{x*K7@ zBXwj0P-}8zQ$QsENEa;9Kvf)w55n6T88uN%L8|c3%|Ng4K>8tJVUA)3q(Da3jb4Bw tbT8FHb^%0pMoJF40qBJo!hlEG@FFe1o0SbD!OOtQpu)_+uuT`l0|0bDesKT* literal 0 HcmV?d00001 diff --git a/src/ip/clk_wiz_0/clk_wiz_0.upgrade_log b/src/ip/clk_wiz_0/clk_wiz_0.upgrade_log new file mode 100644 index 0000000..6bd8d52 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.upgrade_log @@ -0,0 +1,332 @@ +Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +| Date : Thu Jan 21 16:58:14 2016 +| Host : WK86 running 64-bit Service Pack 1 (build 7601) +| Command : upgrade_ip +| Device : xc7a200tsbg484-1 +------------------------------------------------------------------------------------ + +Upgrade Log for IP 'clk_wiz_0' + +1. Summary +---------- + +CAUTION (success, with warnings) in the update of clk_wiz_0 (xilinx.com:ip:clk_wiz:5.2) to current project options. + +After upgrade, an IP may have parameter and port differences compared to the original customization. Please review the parameters within the IP customization GUI to ensure proper functionality. Also, please review the updated IP instantiation template to ensure proper connectivity, and update your design if required. + +2. Warnings +----------- + +WARNING: The upgraded user parameter set contained parameters that could not be mapped on to the upgraded IP. When checking the upgrade script, note that parameter names are case sensitive. + + +3. Interface Information +------------------------ + +Detected external interface differences while upgrading IP 'clk_wiz_0'. + + +-upgrade has removed interface 'clock_CLK_OUT2' +-upgrade has removed interface 'clock_CLK_OUT3' +-upgrade has removed interface 'clock_CLK_OUT4' +-upgrade has added interface 'reset' + +4. Connection Warnings +---------------------- + +Detected external port differences while upgrading IP 'clk_wiz_0'. These changes may impact your design. + + +-upgrade has removed port 'clk_out2' +-upgrade has removed port 'clk_out3' +-upgrade has removed port 'clk_out4' +-upgrade has added port 'reset' + +5. Customization warnings +------------------------- + +WARNING: Value 'sys_clock' is out of the range for parameter 'CLK IN1 BOARD INTERFACE(CLK_IN1_BOARD_INTERFACE)' for IP 'clk_wiz_0' . Valid values are - Custom, sys_diff_clock + +WARNING: Customization errors found on 'clk_wiz_0'. Restoring to previous valid configuration. + +WARNING: An attempt to modify the value of disabled parameter 'CLKOUT2_REQUESTED_OUT_FREQ' from '200.000' to '100.000' has been ignored for IP 'clk_wiz_0' + +WARNING: An attempt to modify the value of disabled parameter 'CLKOUT3_REQUESTED_OUT_FREQ' from '12.000' to '100.000' has been ignored for IP 'clk_wiz_0' + +WARNING: An attempt to modify the value of disabled parameter 'CLKOUT4_REQUESTED_OUT_FREQ' from '50.000' to '100.000' has been ignored for IP 'clk_wiz_0' + + +6. Debug Commands +----------------- + + The following debug information can be passed to Vivado as Tcl commands, +in order to validate or debug the output of the upgrade flow. + Please consult the warnings from the previous sections, and alter or remove +the configuration parameter(s) which caused the warning; then execute the Tcl +commands, and use the IP Customization GUI to verify the IP configuration. + +create_ip -vlnv xilinx.com:ip:clk_wiz:5.2 -user_name clk_wiz_0 +set_property -dict "\ + CONFIG.CALC_DONE empty \ + CONFIG.CDDCDONE_PORT cddcdone \ + CONFIG.CDDCREQ_PORT cddcreq \ + CONFIG.CLKFB_IN_N_PORT clkfb_in_n \ + CONFIG.CLKFB_IN_PORT clkfb_in \ + CONFIG.CLKFB_IN_P_PORT clkfb_in_p \ + CONFIG.CLKFB_IN_SIGNALING SINGLE \ + CONFIG.CLKFB_OUT_N_PORT clkfb_out_n \ + CONFIG.CLKFB_OUT_PORT clkfb_out \ + CONFIG.CLKFB_OUT_P_PORT clkfb_out_p \ + CONFIG.CLKFB_STOPPED_PORT clkfb_stopped \ + CONFIG.CLKIN1_JITTER_PS 100.0 \ + CONFIG.CLKIN1_UI_JITTER 0.010 \ + CONFIG.CLKIN2_JITTER_PS 100.0 \ + CONFIG.CLKIN2_UI_JITTER 0.010 \ + CONFIG.CLKOUT1_DRIVES BUFG \ + CONFIG.CLKOUT1_JITTER 151.366 \ + CONFIG.CLKOUT1_PHASE_ERROR 132.063 \ + CONFIG.CLKOUT1_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT1_REQUESTED_OUT_FREQ 100.000 \ + CONFIG.CLKOUT1_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT1_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT1_USED true \ + CONFIG.CLKOUT2_DRIVES BUFG \ + CONFIG.CLKOUT2_JITTER 132.221 \ + CONFIG.CLKOUT2_PHASE_ERROR 132.063 \ + CONFIG.CLKOUT2_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT2_REQUESTED_OUT_FREQ 200.000 \ + CONFIG.CLKOUT2_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT2_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT2_USED true \ + CONFIG.CLKOUT3_DRIVES BUFG \ + CONFIG.CLKOUT3_JITTER 231.952 \ + CONFIG.CLKOUT3_PHASE_ERROR 132.063 \ + CONFIG.CLKOUT3_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT3_REQUESTED_OUT_FREQ 12.000 \ + CONFIG.CLKOUT3_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT3_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT3_USED true \ + CONFIG.CLKOUT4_DRIVES BUFG \ + CONFIG.CLKOUT4_JITTER 174.353 \ + CONFIG.CLKOUT4_PHASE_ERROR 132.063 \ + CONFIG.CLKOUT4_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT4_REQUESTED_OUT_FREQ 50.000 \ + CONFIG.CLKOUT4_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT4_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT4_USED true \ + CONFIG.CLKOUT5_DRIVES BUFG \ + CONFIG.CLKOUT5_JITTER 0.0 \ + CONFIG.CLKOUT5_PHASE_ERROR 0.0 \ + CONFIG.CLKOUT5_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT5_REQUESTED_OUT_FREQ 100.000 \ + CONFIG.CLKOUT5_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT5_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT5_USED false \ + CONFIG.CLKOUT6_DRIVES BUFG \ + CONFIG.CLKOUT6_JITTER 0.0 \ + CONFIG.CLKOUT6_PHASE_ERROR 0.0 \ + CONFIG.CLKOUT6_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT6_REQUESTED_OUT_FREQ 100.000 \ + CONFIG.CLKOUT6_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT6_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT6_USED false \ + CONFIG.CLKOUT7_DRIVES BUFG \ + CONFIG.CLKOUT7_JITTER 0.0 \ + CONFIG.CLKOUT7_PHASE_ERROR 0.0 \ + CONFIG.CLKOUT7_REQUESTED_DUTY_CYCLE 50.000 \ + CONFIG.CLKOUT7_REQUESTED_OUT_FREQ 100.000 \ + CONFIG.CLKOUT7_REQUESTED_PHASE 0.000 \ + CONFIG.CLKOUT7_SEQUENCE_NUMBER 1 \ + CONFIG.CLKOUT7_USED false \ + CONFIG.CLKOUTPHY_REQUESTED_FREQ 600.000 \ + CONFIG.CLK_IN1_BOARD_INTERFACE sys_clock \ + CONFIG.CLK_IN2_BOARD_INTERFACE Custom \ + CONFIG.CLK_IN_SEL_PORT clk_in_sel \ + CONFIG.CLK_OUT1_PORT clk_out1 \ + CONFIG.CLK_OUT1_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT2_PORT clk_out2 \ + CONFIG.CLK_OUT2_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT3_PORT clk_out3 \ + CONFIG.CLK_OUT3_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT4_PORT clk_out4 \ + CONFIG.CLK_OUT4_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT5_PORT clk_out5 \ + CONFIG.CLK_OUT5_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT6_PORT clk_out6 \ + CONFIG.CLK_OUT6_USE_FINE_PS_GUI false \ + CONFIG.CLK_OUT7_PORT clk_out7 \ + CONFIG.CLK_OUT7_USE_FINE_PS_GUI false \ + CONFIG.CLK_VALID_PORT CLK_VALID \ + CONFIG.CLOCK_MGR_TYPE auto \ + CONFIG.Component_Name clk_wiz_0 \ + CONFIG.DADDR_PORT daddr \ + CONFIG.DCLK_PORT dclk \ + CONFIG.DEN_PORT den \ + CONFIG.DIFF_CLK_IN1_BOARD_INTERFACE Custom \ + CONFIG.DIFF_CLK_IN2_BOARD_INTERFACE Custom \ + CONFIG.DIN_PORT din \ + CONFIG.DOUT_PORT dout \ + CONFIG.DRDY_PORT drdy \ + CONFIG.DWE_PORT dwe \ + CONFIG.ENABLE_CDDC false \ + CONFIG.ENABLE_CLKOUTPHY false \ + CONFIG.FEEDBACK_SOURCE FDBK_AUTO \ + CONFIG.INPUT_CLK_STOPPED_PORT input_clk_stopped \ + CONFIG.INPUT_MODE frequency \ + CONFIG.INTERFACE_SELECTION Enable_AXI \ + CONFIG.IN_FREQ_UNITS Units_MHz \ + CONFIG.IN_JITTER_UNITS Units_UI \ + CONFIG.JITTER_OPTIONS UI \ + CONFIG.JITTER_SEL No_Jitter \ + CONFIG.LOCKED_PORT locked \ + CONFIG.MMCM_BANDWIDTH OPTIMIZED \ + CONFIG.MMCM_CLKFBOUT_MULT_F 6.000 \ + CONFIG.MMCM_CLKFBOUT_PHASE 0.000 \ + CONFIG.MMCM_CLKFBOUT_USE_FINE_PS false \ + CONFIG.MMCM_CLKIN1_PERIOD 10.0 \ + CONFIG.MMCM_CLKIN2_PERIOD 10.0 \ + CONFIG.MMCM_CLKOUT0_DIVIDE_F 6.000 \ + CONFIG.MMCM_CLKOUT0_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT0_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT0_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT1_DIVIDE 3 \ + CONFIG.MMCM_CLKOUT1_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT1_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT1_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT2_DIVIDE 50 \ + CONFIG.MMCM_CLKOUT2_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT2_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT2_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT3_DIVIDE 12 \ + CONFIG.MMCM_CLKOUT3_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT3_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT3_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT4_CASCADE false \ + CONFIG.MMCM_CLKOUT4_DIVIDE 1 \ + CONFIG.MMCM_CLKOUT4_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT4_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT4_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT5_DIVIDE 1 \ + CONFIG.MMCM_CLKOUT5_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT5_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT5_USE_FINE_PS false \ + CONFIG.MMCM_CLKOUT6_DIVIDE 1 \ + CONFIG.MMCM_CLKOUT6_DUTY_CYCLE 0.500 \ + CONFIG.MMCM_CLKOUT6_PHASE 0.000 \ + CONFIG.MMCM_CLKOUT6_USE_FINE_PS false \ + CONFIG.MMCM_CLOCK_HOLD false \ + CONFIG.MMCM_COMPENSATION ZHOLD \ + CONFIG.MMCM_DIVCLK_DIVIDE 1 \ + CONFIG.MMCM_NOTES None \ + CONFIG.MMCM_REF_JITTER1 0.010 \ + CONFIG.MMCM_REF_JITTER2 0.010 \ + CONFIG.MMCM_STARTUP_WAIT false \ + CONFIG.NUM_OUT_CLKS 4 \ + CONFIG.OVERRIDE_MMCM false \ + CONFIG.OVERRIDE_PLL false \ + CONFIG.PHASE_DUTY_CONFIG false \ + CONFIG.PLATFORM UNKNOWN \ + CONFIG.PLL_BANDWIDTH OPTIMIZED \ + CONFIG.PLL_CLKFBOUT_MULT 4 \ + CONFIG.PLL_CLKFBOUT_PHASE 0.000 \ + CONFIG.PLL_CLKIN_PERIOD 10.000 \ + CONFIG.PLL_CLKOUT0_DIVIDE 1 \ + CONFIG.PLL_CLKOUT0_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT0_PHASE 0.000 \ + CONFIG.PLL_CLKOUT1_DIVIDE 1 \ + CONFIG.PLL_CLKOUT1_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT1_PHASE 0.000 \ + CONFIG.PLL_CLKOUT2_DIVIDE 1 \ + CONFIG.PLL_CLKOUT2_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT2_PHASE 0.000 \ + CONFIG.PLL_CLKOUT3_DIVIDE 1 \ + CONFIG.PLL_CLKOUT3_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT3_PHASE 0.000 \ + CONFIG.PLL_CLKOUT4_DIVIDE 1 \ + CONFIG.PLL_CLKOUT4_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT4_PHASE 0.000 \ + CONFIG.PLL_CLKOUT5_DIVIDE 1 \ + CONFIG.PLL_CLKOUT5_DUTY_CYCLE 0.500 \ + CONFIG.PLL_CLKOUT5_PHASE 0.000 \ + CONFIG.PLL_CLK_FEEDBACK CLKFBOUT \ + CONFIG.PLL_COMPENSATION SYSTEM_SYNCHRONOUS \ + CONFIG.PLL_DIVCLK_DIVIDE 1 \ + CONFIG.PLL_NOTES None \ + CONFIG.PLL_REF_JITTER 0.010 \ + CONFIG.POWER_DOWN_PORT power_down \ + CONFIG.PRIMARY_PORT clk_in1 \ + CONFIG.PRIMITIVE MMCM \ + CONFIG.PRIMTYPE_SEL mmcm_adv \ + CONFIG.PRIM_IN_FREQ 100.000 \ + CONFIG.PRIM_IN_JITTER 0.010 \ + CONFIG.PRIM_IN_TIMEPERIOD 10.000 \ + CONFIG.PRIM_SOURCE Single_ended_clock_capable_pin \ + CONFIG.PSCLK_PORT psclk \ + CONFIG.PSDONE_PORT psdone \ + CONFIG.PSEN_PORT psen \ + CONFIG.PSINCDEC_PORT psincdec \ + CONFIG.RELATIVE_INCLK REL_PRIMARY \ + CONFIG.RESET_BOARD_INTERFACE Custom \ + CONFIG.RESET_PORT reset \ + CONFIG.RESET_TYPE ACTIVE_HIGH \ + CONFIG.SECONDARY_IN_FREQ 100.000 \ + CONFIG.SECONDARY_IN_JITTER 0.010 \ + CONFIG.SECONDARY_IN_TIMEPERIOD 10.000 \ + CONFIG.SECONDARY_PORT clk_in2 \ + CONFIG.SECONDARY_SOURCE Single_ended_clock_capable_pin \ + CONFIG.SS_MODE CENTER_HIGH \ + CONFIG.SS_MOD_FREQ 250 \ + CONFIG.SS_MOD_TIME 0.004 \ + CONFIG.STATUS_PORT STATUS \ + CONFIG.SUMMARY_STRINGS empty \ + CONFIG.USE_BOARD_FLOW false \ + CONFIG.USE_CLKFB_STOPPED false \ + CONFIG.USE_CLK_VALID false \ + CONFIG.USE_CLOCK_SEQUENCING false \ + CONFIG.USE_DYN_PHASE_SHIFT false \ + CONFIG.USE_DYN_RECONFIG false \ + CONFIG.USE_FREEZE false \ + CONFIG.USE_FREQ_SYNTH true \ + CONFIG.USE_INCLK_STOPPED false \ + CONFIG.USE_INCLK_SWITCHOVER false \ + CONFIG.USE_LOCKED true \ + CONFIG.USE_MAX_I_JITTER false \ + CONFIG.USE_MIN_O_JITTER false \ + CONFIG.USE_MIN_POWER false \ + CONFIG.USE_PHASE_ALIGNMENT true \ + CONFIG.USE_POWER_DOWN false \ + CONFIG.USE_RESET false \ + CONFIG.USE_SAFE_CLOCK_STARTUP false \ + CONFIG.USE_SPREAD_SPECTRUM false \ + CONFIG.USE_STATUS false " [get_ips clk_wiz_0] + + + + + + + +Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +| Date : Thu Jan 21 15:55:32 2016 +| Host : WK86 running 64-bit Service Pack 1 (build 7601) +| Command : upgrade_ip +| Device : xc7a200tsbg484-1 +------------------------------------------------------------------------------------ + +Upgrade Log for IP 'clk_wiz_0' + +1. Summary +---------- + +SUCCESS in the upgrade of clk_wiz_0 from xilinx.com:ip:clk_wiz:5.1 (Rev. 7) to xilinx.com:ip:clk_wiz:5.2 + +2. Upgrade messages +------------------- + +Removed parameter PRIM_IN_FREQ +Added parameter PRIM_IN_FREQ with value 100.000 (source 'default') + diff --git a/src/ip/clk_wiz_0/clk_wiz_0.v b/src/ip/clk_wiz_0/clk_wiz_0.v new file mode 100644 index 0000000..2e3a203 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.v @@ -0,0 +1,100 @@ +// file: clk_wiz_0.v +// +// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// Output Output Phase Duty Cycle Pk-to-Pk Phase +// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +//---------------------------------------------------------------------------- +// CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +// CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +// CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +// CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +// +//---------------------------------------------------------------------------- +// Input Clock Freq (MHz) Input Jitter (UI) +//---------------------------------------------------------------------------- +// __primary_________100.000____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "clk_wiz_0,clk_wiz_v5_2_0,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=4,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *) + +module clk_wiz_0 + ( + // Clock in ports + input clk_in1, + // Clock out ports + output clk_out1, + output clk_out2, + output clk_out3, + output clk_out4, + // Status and control signals + input reset, + output locked + ); + + clk_wiz_0_clk_wiz inst + ( + // Clock in ports + .clk_in1(clk_in1), + // Clock out ports + .clk_out1(clk_out1), + .clk_out2(clk_out2), + .clk_out3(clk_out3), + .clk_out4(clk_out4), + // Status and control signals + .reset(reset), + .locked(locked) + ); + +endmodule diff --git a/src/ip/clk_wiz_0/clk_wiz_0.vho b/src/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100644 index 0000000..c6b126b --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/src/ip/clk_wiz_0/clk_wiz_0.xci b/src/ip/clk_wiz_0/clk_wiz_0.xci new file mode 100644 index 0000000..79f1c0a --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.xci @@ -0,0 +1,525 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>clk_wiz_0</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="5.2"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CDDCDONE_PORT">cddcdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CDDCREQ_PORT">cddcreq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE">50.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE">50.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ">200.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ">200.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_USED">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE">50.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ">12.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ">12.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_USED">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE">50.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_USED">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_USED">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_USED">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_USED">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUTPHY_MODE">VCO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE">NA</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DADDR_PORT">daddr</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCLK_PORT">dclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEN_PORT">den</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_PORT">din</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_PORT">dout</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DRDY_PORT">drdy</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DWE_PORT">dwe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_CDDC">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW0">Input Clock Freq (MHz) Input Jitter (UI)</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW1">__primary_________100.000____________0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW2">no_secondary_input_clock </spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_SELECTION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_JITTER_SEL">No_Jitter</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCKED_PORT">locked</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE">3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE">50</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT">FALSE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_OUT_CLKS">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A"> Output Output Phase Duty Cycle Pk-to-Pk Phase</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B"> Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1">CLK_OUT1___100.000______0.000______50.0______151.366____132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2">CLK_OUT2___200.000______0.000______50.0______132.221____132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3">CLK_OUT3____12.000______0.000______50.0______231.952____132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4">CLK_OUT4____50.000______0.000______50.0______174.353____132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5">no_CLK_OUT5_output</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6">no_CLK_OUT6_output</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7">no_CLK_OUT7_output</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_MMCM">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_PLL">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLATFORM">UNKNOWN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD">1.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_NOTES">No notes</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_REF_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_DOWN_PORT">power_down</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMARY_PORT">clk_in1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMTYPE_SEL">AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSCLK_PORT">psclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSDONE_PORT">psdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSEN_PORT">psen</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSINCDEC_PORT">psincdec</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RESET_LOW">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RESET_PORT">reset</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_PORT">clk_in2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MODE">CENTER_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MOD_PERIOD">4000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SS_MOD_TIME">0.004</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_STATUS_PORT">STATUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH">11</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLK_VALID">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_RECONFIG">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FAST_SIMULATION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREEZE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREQ_SYNTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_STOPPED">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_LOCKED">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MAX_I_JITTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_O_JITTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_POWER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_POWER_DOWN">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_RESET">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_STATUS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_component_name">clk_wiz_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">151.366</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">132.221</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">200.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">231.952</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">12.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">174.353</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">clk_wiz_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">50</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a200t</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">sbg484</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2015.3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_OUT_CLKS" xilinx:valueSource="user"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/src/ip/clk_wiz_0/clk_wiz_0.xdc b/src/ip/clk_wiz_0/clk_wiz_0.xdc new file mode 100644 index 0000000..420a6de --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.xdc @@ -0,0 +1,59 @@ +# file: clk_wiz_0.xdc +# +# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system. If required +# commented constraints can be used in the top level xdc +#---------------------------------------------------------------- +# Connect to input port when clock capable pin is selected for input +create_clock -period 10.0 [get_ports clk_in1] +set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.10000000000000001 + + + diff --git a/src/ip/clk_wiz_0/clk_wiz_0.xml b/src/ip/clk_wiz_0/clk_wiz_0.xml new file mode 100644 index 0000000..66fd124 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0.xml @@ -0,0 +1,4933 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>clk_wiz_0</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>s_axi_lite</spirit:name> + <spirit:displayName>S_AXI_LITE</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_lite" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s_axi_aclk</spirit:name> + <spirit:displayName>s_axi_aclk</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_BUSIF">s_axi_lite</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_RESET">aresetn</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s_axi_resetn</spirit:name> + <spirit:displayName>S_AXI_RESETN</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.ASSOCIATED_RESET">aresetn</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_resetn" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>intr</spirit:name> + <spirit:displayName>Intr</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>INTERRUPT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>ip2intc_irpt</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.intr" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_IN1</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:presence>required</xilinx:presence> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_IN1" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Single_ended_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Single_ended_non_clock_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="No_buffer") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Global_buffer"))">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK_IN1_D</spirit:name> + <spirit:displayName>CLK_IN1_D</spirit:displayName> + <spirit:description>Differential Clock input</spirit:description> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_N</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in1_n</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_P</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in1_p</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:presence>required</xilinx:presence> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN1_D" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_non_clock_pin"))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_IN2</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN2.BOARD.ASSOCIATED_PARAM">CLK_IN2_BOARD_INTERFACE</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:presence>required</xilinx:presence> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_IN2" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Single_ended_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Single_ended_non_clock_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="No_buffer") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Global_buffer")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK_IN2_D</spirit:name> + <spirit:displayName>CLK_IN2_D</spirit:displayName> + <spirit:description>Differential Clock input</spirit:description> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_N</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in2_n</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_P</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_in2_p</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.BOARD.ASSOCIATED_PARAM">CLK_IN2_BOARD_INTERFACE</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:presence>required</xilinx:presence> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN2_D" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_non_clock_pin")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLKFB_IN</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_in</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLKFB_IN" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))!=1) or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO_OFFCHIP") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))!="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLKFB_IN_D</spirit:name> + <spirit:displayName>CLKFB_IN_D</spirit:displayName> + <spirit:description>Differential Feedback Clock input</spirit:description> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_N</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_in_n</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_P</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_in_p</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_IN_D" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT1</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT2</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT2.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT2.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT2" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_USED'))=1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT3</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT3.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT3.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT3" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_USED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT4</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out4</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT4.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT4.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT4" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_USED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT5</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out5</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT5.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT5.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT5" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT6</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out6</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT6.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT6.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT6" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLK_OUT7</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clk_out7</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT7.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT7.ASSOCIATED_MMCM_LOCK">locked</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLK_OUT7" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>clock_CLKFB_OUT</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_out</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.clock_CLKFB_OUT" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))!=1) or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO_OFFCHIP") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))!="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLKFB_OUT_D</spirit:name> + <spirit:displayName>CLKFB_OUT_D</spirit:displayName> + <spirit:description>Differential Feeback Clock Output</spirit:description> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_N</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_out_n</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK_P</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>clkfb_out_p</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_OUT_D" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>reset</spirit:name> + <spirit:displayName>reset</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>reset</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.POLARITY">ACTIVE_HIGH</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_RESET'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_RESET_LOW'))=0) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>resetn</spirit:name> + <spirit:displayName>resetn</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>resetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_RESET'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_RESET_LOW'))=1) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s_drp</spirit:name> + <spirit:displayName>s_drp</spirit:displayName> + <spirit:description>Slave DRP Port</spirit:description> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="drp" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="drp_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>daddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>den</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DI</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>din</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DO</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>dout</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DRDY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>drdy</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DWE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>dwe</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_drp" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_vhdlinstantiationtemplate</spirit:name> + <spirit:displayName>VHDL Instantiation Template</spirit:displayName> + <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.template</spirit:envIdentifier> + <spirit:language>vhdl</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:32 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagesynthesis</spirit:name> + <spirit:displayName>Synthesis</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagesynthesiswrapper</spirit:name> + <spirit:displayName>Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name> + <spirit:displayName>Simulation</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b7329546</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagesimulationwrapper</spirit:name> + <spirit:displayName>Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b7329546</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_implementation</spirit:name> + <spirit:displayName>Implementation</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:implementation</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_implementation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_versioninformation</spirit:name> + <spirit:displayName>Version Information</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:docs.versioninfo</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_versioninformation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:11:33 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>df5bfb27</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>893de65f</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Fri Jan 22 01:13:26 UTC 2016</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>StaleAtRelink</spirit:name> + <spirit:value>false</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>s_axi_aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aresetn" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH')) - 1)">10</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH')) div 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH')) - 1)">10</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION'))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Single_ended_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Single_ended_non_clock_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="No_buffer") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Global_buffer"))">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in1_p</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_p" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_non_clock_pin"))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in1_n</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_n" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_PRIM_SOURCE'))="Differential_non_clock_pin"))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Single_ended_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Single_ended_non_clock_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="No_buffer") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Global_buffer")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in2_p</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_p" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_non_clock_pin")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in2_n</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_n" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_clock_capable_pin") or (spirit:decode(id('MODELPARAM_VALUE.C_SECONDARY_SOURCE'))="Differential_non_clock_pin")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_in_sel</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in_sel" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_in</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))!=1) or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO_OFFCHIP") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))!="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_in_p</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_p" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_in_n</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_n" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>daddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.daddr" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>dclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.dclk" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>den</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.den" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>din</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.din" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>dout</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.dout" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>drdy</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.drdy" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>dwe</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.dwe" xilinx:dependency="spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_RECONFIG')) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>psclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.psclk" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>psen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.psen" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>psincdec</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.psincdec" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>psdone</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.psdone" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>clk_out1_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out1_ce" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT1_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT1_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT1_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out1_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out1_clr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT1_DRIVES'))="BUFR")">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out2" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_USED'))=1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out2_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out2_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out2_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out2_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT2_USED'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out3" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_USED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out3_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out3_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out3_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out3_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT3_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out4</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out4" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_USED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out4_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out4_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out4_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out4_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT4_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out5</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out5" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out5_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out5_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out5_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out5_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT5_USED'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out6</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out6" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out6_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out6_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out6_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out6_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT6_USED'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out7</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out7" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_USED'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out7_ce</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out7_ce" xilinx:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_DRIVES'))="BUFGCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_DRIVES'))="BUFHCE") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_DRIVES'))="BUFR")) and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_USED'))=1)) and (spirit:decode(id('MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP'))=0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clk_out7_clr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_out7_clr" xilinx:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_DRIVES'))="BUFR") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKOUT7_USED'))=1))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_out</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))!=1) or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO_OFFCHIP") or (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))!="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_out_p</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_p" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_out_n</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_n" xilinx:dependency="((((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and ((spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))!="FDBK_AUTO") or (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) or ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP"))) and ((spirit:decode(id('MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_FEEDBACK_SOURCE'))="FDBK_AUTO_OFFCHIP") and (spirit:decode(id('MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING'))="DIFF")))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>reset</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_RESET'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_RESET_LOW'))=0) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>resetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_RESET'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_RESET_LOW'))=1) and (not spirit:decode(id('MODELPARAM_VALUE.C_INTERFACE_SELECTION')))">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>power_down</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.power_down" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_POWER_DOWN'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>input_clk_stopped</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.input_clk_stopped" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_INCLK_STOPPED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_PRIMITIVE'))="MMCM")">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>clkfb_stopped</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_stopped" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_CLKFB_STOPPED'))=1) and (spirit:decode(id('MODELPARAM_VALUE.C_PRIMITIVE'))="MMCM")">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>locked</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.locked" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_USE_LOCKED'))=1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>cddcdone</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.cddcdone" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_HAS_CDDC'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>cddcreq</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.cddcreq" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_HAS_CDDC'))=1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT2_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_USED" spirit:order="194">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT3_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_USED" spirit:order="195">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT4_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_USED" spirit:order="196">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT5_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_USED" spirit:order="197">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT6_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_USED" spirit:order="198">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT7_USED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_USED" spirit:order="199">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLKOUT1_BAR</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR" spirit:order="200">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLKOUT2_BAR</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR" spirit:order="201">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLKOUT3_BAR</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR" spirit:order="202">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLKOUT4_BAR</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR" spirit:order="203">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>c_component_name</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_component_name">clk_wiz_0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLATFORM</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLATFORM" spirit:order="204">UNKNOWN</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_FREQ_SYNTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREQ_SYNTH" spirit:order="205">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_PHASE_ALIGNMENT</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT" spirit:order="206">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIM_IN_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_JITTER" spirit:order="207">0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SECONDARY_IN_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER" spirit:order="208">0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_JITTER_SEL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_JITTER_SEL" spirit:order="209">No_Jitter</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_MIN_POWER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_POWER" spirit:order="210">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_MIN_O_JITTER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_O_JITTER" spirit:order="211">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_MAX_I_JITTER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MAX_I_JITTER" spirit:order="212">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_DYN_PHASE_SHIFT</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT" spirit:order="213">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_INCLK_SWITCHOVER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER" spirit:order="214">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_DYN_RECONFIG</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_RECONFIG" spirit:order="215">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_SPREAD_SPECTRUM</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM" spirit:order="216">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_FAST_SIMULATION</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FAST_SIMULATION" spirit:order="217">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIMTYPE_SEL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMTYPE_SEL" spirit:order="218">AUTO</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLK_VALID</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLK_VALID" spirit:order="219">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIM_IN_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_FREQ" spirit:order="220">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIM_IN_TIMEPERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD" spirit:order="220.001">10.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_IN_FREQ_UNITS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IN_FREQ_UNITS" spirit:order="221">Units_MHz</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SECONDARY_IN_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ" spirit:order="222">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SECONDARY_IN_TIMEPERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD" spirit:order="222.001">10.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_FEEDBACK_SOURCE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FEEDBACK_SOURCE" spirit:order="223">FDBK_AUTO</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIM_SOURCE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_SOURCE" spirit:order="224">Single_ended_clock_capable_pin</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SECONDARY_SOURCE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_SOURCE" spirit:order="225">Single_ended_clock_capable_pin</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_IN_SIGNALING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING" spirit:order="226">SINGLE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_RESET</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_RESET" spirit:order="227">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_RESET_LOW</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_LOW" spirit:order="408">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_LOCKED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_LOCKED" spirit:order="228">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_INCLK_STOPPED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_STOPPED" spirit:order="229">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLKFB_STOPPED</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED" spirit:order="230">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_POWER_DOWN</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_POWER_DOWN" spirit:order="231">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_STATUS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_STATUS" spirit:order="232">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_FREEZE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREEZE" spirit:order="233">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_NUM_OUT_CLKS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_OUT_CLKS" spirit:order="234">4</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DRIVES" spirit:order="235">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DRIVES" spirit:order="236">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DRIVES" spirit:order="237">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DRIVES" spirit:order="238">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DRIVES" spirit:order="239">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DRIVES" spirit:order="240">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_DRIVES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DRIVES" spirit:order="241">BUFG</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_INCLK_SUM_ROW0</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW0" spirit:order="242">Input Clock Freq (MHz) Input Jitter (UI)</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_INCLK_SUM_ROW1</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW1" spirit:order="243">__primary_________100.000____________0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_INCLK_SUM_ROW2</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW2" spirit:order="244">no_secondary_input_clock </spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW0A</spirit:name> + <spirit:displayName>C Outclk Sum Row0a</spirit:displayName> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A" spirit:order="245"> Output Output Phase Duty Cycle Pk-to-Pk Phase</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW0B</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B" spirit:order="246"> Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW1</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1" spirit:order="247">CLK_OUT1___100.000______0.000______50.0______151.366____132.063</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW2</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2" spirit:order="248">CLK_OUT2___200.000______0.000______50.0______132.221____132.063</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW3</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3" spirit:order="249">CLK_OUT3____12.000______0.000______50.0______231.952____132.063</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW4</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4" spirit:order="250">CLK_OUT4____50.000______0.000______50.0______174.353____132.063</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW5</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5" spirit:order="251">no_CLK_OUT5_output</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW6</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6" spirit:order="252">no_CLK_OUT6_output</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_OUTCLK_SUM_ROW7</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7" spirit:order="253">no_CLK_OUT7_output</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="254">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="255">200.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="256">12.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="257">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="258">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="259">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="260">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE" spirit:order="261">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE" spirit:order="262">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE" spirit:order="263">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE" spirit:order="264">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE" spirit:order="265">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE" spirit:order="266">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE" spirit:order="267">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="268">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="269">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="270">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="271">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="272">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="273">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="274">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ" spirit:order="275">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ" spirit:order="276">200.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ" spirit:order="277">12.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ" spirit:order="278">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ" spirit:order="279">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ" spirit:order="280">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ" spirit:order="281">100.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_PHASE" spirit:order="282">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_PHASE" spirit:order="283">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_PHASE" spirit:order="284">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_PHASE" spirit:order="285">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_PHASE" spirit:order="286">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_PHASE" spirit:order="287">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_PHASE" spirit:order="288">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT1_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE" spirit:order="289">50.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT2_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE" spirit:order="290">50.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT3_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE" spirit:order="291">50.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT4_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE" spirit:order="292">50.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT5_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE" spirit:order="293">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT6_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE" spirit:order="294">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUT7_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE" spirit:order="295">50.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_SAFE_CLOCK_STARTUP</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP" spirit:order="500">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_USE_CLOCK_SEQUENCING</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING" spirit:order="501">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT1_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER" spirit:order="502">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT2_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER" spirit:order="503">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT3_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER" spirit:order="504">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT4_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER" spirit:order="505">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT5_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER" spirit:order="506">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT6_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER" spirit:order="507">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_CLKOUT7_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER" spirit:order="508">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_NOTES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_NOTES" spirit:order="296">None</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_BANDWIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_BANDWIDTH" spirit:order="297">OPTIMIZED</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKFBOUT_MULT_F</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F" spirit:order="298">6.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKIN1_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD" spirit:order="299">10.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKIN2_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD" spirit:order="300">10.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT4_CASCADE</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE" spirit:order="301">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLOCK_HOLD</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD" spirit:order="302">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_COMPENSATION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_COMPENSATION" spirit:order="303">ZHOLD</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_DIVCLK_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE" spirit:order="304">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_REF_JITTER1</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER1" spirit:order="305">0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_REF_JITTER2</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER2" spirit:order="306">0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_STARTUP_WAIT</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT" spirit:order="307">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT0_DIVIDE_F</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F" spirit:order="308">6.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT1_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE" spirit:order="309">3</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT2_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE" spirit:order="310">50</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT3_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE" spirit:order="311">12</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT4_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE" spirit:order="312">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT5_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE" spirit:order="313">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_MMCM_CLKOUT6_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE" spirit:order="314">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT0_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="315">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT1_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="316">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT2_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="317">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT3_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="318">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT4_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="319">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT5_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="320">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT6_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="321">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKFBOUT_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE" spirit:order="322">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT0_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE" spirit:order="323">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT1_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE" spirit:order="324">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT2_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE" spirit:order="325">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT3_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE" spirit:order="326">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT4_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE" spirit:order="327">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT5_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE" spirit:order="328">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT6_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE" spirit:order="329">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKFBOUT_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="330">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT0_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS" spirit:order="331">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT1_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS" spirit:order="332">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT2_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS" spirit:order="333">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT3_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS" spirit:order="334">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT4_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS" spirit:order="335">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT5_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS" spirit:order="336">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_MMCM_CLKOUT6_USE_FINE_PS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS" spirit:order="337">FALSE</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_NOTES</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_NOTES" spirit:order="338">No notes</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_BANDWIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_BANDWIDTH" spirit:order="339">OPTIMIZED</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLK_FEEDBACK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK" spirit:order="340">CLKFBOUT</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKFBOUT_MULT</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT" spirit:order="341">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKIN_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD" spirit:order="342">1.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_COMPENSATION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_COMPENSATION" spirit:order="343">SYSTEM_SYNCHRONOUS</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_DIVCLK_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE" spirit:order="344">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_REF_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_REF_JITTER" spirit:order="345">0.010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT0_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE" spirit:order="346">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT1_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE" spirit:order="347">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT2_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE" spirit:order="348">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT3_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE" spirit:order="349">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT4_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE" spirit:order="350">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_PLL_CLKOUT5_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE" spirit:order="351">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT0_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE" spirit:order="352">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT1_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE" spirit:order="353">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT2_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE" spirit:order="354">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT3_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE" spirit:order="355">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT4_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE" spirit:order="356">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT5_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE" spirit:order="357">0.500</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKFBOUT_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE" spirit:order="358">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT0_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE" spirit:order="359">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT1_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE" spirit:order="360">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT2_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE" spirit:order="361">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT3_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE" spirit:order="362">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT4_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE" spirit:order="363">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PLL_CLKOUT5_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE" spirit:order="364">0.000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLOCK_MGR_TYPE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE" spirit:order="365">NA</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_OVERRIDE_MMCM</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_MMCM" spirit:order="366">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_OVERRIDE_PLL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_PLL" spirit:order="367">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIMARY_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMARY_PORT" spirit:order="368">clk_in1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SECONDARY_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_PORT" spirit:order="369">clk_in2</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT1_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT1_PORT" spirit:order="370">clk_out1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT2_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT2_PORT" spirit:order="371">clk_out2</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT3_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT3_PORT" spirit:order="372">clk_out3</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT4_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT4_PORT" spirit:order="373">clk_out4</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT5_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT5_PORT" spirit:order="374">clk_out5</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT6_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT6_PORT" spirit:order="375">clk_out6</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_OUT7_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT7_PORT" spirit:order="376">clk_out7</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_RESET_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_PORT" spirit:order="377">reset</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_LOCKED_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCKED_PORT" spirit:order="378">locked</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_IN_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_PORT" spirit:order="379">clkfb_in</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_IN_P_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT" spirit:order="380">clkfb_in_p</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_IN_N_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT" spirit:order="381">clkfb_in_n</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_OUT_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_PORT" spirit:order="382">clkfb_out</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_OUT_P_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT" spirit:order="383">clkfb_out_p</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_OUT_N_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT" spirit:order="384">clkfb_out_n</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_POWER_DOWN_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_DOWN_PORT" spirit:order="385">power_down</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DADDR_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DADDR_PORT" spirit:order="386">daddr</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DCLK_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DCLK_PORT" spirit:order="387">dclk</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DRDY_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DRDY_PORT" spirit:order="388">drdy</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DWE_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DWE_PORT" spirit:order="389">dwe</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DIN_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIN_PORT" spirit:order="390">din</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DOUT_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DOUT_PORT" spirit:order="391">dout</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_DEN_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DEN_PORT" spirit:order="392">den</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PSCLK_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSCLK_PORT" spirit:order="393">psclk</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PSEN_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSEN_PORT" spirit:order="394">psen</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PSINCDEC_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSINCDEC_PORT" spirit:order="395">psincdec</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PSDONE_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSDONE_PORT" spirit:order="396">psdone</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_VALID_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_VALID_PORT" spirit:order="397">CLK_VALID</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_STATUS_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_STATUS_PORT" spirit:order="398">STATUS</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLK_IN_SEL_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT" spirit:order="399">clk_in_sel</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_INPUT_CLK_STOPPED_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT" spirit:order="400">input_clk_stopped</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKFB_STOPPED_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT" spirit:order="401">clkfb_stopped</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKIN1_JITTER_PS</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS" spirit:order="402">100.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKIN2_JITTER_PS</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS" spirit:order="403">100.0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_PRIMITIVE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMITIVE" spirit:order="404">MMCM</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SS_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MODE" spirit:order="405">CENTER_HIGH</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_SS_MOD_PERIOD</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_PERIOD" spirit:order="406">4000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_SS_MOD_TIME</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_TIME" spirit:order="406.001">0.004</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_HAS_CDDC</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_HAS_CDDC" spirit:order="407">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CDDCDONE_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCDONE_PORT" spirit:order="408">cddcdone</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CDDCREQ_PORT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCREQ_PORT" spirit:order="409">cddcreq</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="STRING"> + <spirit:name>C_CLKOUTPHY_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUTPHY_MODE" spirit:order="410">VCO</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_ENABLE_CLKOUTPHY</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY" spirit:order="411">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_INTERFACE_SELECTION</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTERFACE_SELECTION" spirit:order="412">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_S_AXI_ADDR_WIDTH</spirit:name> + <spirit:displayName>C S Axi Addr Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH" spirit:order="215" spirit:minimum="2" spirit:maximum="32" spirit:rangeType="long">11</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="INTEGER"> + <spirit:name>C_S_AXI_DATA_WIDTH</spirit:name> + <spirit:displayName>C S Axi Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH" spirit:order="216" spirit:minimum="32" spirit:maximum="128" spirit:rangeType="long">32</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_876bfc32</spirit:name> + <spirit:enumeration>UI</spirit:enumeration> + <spirit:enumeration>PS</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_a9bdfce0</spirit:name> + <spirit:enumeration>LOW</spirit:enumeration> + <spirit:enumeration>HIGH</spirit:enumeration> + <spirit:enumeration>OPTIMIZED</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_ac75ef1e</spirit:name> + <spirit:enumeration>Custom</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_b9d38208</spirit:name> + <spirit:enumeration>CLKFBOUT</spirit:enumeration> + <spirit:enumeration>CLKOUT0</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_e099fe6c</spirit:name> + <spirit:enumeration>MMCM</spirit:enumeration> + <spirit:enumeration>PLL</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_035ca1c3</spirit:name> + <spirit:enumeration spirit:text="SYSTEM SYNCHRONOUS">SYSTEM_SYNCHRONOUS</spirit:enumeration> + <spirit:enumeration spirit:text="SOURCE SYNCHRONOUS">SOURCE_SYNCHRONOUS</spirit:enumeration> + <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration> + <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_0920eb1b</spirit:name> + <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration> + <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_11d71346</spirit:name> + <spirit:enumeration spirit:text="Single ended clock capable pin">Single_ended_clock_capable_pin</spirit:enumeration> + <spirit:enumeration spirit:text="Differential clock capable pin">Differential_clock_capable_pin</spirit:enumeration> + <spirit:enumeration spirit:text="Global buffer">Global_buffer</spirit:enumeration> + <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_15c806d5</spirit:name> + <spirit:enumeration spirit:text="Automatic Control On-Chip">FDBK_AUTO</spirit:enumeration> + <spirit:enumeration spirit:text="Automatic Control Off-Chip">FDBK_AUTO_OFFCHIP</spirit:enumeration> + <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration> + <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_3c2d3ec7</spirit:name> + <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration> + <spirit:enumeration spirit:text="Differential">DIFF</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_502d9f23</spirit:name> + <spirit:enumeration spirit:text="ZHOLD">ZHOLD</spirit:enumeration> + <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration> + <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration> + <spirit:enumeration spirit:text="BUF IN">BUF_IN</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_66e4c81f</spirit:name> + <spirit:enumeration spirit:text="BUFG">BUFG</spirit:enumeration> + <spirit:enumeration spirit:text="BUFH">BUFH</spirit:enumeration> + <spirit:enumeration spirit:text="BUFGCE">BUFGCE</spirit:enumeration> + <spirit:enumeration spirit:text="BUFHCE">BUFHCE</spirit:enumeration> + <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_8b28f1f7</spirit:name> + <spirit:enumeration spirit:text="AXI4Lite">Enable_AXI</spirit:enumeration> + <spirit:enumeration spirit:text="DRP">Enable_DRP</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_8eea9b32</spirit:name> + <spirit:enumeration spirit:text="Units MHz">Units_MHz</spirit:enumeration> + <spirit:enumeration spirit:text="Units ns">Units_ns</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_a4fbc00c</spirit:name> + <spirit:enumeration spirit:text="Active High">ACTIVE_HIGH</spirit:enumeration> + <spirit:enumeration spirit:text="Active Low">ACTIVE_LOW</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_a8642b4c</spirit:name> + <spirit:enumeration spirit:text="Balanced">No_Jitter</spirit:enumeration> + <spirit:enumeration spirit:text="Minimize Output Jitter">Min_O_Jitter</spirit:enumeration> + <spirit:enumeration spirit:text="Maximize Input Jitter filtering">Max_I_Jitter</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_c5ef7212</spirit:name> + <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration> + <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_e1c87518</spirit:name> + <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration> + <spirit:enumeration spirit:text="Secondary Clock">REL_SECONDARY</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_f4e10086</spirit:name> + <spirit:enumeration spirit:text="CENTER HIGH">CENTER_HIGH</spirit:enumeration> + <spirit:enumeration spirit:text="CENTER LOW">CENTER_LOW</spirit:enumeration> + <spirit:enumeration spirit:text="DOWN HIGH">DOWN_HIGH</spirit:enumeration> + <spirit:enumeration spirit:text="DOWN LOW">DOWN_LOW</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_f669c2f5</spirit:name> + <spirit:enumeration spirit:text="Frequency">frequency</spirit:enumeration> + <spirit:enumeration spirit:text="Time">Time</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.vho</spirit:name> + <spirit:userFileType>vhdlTemplate</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:define> + <spirit:name>processing_order</spirit:name> + <spirit:value>early</spirit:value> + </spirit:define> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_implementation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0_board.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_board</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_versioninformation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>doc/clk_wiz_v5_2_changelog.txt</spirit:name> + <spirit:userFileType>text</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>The Clocking Wizard creates an HDL file (Verilog or VHDL) that contains a clocking circuit customized to the user's clocking requirements.</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">clk_wiz_0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIMITIVE</spirit:name> + <spirit:displayName>Primitive</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMITIVE" spirit:choiceRef="choice_list_e099fe6c" spirit:order="2">MMCM</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIMTYPE_SEL</spirit:name> + <spirit:displayName>Primtype Sel</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMTYPE_SEL" spirit:order="3">mmcm_adv</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLOCK_MGR_TYPE</spirit:name> + <spirit:displayName>Clock Mgr Type</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLOCK_MGR_TYPE" spirit:order="410">auto</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_FREQ_SYNTH</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREQ_SYNTH" spirit:order="6" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_SPREAD_SPECTRUM</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SPREAD_SPECTRUM" spirit:order="7" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_PHASE_ALIGNMENT</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_PHASE_ALIGNMENT" spirit:order="8" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_MIN_POWER</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_POWER" spirit:order="9" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_DYN_PHASE_SHIFT</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_PHASE_SHIFT" spirit:order="10" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_DYN_RECONFIG</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_RECONFIG" spirit:order="11" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>JITTER_SEL</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_SEL" spirit:choiceRef="choice_pairs_a8642b4c" spirit:order="13" spirit:configGroups="0 NoDisplay">No_Jitter</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIM_IN_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_FREQ" spirit:order="14.401" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIM_IN_TIMEPERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_TIMEPERIOD" spirit:order="14.9" spirit:configGroups="0 NoDisplay">10.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>IN_FREQ_UNITS</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_FREQ_UNITS" spirit:choiceRef="choice_pairs_8eea9b32" spirit:order="15" spirit:configGroups="0 NoDisplay">Units_MHz</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>IN_JITTER_UNITS</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_JITTER_UNITS" spirit:choiceRef="choice_pairs_c5ef7212" spirit:order="16" spirit:configGroups="0 NoDisplay">Units_UI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RELATIVE_INCLK</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RELATIVE_INCLK" spirit:choiceRef="choice_pairs_e1c87518" spirit:order="17" spirit:configGroups="0 NoDisplay">REL_PRIMARY</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_INCLK_SWITCHOVER</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_SWITCHOVER" spirit:order="13.9" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SECONDARY_IN_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_FREQ" spirit:order="21.3" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SECONDARY_IN_TIMEPERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD" spirit:order="21.299" spirit:configGroups="0 NoDisplay">10.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SECONDARY_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_PORT" spirit:order="20" spirit:configGroups="0 NoDisplay">clk_in2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SECONDARY_SOURCE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="21" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>JITTER_OPTIONS</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_OPTIONS" spirit:choiceRef="choice_list_876bfc32" spirit:order="22" spirit:configGroups="0 NoDisplay">UI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKIN1_UI_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_UI_JITTER" spirit:order="23" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKIN2_UI_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_UI_JITTER" spirit:order="24" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIM_IN_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_JITTER" spirit:order="25" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SECONDARY_IN_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_JITTER" spirit:order="26" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKIN1_JITTER_PS</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_JITTER_PS" spirit:order="27" spirit:configGroups="0 NoDisplay">100.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKIN2_JITTER_PS</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_JITTER_PS" spirit:order="28" spirit:configGroups="0 NoDisplay">100.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_USED" spirit:order="4" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_USED" spirit:order="29" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_USED" spirit:order="30" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_USED" spirit:order="31" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_USED" spirit:order="32" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_USED" spirit:order="33" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_USED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_USED" spirit:order="34" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_OUT_CLKS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_OUT_CLKS" spirit:order="407" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT1_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI" spirit:order="36" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT2_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI" spirit:order="37" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT3_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI" spirit:order="38" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT4_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI" spirit:order="39" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT5_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI" spirit:order="40" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT6_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI" spirit:order="41" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT7_USE_FINE_PS_GUI</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI" spirit:order="42" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIMARY_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMARY_PORT" spirit:order="43" spirit:configGroups="0 NoDisplay">clk_in1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT1_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_PORT" spirit:order="44" spirit:configGroups="0 NoDisplay">clk_out1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT2_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_PORT" spirit:order="45" spirit:configGroups="0 NoDisplay">clk_out2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT3_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_PORT" spirit:order="46" spirit:configGroups="0 NoDisplay">clk_out3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT4_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_PORT" spirit:order="47" spirit:configGroups="0 NoDisplay">clk_out4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT5_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_PORT" spirit:order="48" spirit:configGroups="0 NoDisplay">clk_out5</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT6_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_PORT" spirit:order="49" spirit:configGroups="0 NoDisplay">clk_out6</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_OUT7_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_PORT" spirit:order="50" spirit:configGroups="0 NoDisplay">clk_out7</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DADDR_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DADDR_PORT" spirit:order="51" spirit:configGroups="0 NoDisplay">daddr</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DCLK_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DCLK_PORT" spirit:order="52" spirit:configGroups="0 NoDisplay">dclk</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DRDY_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DRDY_PORT" spirit:order="53" spirit:configGroups="0 NoDisplay">drdy</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DWE_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DWE_PORT" spirit:order="54" spirit:configGroups="0 NoDisplay">dwe</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DIN_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIN_PORT" spirit:order="55" spirit:configGroups="0 NoDisplay">din</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DOUT_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DOUT_PORT" spirit:order="56" spirit:configGroups="0 NoDisplay">dout</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DEN_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DEN_PORT" spirit:order="57" spirit:configGroups="0 NoDisplay">den</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PSCLK_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSCLK_PORT" spirit:order="58" spirit:configGroups="0 NoDisplay">psclk</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PSEN_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSEN_PORT" spirit:order="59" spirit:configGroups="0 NoDisplay">psen</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PSINCDEC_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSINCDEC_PORT" spirit:order="60" spirit:configGroups="0 NoDisplay">psincdec</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PSDONE_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSDONE_PORT" spirit:order="61" spirit:configGroups="0 NoDisplay">psdone</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="62" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE" spirit:order="63" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="64" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="65" spirit:configGroups="0 NoDisplay">200.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE" spirit:order="66" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="67" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="68" spirit:configGroups="0 NoDisplay">12.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE" spirit:order="69" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="70" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="71" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE" spirit:order="72" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="73" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="74" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE" spirit:order="75" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="76" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="77" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE" spirit:order="78" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="79" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_REQUESTED_OUT_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="80" spirit:configGroups="0 NoDisplay">100.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_REQUESTED_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE" spirit:order="81" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="82" spirit:configGroups="0 NoDisplay">50.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_MAX_I_JITTER</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MAX_I_JITTER" spirit:order="83" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_MIN_O_JITTER</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_O_JITTER" spirit:order="84" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PRIM_SOURCE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="14.1" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="86" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="87" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="88" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="89" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="90" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="91" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_DRIVES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="92" spirit:configGroups="0 NoDisplay">BUFG</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FEEDBACK_SOURCE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.FEEDBACK_SOURCE" spirit:choiceRef="choice_pairs_15c806d5" spirit:order="93" spirit:configGroups="0 NoDisplay">FDBK_AUTO</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_IN_SIGNALING</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_SIGNALING" spirit:choiceRef="choice_pairs_3c2d3ec7" spirit:order="94" spirit:configGroups="0 NoDisplay">SINGLE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_IN_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_PORT" spirit:order="95" spirit:configGroups="0 NoDisplay">clkfb_in</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_IN_P_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_P_PORT" spirit:order="96" spirit:configGroups="0 NoDisplay">clkfb_in_p</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_IN_N_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_N_PORT" spirit:order="97" spirit:configGroups="0 NoDisplay">clkfb_in_n</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_OUT_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_PORT" spirit:order="98" spirit:configGroups="0 NoDisplay">clkfb_out</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_OUT_P_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_P_PORT" spirit:order="99" spirit:configGroups="0 NoDisplay">clkfb_out_p</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_OUT_N_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_N_PORT" spirit:order="100" spirit:configGroups="0 NoDisplay">clkfb_out_n</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLATFORM</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLATFORM" spirit:order="101" spirit:configGroups="0 NoDisplay">UNKNOWN</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUMMARY_STRINGS</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SUMMARY_STRINGS" spirit:order="102" spirit:configGroups="0 NoDisplay">empty</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_LOCKED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_LOCKED" spirit:order="103" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CALC_DONE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CALC_DONE" spirit:order="104" spirit:configGroups="0 NoDisplay">empty</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_RESET</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_RESET" spirit:order="105" spirit:configGroups="0 NoDisplay">true</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_POWER_DOWN</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_POWER_DOWN" spirit:order="106" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_STATUS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_STATUS" spirit:order="107" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_FREEZE</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREEZE" spirit:order="108" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_CLK_VALID</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLK_VALID" spirit:order="109" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_INCLK_STOPPED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_STOPPED" spirit:order="110" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_CLKFB_STOPPED</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLKFB_STOPPED" spirit:order="111" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RESET_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_PORT" spirit:order="409" spirit:configGroups="0 NoDisplay">reset</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>LOCKED_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.LOCKED_PORT" spirit:order="113" spirit:configGroups="0 NoDisplay">locked</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>POWER_DOWN_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.POWER_DOWN_PORT" spirit:order="114" spirit:configGroups="0 NoDisplay">power_down</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_VALID_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_VALID_PORT" spirit:order="115" spirit:configGroups="0 NoDisplay">CLK_VALID</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>STATUS_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.STATUS_PORT" spirit:order="116" spirit:configGroups="0 NoDisplay">STATUS</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_IN_SEL_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN_SEL_PORT" spirit:order="117" spirit:configGroups="0 NoDisplay">clk_in_sel</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>INPUT_CLK_STOPPED_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_CLK_STOPPED_PORT" spirit:order="118" spirit:configGroups="0 NoDisplay">input_clk_stopped</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKFB_STOPPED_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_STOPPED_PORT" spirit:order="119" spirit:configGroups="0 NoDisplay">clkfb_stopped</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SS_MODE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MODE" spirit:choiceRef="choice_pairs_f4e10086" spirit:order="120" spirit:configGroups="0 NoDisplay">CENTER_HIGH</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SS_MOD_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_FREQ" spirit:order="121" spirit:configGroups="0 NoDisplay">250</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SS_MOD_TIME</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_TIME" spirit:order="121.001" spirit:configGroups="0 NoDisplay">0.004</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>OVERRIDE_MMCM</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_MMCM" spirit:order="122" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_NOTES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_NOTES" spirit:order="123" spirit:configGroups="0 NoDisplay">None</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_DIVCLK_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" spirit:order="124" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="106" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_BANDWIDTH</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="125" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKFBOUT_MULT_F</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" spirit:order="126" spirit:configGroups="0 NoDisplay">6.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKFBOUT_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_PHASE" spirit:order="127" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKFBOUT_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="128" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKIN1_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN1_PERIOD" spirit:order="129" spirit:configGroups="0 NoDisplay">10.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKIN2_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN2_PERIOD" spirit:order="130" spirit:configGroups="0 NoDisplay">10.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT4_CASCADE</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_CASCADE" spirit:order="131" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLOCK_HOLD</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLOCK_HOLD" spirit:order="132" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_COMPENSATION</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_COMPENSATION" spirit:choiceRef="choice_pairs_502d9f23" spirit:order="133" spirit:configGroups="0 NoDisplay">ZHOLD</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_REF_JITTER1</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER1" spirit:order="134" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_REF_JITTER2</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER2" spirit:order="135" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_STARTUP_WAIT</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_STARTUP_WAIT" spirit:order="136" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT0_DIVIDE_F</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" spirit:order="137" spirit:configGroups="0 NoDisplay">6.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT0_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="138" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT0_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_PHASE" spirit:order="139" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT0_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS" spirit:order="140" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT1_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" spirit:order="141" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT1_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="142" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT1_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_PHASE" spirit:order="143" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT1_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS" spirit:order="144" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT2_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" spirit:order="145" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">50</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT2_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="146" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT2_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_PHASE" spirit:order="147" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT2_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS" spirit:order="148" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT3_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" spirit:order="149" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT3_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="150" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT3_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_PHASE" spirit:order="151" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT3_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS" spirit:order="152" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT4_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE" spirit:order="153" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT4_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="154" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT4_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_PHASE" spirit:order="155" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT4_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS" spirit:order="156" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT5_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE" spirit:order="157" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT5_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="158" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT5_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_PHASE" spirit:order="159" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT5_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS" spirit:order="160" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT6_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE" spirit:order="161" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT6_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="162" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT6_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_PHASE" spirit:order="163" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MMCM_CLKOUT6_USE_FINE_PS</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS" spirit:order="164" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>OVERRIDE_PLL</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_PLL" spirit:order="165" spirit:configGroups="0 NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_NOTES</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_NOTES" spirit:order="166" spirit:configGroups="0 NoDisplay">None</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_BANDWIDTH</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="167" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKFBOUT_MULT</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_MULT" spirit:order="168" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKFBOUT_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_PHASE" spirit:order="169" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLK_FEEDBACK</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLK_FEEDBACK" spirit:choiceRef="choice_list_b9d38208" spirit:order="170" spirit:configGroups="0 NoDisplay">CLKFBOUT</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_DIVCLK_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_DIVCLK_DIVIDE" spirit:order="171" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="52" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKIN_PERIOD</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKIN_PERIOD" spirit:order="172" spirit:configGroups="0 NoDisplay">10.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_COMPENSATION</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_COMPENSATION" spirit:choiceRef="choice_pairs_035ca1c3" spirit:order="173" spirit:configGroups="0 NoDisplay">SYSTEM_SYNCHRONOUS</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_REF_JITTER</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_REF_JITTER" spirit:order="174" spirit:configGroups="0 NoDisplay">0.010</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT0_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DIVIDE" spirit:order="175" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT0_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE" spirit:order="176" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT0_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_PHASE" spirit:order="177" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT1_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DIVIDE" spirit:order="178" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT1_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE" spirit:order="179" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT1_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_PHASE" spirit:order="180" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT2_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DIVIDE" spirit:order="181" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT2_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE" spirit:order="182" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT2_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_PHASE" spirit:order="183" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT3_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DIVIDE" spirit:order="184" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT3_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE" spirit:order="185" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT3_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_PHASE" spirit:order="186" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT4_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DIVIDE" spirit:order="187" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT4_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE" spirit:order="188" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT4_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_PHASE" spirit:order="189" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT5_DIVIDE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DIVIDE" spirit:order="190" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT5_DUTY_CYCLE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE" spirit:order="191" spirit:configGroups="0 NoDisplay">0.500</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PLL_CLKOUT5_PHASE</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_PHASE" spirit:order="192" spirit:configGroups="0 NoDisplay">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RESET_TYPE</spirit:name> + <spirit:displayName>Reset Type</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_TYPE" spirit:choiceRef="choice_pairs_a4fbc00c" spirit:order="408" spirit:configGroups="0 NoDisplay">ACTIVE_HIGH</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_SAFE_CLOCK_STARTUP</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP" spirit:order="85.5" spirit:configGroups="0; NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_CLOCK_SEQUENCING</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLOCK_SEQUENCING" spirit:order="501" spirit:configGroups="0; NoDisplay">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER" spirit:order="502" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER" spirit:order="503" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER" spirit:order="504" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER" spirit:order="505" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER" spirit:order="506" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER" spirit:order="507" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_SEQUENCE_NUMBER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER" spirit:order="508" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>USE_BOARD_FLOW</spirit:name> + <spirit:displayName>Generate Board based IO Constraints</spirit:displayName> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_BOARD_FLOW" spirit:order="1.1">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.8">Custom</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.9">Custom</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.1">Custom</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DIFF_CLK_IN2_BOARD_INTERFACE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.2">Custom</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RESET_BOARD_INTERFACE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ENABLE_CDDC</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CDDC" spirit:order="509">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CDDCDONE_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCDONE_PORT" spirit:order="510" spirit:configGroups="0 NoDisplay">cddcdone</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CDDCREQ_PORT</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCREQ_PORT" spirit:order="511" spirit:configGroups="0 NoDisplay">cddcreq</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ENABLE_CLKOUTPHY</spirit:name> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLKOUTPHY" spirit:order="123.1">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUTPHY_REQUESTED_FREQ</spirit:name> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ" spirit:order="123.2" spirit:configGroups="0 NoDisplay">600.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_JITTER</spirit:name> + <spirit:displayName>Clkout1 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_JITTER" spirit:order="1000">151.366</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT1_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout1 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_PHASE_ERROR" spirit:order="1001">132.063</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_JITTER</spirit:name> + <spirit:displayName>Clkout2 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_JITTER" spirit:order="1002">132.221</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT2_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout2 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_PHASE_ERROR" spirit:order="1003">132.063</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_JITTER</spirit:name> + <spirit:displayName>Clkout3 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_JITTER" spirit:order="1004">231.952</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT3_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout3 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_PHASE_ERROR" spirit:order="1005">132.063</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_JITTER</spirit:name> + <spirit:displayName>Clkout4 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_JITTER" spirit:order="1006">174.353</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT4_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout4 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_PHASE_ERROR" spirit:order="1007">132.063</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_JITTER</spirit:name> + <spirit:displayName>Clkout5 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_JITTER" spirit:order="1008">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT5_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout5 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_PHASE_ERROR" spirit:order="1009">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_JITTER</spirit:name> + <spirit:displayName>Clkout6 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_JITTER" spirit:order="1010">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT6_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout6 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_PHASE_ERROR" spirit:order="1011">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_JITTER</spirit:name> + <spirit:displayName>Clkout7 Jitter</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_JITTER" spirit:order="1012">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLKOUT7_PHASE_ERROR</spirit:name> + <spirit:displayName>Clkout7 Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_PHASE_ERROR" spirit:order="1013">0.0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>INPUT_MODE</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_MODE" spirit:choiceRef="choice_pairs_f669c2f5" spirit:order="14.4">frequency</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>INTERFACE_SELECTION</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INTERFACE_SELECTION" spirit:choiceRef="choice_pairs_8b28f1f7" spirit:order="11.1">Enable_AXI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE_DUTY_CONFIG</spirit:name> + <spirit:displayName>Phase Duty Cycle Config</spirit:displayName> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.PHASE_DUTY_CONFIG" spirit:order="11.2">false</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.PHASE_DUTY_CONFIG">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>Clocking Wizard</xilinx:displayName> + <xilinx:coreRevision>0</xilinx:coreRevision> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT2_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT3_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_JITTER" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT4_USED" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_OUT_CLKS" xilinx:valueSource="user"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2015.3</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="69bff2c8"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="3a523104"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="a5d35bf6"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="18e8d175"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="09870f83"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/src/ip/clk_wiz_0/clk_wiz_0_board.xdc b/src/ip/clk_wiz_0/clk_wiz_0_board.xdc new file mode 100644 index 0000000..3422a8e --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_board.xdc @@ -0,0 +1,2 @@ +#--------------------Physical Constraints----------------- + diff --git a/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v b/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v new file mode 100644 index 0000000..e47643a --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v @@ -0,0 +1,215 @@ +// file: clk_wiz_0.v +// +// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// Output Output Phase Duty Cycle Pk-to-Pk Phase +// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +//---------------------------------------------------------------------------- +// CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +// CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +// CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +// CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +// +//---------------------------------------------------------------------------- +// Input Clock Freq (MHz) Input Jitter (UI) +//---------------------------------------------------------------------------- +// __primary_________100.000____________0.010 + +`timescale 1ps/1ps + +module clk_wiz_0_clk_wiz + (// Clock in ports + input clk_in1, + // Clock out ports + output clk_out1, + output clk_out2, + output clk_out3, + output clk_out4, + // Status and control signals + input reset, + output locked + ); + + // Input buffering + //------------------------------------ + IBUF clkin1_ibufg + (.O (clk_in1_clk_wiz_0), + .I (clk_in1)); + + + + // Clocking PRIMITIVE + //------------------------------------ + + // Instantiation of the MMCM PRIMITIVE + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire psdone_unused; + wire locked_int; + wire clkfbout_clk_wiz_0; + wire clkfbout_buf_clk_wiz_0; + wire clkfboutb_unused; + wire clkout0b_unused; + wire clkout1b_unused; + wire clkout2b_unused; + wire clkout3b_unused; + wire clkout4_unused; + wire clkout5_unused; + wire clkout6_unused; + wire clkfbstopped_unused; + wire clkinstopped_unused; + wire reset_high; + + MMCME2_ADV + #(.BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT_F (6.000), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (6.000), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKOUT1_DIVIDE (3), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT1_USE_FINE_PS ("FALSE"), + .CLKOUT2_DIVIDE (50), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKOUT2_USE_FINE_PS ("FALSE"), + .CLKOUT3_DIVIDE (12), + .CLKOUT3_PHASE (0.000), + .CLKOUT3_DUTY_CYCLE (0.500), + .CLKOUT3_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (10.0)) + mmcm_adv_inst + // Output clocks + ( + .CLKFBOUT (clkfbout_clk_wiz_0), + .CLKFBOUTB (clkfboutb_unused), + .CLKOUT0 (clk_out1_clk_wiz_0), + .CLKOUT0B (clkout0b_unused), + .CLKOUT1 (clk_out2_clk_wiz_0), + .CLKOUT1B (clkout1b_unused), + .CLKOUT2 (clk_out3_clk_wiz_0), + .CLKOUT2B (clkout2b_unused), + .CLKOUT3 (clk_out4_clk_wiz_0), + .CLKOUT3B (clkout3b_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + .CLKOUT6 (clkout6_unused), + // Input clock control + .CLKFBIN (clkfbout_buf_clk_wiz_0), + .CLKIN1 (clk_in1_clk_wiz_0), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (do_unused), + .DRDY (drdy_unused), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (psdone_unused), + // Other control and status signals + .LOCKED (locked_int), + .CLKINSTOPPED (clkinstopped_unused), + .CLKFBSTOPPED (clkfbstopped_unused), + .PWRDWN (1'b0), + .RST (reset_high)); + + assign reset_high = reset; + + assign locked = locked_int; + + // Output buffering + //----------------------------------- + + BUFG clkf_buf + (.O (clkfbout_buf_clk_wiz_0), + .I (clkfbout_clk_wiz_0)); + + + + BUFG clkout1_buf + (.O (clk_out1), + .I (clk_out1_clk_wiz_0)); + + + BUFG clkout2_buf + (.O (clk_out2), + .I (clk_out2_clk_wiz_0)); + + BUFG clkout3_buf + (.O (clk_out3), + .I (clk_out3_clk_wiz_0)); + + BUFG clkout4_buf + (.O (clk_out4), + .I (clk_out4_clk_wiz_0)); + + + +endmodule diff --git a/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc b/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc new file mode 100644 index 0000000..41c79d7 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc @@ -0,0 +1,56 @@ +# file: clk_wiz_0_ooc.xdc +# +# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +################# +#DEFAULT CLOCK CONSTRAINTS + +############################################################ +# Clock Period Constraints # +############################################################ +#create_clock -period 10.0 [get_ports clk_in1] diff --git a/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v new file mode 100644 index 0000000..e0a8184 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v @@ -0,0 +1,278 @@ +// Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +// Date : Thu Jan 21 17:13:26 2016 +// Host : WK86 running 64-bit Service Pack 1 (build 7601) +// Command : write_verilog -force -mode funcsim C:/Work/Github/Working/Looper/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v +// Design : clk_wiz_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CORE_GENERATION_INFO = "clk_wiz_0,clk_wiz_v5_2_0,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=4,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *) +(* NotValidForBitStream *) +module clk_wiz_0 + (clk_in1, + clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked); + input clk_in1; + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + + (* IBUF_LOW_PWR *) wire clk_in1; + wire clk_out1; + wire clk_out2; + wire clk_out3; + wire clk_out4; + wire locked; + wire reset; + + clk_wiz_0_clk_wiz_0_clk_wiz inst + (.clk_in1(clk_in1), + .clk_out1(clk_out1), + .clk_out2(clk_out2), + .clk_out3(clk_out3), + .clk_out4(clk_out4), + .locked(locked), + .reset(reset)); +endmodule + +(* ORIG_REF_NAME = "clk_wiz_0_clk_wiz" *) +module clk_wiz_0_clk_wiz_0_clk_wiz + (clk_in1, + clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked); + input clk_in1; + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + + wire clk_in1; + wire clk_in1_clk_wiz_0; + wire clk_out1; + wire clk_out1_clk_wiz_0; + wire clk_out2; + wire clk_out2_clk_wiz_0; + wire clk_out3; + wire clk_out3_clk_wiz_0; + wire clk_out4; + wire clk_out4_clk_wiz_0; + wire clkfbout_buf_clk_wiz_0; + wire clkfbout_clk_wiz_0; + wire locked; + wire reset; + wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED; + wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED; + wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED; + wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED; + + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkf_buf + (.I(clkfbout_clk_wiz_0), + .O(clkfbout_buf_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + (* CAPACITANCE = "DONT_CARE" *) + (* IBUF_DELAY_VALUE = "0" *) + (* IFD_DELAY_VALUE = "AUTO" *) + IBUF #( + .IOSTANDARD("DEFAULT")) + clkin1_ibufg + (.I(clk_in1), + .O(clk_in1_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout1_buf + (.I(clk_out1_clk_wiz_0), + .O(clk_out1)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout2_buf + (.I(clk_out2_clk_wiz_0), + .O(clk_out2)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout3_buf + (.I(clk_out3_clk_wiz_0), + .O(clk_out3)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout4_buf + (.I(clk_out4_clk_wiz_0), + .O(clk_out4)); + (* BOX_TYPE = "PRIMITIVE" *) + MMCME2_ADV #( + .BANDWIDTH("OPTIMIZED"), + .CLKFBOUT_MULT_F(6.000000), + .CLKFBOUT_PHASE(0.000000), + .CLKFBOUT_USE_FINE_PS("FALSE"), + .CLKIN1_PERIOD(10.000000), + .CLKIN2_PERIOD(0.000000), + .CLKOUT0_DIVIDE_F(6.000000), + .CLKOUT0_DUTY_CYCLE(0.500000), + .CLKOUT0_PHASE(0.000000), + .CLKOUT0_USE_FINE_PS("FALSE"), + .CLKOUT1_DIVIDE(3), + .CLKOUT1_DUTY_CYCLE(0.500000), + .CLKOUT1_PHASE(0.000000), + .CLKOUT1_USE_FINE_PS("FALSE"), + .CLKOUT2_DIVIDE(50), + .CLKOUT2_DUTY_CYCLE(0.500000), + .CLKOUT2_PHASE(0.000000), + .CLKOUT2_USE_FINE_PS("FALSE"), + .CLKOUT3_DIVIDE(12), + .CLKOUT3_DUTY_CYCLE(0.500000), + .CLKOUT3_PHASE(0.000000), + .CLKOUT3_USE_FINE_PS("FALSE"), + .CLKOUT4_CASCADE("FALSE"), + .CLKOUT4_DIVIDE(1), + .CLKOUT4_DUTY_CYCLE(0.500000), + .CLKOUT4_PHASE(0.000000), + .CLKOUT4_USE_FINE_PS("FALSE"), + .CLKOUT5_DIVIDE(1), + .CLKOUT5_DUTY_CYCLE(0.500000), + .CLKOUT5_PHASE(0.000000), + .CLKOUT5_USE_FINE_PS("FALSE"), + .CLKOUT6_DIVIDE(1), + .CLKOUT6_DUTY_CYCLE(0.500000), + .CLKOUT6_PHASE(0.000000), + .CLKOUT6_USE_FINE_PS("FALSE"), + .COMPENSATION("ZHOLD"), + .DIVCLK_DIVIDE(1), + .IS_CLKINSEL_INVERTED(1'b0), + .IS_PSEN_INVERTED(1'b0), + .IS_PSINCDEC_INVERTED(1'b0), + .IS_PWRDWN_INVERTED(1'b0), + .IS_RST_INVERTED(1'b0), + .REF_JITTER1(0.010000), + .REF_JITTER2(0.010000), + .SS_EN("FALSE"), + .SS_MODE("CENTER_HIGH"), + .SS_MOD_PERIOD(10000), + .STARTUP_WAIT("FALSE")) + mmcm_adv_inst + (.CLKFBIN(clkfbout_buf_clk_wiz_0), + .CLKFBOUT(clkfbout_clk_wiz_0), + .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED), + .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED), + .CLKIN1(clk_in1_clk_wiz_0), + .CLKIN2(1'b0), + .CLKINSEL(1'b1), + .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED), + .CLKOUT0(clk_out1_clk_wiz_0), + .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED), + .CLKOUT1(clk_out2_clk_wiz_0), + .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED), + .CLKOUT2(clk_out3_clk_wiz_0), + .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED), + .CLKOUT3(clk_out4_clk_wiz_0), + .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED), + .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED), + .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED), + .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED), + .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DCLK(1'b0), + .DEN(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]), + .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED), + .DWE(1'b0), + .LOCKED(locked), + .PSCLK(1'b0), + .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED), + .PSEN(1'b0), + .PSINCDEC(1'b0), + .PWRDWN(1'b0), + .RST(reset)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl new file mode 100644 index 0000000..84ae83d --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl @@ -0,0 +1,218 @@ +-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +-- Date : Thu Jan 21 17:13:26 2016 +-- Host : WK86 running 64-bit Service Pack 1 (build 7601) +-- Command : write_vhdl -force -mode funcsim C:/Work/Github/Working/Looper/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl +-- Design : clk_wiz_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity clk_wiz_0_clk_wiz_0_clk_wiz is + port ( + clk_in1 : in STD_LOGIC; + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of clk_wiz_0_clk_wiz_0_clk_wiz : entity is "clk_wiz_0_clk_wiz"; +end clk_wiz_0_clk_wiz_0_clk_wiz; + +architecture STRUCTURE of clk_wiz_0_clk_wiz_0_clk_wiz is + signal clk_in1_clk_wiz_0 : STD_LOGIC; + signal clk_out1_clk_wiz_0 : STD_LOGIC; + signal clk_out2_clk_wiz_0 : STD_LOGIC; + signal clk_out3_clk_wiz_0 : STD_LOGIC; + signal clk_out4_clk_wiz_0 : STD_LOGIC; + signal clkfbout_buf_clk_wiz_0 : STD_LOGIC; + signal clkfbout_clk_wiz_0 : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); + attribute BOX_TYPE : string; + attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; + attribute CAPACITANCE : string; + attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; + attribute IBUF_DELAY_VALUE : string; + attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; + attribute IFD_DELAY_VALUE : string; + attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; + attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout4_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; +begin +clkf_buf: unisim.vcomponents.BUFG + port map ( + I => clkfbout_clk_wiz_0, + O => clkfbout_buf_clk_wiz_0 + ); +clkin1_ibufg: unisim.vcomponents.IBUF + generic map( + IOSTANDARD => "DEFAULT" + ) + port map ( + I => clk_in1, + O => clk_in1_clk_wiz_0 + ); +clkout1_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out1_clk_wiz_0, + O => clk_out1 + ); +clkout2_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out2_clk_wiz_0, + O => clk_out2 + ); +clkout3_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out3_clk_wiz_0, + O => clk_out3 + ); +clkout4_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out4_clk_wiz_0, + O => clk_out4 + ); +mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV + generic map( + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT_F => 6.000000, + CLKFBOUT_PHASE => 0.000000, + CLKFBOUT_USE_FINE_PS => false, + CLKIN1_PERIOD => 10.000000, + CLKIN2_PERIOD => 0.000000, + CLKOUT0_DIVIDE_F => 6.000000, + CLKOUT0_DUTY_CYCLE => 0.500000, + CLKOUT0_PHASE => 0.000000, + CLKOUT0_USE_FINE_PS => false, + CLKOUT1_DIVIDE => 3, + CLKOUT1_DUTY_CYCLE => 0.500000, + CLKOUT1_PHASE => 0.000000, + CLKOUT1_USE_FINE_PS => false, + CLKOUT2_DIVIDE => 50, + CLKOUT2_DUTY_CYCLE => 0.500000, + CLKOUT2_PHASE => 0.000000, + CLKOUT2_USE_FINE_PS => false, + CLKOUT3_DIVIDE => 12, + CLKOUT3_DUTY_CYCLE => 0.500000, + CLKOUT3_PHASE => 0.000000, + CLKOUT3_USE_FINE_PS => false, + CLKOUT4_CASCADE => false, + CLKOUT4_DIVIDE => 1, + CLKOUT4_DUTY_CYCLE => 0.500000, + CLKOUT4_PHASE => 0.000000, + CLKOUT4_USE_FINE_PS => false, + CLKOUT5_DIVIDE => 1, + CLKOUT5_DUTY_CYCLE => 0.500000, + CLKOUT5_PHASE => 0.000000, + CLKOUT5_USE_FINE_PS => false, + CLKOUT6_DIVIDE => 1, + CLKOUT6_DUTY_CYCLE => 0.500000, + CLKOUT6_PHASE => 0.000000, + CLKOUT6_USE_FINE_PS => false, + COMPENSATION => "ZHOLD", + DIVCLK_DIVIDE => 1, + IS_CLKINSEL_INVERTED => '0', + IS_PSEN_INVERTED => '0', + IS_PSINCDEC_INVERTED => '0', + IS_PWRDWN_INVERTED => '0', + IS_RST_INVERTED => '0', + REF_JITTER1 => 0.010000, + REF_JITTER2 => 0.010000, + SS_EN => "FALSE", + SS_MODE => "CENTER_HIGH", + SS_MOD_PERIOD => 10000, + STARTUP_WAIT => false + ) + port map ( + CLKFBIN => clkfbout_buf_clk_wiz_0, + CLKFBOUT => clkfbout_clk_wiz_0, + CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, + CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, + CLKIN1 => clk_in1_clk_wiz_0, + CLKIN2 => '0', + CLKINSEL => '1', + CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, + CLKOUT0 => clk_out1_clk_wiz_0, + CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, + CLKOUT1 => clk_out2_clk_wiz_0, + CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, + CLKOUT2 => clk_out3_clk_wiz_0, + CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, + CLKOUT3 => clk_out4_clk_wiz_0, + CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, + CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, + CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, + CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, + DADDR(6 downto 0) => B"0000000", + DCLK => '0', + DEN => '0', + DI(15 downto 0) => B"0000000000000000", + DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), + DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, + DWE => '0', + LOCKED => locked, + PSCLK => '0', + PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, + PSEN => '0', + PSINCDEC => '0', + PWRDWN => '0', + RST => reset + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity clk_wiz_0 is + port ( + clk_in1 : in STD_LOGIC; + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of clk_wiz_0 : entity is true; + attribute CORE_GENERATION_INFO : string; + attribute CORE_GENERATION_INFO of clk_wiz_0 : entity is "clk_wiz_0,clk_wiz_v5_2_0,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=4,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; +end clk_wiz_0; + +architecture STRUCTURE of clk_wiz_0 is +begin +inst: entity work.clk_wiz_0_clk_wiz_0_clk_wiz + port map ( + clk_in1 => clk_in1, + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + locked => locked, + reset => reset + ); +end STRUCTURE; diff --git a/src/ip/clk_wiz_0/clk_wiz_0_stub.v b/src/ip/clk_wiz_0/clk_wiz_0_stub.v new file mode 100644 index 0000000..3e730b7 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_stub.v @@ -0,0 +1,24 @@ +// Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +// Date : Thu Jan 21 17:13:26 2016 +// Host : WK86 running 64-bit Service Pack 1 (build 7601) +// Command : write_verilog -force -mode synth_stub C:/Work/Github/Working/Looper/src/ip/clk_wiz_0/clk_wiz_0_stub.v +// Design : clk_wiz_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module clk_wiz_0(clk_in1, clk_out1, clk_out2, clk_out3, clk_out4, reset, locked) +/* synthesis syn_black_box black_box_pad_pin="clk_in1,clk_out1,clk_out2,clk_out3,clk_out4,reset,locked" */; + input clk_in1; + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; +endmodule diff --git a/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl new file mode 100644 index 0000000..262c691 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl @@ -0,0 +1,33 @@ +-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 +-- Date : Thu Jan 21 17:13:26 2016 +-- Host : WK86 running 64-bit Service Pack 1 (build 7601) +-- Command : write_vhdl -force -mode synth_stub C:/Work/Github/Working/Looper/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +-- Design : clk_wiz_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity clk_wiz_0 is + Port ( + clk_in1 : in STD_LOGIC; + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC + ); + +end clk_wiz_0; + +architecture stub of clk_wiz_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_in1,clk_out1,clk_out2,clk_out3,clk_out4,reset,locked"; +begin +end; diff --git a/src/ip/clk_wiz_0/doc/clk_wiz_v5_2_changelog.txt b/src/ip/clk_wiz_0/doc/clk_wiz_v5_2_changelog.txt new file mode 100644 index 0000000..c88739c --- /dev/null +++ b/src/ip/clk_wiz_0/doc/clk_wiz_v5_2_changelog.txt @@ -0,0 +1,115 @@ +2015.3: + * Version 5.2 + * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances + * Port Renaming tab is hidden in the GUI in IP Integrator as this feature is not supported + * Phase alignment feature is removed for ultrascale PLL as primitve has limited capabilities of supporting this feature + * When clocking wizard is targetted on a board part, the frequency values that gets propagated to primary and secondary clocks are displayed in floating number format + * Example design and simulation files are delivered in verilog only + +2015.2.1: + * Version 5.1 (Rev. 6) + * No changes + +2015.2: + * Version 5.1 (Rev. 6) + * No changes + +2015.1: + * Version 5.1 (Rev. 6) + * Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices + * Supported devices and production status are now determined automatically, to simplify support for future devices + +2014.4.1: + * Version 5.1 (Rev. 5) + * No changes + +2014.4: + * Version 5.1 (Rev. 5) + * Internal device family change, no functional changes + * updates related to the source selection based on board interface for zed board + +2014.3: + * Version 5.1 (Rev. 4) + * Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface + +2014.2: + * Version 5.1 (Rev. 3) + * Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065 + +2014.1: + * Version 5.1 (Rev. 2) + * Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock + * Internal device family name change, no functional changes + +2013.4: + * Version 5.1 (Rev. 1) + * Added support for Ultrascale devices + * Updated Board Flow GUI to select the clock interfaces + * Fixed issue with Stub file parameter error for BUFR output driver + +2013.3: + * Version 5.1 + * Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL + * Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies + * Fixed precision issues between displayed and actual frequencies + * Added tool tips to GUI + * Added Jitter and Phase error values to IP properties + * Added support for Cadence IES and Synopsys VCS simulators + * Reduced warnings in synthesis and simulation + * Enhanced support for IP Integrator + +2013.2: + * Version 5.0 (Rev. 1) + * Fixed issue with clock constraints for multiple instances of clocking wizard + * Updated Life-Cycle status of devices + +2013.1: + * Version 5.0 + * Lower case ports for Verilog + * Added Safe Clock Startup and Clock Sequencing + +(c) Copyright 2008 - 2015 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. -- GitLab