From c750b1cb0faf7fd26d7ce7f4244745b9b4f5df5e Mon Sep 17 00:00:00 2001 From: Antonio PEREIRA <a24perei@fl-tp-br-637.imta.fr> Date: Wed, 19 Mar 2025 12:14:40 +0100 Subject: [PATCH] feat --- proj/project_1/project_1.cache/sim/ssm.db | 11 + proj/project_1/project_1.cache/wt/project.wpc | 2 +- proj/project_1/project_1.cache/wt/xsim.wdf | 4 +- .../sim_1/behav/xsim/compile.log | 0 .../project_1.sim/sim_1/behav/xsim/compile.sh | 2 +- .../sim_1/behav/xsim/elaborate.log | 6 +- .../sim_1/behav/xsim/elaborate.sh | 2 +- .../sim_1/behav/xsim/simulate.sh | 6 +- .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 61927 -> 39488 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 8 +- .../project_1.sim/sim_1/behav/xsim/xelab.pb | Bin 847 -> 853 bytes .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 31576 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 133 + .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5776 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 14480 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 4315 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 1537 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 878 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7344 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 91568 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 + .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 36160 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 4 + .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 14308 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 15002 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 47885 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7508 bytes .../xil_defaultlib/xil_defaultlib.rlx | 8 + .../project_1.sim/sim_1/behav/xsim/xsim.ini | 905 +- .../sim_1/behav/xsim/xsim.ini.bak | 905 +- proj/project_1/project_1.xpr | 8 +- proj/project_1/tb_firUnit_behav.wcfg | 214 + src-ref/.nfs000000000231cfd700000038 | 11 + src-ref/.~lock.ADCSamplesOctave.csv# | 1 + src-ref/ADCSamplesOctave.csv | 9973 +---------------- src-ref/octaveScript.m | 67 +- src-ref/output.txt | 155 + src/hdl/controlUnit.vhd | 7 + src/hdl/operativeUnit.vhd | 7 +- 44 files changed, 1595 insertions(+), 10908 deletions(-) create mode 100644 proj/project_1/project_1.cache/sim/ssm.db create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/compile.log mode change 100644 => 100755 proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh mode change 100644 => 100755 proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh mode change 100644 => 100755 proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/project_1/tb_firUnit_behav.wcfg create mode 100644 src-ref/.nfs000000000231cfd700000038 create mode 100644 src-ref/.~lock.ADCSamplesOctave.csv# create mode 100644 src-ref/output.txt diff --git a/proj/project_1/project_1.cache/sim/ssm.db b/proj/project_1/project_1.cache/sim/ssm.db new file mode 100644 index 0000000..b6b91d1 --- /dev/null +++ b/proj/project_1/project_1.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Mar 19 10:36:40 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/project_1/project_1.cache/wt/project.wpc b/proj/project_1/project_1.cache/wt/project.wpc index 0161c5d..2599f42 100644 --- a/proj/project_1/project_1.cache/wt/project.wpc +++ b/proj/project_1/project_1.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:6 +6d6f64655f636f756e7465727c4755494d6f6465:7 eof: diff --git a/proj/project_1/project_1.cache/wt/xsim.wdf b/proj/project_1/project_1.cache/wt/xsim.wdf index 51d5206..50afb2c 100644 --- a/proj/project_1/project_1.cache/wt/xsim.wdf +++ b/proj/project_1/project_1.cache/wt/xsim.wdf @@ -1,4 +1,4 @@ version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 +eof:241934075 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.log b/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh old mode 100644 new mode 100755 index e918a0d..2132d71 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Wed Feb 26 12:08:10 CET 2025 +# Generated by Vivado on Wed Mar 19 12:10:31 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.log b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.log index db78a7c..b369c9e 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.log +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.log @@ -1,8 +1,8 @@ -Vivado Simulator v2024.2.0 +Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: C:/Xilinx/Vivado/2024.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log -Using 2 slave threads. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. Starting static elaboration Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh old mode 100644 new mode 100755 index b001623..2ed28f0 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Wed Feb 26 12:08:12 CET 2025 +# Generated by Vivado on Wed Mar 19 12:10:33 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh old mode 100644 new mode 100755 index 9cda091..ff133aa --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Wed Feb 26 12:07:21 CET 2025 +# Generated by Vivado on Wed Mar 19 12:10:35 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -17,6 +17,6 @@ # **************************************************************************** set -Eeuo pipefail # simulate design -echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" -xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -view /homes/a24perei/medcon/tp-ecg-etudiant-a24perei/proj/project_1/tb_firUnit_behav.wcfg -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -view /homes/a24perei/medcon/tp-ecg-etudiant-a24perei/proj/project_1/tb_firUnit_behav.wcfg -log simulate.log diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index 92bae6e04f64d3de695bb19e86c20b7dd4aed561..93b63658819aa0d028878e6792a9bd0a6ee82ae1 100644 GIT binary patch delta 15472 zcmaF<nEAjKrU@2GI?HaQhcY0*1V#v3j}=0{vz^SyXg6_&jv0tAX9pq~816Yi=y~oC zI?e||--8-8FABn!3!H3d5+?MLnSnt7B*FnA7#Ip_Cr2^*F?vj1$*9Tww^C-=t#ob% z1_rUocNsS`)=!?u<jPny`4^LPAV__<qi0Bbu%mB)k1IoPh<}hPgOg*htB<FjD?>n# zzq4y_aJ(a&<;39Z<Lcw%=*Qq68WIp1!T{Fo>gNJAVR9C;&E)$m;`I&x|NpNC`PGX7 z?6w6(sp*-;C8<SjnK`MB3``6R42%p57(k%_QpLu=02TweAH)Y?kds0D|NsC0pZov+ ze{3c>fpuY;R1eY!F%p|m-dG&v<b%U3h*5E17c(%RyD9*SQO-G5sW~}`d3X)v!eQX# z|126>uw-%^<a!1MhUZY)5EO6>3=Gv!`WVyXNLID_15oKaW{6`WGIKKXDil0}GjkPO zoZJ-*49plnso=~1|NnO|Ffe>zVPN>6&cL9-$-rPC#=xK;iHSEzF)%EUV_<Mlf#3xy z3=9ma3=9v{Ay|%qfdPbl7#P?XK<QI{Vxq8QHzNbX8)gQEnV^_uU|`^Z;vJI>*s2-* zCa+=Z542(cX<=YUMT&Gz1_lNYmW0wN3=9nH3=9mDk<@_95@KLr(1EI{U|?VXrQjoz zH?T`HDo<X)uFR-A`3$=<WBue0?8=;tP`h7&<T;xe7#MgN7#O~Qd94f#415d>4F5np zu670n27ZufjFUIAOL28V;*>!M%;oH6U|<krU|<NI?7$(-WzN9B0CI&4<77t;DK2XU z1_ohJ$UwLb3=9k+3=9l<5bi<-1_n_E1_lcVcO57fGB7aMLAZMv7#PGE7#O@E+;0pF z3=#|s3=t5n4I={sC^(WJTw6v41}O#xh8!@L)1HxmL7IVqp=9y}4tX{i1_p*ku!Kw% zBLjmh0|Nsn+ki00aiCP;&~m<VvNo3jBmZO{E_p+ca6$9=N>HGyLjx3KJV*-&gMtdA zjiKdyB`C2OPd>mU!`ytna`J92MKFDzOEI7sA_<C7kS36ZVg?2VQ1$~E46>x5{d^@T zt%IBhqCr`GF#`j`MyRC<3=9k)hk!Dc?BoD$X-<%pAeG7>D><4W+I5jR^~jt?WKJ_O zrxlsgj?C#q=5&KNEY0UDxhFs1QUF;9a*H)c6vlBt<}5_!tV8DPMdo}1aX3N7GB7aM zFit+mB@N@*qVVidcz$3WKU5zmHbEgf`7y69vyPGYWMMu{5gj9OPznTvHYjR9`GIG0 z0G|Z&?Pl@G*?gKdI!5BAAX#YU(Sv3LQ0V|FDL@#M_`!MfQ;RsXmH`)6`jZv-WCV1K z#6blf$P7?C%1&<J2MeZwM4%BT2NDEXm4_rKk7gCfeITnqRx;df7Oz24s{k?p<e7dX zK}C=OlQ-~72;6QKUw|Z`G}%E2?1pVff*~;1o<tH1g$dq45)6Y0f^r#19OSQXm>@sc zeUKt30wySfBp3-3)J77Ff(cq72}Z*NU6BN1V1fZig0V2c7$m_sm|#AVU_40BLdQtF z5lJus8oRJqWq}rH;8^`J6CQzFlNCf1SagiU(<W~a)L_*y60c#Hd{<B%B-AmPLr8hD zJwGdtj*<8*sNr0bFF-V`nVbNT1u5M>xd*|yK6!_b1}K$0hUoznnV=W~Dg8KEKo~6h zA4ygSBn#5Q2}%<T3=E(wE({YCMiLZ(3CbY}ioyi7kOaj*f}j*+jU)&vQJE$`5S0)( z(I@VRBq0G)9gZXjs=^p2Ul5i68Iz7A0ZPeW36PKCCQFEbeFVz@pb~-;lzt6$jKpCX z03-<V6{w<u#R{mngJ*!)nc}5Pljn-4gJPkPY4S-Cbx?@(L#+kr=LH#RpkpLH7fBFg z0Ei1S6jU>U#K6IOVuASL$v&cB=dA^)Vt}+#1VAQ%jNgtVC<qfggd`{g6Fi3`C=3(4 zk0c0>nvY0=@Tg%1xesbPIBGz)iy;Y$gJPgw$4FccNf1=!A%_^KN(XrkY=it%aWf>f zphy9!2L%8~9+ZheYQbq<VXC-0l3Gy3f~*!4x*)anV8xPs;=V|Vl^GZqKn)y_<sgGW z)gMSPOl=&JT97&rhN%U$9N=otP7qH<QVXhDOIRQU3&>nSP~`|$%rjHG6iKlLs6Izh z45~iiYPZi3uR>C*&A`CW0?kDrgF&qfWVI8K)PgDqgxY#YqXVvZ`fTxONQz;V1<2>1 z^aW~yz}2psB)$wutv;x*W?+Cf<3SAwxZ3Hn#kV1;HGsBmSV4^gkinqV3taKKN#aM4 z6dN)yFo5D3<_J*Z0j_rXZ1IaoYK_p;f*L<?wd*E{-#}7p%)r242uf@)gF)FJu6X)v z@w-ThO&Ay$K!qyX<OV(wP^tx`AW+o-!=Q>8WEi!?L7@UO8$^T52gNN&929CGF))Tn z;IbH`0jv;85K<3S#sJd?qCplTnGdlaWDv;5L}&mjL01nl6eIz%02I<l7J!7ojaFpy z5$fw17>LmTYqfwZh=&$MV3VN)x`T*T5Ai>w)&iLYvH;|I5C-vK7~KL;eE^apq#l<! zFmagu@E`(Jb0C9Z7-TW1xC5nVm>7r#iG#8dE^(LzAhjS2QV)uP_>%krhLWWCw9KN= zyv&lxYEr?Brjx6rGU`nkax(K$<Ks<W3_~MxsF0zNC5&Zk2xl3?S#TZ3a2>`l9i}jb znF&n2nIS`QMrK+GLuOubQG8-bN>OTYu_8lmX+?Z~L26NAUP>`ksj;CMoMk>aM_Pu} z*xZ7FVRE<hX*WlPVCVRPqWt94;^NG_beH_RR3|J#iN&ctnR%(0GCrBb#fj;YLuI_` z{Yy*0rsd=(rudhZI3ekQ3PBWuO({;zVemw=*bzg-2~)%eL&!M?JfJZ7fQ+*(*bV;i zQ2U&G7~pKEDhB^}kk$V2ZkahHsYR(N!HKyAIjLcZIhiSwHDu){hskO&7pLY-u9cOB z_{bB<G&mb!8fw@%GWer;$_Y~h<SJBc2;)lfOA>P!f`j6d^HU?!f}jIKjvx^uFqxd6 z3Kw<)3xfz0Q6G?qA&5X0hS?Du6mLBFuWUG|K4Q?%$j?nJ)=xAtDM&3!&D76LO-atr zo4iL^Y4RF5er5gqf)f4A+;si0%(BFkd?N!R6FoyHM<2qQY%ed$Z(yNcT#}fVl30|Y zSC)}7dA__9hk=QKX}p1j$>f9b*2ZWG7>G2O*T6!*B(<U>Gasw5hA7fR8S1Ab#$;eI z*-AkV*@32$W0lygz>Z9b&&f~EOpZ4+G&2ENnnQ}Q*@{w=c@!lvj0RbmttdrQc={=c zfxMkpnwwgbnH*nSGWnyN0)~m^1{V4VCE!pe$)#yZ1{h}QqnfFkl%G-w4FcTB#6p=z z3{NWRR2IN39qbC~-GX}9j*JWpzZn=9els#KtY&0jIKsrhkk7=x(8bKaV9U(F;LF0m z@SB-|;V=sXv$HZVfUrL+1B3tM5S8$H(9jcTys4IffdSN#0X0xSVxZv{RR#tIH3kL- zP=gcHjs!KgK&>cH>j*R!08(ecz`y`f3mRp%XJB9e4OTfaFfh0<Ffh0>Ffh0=Fff2z z>cPOk02*xt4U>XK_CRBtfuM$K5NH&Pfq@}}fq@~6fq?-u3?0qDz!1a0z!1y8zyPXE zLA7oo0|P@60|Nu7x0KGnz>vYfz>vwnz>p0ZzXFZ-FfcHH#)(Q87#PYJ7#OOcV@9A6 zJJ2{CXmk!VCI=db1C73c9B&O8RRXyfG^VxzI)Jp9fq`KQ0|UcW1_lPuxWIM>28R6% z3=9Vt7#I#QFfbfuU|=}Hz`$^nfq~%|0|Ucx1_p)`3=9mX7#JANGcYh*U|?Xl$iTpG ziGhLPG6Ms{6$S=|YYYqww;321K%)nD85kJuF)%RPXJBA>0IGr?fd*q47#LnKFfhDi zU|@K~z`*dDfq~%-0|Uc51_p*-pa^DQVE6+XTLg{5fvQwS1_nk(1_mZZ1_l;J1_o9} z1_m}p1_pLU1_mxh1_o|M1_mBR1_nMx1_sbTga9K0gCHXVgAgMFg9sx7gD4{dgBT+N zL%ld?2oN;x$H>3{8k~@0WMGhIWMEKWWMEKaWMEKYWMEKXWMEKbWMEKZWMEKdWMI%@ zWMBY|S?Dl=1|=C7^cfi#3>X<03>g_1j2IahOc)s$Oc@y%%orIM%o#xgRSXQEp+-<@ zbz)>-aA9O%0F7k0F)}bQxK9pHlMW1HWMBwq1dUTNFhnvkFhnsjFo1?IVi_425*Qg6 z5*Zm7k{B5nk{KBoQWzN+(ij;S(is^TG8h>cvKSc{vKc|6m<$ZLj0_B*QI4v~SJdn$ z7Vu0yt|u^AUtMNm4iBdTsAtE(zz{GwL0x%b4i8rVv>_G2HTk2O*u(=Y6H`PuBe+4q z%fOH^`2s|h2dL!&(#8W)HCbMRXJQV|WOEH25Ct;6UIWee4I1diJ8Fnc{twoOWWItX zSQ(P}AZ7WQAoDp5K)prKDDUJ65M>geE(HSvg96`Vkh1$AWxSL9wb+?B_$M=JDS<rn z0@Q5;jm7hW)%a_Hjk*Ay$6;W&F}XksY~v2l$TKKK3xbvHhbUVB9sgS~`2j>(hcF~0 zCJ2L->1%^b<0=3RMKdrkREU5@nb|}p7O-$dfclCI3=9dPVA1&ywGLts6FkH~qKsk_ z6UDhSpp8reagf+#ejTu>0-$Cls5d785@nQ_m?%EkU0Y#tJy;qXi~ZUHj8Y&IkfO~{ zLTvJTuo5nKfbmWi)CGqIQiy@n#q07+%z=kkBSZ~Sh=J6c2dUxVf`^#E<c|<_NI?ct zXRil#H9W|KCMW8F?L-PQkh=8{bx2`mC?UqE0`dh?h=Ekf>w`^13NesMHIPcA5Cf^~ zhp0pfF_20PkV>Sa1XB4QqVfkcY#AgcgH&pPR6YQ8!9isXSZs2>0m$~r&(#Db&({-R z)By$maXp2}_rc;^@SMXrnb8pJPoxl1<Pw|gZwS)Qg%nDK5Oqi)1X8yjq7KRJ4<YK1 z!VRQO-w13TQn&>gf$c>KHITab5OqkQb`YWtDa=6X_>IBlA*B&RW3YKhX#}LM9;6PQ zMixTUAf*vSE-^-HQ24=13GT@tF&mKB32@Vpf#HD^Sj-kAb^tUY!N9<9LmDh*2NHV# z8hHTC49SAU>_K8LKqCyG@lH9gm;*>m1JuQ0U|?9F3>I?)i8X)*i5M6derSNiCVrIQ zO3;Jku@`zE5k_Z_suQ3=6i|_602Xrri-BrxkV_1~Vy+;u9iW;VRDBtN#oRz*D?qIP zP~l(<7IO!QEdaF&Kw>6fF%Pg9s09ELGX;xzg2liy&I}A2%)nw^Ah8Lcik5+aVTL(a z%o~)n*i96;;y}YC3=9ktEH)dO9AaUbR=wHKWFLZ_ij7~#SwF3sfuVx&>?~bBRznfD zcF8&+mTU)M7NJfBe+3Tj2G<7y9wJPC#TpLV^*ah*J1Dhd`njEdJr!n7nvl=({`Hpa zwwEj0xg^?3V)rgPfA!9{{@-sCD|Bu}eN1qlnWyVpzGd~Ea_P)nSLc1YS1WP%qr8jl z_FJ9VGM<-1p5HNi)>l(sJj>z3&p+iIUtP=RKHkQ=qR8YEm#&-M2E(I2I@)5N?qt0C z+voY`V+Uda3~syqsQp!UlIP>b)0=}G%J)wFrnffwlkWWTx{18O48K}@Zav#MrS65D z@m%ref3uChp52{bw|;Grq1__hj_2QHWJ~9{y?Ced^Lt-on4qiRp3M*PjF#5lZ@9QZ z{7mrEkTp}pI&M{L{ylA8kDh&S?3d3emFxc4l&#m%Xw&ImE}qG5`TOtQS1}g~zrCJd z$yqYz?<_Rz{en+_YHhpU<?<IMC{$HV{l36&vR1zL7he8?Ouhd2xBf}kO?34pwe9PU ze?Qy2>HUSwUwm_yAycva@6)qW(G9x%MRu3m{rhoKQ0#@eqN?Wk|00wBa_p!kfp}kk zaL@Dm{{Qz+)+OtA*=#m<Z)LAvdyBv0T8Yf@k9W(KD=+!;UN5+BLQLC#qvF6LiXN9w z=y<hxNGkbFm~bK{B;rfHhfIr0_Zk;vHxZ?YJsg%sxnhEiCj^{bk7P<J3NmxvmfycS zy@LO(Z13*pb-(TAUoYSNzOTLAcDcsYXTF<GxfbQ_|1t6DeOuYzr(V{q50tnjQh)S? zPf6tR+AgEjr;G2NdKTd-d20TZfB${oX537@yL8U~eOJpA?01X)+%)m^jX?Kn%G$o! z>)gME_)a~yyn1zHsO*)b)3K7ea;NToa*q=he!go5U#ii1@%T~`_es+6DP8$jwfyYv zKjE5oT=J=7Q4y<qXH2+M#LacvpLo3gu(E7#y{dba{*7B;{)znS(M3zXKUi0`SJl1h z`0ra^r^&qB`zm1fy-CV@CqI5$<=yv1E~YB@W=tGk^`qmfoAxAJWA$8dvioeytaod< zp6s~!{mzT&I}LwzU*~jHe4fTvl$`tInB1ddKPO3lS23Sw#(4hh{KLK<ILsFw_x&Ph z{!uV*QoZ+`C6m=3MW%l*TD<=Gu^R__cg~mAet2x(sovt-DmK~Q74!C_@BDcGx!RAm z*&mq9Fa9`Lk@rz3Z<6{B&-mwRzk8(rN|+xL%{vpl{6S>&>75(57d+l`y0?0Q^m`fe ze>+xI<Q-JXJ7jvtWqpBg`yCbg!pZ-R`kEz6&D*-KM>^Tqy#ASA-kSA0Hl8nd{Nk!D z+o!rKbI<o~pC$cYHLt0ECujWwwOwa?@7(YI?>5;n?^5rb6z4lH*moG#Jv{biujKi& zyPBnc2j<OrzO%5r_;Ky=-v8F-2dBKOxc0F2j?3$vo#h3S-#l0I-EneV(c<&_SkK>z zIN7^%s&uxv`8UP9Io)@52-l}Q*jXuN{%`Ufmiz+Yx^uoIZ}v;?EWRckzj9W}PmAvJ za<7;w%@usRs;!>>&DV{!+q}o_diawQQQMYwotXH#Ny2w($Bcc`<FmJ24YAEAdpY^g z{=1daV`@!w=U85_NMF0|#K+?<r&o2%DUO|>w@ORUF!}LW-(Yc-g(}vwIa7a}sK4{c zN9AJj{1se9cXlaoUVS+;T*!09x=7I{R%JD6!8IogUp=$EbGPL4zv8z(%lYjdsQsBX z`$c%(qt4H#9sA6-pS!=Xc<!CUzPtKnzwyocQhP_@_)f$B&yLO7%5eTx-3009l6iN& z@A%lZ^W*tq;X6A7&g;gU@!c|Q_K(oKN&Y)M>+7GXo!)Vg{oRqxW^xaX)y$H9Et~gd z{m#O3MUU@o;5dIa`b6*h0^ywJYJXa0zi`icWWUpM+5Oh^xq464ZXfCWXJ+npq_?t5 zI{QqLjd``6`MKmfJM;@4zkPVj{*><rcJqf@c7I&6`1j6E^Mc8Hj{5#$HNVN3S5nG3 zZ+4-z`7^D&H8Qz(ZparsmU(vUZ?E)EecMm>_V2NXmH)@cEXcfc^De)9_WG@}IM>94 z${x!<f6!{vmek2Bb?mYl6IZ@5h}GzKdV9sm{FYH}=2U^h89a(wR>x``)sF~tD)M#Q z;y4(nz-u^5+F9gC>X99j(~?Y%?C8zrDU@{P__x3M`RwX_JJtDl_En}lyLbQZ-TZTw z-|sxNSM~gK{l)X}bnf@@25jFhZhxv=-+lE`&^~w0{V5+#PFFvf7_7-2H%(>zyrkka zavT0G)SvPDXv;kRNv~wA_Fj3a{HQtn@7JGU+b*2_yQpujK|}HF|F^@^J|AeUE|%Uc zmB&5(Wg*Mq+=)+To~?ZUe6jUj>1X=C_e4L}w|;vj{@a_qpQqoox2V4vJ%7gjHwPE~ zJS}%`d%Xqsb5qXg$1hGk^=8q8ka>Y=;!~FFD(QUFogI}MHZ%6xq+h<L#MpF-ju!S> zU)g>-_0peJo4Ph$)1SImDdB0%^V|P2j3>3!+TNLFovvwpD589}(H-RzN;}tG5Bsn2 z`SN+~Xps#;ZJ+o3kNs+Rt9iecbN)#s$-Ig?hG`;y58qpSwf@uwx3F^s`-&F-IzB6Y zZ|u!N#_p6Ix0GMW=hxLPcr%r8_PGrYKlDDoYJae1Q^)&R%NH+oy<fOJJ9cqS=7Cd^ zX7=|M{#$+KeU01APLRfT+ppN4tl2avU-s?az`v8v`cF@2>E1U-XoE-F>-bf6ay)Fm zr=De5Gw0!-4`yHeP3ynZPuP?S(s(NP)&66DUTr=#jXg(;x&7|-X^GoJYVFTXdo4Y6 zuG|KXw(L8L{z*PFw_m#^ZOvES)O5BrbFx1_E`K#YweGpcnJ0_(rr$35?v(mm;`Q6W zZHFR$DW<MBz4l(y+B_j=@@cnIw|5se%df7V_cP_oasJ{De?P>(nxFsgMN0jgSXX(y z4Np&1rHV_f-WPh)^;p*Ypt6k{e_fv%E3~0#_MYrNQGdP9=7$xqiRZ4mUGzF8RhwDt z<kz>cuhtvw|7@4Kn%Qh>;^Nma-|N0!pK<?p<EGP0VkbYmG=8<-bpL*l@WqSjr?an_ z^YCs$*x$&{?dF=hl@ccM*za=wTly?~-?I8Qry8aj<+!ZcAM{`A^GT(B>t)+kXIwo0 zbz6*m^v%~yVdpmd+!*_p_nG<qwQB@7c(moq2GlS9nR3~VujY64tHm6z{`lTp*5GPX z^S$DZZr8rWGOyMKmiZnyC3$bD`Ktb+CH1>E=`wbw{5YumD&Mr;B4C?t!crdT2^T-V z$~WI%#8&?<&-MR0-UyY$;pVINAO3S|?Wr=U)%REDgdaGy)69Nez<;aHv+IMdnOw7y zD!;yH?{2W)cm1k9^L~%x&A8;d{hGV)MZ8Z;{oS+FU-NeUn*VEzoQ02>J-z;Wjm6jI z)Cwt$Uk%SojeeEwC^&h1wsc;I{P|BQUk?AdsQc>i?h|VDc6m12g#WEBDVD$YfA^hq zv;F3~`+N8A_~o0ceyp*l&MxVu$BtX?uO7FU&{}s*Q+n60z@O_4*N6tZpRjWN)>Gzs zLGoJ#X8yQ&qw@Nl)poB`Hf74+zwm3ruN4bVEUT57{%!gdcV1VuI<wF{@Bg^eUI|Zm z6}{tc>HoEMS^AqU$e(wqziyp+tS9N-qHjuz=l4GO@nhNR^acJ|raF^5=kxE+*E?`| z@?2{(!GQHoi>>aT$o-R<vFG#;<=Ltgvr|4SSN!T#wR&2;>T-@{3X=6Y)e{9>SF5ir z>`7YPt-H(Rz3aAJdtIY@g%^JIOP%rN?s=`lE$?chCDn3&rKirAkus6_>96DU4<>H2 z*f3*8^VCxYKil#{+Bn_9&Xv}EDg0`9P>X%)!X4}7g4#|xiJdIn`uvJ}VSIew@i&Y3 zyP3jHZ7^Q2(ev-oXXf{p<#--Yl3Z81{g=kO+x?o(Cw)LU`ZN32z^XFwg)ADsnu>aL zCOxbYU)?`RV{e?K7tf}bJTU^l<OAjV>;I<+-sj!7#!hZ|yM1We@t{pbZD;!@{;HU= z`pkkWn!ozoWj1-Vt<DRO@0UnXy>At@JF@o9tJme4hjTl1COwquU)6s)@3Z--G$xRp zr52~QFPgvnR?+X8R8Tf3n7izk#=G6}0o!a6f+cU(F8JQ?OXJ;Tc`fHcf3cGVf9qG& z_dlvDQ1ZICs9xh;`|BwScc^PWzxAhL^Dm8e+T~i#h4H>q=O(ZDw#7)~``1>D)^4+h zS~nvW@`Q^SZOg2Ayy;`nx}DCobM~fusf;$dzy01~yR@3$+@}nRt?q}n3BLUoD_M2L znsI6MMRtjoQK=Ei+S^a={x|!Id!hZ0mQA}hJ`As)vX&=8<$8bJ!hc$ywfSDUR)@3a zXen1Wt(~{>pVa5<=&7+{8$8<9@Av+@^_jWd@;9FvrW(IV{9yg+{H*)Gx;JTqGW*+P z!!FnR*KZc3Pc;(xp0bojdg43YSMjNKkw?Do?F0F^`BcE2$y1GU>STiCkN$mNnX2*b zq>^Oa4w?GROJ7)bRd33aXRq7hSu65=>UM2#;C#4ReZ~D_aCMAiSM{c%w(_qFf6YFd zuXD^t@7E^Pgo!-&_qhCB`)vC+&D&xdg4%dJelG@P=+6r_WiyMN{BU>v%KeA`+^e7R z)@0hb2WxbGZP!kC8Z%p7`pW)ue-55KHS1Vgx#n%z4IcID<ZV~|ll!c_KXlvmh_4(m zqFvt>?N}eXAZPx@UwNs&drj?DoVn1l(dk0_&r4Fu5sEFWtlKwM|6Hrw{o&5BSBiJ? zWA>$Y+~W@8uXOm_eM|X@P0E{zbyq8R*Iwe-cI%nE*@Lr&U2CU3lrX>jrSo`L_i<5{ z+w=EsuUoR#?X2^$u;P0CpE~Xvu0D1<JnzWntCjXY=V{(N^+bE^v_r`<ynkILU(CAx zZ~3-c-#kv-$htc<dnv~`xg(#BuJG({`uNoBTgPvo`b&=s6PUjgUz&5ybH{8o&S-mC z^R0feyB~bA+WJ#<t<h}V`bL9SGHcc}Z{zO^{eEgs_`~-MDPJ~Myh*A4a&J++U7B*_ zi+=U_{_jKNuUgLh^>f4b;IDx@CwI=5E%?2>b@^5IP=B+xkEPqVKlTL22nK$f?E70{ z;YF^mfgdM#+V67+DO=Sa&wS#__JZH5_l4K~333qo_4stp>buMB>NFJ~Wy-brA@{$u ztg+bmY2$J0?Vi^vjJJP0qV?_2r4%7IKO+m<tJ3R5e?2gpBmZ!H{N&ue+drlr6^mVT zeEpTROSe9B{u$o3d7h4$)}3Q%8U1P>=gG}p7`O8IpZ~vZ@XYaf_<H}*!yjjFZb-~y zub+DZ-rG9Ab4@^J#y9?ni^YWg3P(=ADcU-D_3K?e%ZplFw!GTq=Q^h&HPLWUy~0C* z&VLa)Au5X`gqFH&xn!i1!V;8pw4>wSD;Jmfw|}kcO@49v<DGf7@%z@l&;Ne2Smw_k zId4hps`PtP_RHtZ|NB2H(>rk2?fF}cE$W+=9+gRQnm;>(-TWhC-X!5WOYWLKlbpn} z$u94U=pBjKI}FQ<lb7DPF}bQB@0?EF9mTvoU+?^g-pTo`SXl0{+N`iYb0@#gu$ewP zrDgV)(7a9kJ1*8gI%ZLB_OEZ&_2Oh*tLo3m?Tfa=doAC)PGZtl`zu^eR-T@4@~z^l z8~xAfV(WWk<0noveSiPgsZ__FWnSGgrWKt#`}Skkr~2;t%)p7i^F0%%FVi@7W3P$K zrSQH>XSP4P(mq`@a{uXHn=&R|J=NqB7@8?)eDL4qyi-jj8hwS{`!@K5R{ef=hWpY* z!Ta$dOBU;Rw9m4Mejji-*VS=evT570`b#&rmj=Jh{`Bi(TK(lGy)Vn3?w@}rdh7a^ zx8@x0H9gh4ccS$3<h(occYZwg`uNVD<^{q!&(vyW&DMCLcJH`vQOoQvn|2poyZQFc zi^F#$q<0vW7bVL+I(Ch{An%;%ogLgeK3*$&oc~bm#$n$d;^r5xR2N(;)HgqQ_|6jj z!pXG<dg~@gFD@2%o_6lRF{%0|$KuZRezr1y_xw)9{^G~+75e9_W}Nl?p<sUT^PMB_ z3n#1GS)#u4<hmLCo3H)eX&6?NocHY5uPM^M!}I27et&o;rd#?wW8R<VJ2<x$3)da@ zEtxaB;uq7LQltLaB~xbSD4YLMzaz1~AbH+?f#+#wpB#%j)ccvo{N3(57557s%RjAG z+i~Y$WP5pmFmJJN{sXm}Cw;3rX8Xjq6klHd^jO4+-k&Pw*KXgrQN6S9-?L+TD-6%w z+C5eJ{!_JznX^AQ=PhbZ&rf;2#`s;#B=(tG&;R6GA#&o~lo==ADjwy_u!;aj?$(^r z+NYZo+=7?7`efhj{<?A2s{MiUFHJi1vdm#={rVVR?)5UK7E8oW{JMM5zeTQ>w78Q( zLZgoObcA2?jhw)zdNbyIK-t@Bll{UkUu@tPXN}yh<I(=gr$$Hp(!yQWMOiERe643( zm32R#Y36&cepTM8&#RVI$?mzFGppvu)tNI__5XXQwlBqkKd5N+x$Iqjd5_g<TV}rz z&HK_J{g}Nz@65X6g?ZPm-nsF4XW_Mi$NNt9erGlRCx3@Uy!XMH!<Khk_zQ*iAMpKT zXa4g0ofKLB2Y0@#-eJhL!!W!sdGE2_zmn$X^6%^r?|HOluUy`rrFU5D%pdaQEn>cN zM16<nuIUfgT(-;G^z_b)**gr&ij$Y!iI_a?@trr3cPyOm?0CIX{^Pcy$M2u3Sse4V z$YnieHS4sm%^}~q<mHxgnbtmt>)-q)BZs|y=?u;{GNH1^+rMYUW-Oc%cbnT=cf-~x za#J>aY1k%m^Hz|T=3Azwk8xX*ST{z0ba=e6LZMB<?8t<w^?{E&Zwf3{Y};|qt!Ev( z;*kuqW4~jZZT9V-`SabL_h-Hs{JFhn=Fc<fb)SEq-81v%_hbEUyB_TiHb357K3B-~ z@f6uwHxb)<?km&(TK=ni#VlhbYgK+@((fv}u2nm0Q}12WR4+YsD%kVdcRS;*0+*H- zfu%36W-RVFQ<2+lBqDN^Uvsw9hJD^%99(6+zpozGT>R|%l+XiF`@_nz4s;dH&G*00 zt9<&q$(nM#AT!=I)r*aGA4yRyjD5fM)qKAF_k+va4saRH`Ci{FzrvpR-v{|q=XfGK zdCa3~czf3Dn;9XWA6^!Apv!R1dYO=Vu|KbBPo=T1QE6LkyXK$FkFV-e&+<mtvFWwv zhkQG5B5P)Q=_aB3rr|5|WA|^3zxk&@G<iY&9?!q5AFSnrw;3d;8peFLex={!ANC<I z<GubX{kHq_>veCf`L>z4K1}E^@3s~B`4b+Vo~p|kVc7P0arG5@_J2PVQ@xqPgbs(w zh5x_#VRi7gMQ5`j#Wuw7?0&m%o73Oohu6PrS?hz0e%$fv`Qd!I&@krg+Tfd?8blv2 zs{iBo_xXYMXLj=ChTZ2{Q*N%gn_<oOq*U#Lzut$HDc*R%eJYH#$8zTnulmX@=Xl#+ zt#9*pv#;Gg>%IH`(0blKA1i-fNHbk?v?_J`rtSl)$~B_d!+uZL)P3;P{eW$D2}kGb z$^H}e*ZYBadR)KR>6Lw7!(wkPWz_C`eOo`Ep83x?>#4as5qfOv))n79ziK~c-TULG z-dOvE2_61EKj^>FkLIPnFQ$EsmD`ZSQ~$or`pSK#`Vx<u*BYiC`SAGn)%$GwKb5A2 zv#b$d4qx(1`s?<?>e?S`-+o~>*krZ-NLB6JP0!iZNHG6>toC(%)BT#+o7@g~CH=Uz z{K|dC`8w}!_vZf(`1}0e`#-@q&w)(5yLq<3rdw~tL*9F(e&cRiBXM~5KgX|c#ScEP zOZ}Ewud3bou$_N(e*7FzpZlzDSVtqb(YiYf%BzpwYngiW)SI(TVM2$)`B&uo*S<Y_ z>W$~h`k0wtEjA^^eCdC`D!+W?&+yc+-0XwB8JnffD1d?>`s((ze%?2I6YhT3uC|MK zuYD?y?X~^7H)0zOigZtSn({hDhPTE3w)eG_#U>N#_q}_}`hDY`Czl1;Ki)Y$(Wzoi zZPcanXO37u^ibq9h*>C_*dsJ?qmpgtuBme;T5WbSdKU3`M}Oq;ts6Wqx7mH1VJaFK zmGH;e`qt{m<OrdOhS`==q>l=mvGToj#Iqu8?zB02Nvd)4=LjXQOy*;GJViI#+-^~1 z^n1nRYgJl#kyq=5n)V()^r?TLnkeh_>Axcc`%mh>{Ag#u80&XwWsSwkj+@U9Ki#%6 z`r)^#vv2F7X7`*u<1f%-r5_ff(6^C0R`x~hru3$sI+=TXhXl9Uugc#$QRmC!*&WQU zir>ziyw^3S#BW($(M{>uSGP~Jp84Y=@Aq{-g}&y7_)q)(mS^qv75Vkbb({9&hp+hS z`Zf26zgpcNxexn38-L9;Yw)RMIlezH<^BCPzi#+2M!s0SUh=wieC=#ag~jsmC%^pr zR=zs_xstH`&OiGqZ>{#}{Ibwn&hy>l=BaW*I*-0DSbY4QB*(5<FV>o#)U=FDj-AlM zKU+>bCzIo>Ri%zZSETxu8<(t4uBpH6d-j$b%O;&(o#1!LQa9zIXD@mDQdYlri}4NS zo0Z+m63>g@{HPb_*`f2=)2wgdjM8oH*I&#{xpvn-<Jz|=-}fy)Gw;d2`%`XS_6oaH zeK+Buqr}b2DgLhuW*b#2xvvjM^Oub@T=)5L_0{dm*If!X<6ZNsWz$PgR>=yE=T$yk zfBo6>SK3qO3T|-XxpVB8-PiO3`S(`7InyxpNWt3v752h)zoSo`<BBk3t9ms5%6!)S z*6+i%MAmKw6%AkYg6sMI{PPAEMr~hT9jv}OpMCzCBjtznSH`#6|Ju3fC!_W;hyNB& zO$|0#i6`vc6m#<s$l$BCu)^qV{f1xN53kFIq<t<<Q8kQt9QgHZd+g`(Q`1=1NF4ro zZH=?Rrd#I^R#i7|0_E?AR{A0LpFQ6tn|hjQTDRc-Ggb40?>~F~%RUgI|IUN^uWtXj zQ*bl;V%pc6f9_-~H<~?PS^Jpl{~f-yv*)k2S&+jT@l`NYyy2?bsj#N2>38be%uc^_ zT4R1qJ6hD>Sxdah$Lq)JEY8lp8qYhwr!MDqI@|x~{P23-Ki`&5UCa9VkH^fj=S%-D z&5@1BKlwIevy|Sks{dN4ubIQnoZNkI?W=gE`X7#|r5i4WPmPt@Flk5KAMLN^2iE&k z%ihwt-^+OVXovmtt6N{?H^uLEy!otQ>XG^nUw2>G{&VLs^{F@i$V3{l)hX7;|JD5v zT_3*9G(pv{ruw3+!KPc=6ZaOkg8g#jfauG8L4V(VIO$b$|98VLGyCiBwKxB?+?2%g zrC%Ln)Wa*+7oW}A_|tOJB#F8$LAA5zE1&*d_W1a3kmM=psc%6QP5j>#zji;kzOR1m zn^z5@$ra)MH~-rG(0o<<#k8&Q!W$+@?5o`QtNOwAxMgo{HHaoXXxegr`M<aybM>`% z>w%p0UHsMi=KICDTOxI@C-2=KbMqg#+<z1JpW)BL#8lr67n@Jz)b*`VStoD5YTwy) zp>^xe9J_e+ru&67(;GK7ZVQ~*d;gVwP+I-x;@;g;8GR+{f4=Vja!Abi!}R)JyZXiN z9RD=Q-(BWVvAjF$!@_Twp7zGV?<*EJIpo*HX>V#&kkq~uyfpfz<Vxdg7xUv%$7|16 zt!wq2W%i}>_?nd`E-^ipzje*uG+UZuk|FLAY4VKu_1XX3H*dS=d~D6vkUN`Jtu1h$ zyZ5-n{~h^3fd#cY`$a#__%liFJ+ttIrz&&L?abexq?9(FfBNlZdF?k|n{NM|nQLTb zZ0~6BYEMLAqs1}3lJfANPzy^ZH+#N(_J<w!-oA>?SK<D<<@3*f%&%_$VVwEvWU9Q) zqJ6!0P9Evcw@}+%@BZrcig`NkZ%euvziXMeS1|VosBGfuy}mkMxz6b0<oJF2in@Q9 zNjogFYkD02Rrb|wXkiroyXTi#u!EcZzDY0tuC-rg_oc%}e$A7OkL^0A-jO<ATeBfZ z2Du<QT>Wm_ni8)IdHVl~?;HAao(`!}w@P(g)i3AeC;6?)$nO5EtZh@d1Iq-}7xw*L zw}tC*oX_7Gu8Zc+@OaPSI*aFaZ~aQwTZ_HQnv^~(ZaMLzHsRvG^ZWlZPJ3295daCS BnQ#CA delta 43494 zcmX@Gh3WZY<_Q)`Py5cKD}*KHFhD^EBZLvp3ZdCeCNnbHO`M@4_KT5$!Q2EQ`rQIT zZ?~P8D8^LoG+EIuY;pi2izq)xoC8EKFsKwk=o1qY6()BwsxvxF-pHuQ&A_m&?@T%m z0|SHm<fn|A8UIh7$>ge{%)r3F%D}K7Gp{5yJ+&y_*oc7%qP^k&|Nr$MSCvh^&m<kn z&cMJB?&uj3AMEHG;N!{=9O573$`BCb@9Y{J?CIwo@8j<uz~CPm5)c{!QRwRD0#oeg z8W9rj=IImS8pPn{>F4MZ4^cSTo7tw`kAZ<ffPrB_a(-%>Q(|#yPG(-JV^L9JCD<j5 z3=0_87#J8pE@5F{0E>Yf*Z>s+xeLVq|NsC0x&QzF_eV0#FTW%?!_>f|3ZHTHAl(cM zDLBmYMKaGPzdRtZxEP;l5W~1|7zT4(aYklZNl<EfW^qZXNfBZ5Fg!cCfmx1|0pw>; zxJ<srqG99+Vlgl<Y=_eCptKD%KD(jx4JfV7JXwQPt$qho*>Yxx(<3r-GV>}FJcBcH z6<nO$6$}i_zzOHe|NsAYFfcH5urM%0C^9faa4;~e5Mp5H5W&O@Vhjv7Bp4Vn<RJKj z90P-fJOhJ=A_Pk_Fff3y4FdxkgAD@%gUG~0VaZZP28OFl3=EynEC5QXAlxzeKWjB( z(&TEk{y<P#0i}jiq?7<k6`UYL7#J8pbRYu*11MEYMpDDaz`(%Ez`y`X?jSWu3=9mQ z>~dssJ-alc(&Tb>WyYY%v)Ppy(<bj{SLWQzz`y{q`_<(69MYU-P~D%wyxj~83?TFV zf_Pjij0_B*+{ey1c>#x%o-rdhF*67<LM(s;Dg!vGtQi;>xEUB2>=+ps1Q{3@#KBs) z{1_P+gcukYR3O|qMg|691_lN_2se?DfkA|Ufx!a8&1Ym_5M^LsaDs3P7#To;&ENy! z7BVt0h%+!Sgh99^j0_AC3=9l$5N;DA1A`<314A~LD>jLdfk6uDK2S;mVPS^J2IgWD z3s_=6kstsKQBa73LJO2~<e{M|!@$6x&A`B53{?XPk%at9?x4_Bgo=UO4KfvkL7@+_ z?7(H?mdWS16gjRKw}8wunS7pG2F5W*=J-vv=N1nIg)PW#P?i#7U|;~zAh#`MU|`q? zwFl(K2gR4%L4gZW1EN7{K=y(#vfUv4N-%3coFHUQ8Zu`yi~};y44JbV#NoVR+yatO zVVrz{TN=hQ2JyuBK)LDwRDm5x2(G{ng%^jyOGM%2qwoq)c!emu5)@t&m?sRi9Td%= zKmY~)<R(5{P(Gi$iBD5RTXsDt*@47C5upRh5|iKaNibh9Zkf!*uW6$#yWSKe3ypC- zkOBq<29N<D?}0EV)<Gf7aKX669Z9YJ<o$dy0@||c<Di0|WFbCTUO)zvrqYlEB|w58 ztMZTpL8%lJ=`gE6?gLo`vJzxf4U$?ZkO3gi^dkv^N*9jF{sIyL7mQmLAW6tfwif|A zY#WlGE6lYgkObXef>)6Q-C=@HkOVzof}fEDJz;|XkOaM8f}CKVK*}p`kRZqdLP&x> z3=9k`lh2DvAO|8Sxw3=23o4pmfygykUQB^STXucT<o`k%tlF~c6&WY%2&;pHj3$Q* zD+_``k3m~@J*eCPIfiTUc`<2Dn5fU>^}@<<Uex5<NW7HE>>?UG+Oq5OpibkOTrZB$ zS~fXeM5A6?c6|>_ngLRjfr?}h2H6Hmd!V?3**6PGEhwHrTyPR%fRvITwP3!s?D|z8 zNob}A6;&WESPn_8Bv?ROcKr^JEL5>9w%`M0R&elvoR&6OTucKw-GO95sT4WgffRz= z12*}Bam#Tq15%1}fg%DF+?N?A&k<7xu^uu`J|U(Kim~@d3VA^aL4rS#1o=RMpeSOV z>@5y<2RAr?AZCLy3CL~`Mh-);0ot<bC6Uw$f>Jan610#6K^Ys_BcLz>c?7KFf^mx; zm;td26jmH8kUA423@YtGYC(EHnavI)2(7y07#J8dm?2dgNEl=XNNqh#u|G%@su)yN zL_mvZkT59uf)vBl#(@N(YC#n<$PpklAYo7nM^>8;5`?N%g%(60hk`<ckAa~cTqDB_ zu18X=hNc))pCB9DkEB)|($<5wH$l;WtadJvS`BE61LBB!NR5uHcomXjO%%nDJc6ut zBa&JzGzWv)0?2B&A*s~`C36s-d|6ZkRD>Kwk~9EIoEMeQ(3V|)8A-yGk%0k}vOp;w zmbyT;uugujCteTAub^lJHK9PVFia<LScSpB0BZDtEC)q#BeYEi;=?e^K`=h31rK6_ z)PvfGSUoVgTUNRrs|-jh6ccMRE^}~+gVG+Tbq2KtQr>|Q87TDOg3z)J)Of-rj@5$6 z)pDtf=93@FWz?H6fI3m}@fJo<hM@tRWo`)-Gli)#v@nMX8Ck-V85@{0fO{znnR&@Y z@rfxZMXANbP-VuZ25^=!jAaHh#Mq460xoDd`K7!Jvx$MxWG;o%lVub=6(bpfo#WFo zb4pT+f=d$9QyDzt3ySiSQ;Ul;^U_`N^HL{2QFLaEob0D0K6$PJhap5!a8P_fQEG8& zUP*9CVo54Pa8P_&X-O%_2q+J3;^ampoynV(B<dp>JW(w2N3)2*KRyy<5OT*8#)o$u zVFJ*;ABYPM7ijOT2;`gG(wq|ig4CkKyc9zOfAU^sTeD#2_}s(_M`-Y6f+E@3N<XwX zwWwI%IX|x?QQsv$ximL5ucTPtJ+s84G)dpnH)OK3rqX0H6@D{kD}6|ZOg}8MEHNct z-^jqoM9)Y+C9xz?zbqpqN58lzS--d>CEm!u&{Ds+Brz`~v1oFmx&nuxfuU)<k)h$_ z1XXJ{gj|XqNE-u*2A8B(lw{^l-lHauVy>E@r9MOouc?zA)Wnz!Ehj%w)kD^9I@w>1 zT@UQEl=z(d^vvXVLqjtYqKr~hm!9mSA%S5W$Qng;DRCVBgSn(kT^mEIwxOkdUTJP> zQD$;{aS8r#f|+BYVSr(d4XQc1N%<+2a6b_h^FK9sl!;3vMntMpn%t^s=sJ;sfgyx} zfx(iIfdSMkeZk1Uu!NC;VJQ;>LktrGLp(DBgB&vhgBS|~!v$srh8`9OzRSYE0K!JB z3=Bq-e`|)<hcYlQL^3ci=tFyepz$el1_lOD|6GNEfdN$Of@)Jx#RsaSKy{HJR4qsy zXdKH5)D>o6U~pt$U~pkzU~mNuO))Sqctc$YvLg&M>cqgn0BS}=F)%PhGcYj3fU0{? zH4)Fiz>vYfz>o#%(=sqHfCkfY85kHqJ&Ak<28IF#28Ln=28I#_28L1w28J>Q28MD5 z28Id-28K!o1_n?sqLzVyp^gD$BBa6rRWwZu3=FLd3=C}y3=Hj{ArA%yhE4_shAsvM zhHeH1hCYUR1_n@XV*&#M11L-<F)%QIMjNIuFfdGKU|^WRz`!t*fq`Kb0|Ucs1_p*X z3=9l&85kHq9g&3$3=E4H7#KkP*Ch-L3`-dp7?v?GFsx)?U|7Y#z_6Nufnf~;1H)Pd z28MME3=HcT7#KjK5uh;$(8vR5yunn1k%7SsG%m;p8W?~?r~@Md185A$iIIW9nUR43 zRLi?fKCdI48OjJ65nx~lX9NueFfb%DGBBhvGBBhwGB9K^g2n?F7(n9+puq&t2tpYn z14B6@149KP14AVv149)f14A_<149iX149cV14An#14A1l14BC_0|RK>vXha4p_`F` zVbWx4U3<n;ldE;j#ZG}rIw*DkHLDpI7(6&9PtX;cd{3806j|B>)aGMgU<jD}UsoAL zV*s>;62T4D=%<IO(E`*+WME)$n4GVt%y??@ZX@Z*>-1z8Pfb3phtmZQ^u#9X>7yEi zaDl%*P8WbQ&O_6PaKU~wjSA2)2MylI5A?(op)Lgn2(sTfKrK5^t8=ox0jkX(pfW%B zKn6^%GeC9n4QN~9!Q}a98V`UP6buXuCj`J6-=S$-0UCK?U|`rVncooA#tEQK11R+h zfi=b%qS{yiZHzQbt~Z2)w)W(6hCJZ-mY&RKET9A$mje5cfq?<plkni>n*2deY_gpZ z4@xW}1=R;VF(uIW6*g1gLB$6)WgVI+Na1zCND0LPcv$g+b;=o|+K&`q4#ucD;ejOx z(y0U*^TOsdq>$TyW&%8g@PJI1{144;c%TYTRxm-eI|0-H1eI_iV4Zm;sIK;ahIWAH z<Oygx4a6Xhv=9U9yk`Q6Bv9t~XC}Z4>S#fv85mAYmNwU(>}QH<GE#OmFc(t-^|s&| zU<^<n1)4ww_&`I3N}v)SCW{>TNbv$P4OA&$Q-%~rAZ4K56*gr^aRpKas$Q@uLy9*8 zbFs<u%us!Z6ki}yK*N~WOhJkqkTTE!88&4|>DRy<H4c#qFpxXy%u(GT0Zr=)(vugU z>12SWH4S-C=uUozrjtVf;*tb~$pRLrb~=DYUqPj<Dp+Tn1*)A6p#CLDT5ECxnobR9 zKp1F)b)G}hDF6)s1)a$s&~!3@>UahQ1_@n|P9;!X1#us0LGS~rOh9jPf+eb}KY&IT z85kIT=z&a_yv`EU)i*#54F(2=2l|sQpy@mSYFmKHI|HyzIV&Dr<n&kJ1#=06h8BNd z4yaFTJuy*y@;h4vu72?70RuyY-eyI+oh+LT>{cNWlMU?rHzy{xvTy#AlgnP8d#ifF z)hCi{AD$|)BtGqn6o{A>y+e6{3!`NWuZBpV!kuZW1z1^FR5TQ_HVV4u9L;i*)Nl4# zd^AjEqu}ZutD`)(7G1q|IWX#_hH&o1k3l|1z2>KD|2}Jc`EPRV`TX+pzw0bdez!dr z5cqMw{_EFAOOJl%tz-DJ{LYp|i$3z}&#gb}`)bwZWAVk`SD3a`x?hnx_(%WBk9m!~ z=Etw!{BF%&Y7y02FRB~-c=ZoCQ|@Ut%dhcF+qb?<Pbd4teY4uxo8K+I#x%`8cy)`Y z^wo3Ck^QT-w%lyL8g+2a<gB%cdDecJX_?Kpq!(Tjd!`?j&CdNUD4U;qe&B0{?t8)3 z@?!Pt>(dTTnzzR)ZJO4Vms7K36K`5=_4_ls{fb`0)AcJ#+eCLpiO;y&HNWBIZPBf^ zF>9rsU0GGyyz}<etb}`ZTi+S{m)$D2;X(A)c^fuJ+gBQU>tC6;{F=1b`mo}JlgwGQ z2`{~~Y7=j|XZ=lh`fSf`pZot-d{)e2PB@ygwb9_U*;Yq`dh0-Gp5ne$u^h$zD|s6$ z=T?T#j%-`>?f7cmW>)PhdQGk0SH|*m#|239b=!v&Cwd0kSuT68y5e$U*7QT0B(s!% zn9siIcIcCB*5ZVtf?H1~T$`S?II&E0euS|%=homLY3AbTt9x53t*;(C_=h>`apFPt zEa8Mi<#$}m-n(20jIZB%I`Ly@mYfdfRkeec+^?z~-gG!C{J<&otLs{xzTF``GjiDi zJ+~FUt&!eW>R2|HukdZQ{C#y@)6UebFAd)J+`4M8U%cGW__XPYX3Z?)gojmIXKr|r zxHWRak5E(jX*G+?q^E6r<d^;Hoa0>H@Y$#5Ute{$`RVPe&klFhW^FZi9b3PZ)!_G* zTTU~A56j*=vx#G?wP&yTj_q!_oM-9-ay4}-BHu<u{MdNQDB?=f@{X6gWlC*AbQ@HQ zudHicx_xCV|7oGEq8mO1Zk@W}&y-tQ5f^7JpFZ;`+m&l9Tdx{aFTEu-W3zXz?it$^ zWy)u)*KOl_7Hy;Gd;jZ-X2&e)goi#`XV)iO6x-Un;RokdfoXddZsVL*x3bJwXZ53# zIcYmvOFeUqb>i1;V-0%~a+_=Oyzt$kY4X9h*@}OE{9;}k$=<)xP`GXVYC~bS`6~^D zkG-8KbLO|9u$uDK8Jw3@v(B{K<TG8i=Tn{OvbB5G9J>-cCooxQW0mp78Id!1-mJ{B z**cdutKP=;?%gb#n|rQbsW|jw{;I<7{87fDGxyzTbq?>{ow&uJ*E+Ojxm0=2lT1^) zvoCjN)vVfdIcv}3)22SjX=3*(f2x??6Fn+rTDK`|%`xp9`Jl(I<_c%cIkYzAk#X%$ z>3asI`#wEi6?(Yo_SG4jht;pn;9R`@$_&oO`B!FePS(5nqdqS!QO!p^Wb&*3_N_Aw zq9c7*nWr84v@R=Xuc7cW_SK$WkNHH%tUp$se5NJL*e|bV<^{Pm$9!I#36f?g-oA>r zrLy`e+rdBPSJ@6Xt-qqz(kfed^o)*kfP3<lwnL8`awT+jhQC#c__gQO(G72A+$xCJ zX=pEc`MT@e@4>rS!hFK(cZ;OmU1P?SX1~r%=vhkE;)Hk4v!);1!?#EJjLzb~-;1w! zZAe&oOCq9T`>lwGFKcdDMEnuo`f<aOrttr<&iMfc7$n7!(lPsF_i$9!hI4@*|l zi3?6v+wyPWF`ta>59fmXSE3g<o2giQm7#EzePFWMm-?m0d?fyO_Rci;Q}35+rSs~X z`k6CDrmreC-7?*fvi25Fgw*P@EvfBS(hi<dzfuzscXIiSFLQf$Nh~vCPdghtn|t&7 zfZ6QD^MYpc7vB$C-CEgti)qIFsk_@}rYgQlSaeG%Vux3*q0X-OTuz<3z+6q8++%l7 zd`Xy_ADYde{cV+LOXU9WY?jUY!?Jnmi>(UFjcw04zU>RmX8OD?IGgKp{i?KsP4`!r zHn-M?T8oQ)f6kZnYg5^>!smv^w(gX^y2I?fpy|4^|L00w7yA?3o6UW@NZr_W$F>(i zrt5U$mK@uvI#KHSw0}{(*{A=lxh50#uV3o3_q}H86*F6ZF5ThO`}1hj@?(FJ?3Wz- z^Jq`~qGNv^J<B?yALsq<(lY(e-Sr!#K6@L)_x@D<17dyg-ugN5qx{vJh)?-jwIZU< zUOsr{l3;1s&M5VNf7nc)P5<*e>x}-<{HrtK*Ysc6b?D7An?|4eSy#>pY|S$$-xkGt z=Jv8{vd{iIt!3Bw(JWQ|^+SBto!G_P6`y8C?)ZH4as8DY%&ON{7asShUv^C0#{24z zFH`?7JGNXu|Ip>DUsCHd*;W|tk1)P6BmT|VtTX!O<V>H5fA5g`-2J^(>T`Gg=MeMF z2K!kSU!4(uC^hSh{zcoYnpcmXU-|Jx_5JFPCq1O6eYpGOsqCz;niF3YE4`ohX^YUx zdp|UvNqaA;dMv6Zr&&MoRj>9|zUdxck7>S{tQxfU<J8M}JS*@0I3>H#OzfF%m^Az6 z_d(M9-17owGjQJzp3Tv{FMPL**!L85<L-8=ok6)mI<d>mB&XRfDPz6=T-5a0ber(x zI?bpeQ&4D{#`OL?x-K-iu5jCG!~HvcUzriVr~Jx{_&=ZkYs%ZxRi7sIZ2E=Z<hstV z)n}WI@~`;0;fd<jn;X6;Z?)X8#?oH%a=G4X#jMW>KXbPJ+>kUoO81P{6|n<v7H4rM zp3~gwYOvk-y@2uQq$~F-wyGM`x84ehD42h1>xK`kTT?f@aNhcK!w${&PM6Ic=JJH> z=9_jdV7J_~+_30_-)}D7CNxbxus)hqdtbnA#<b9n@60!^am$^4<=TNcPqVTU-}!Bo zPQ1sswbbB0^VY8$76{HaxLnTsYQy?lz8hX>ZSCD)5yG8#a&gw|gqMk1XD8nD+!~$m zw5(>+S)JK|&y}xiJJ5ABD?0HS-`42FZ*^O94ZinCtx$?MfA{d0+y<+fh_#A3RiSGI z>vjIQtToihJUaLIp8J+7E<eojO^93@75wjPnJM?Q_rck0+V=vj#na}7W%F&$-tl;5 zWYmH(wUwcV?wDqsX}Q;&rJMNgbk>=cgU7SZv?OxBTeU1-`pU%s)3ieseNx7WMYE%- zBWA5I6i#!$I)n4{@+&zJ_h#<iIkR=<28s2@d@|P7hrDLlT)r}vp_p$~Y1_`@S9cw- z=~iz8RrmHDYo)}_guG_s-oE1Mfko<9b{&3Xex>TbB>k&Zhc0Q&3rU+6w_@|8sQiC5 zJ7+PUE?@PvQI!44uS08+v;HQOCC_VHmS2Cx&weYTLHVv*4l}lU<_est_sKQTsfc~+ z6!Bx`ErEzD^Xivxn3<~as$z+hapESIw@MMaoO@>)++KgoCqi%a&JBNhq>K|Ub}!#Q zvvuZ$S*wouWaI}(a~7AaHWc2qex;#s)%;b4!oSweH1N4E^y)xpauB!p3PV@d@>Pas zkNB@P%v5>5>f;fA|J5H&&SlNWIiq7EdV2DeilnF6S1O7`_*WH%*Kg-rS(v`fe??(? ziPp?{KJoA3-Wiy_Q@R&pYWMKp+pL;PP3l*Fh_vLd{Fv9XFYs}!V0mJe@#YW0-fAm8 zo?5DXb;qil=~DOi)&%sf|MWQPOiSz0_sjH+7n(-&&b%;h=`o)dIibmFCEr11Z$8Ma z@hf#(SY>bCJ#)xMqAxJHUag18H0{ujIMcL4UuH<HP?~@4>I^xX$KAeJHjmdHzgltZ z_Dres70-)J=Y7m$kCVOJoW950t2cem{*d1Enu6_8=YM>NG@bXckahjGmj|tW^o8G+ zxGq-q{Hocpt*(==?$}nbR_gk+BUz<CpH7I1t+QMhHa%WT{E@8B{at^IeAepK?>`f| z`m}!eimOkjFTe8Y)9Kq;Q$=@tYrR!zuwMJUim|u%m47Z<!!{&LjmnOw*dHYw@num| zbi|+Xtz{dQNWKrdyxsOy$%ZKQ2s7`uHUG|rnMzN4AG*3pG=EiT%iO=;YtsMM#;V`= z|NEDIQsK1H3dYo%)gqsnWsiUSSremna+1mB^|dkVn^iiq*y~eoRR4JmlA8NHdX38D z+g_XeE~!LLdUnghC&>Gh${F3smx7EYPK#-KJmYktMc>Zzf7MS;GVydf6%!q#wp4Yx zXQoHjsmC8*eg2zVZ8NX`y8Qj`cK_FIc)YQ5`ut~NpW}b(X;0MnbA8fj6`{}n)=Itk zJ^w}hgG&opdc}ldEc+MKpWMoFJx@8~o5I0fO~;c<`>LO+#@F^=Tg9^Uy5f$_mX0@N zIp*DNU+_nf<-52*$QuEhJ#7m<t!3$#S6J~&<>2MY<H>VgX$#MZn7!cHGM43DEL*=T zSri*M-hIq*PFHb7x#_{yXb!0!4n14H3olfgw6*mf+&US>a@|ZVW1H>4UfX&OFFBWj z)t&)Wh6m@a<~ViF=fj=9EKlW?cl=j!JSysUz=Hp}%8EJa6?<eIuioXHC+89HH={{7 zUFgc5rUzGiS+>4cwJ=s(@tf)3&Zpu@bE-ab>g9M`NQiFo-YsOZN-)N9(t?vOS+46T zXOt-)?A^`jW#?7!+Op}=>A4++?@~BU)#o{UxMRlhv|MpVJ%i&>Wezz#r4==t2Ompw ztm5OGXXg~~_dSdHwA{owv+`9fw%R$q<rkiFbLN6~;vDBpIbO|mDtMUG#CBac<`=8u z;&#q;e|i^qep2ZveyhuJ_L}mJkBUu`tOf7<vT=NQnDgBnmw<0hP0y=Xp1xPu@n694 zXgWu|oL;Tbv6X+)nvTB~3c1_#;AJ1n)zvKOe99{-l@6}_%$Zf+|KQA9meP9Xf_Irs zRo-)(3iXz7O097&c-hR-Tc)t%lVy`yyFiR}<ATWc2gk}ez4$ot{?1zPC77kWMC+L5 zZmxsH*}_xq_dKZUT=3`~3qQZwiW-}Pk5ySh_d9?1QZLr@YQK`jM;6DkN0fSsvs9aI zy;rf=%;|WyUvSRt$qVi|vWWMoW^9=8;F65v;@O<*{!ChMGMVN2KhFy}t(SLf`^eWc zNm}sEFAc|+TnFc_7now(_F$(m=c#zlg8ynwUEc+5<_LuRV?X%#ssC|{(EENLN}QWs z$tzlHly*F;FFePpzH33*><4F_vXs`l6ugsbs_GYtshGFmU{P*Q@ylG6UNeOqK`I%W zxDRgC7c{YMdT=b0MO9yQ$5-d3OXY%he%d<Tlss5`dR}AUsr8Np^|ei1(ZV)&W-j<7 z&M9Zkv1*>zhc8J@uj~~p3e_FY-WQxxHEqGS?DIz~(!<nO%vQG8E?V!ncP@wC8~+Or z3Yxstg-v!%cyQ`F=d3%91-U*K-bgk{pPtiN=(WzR;I%Ew((NicK5I5@`YmWvF5&o7 z?BL(eoLX`2A3l^do!YNj@yEpR>UEuC7XFu6uHN@8SmY4!c{0oLZ>lT)mNpr4bH1AA zUGOfdsfxLYn_tMJqU*t=^T#ZD>%$axe9~)Dn=Kggo5gYSUe3HLoL+hgJ4*Q+UuJW> z`!i+18)laCwvGXH_k?<i>s6b&BAeQ03xxc$IQZC>b5&jMgO?jwuAW!3C^U6EJ6~Xq zl;D+r#s_Ck=Xka6qs+RqYh45Km7BP43!AJEi20@ExHy?(-5l2oPrRD4?bR~M<PX;O z+6zvpnfM@VRdb=&de4IA%q*-Y@{S*3d{&mckl`nDq=mzO4Kc0&o90$#9{u!%3{UO2 z*(L5Dc4AyrpYo95^7BXyhrhhf)#B>n{wf<gB-wGZX6&2a%DiM->OzLM=QkBJ`1H0i zmFz!zh_Pt-=75HKOLb%x6ex4EO58bmh|#OQK4BrlVo7fH6?^{K#P~6)Wp5~Gxb-_i z<3LWf7~h2-Jlw1vat97EM%_<Z$nertM`pq0)P)Q;r6Vj3+?lH*qVS)CoBf6TX(z_6 z_15y!iyI!k(h*tkCr*sd;9gfNQ^;u@nS@>T+^j3=C$}=I_$4l6INKdz;qa<TjO)Tb zOK$cPHuYzm7<bjDK4jSWgmuRprY~X14;fm&Mra&J@D}3>_+`S)D)ILCAx5qH2@4q} zi*d8Bh&|xMn09?*LBp~05gHDk6vg;1{Nm<j_0X?X)O*e_*L-6@!<$_?G70MrI5B>* z-c-==Z=a6Hf(i|8b_u<dg$z$WM_3&A5hcbIu(!9B*+;%UWg){?O>TA%ol-%)<qT&- zBP|@VWW~5H+?&(NoKlvskl}7^goVR90Wq!%(FYDOa><Hu8SH3lWuB6kx{zTpdxV9< zQ%^B2gPG-qde0fQPL0$!pmX{Vqtxt80Szggt;}1#fdZ~M(!$}ng&5a_*h7aHpRG$< z$nY~d!os2ch*LddSO4aMhKJrdA`A8;P2bLI5ZB$x6k?wCkm2&%NDYTtelflY79Fij zCbM;96t>Q2W!kbn=^?|+*^wF!-|NKqCRF#dGJT0ldC1Tzs(0|INWum+Zg!2Qks1dw zti|{OZq99G^5IK)$gp*Bq{e|aJYswob~LpzspKa;WLR4rso_vxb?6YImHvi+hBu$4 zKPuugFrVMb^u#>nA;aI?NDYU=a4|lEH5&sOK26k-NvN^nW?d0?>=5HJ^GyK_zwYYD zD16l5X3elWeuy#XbZpycO$Y14hZv2dHv}}iGt-e#*v`$(`a%{IZ0;KZ8V)Ln@qIYe z|FK^FKU1#J>rEAmx!3DCOGLklvVCA-;!$Xs+qgkRAR*$A(6+?(4ZJO?4iggje>a@i z$J0Gw)!O9lE+q?v1cr%%B7sZ%bhj;NSiChX`)c@>pzgK7N0mZfUlDs-o?ZF>^W5Nb z51&2z9=GHB|4;YMw9hlvcm6kL$A$;{<M*FEbK=7P^p#nXl93nEeq@W({;%I7eN84! zFD;vK^R~@fTW+4;`1H^m{u`eX@}~Y=At`B|w#DgvX;L=tXZ4Lw4>$GZ<R(01+_r6l zMp8DrcWkP0LgC`~@%_@fx3sG=->^Ecg*8Vu@t4^)KZD0A(Tr#IoVX^Lma69xy53Rz z%eI5pM4$Dfzh*1GzESkhp4yzdiT^CO)yr*o5WH>Ph7I?WLbiJAe+iV|cJEV#<ZRCF z_ermrz56zQZOweY;cN5D>o<QL?x|r9eQU(9H}Z^`K-$_QX_n39n|a$T({HpLxYK{L zD<SUf(G^+m0>0GwZ%f>ez;?SJqJsT4M?}e#Tos+KYO`6pg|`K6Sn^aTWb5gSFSk6l zCC%7Z-;>L8=Eg}gv9x(<((Ie{HutvQG|h7iE%v@(efNgiftcC3N@s4LGUI$^e=LlP zyY8%+q*&d_GGm=nkzK3GW-8SR&gShF-|X9}di&<GgIgx#TKVihV#b^nvR5?p?A*?K zItjB`H+$VoJN(8sC)MD&!flxuzqxM5%=m6OJI3_yvD5VdSM`d%a<OeXIaM|0fZF^I z8Pc<BE(NLId=e}WpE9{TdD2dq(6dv^yROaitxK-roV{n(u_`mmsXOQUszp7&`NaA+ z*KMvD!SSnZrK(nK*T1bb!@ND$^vw4g*A6r-zj5u*q4FEo4la6~^Ez>5&CGRWg%^(> z&5=$xskN=wAeaBP+Kl?TX}QK{I&S7Q&%9aWWLnN$wOagk*bLjrYh|9vB{Qe8?ELg< zMLmC@g0jrXFitVu<kdR%n<wjXi_K44&E&1OIkYv?^r`uRXJO9k#W!UdBx^;N&WJo0 z#+)XbxSDfweEM$AXEjH|xSyF#zQ5<zQnRXjjcBto@rT0L#qOLB6Blc%&-t2gQFYs| z4I!bG<tumcUO3L0!<*=-8Ld2H+sw6wKK4hJ9qtLgIqSfg>>S_3X?pLowtDkbRSV2c zaY|R5o#OOe&g^8%z0En3IRAdXQIzPY`t!+(os&2Zf6JM~xmd{TWXsC0IX^d4*hfo8 z6wO<!>+`#3t*lSJ!u*J>-HrEN9KTjCmv%1oHN)n2X|Fjp`)??1vV6Zu^uV3`n^uSS zm=!Gz*j?iIzCZCb7kAj^(&pCc8(fJOBe%WV5OU@kvsn7+s~%_HcG|r>dX0P8*`(LJ zm+McY9qLiP@$0~u?KgfMT9bd{*TFY7JGoZH{#snFV|KFTT@lE&dS)kE{&D9tCmwXq zsh`AocvjKU&|+0#x7M6VoWa32RfLZ{&6&hGSvhAC=hpihJk_rJKd3hILWW*=#n$c= zr(%iQ88b?`qYcm0HRSS~`6+ojV#aUxZG{HwXWenVa_8fVzvpsPHzXL{W{KD_GgnE+ z>WCTVw5q9VMa1HhXY+V}DGJ$o`s|CX2cJ5<{BQ18@3>xHOWbRA@4X${V>EJuFV0?m zs`Zi?@7}L<I|FVlTfANSe?t!2zGABlXIpj(-$*-XGd<VrOnu8*9<i8&+05Mb$&-B~ zOn1nJzBTv%RhRQQ@#Ni{PsYc}b7mTBek=Pa;Mbn17owAIY8`%KniFa8Jkf0D@!k5H zPTJo4tv&nB<G)t*<%?GBEb8a4+I-U1Zq8A)??0{x=PclP-uwHB_b-_uv+vnvmb0%X z?Y7bVyXVx4zYlXFHza7zo}+u`pjvwOy!6SNm)fOF-hA}d-xXQw=IFjWq?Uep*#^(L zi`zGN)*13`_N+6EPoDfIsrKMB-p#Y^8djC9Y`!OXC`|mBRnFIhd$l?Blj8r(-{e`x z`R{<*Pt`NG8dp6tbCNGj$=2!jPr01<k!_pWh9ymFHGR5o6eau$-Iivs_+s;ltm)5Q zoMp_>O}JLGZJNPv^_-ms&n36n%=lfsEzCeaS$);4+fsY)_vy-u^(0UJBeX7c@*knM zsgwUqs_OLp<o$<p_LJ#L-sy#G^=7aC5+!eTQol-a_7m~PdS)l}pB0*&)W3E+CpO{R z{+qiF&AG<Ea8>NCh2`#>MGx(nnsYaC-}!6IVt*#BRoD5$9jzY`a@sw#xZ3-E^Vyc8 zmv5@<SJ}SVv+iQwCeONy_fuZ;8w+h?G}!z!FJ$X!hcDMSwmBO74%>Fn;JM>AMT76p zb6o2U-uve`C)RznU6J+9;EQ9p*-8C}B4#J`FN&F+)L(fxXHxv5>o-*PtHf{UZOgRe zU%V<-W&aD;oJsLLM{_2{pLv@zDSplK8^;d6;lJUP;5T*eimZ1mU-HzqbsFRg-By{g zf8tsZF`0zfJlx9LW+z?@-KM!AWO40^EOF^tn}%F1o%)&Q&3KpPCeP-(?7!)3gQWP) zv_ogkfMWBB`00enf0FvswVN$p-*|T5PHj$c;yuf4l?MNVxBc9(;GXh|tm&*T4%_8$ zCk9I1R{A+}_YIkdnmM_KI+e3?Iem7YE93S#zuqBqw~lMRNI1vR;v;KcoDCIq%8YKi zcPmzL%C@I3-Wu0~_>K2|?r_q`)xNlUEB}PGhsxvpw_P=;XS*#GQNVsXDxzXauB=Yg z<Xl^wsT+1~*zwdcWb1vlFDE%bWvJwBwHf;+=Ng}}I9n!tX3v>ztZ7#*T2?*FbImVL z)^6V!ebYhm;PjlC8w{QYR%-mU3U`th-55IUxcKJOgOA?kgw`jVEC%Is@0>}TUstsU zUX3}~;>I{T#cA^tU!#RvJAI87)=uy>TKJg@RM-`7yJfKcWAchD@sln89L!F(9Aq*( z+49hATh)daD$%tOuau&hBj!}Hgr3dqurs_Nb#RN&wqpjhwzK(+zil>coca9btV3(G zb1V&Z*EOsv%WS*%vi|fnnP=w`vl&0zY@25AUvpbb#0S1;Ih{S{u5pPyweMS1mZ@87 z7;UE$ck~+Dv^Qzl+?UU7+S>fma5kgyrv62%cD}thd+!aa18ZjHOyYcNeN#o)>i7*6 z;a&SvU-NwacT#QUh4Z#;tI8(&Nc5yk_L0y76&G()Ci~2=I;%F*VBeW~wV4JhKeDWv zr9Cr1JLNU+<>i}Z8Z4f(mj8^+p=;u4d(U0tPpdzAP5zllwGg=Ox1N%#a3=bY8OO8x z=glO<T5_Bd9;$9riU|2?v1*oe$S<aCi5qrI$+ghAdBluqnQW3Y=jHg+%?Za$w*?vm z*K>uwHImwE+Obw5O+Q7Nx%gduqBM8${8VZ7&-aq0`9EvVbpTcU>~C+X2)C(%>X5IX zLisnSP=0<>MOZEThKg_&?>x8A-9C=%zb8-j$vBfb*(c-PS+$uLtU$$d-Sk|xGe4*K z8ZEs2OfqEaX`L_kB(~i&s5gz4)VXokj9qMA>TK@rq-~WOLcV#1nAVru{Yp`rZIid> zsM`06&(dZ)k4IOYKXv)?_u8GuZ;M5Xorydb#`^4C(rT{HaS5y0KHDc<PH;RMydo>F z^h=^*G;igeIlgtrD!=s9c)r(3FRm|j)5t9{d;dPY<&s+Z=h<^qCvRqLzWF5Qp^}+p zbcz1#Il84?zURdDpI1xw*4p1uzp8BF=1kd}S%<!K=9nMs;mz5akj5IFJL9WSwAq<| z($TUrE`LxB+3FqpC9i&4l|jB-bnT4)$=ldAJjmSEw!z@2+E3MAeZHT(SG~0i+1mYN zdWFvHC(~1SXFn0o)t~)D{I9CnN&RE$prT^eb5QEmpLSC1=h1m*r*Rk89ht^nUpzl0 zoAq<u@oQ4g{&(xji*4BjN}0`eGDok8iLFflm6;u&GLzfvr2fhIH?0nAiPB%ZYNzbQ z*S0sT4)(mvVKw+%w(Xq3>%wh%2ETc?tuuI@y)DmRyKBp;GEJBFyy>s`K2P8DwSm?8 zM%AH1&u^;icah)ZS@*NP{vWgW`;hwI6^yAj;G<(lf{avkr}rFDiJYW%GG?}mchaON zp<++(l%Oatwe5;CCxuPgdA?R|`%arPj~99xZ3mB$>8QHCoKt@PzWi;=^`Gr-|9tcN zzSr|P(<@$P=IuLn`hBXZ(9-`=MK4{FI_p;-yZ%SLiZw=3(4=<CgImQc^=GGB{(q|f z<9@oyJ*R*o=BDUq;VJi~J~;P}B~_Z`yPujyz1hKLRu<9sDivQi9G|9h%u~@nU=bRw zSh3B{@vAPU-y5fZ;`pZEcHt{_6Ca$3WGVg4@?1>#O8txnhc2`5PTwW)I3}ud!N)3= za5MFcUBU;qYB_#B%#k<8A>flwQ*?c}z?3+bg6E1&oBRduM1NB13AXe7kPzF{HCxc; z*2D#$;#mCoR995V9Nf(3`1Lf09}j1iokPJZ&!$_i66Y9e3tfqAe{g3R%U5p}@oy>_ z6&webCUZ#5cPw}+)U=G7bKPC{3x7D9q&vF}{Z5K<<gN7$s1k0nwicLjxB0=ngDg+$ z`_(E6tQ?QZ9b7z{L+ifxhbM(itNyE0Z2O}c6J0NTu(Ovlt8T`Fkk$p?rgNN=aSizX zo8`Hl%8GjCgRRvZQhuCzf14M4ESjD)M>}6NW0&B;t^9%}_vb!1CeG<4=UK4YH{e%I zll67sDSKx>xc8ppl)e9lgqx=g{ElAbl*{!9*jexKA;r9DRlGt)8H?lB_kwdQrZ0H5 zm1X%mr4@gpn~aN_cCFtj^LS2l?}C49EaKY~Gj?bnTzX#EBu^mbr=H{G&7A9EeJ}j+ zYm(ltsPR+h;M(x`#zL?4UIpsz0cF}v)w>0z-0y#I@F9z+zfwhsnB&vc9P@P4R#+)k zd^2(Ux^(@KdJFY1wG}`8nu4PRuKcq&IJ1`HRo&DFG2ILP&14Z@r;@Rw<H4o%oKp6# z1y4h3doG*!7QB^ZIcu)G<D+5Iq-x<izqlM<KIVM)XU2jzPg%aVu{@PmsraDc=o;Tz zD7V%l;OAQwe>2q;zXF@mtA#?=3(v8bw%}PmhhMB$z;Cf8<L~vtSN;kg+^H&-Fz1)H zK+FjtlRGUBF1d3^y>~2ldYZ+zUv0-X=cZ@2P15GVQ)Gni{8Dy&DbD$B&%dm7XV<wF z<R>?Mx-D$8LLkJZ<H5y1mZ|j)AD%chtuhy~+1<Y2mpq5x9)|$qQr=^hTh$!jeixc^ zvv0w><s9c^Jp%rFG;uE%tT(Zl|KL)?f{%Au!t4Ame5q{8UcX!Hu}S>g2gj;8yz;yY zUQcFOn$1$a&okg#NK^H5p(*?O9vqBi5tUc2Fi~Ie=hkb3bCa)ftlBsG!A&!ktM-ls zj~JR}-B++E<#K$hcW`Dl$E$yH9^6^X@^!xIjtytNN-Tf4ie>se*9#TeP1>nV^~-(> z#{9N&{5+W>?@!NyCrnMz<pNXcS{|H>=RBpxF7f={DVC?#)hjlzIv$<NDfiYn;Ab|A ze-uk-z1N2~UQMsI3(u*TvEW%eho5e#^P{EDr|zF{rOx=^%#5m5qbqT1?Z{ltj zG_jfY;F3RwRJ>ooQ?sUJ{6aCa1x>#9N<6PWHk-q1op-@&W|pPpDmy+~Hf?Gbx???U z0mDx|Zgz>7Q%;Os+cy<7T>J|fSV|Y;Gl+bo_NRp_pjMfieMTy1^y{yVj6z`2LWZ-T z(XK0sIwA}H%8Kz#uxxH+D$!4S$gs0jM`VG0+Czp`^$3jvA6&)w0;&$5-ILq!F-k|K ze!(X@F)o9<^IDm&*d2Cae8#@9puuf&E0c<U`a_1b-4PlNztqI|F8mbbW<6nd?hs>@ z{)T{tKcBb@E!id3oOELBsubfhxYgInG{yexAx1U!O#uzBwnthVcw-{Q6|lddm02Y( zVIjlW_)P^3SEjTwZTWxh5TnuQyG^Gx9m;vd`06Lz?r3HDvOV=7L+jm0jRPBw9b)tX z4YoZFj<j%iY9q#Fu(z+3`AXeEC&p*}8w(na-H*_4D7qP4Xvv<D8lmA(<qUG20ypc4 zcgGGfR<VOzC#WNnP{75_sxj~IAx5|B8v+_Wb+j`3$fYi1xcWT8;y}(!MZM(=U$<{4 zXsAEJrX#XorztnP$J<j*jJJMoE@<!pg`7Vq<Tiswh#0xqHSU~rVr=W*P|$G6SVtsb z&K}<B#SN#lbVL$%*>SV4$UW)A_{@59LBp|qIwA^{7ToL^b5A%iUP|9s(BL<}l_^Dh zb3wzs!=Uj(6K-}1ovj?xgBu=s>BuB(sh8ts)yO}0h*8aYV?aaJ)K=y*e8~$Lz6wWJ zI6U$Z<GQe?sg-$&*v5c{Z_YY03ZF%|SzqW?TI)S$*lEJe`eOa*LyTOrHwH93?9-81 zutl7kRYLyMAx5p^8v`0L+gq8h=p`>?_#7Q+;qaJIjB7&8q*mrFTO_6jH+<>Rky)^r zkGr1rMBb@Gj8&>)Todk3Ze`}-OI*lsG&#cJzzZHRu7G_lt;{}Rpg677kxBT*!p*uu z{oR45G71}+xLGsSojt@DG<!op!#6%18HLU4+^jF;&KzPaa^D=#@b9IL%z_Ka3mFd2 zj<9fe87IbNP&cQQc~8x@|J6Umzbe|!0ad)&^%9?%WsiN_`98%%ZRygKzO{4QE_oSE zdNxJ1-80K`rb@AfaAv2Emp7ks=0qP)?UQrVPYU(h%JCP^`#eYe;v|iAdwzWTz5m9# z*Ps9IyHWf1-r7%>dOO4CJri5K|5t37QsB?|s?)txru|r-wd+t*c>F%Lb!%NO*!UMD zS2s-(ZHi{E7oK7_?ZLU1EUEemJIYNRe;#GwU&bODuTrt)kFUh?Pf{%YW{N9z=^or{ z%ehM4qu`N5)2!U4;OD|u_H{itqtvvEUwBS+<AQ%h%00!2rcG_q!ZBJxCij{joML40 z?N{1S#^w0=Ge=&GV?dGO!L{t1UgtUA>FgGGJSBGOgL`f)PwTg<Rcx?!Jo=YY&XhxI zzRQOvF-@zc3))0G1pKXQ5<V|{CFWOJPjQxL(=E#;<7mMvcY7b)sbl%tud<^+$?<S8 zhhD7Hg&%B9+QLoC&I`pvX9*t5+-G#K_baDYo@YU}c+;idLU$~i7UXn4xObD~slHl8 zfvw}wY7RMD=YYzS(FXPBCYv~3E#;h->lg5MGK=~*#T7qGn}XE^uKbfcIJ2AM6(8rh zyRHHMGn=^MdJ3i1xfHy#W$86n-tmdEX<4;!%xYm1`*{zJ`Ez>3c@(@p&$9Hr!j8`> zjyF~Fj#_-b=M!M({UIT^smof(=2rKDPuDr-$+!o6RcAS0#d7t(OF@!PeZc3!reJ;H zD|MH1V`fLrUhs~c<J?<^fc;Jd4+Waqjtj;7GIV^*&9Uy!#04*tnzH+aOzI~-aLei` z^jhax@cJ*y((TGSK1()jVi&w)-L~LQCJX;L^%WKh6<azMd{Sodk5gFj>)36>bDOVn ztlHoI;D{NEsl9K(Dz|{&w^`EtR9Dph_HHT;7rGMfQSeZ)sjaxlJAViBW0Sb44^CBc z&dT#GcpJ=e_PhFykGf5h)P?W75}LAa&VzHlEUEGeJHFdE{!D#$#DYIeam5bBgNxU4 zXievw_r^Wo>ui?uekv>eaW@H17rL@%;)5%-EL;Ek6{uB;A6xmmtf^R9;L80;4-Tzk z;jRC!y5kFP(=pv9ZF}{MD#L?Y_Y0ZqZ+~#?K8M%7e|bH{uX9<JURT}`te~;m_~71J zj#Kh}A0DtYb)^g1?CMzXDV}5A9oK+Tw-0B&C(L=3uWGT8&+#n3@SIzn3%-eSoRe`2 z_+HKO+=}I^zRHdQS;xcH9D25{7b-fd4bM&8E?{!DUMS|bfa7Ob&b*p=3!W@w*}l&^ z;FnL6^?p^2YTbi-4IO`~bI9%S2`D_udA;wN+KNApP3qPHA%A5KZg%EaWzOk0$1&iu zIm_~OiYsch56(Q!@#^2~2Y0x>tHxEv{Z@ARYs#tjgi|Wdz2N0$mR^3P9iL>ImMs^I z`EBa>nekw6G)KLcA7|d*?gd{yeNUcay;^w6-IfRU%vhd&SE&e4UQuCkaB(oF*8i>t zC#SN6=BrhF6L9=mFEl6O9b-?hv2#IIa?>q&WsA-1j(67!%(3oT@b4sx_&Mc_3d@5_ z1sxx=bFBN*yx^q~f6|=n_bM6t6c6_H3r)E*_rbO4oL=S}@BVZwsDHDQ<-4A$#{Px} z2WweaH9$l8ZYSFf;u%l9Pk6{MnKwei;j6NaOu{y8&@@hGE3-;p%0h;-@}T<6Qb%OL z-SmeHGo>Rm9KOeaW+SGxGJT2vZ=m;_p%pY2QeZ2_7jUbom8qvZ?IFWdEpFBsa_0^) zX4xk!Wca)pGzIdQA5>-7a<gaLJ?q4H>HLO*hI6mw4n7r8n4R{JVQ*=KhC^Mk7@xt7 z&Q>Oo{U;7Fy0wF*Bfdvk99ROH1>2wgkYV%d2n~n7eqwwRDrU7ZnV24ZDx<Jfh?_NI z|Lj)g6tm=o40naN*-yMZ>%{o0eN#chp^rKu2^FA;lE3wRtxP@ZQy(%+y&b7>;0vc1 zUx2qw*J;fIHLhZO7b?WLSv^4YLzH~_LWY-nBP<-AuhtP!sFvYoe=+x<6XPdaF+R|A z5R*uL@<WEj$0IZxeyV~RDGv?rnK_i|it!no-W<^I>l>(z0-F8;%>=!Q5aYUFH@B5J zMK7U#A;aC(kroblhTN<#{39(K9=eKg8N~c6j5){XmAxUL;iH<4%z{n2+^jR+ojk;t z1!`aXyr?6y;9}ZBhLfKoEgW8JiE&McJ93E8ihpxJ!y9fLnFZVL2=AD~^dvm_AwzR- zq=v&rP_R{lngNVDG6_W@+^j3+9XiCA_IzVNeZwzN9T|m<6Iz)}<Wn9pthD52&Cvg? zqW7F(W@w~_L%FgT--O$<TA99-r$1z9{R?WM96ZG6rM@ws;p0vnnFU3X+^jS75*{*a z{T-=s;E$yk--U=@nlXNilU8piXb9_TWm>X5=^?}1yAc`=W%gox7py0>GClE6dC1UQ z9HHS*|4~Sc&tO+$E7KHp9hrnrI^3))^uC(tJ!jZF6*T=-E5<kB#-vszlk&ue3@d9R zH5`6(i1AIx+!)aC?xv26LOCeh;?Eyq<odoLpy8o0s1@*pXL@i$;jC8XkZY+687`lV zv^cQZ{?UKCf6S(@d#b>zLB9M3E&2Exr(Zw)Vf)S{OH=eRHD}&YJ2_>`q%*2#YdvQz znRa9XXzhp4%$7i-Nn$6bY>An^#B-BMt?JC*cb-2#zWz^F@w~m?kC&Z$Z1exeo^!v~ zpO4I)p8061fAQ?C;{T^j^|<mc{p3>N&dUFRXZe2Qf6>}esN#4sm@{v#f50!VCTr)W zP2UCXRM$5x*fT%o&xG~1#}iDOx?T(0-0E8J$&cmyTmOKqejmPwG`)H*IA=%Gf@i)g z%ipQ3_$zU6W~G1PoL#Gh=A0G+m!f?vyx)~~lt?%}p3J#!j`M{j?gekzn$pSz?^Lub zc%sx4t!;mH>&vek?{XXi%GjH#MVmgg3)xt7E_ig4rN3TJc}0!v!QyhEklKz1FP)lR ztxPvK*ICM$mFHKGRo!&!x4<0BmId!RS)QL$T2Zfgu$2)~h<aS8U_3Zgbly=5-)l-c zwrM(URyx?bm&5BCN1m)dxCostFlFzw2lw8xJe60dFi>7$@!zuNbB1rzDQRJw?Xr$n zZ8_%2`P2vejc5{17r639XwI&-1>fX3&fW13_-@%$eA=$R@YQ<9f<)z}w%bB6TEZrF z9S=?!viSNbgSupC;lg)**gBs4&5>v89AIU6*rNQNM?jf#Q}uggjs4OGo0&Pa<a|G@ za1Hp$&T>B1HQ;MGi~oDo75_vIb}o%OU}1XSp&*O7ss0vglksk$D}PN6?p)0AYQ9&& zL#C#-aG{uAx{i;lIoA1b&Z=NMw)0z6Q`&3cI~DqlC+BkJ)pjlTBFIv{&o5w`bHRJA zrcdU=Hali7c=VX1|JmM-LaqIM4|eJ}Ui~g$b9dr`U+SEGGJXM{vssp(Q(f^lxXIXD z=!&fHoa!kH{wX!pbFbEUa_eCg%k+D`7b@7BwC5{i6lomXs^++PGiTnPmIY6~vTXn7 z6Ywjs$y#4<O6*J3p5px2rcaek?6-wO?o5AhQITcpey<OAB3VM~eLj>p1pM`9QCDLz zjaRYQ`slR8@^80T(#@1t{8nr#t`@$sUNFX@alylxEYs^;F8qjU(yp&p$@s)@aH}}S zth4bgg?T9)UU^OhubWwxeuwnNjtkzgZeH-`C=35O#T6Dx6(#nLPoHzni!S@<9rfty zbfwVyJ|9Yyn_kH)SZuU*JbPYfPL{xxe`ese)4!$%cXC<2zE|GyLC5j%lRe@09O9*) zUz*J!mG4pT^e>BVnR5M(Z<0-E?!tF|h&i6*=FI!b>2=?$;Q4!&)cI;Vwofte`+1d9 zF2^CDP`b%|w@}DBA)9g$$E*25HhUWu{5sF+cgHE<b3V)Rc`7URwiuq9Y2tWyyYQUb zvlje2$0E+BkWs;MaB04P$vc6VpOTJ~XLGLmJ9WX$$1LVsSdMA#lsdR}d%fV4dtDFw zIv2e8$MT&|U8A1sU~@62R-E657j8|b>J=;A1vahfR5d(zIh147{;3a+Ok**%_bSM; zZ@Lw)WKnG7c=x^FoY#U^_Ro88D3*m+UTw!0Bge;6r#-rLDw<=~6V7$DEeme$V=>?7 z5%5W+Df+&uMy=$*x%UO8?4A7JUdw_%^-fLf+Iqc(TI-xYWEeLwO|d_7i1FFCw1o^; z^*0nWyyVmoS#U4yAw#Ekq=v)i>pC(DrR?0S8UMRmnN!3z1T?(+<ay^PV^Q|TfQEmd znj#=&A;V$YNDGITpLIkMYGk<CSJbq(GWqDGJ!IG#zcHZUW=AWt$-k3MjFXJT_%1}% z=Sjx+F<#2vRM2p4H>ilV7UR2cdu}V!6aKV^49#qyPUN{mjBetfN>@M9;=r9;9gzjU z_{I1PYNxd_U6~#!Hodsvh?I`Vf|<z=8CHT84g7wrBco6(z|H!iuC<l<iC^+UhQHjP zsx3>5%V5vcR^}<sA~X*C$Q0uXh^()&1T8yRy}6*_mUX1YfgEWuz6(DjK+8@}9Ab=$ zPg}_FQjDAZMGdGM30nEEH#$PY;U8#)NyVgACXwlL`cGRP&^YS^X|EJVZw_d9rKcm4 zP{qK_x<dZsAx5F)n*ti1{RD-aDmQBef24)OGdVG?3$;zH%un{z+vqK4_<J?N!XdF% zjLYCoS1a?BvZRF!kN-wmI4nKq#Hh9$v?8WbM<k)llAAr_&JicZN#&ah8m=Ylh$z_R zv&Nibv|7C>pdn{kEAy9aDGM2X%0^loNU#U3+39Iz?(s`o$ndl{!s0+oe=Ac+e!@eB z%b;-nD=5Y{A>xQrJ>x9x^(St#d8|F|#CR)uLqWqCJ{^$-(TNWkb~;CDIQ&-;;|s8u z-O9wHpZt(v>1$BH`HArbRCcs7`G{>QXo%8kJ*{~lM^=pQ!i_ntOe)(!!xpumVG98< zz6+KUTbWYMCp~1?3ratA2M#f^m2U`Wc+?E)dpEQ)O{ou$;GACEuybpKhC^wv7@vVI zXk|wnsJW87km2m(NDGHopl&#*Bb>4>bs@vu+mRLy?-<3nF3jIp&~WI_)Iv*EiFGFr zF?vaF4rusT25POabF<EnIdh0H>-eUChMO;SWD-8sC;b2ZHNQPK^g;z=>MiJav+aEU z`b|rEj;FpWp5NYX>*1}ZoT)15seST}I%tjMv?)8cE%EX4)|;H<v22N}(ayCks+p5? zJd1NZr{q1~^ZVbwo0jYMo-ece{cpEhc`IvYz1>;a>$N{s1H+Uq{^(bo?xzx07ku*d zf7!p{cXo9z_;Q$~e4et#?lXt#YcKw{TfAM(@n^j%3xAo~iU_re5(~$t!W{GFxCVSp zYD&K@7_z_l!4YQ`)A@=PQ)2`lUx}Oh;LJ0Y(sCt>?dFbu4|C|r_+QxITJX}T>DYCl zn4P@~UN*B_pQn_u?~0ei^JA-6RK<nwXbDWY*Ztt!LYCBi<sIc}jz76M<YJryK87{9 z^9zK`6SVnQe@bHcD=n7uVuB&}=RG(wkHwT<&7xGt@$F^Kb0r+F;(QC<i8cNDE*w+Q zwBTV=sKL3VQ#qv4IoIWQUnnVW%4Qcbv2S~DY$c28dG#Hos*W#bbH3~0JZ0xs@Lsj) z6Zhp9>l+gmJThbHFH>Hz$Nu2t#hk0mIOolE5BST~By28tWl#O|2Un88owKx`p@x2U zzjB^?%K7TOS3#nEQ=7YB%r70s$HkoMYGyBZ+3?_&KF2J3uY$+<EUMF^c^}`2n!e!6 zHJ0*wUIAtCP1UANpVS3yEZP@5N@eNKQ&{n*xJkWVAmp$1!Of}R$1ScJv#4)VTJck? zDfqX*m45;UX9{z^s;i&);LcK(uj|!!7${}@FgUn$zko?x8gEZ=s&3OVZ;o|)XD+Cm z`QR8kr<WN=UTx!oFRCo%``iP*`88GZ3r?w@_Q0{ow<oxex5<6Ba7dl-!O43$SJgE< zxcQOgs=a@~Bc-NUwN1g>g|GbMJvehY=c|21x;@2trcJ-PIrZLnTqw}5Z}JXpI(A$z z=BJF~Wp0jjwbK{;T+3o!=Ns_JvnhIgg!JPne!_Qdwk&wV$MXG~ibg%h!RBO6t$B_g zRyYUzv}W<oQ(W;&yD8mYAS9ga@tla63!WWgS-wqqMXZuVu>g1#r*-dwe?M8o*C}OG z7$003FJw|T=Ydy}Y|rHq#e-YxdpTz1I~P2bWl`l<*zq;6>C$q6J3qM`Z^|9qJDcOw zefJL!44b<2g>0hgV%O>BJA6>_5BU0)<@`7075@aAgw=(w{4qMX@-%1G|BeS|Y*|W| zvpkPeSh4?3mBjNyRV=*Q)pmR_YdZE@DCVbu<K@X5>$Y;vigzn`+|Hu<UTw$M>ZbZj z=Y{W7p8X}U{EZdM_iaiV@hTM?_#KZbbIQ&22>9vE;;*N=;umvMx^mO2{mK@G>MMS} z;x#z8aw}(6zGFd}c+;-;iWcQ8j(^z>wr=K>igPM>`J1Kpy~>VH(cl4@>Z@%M%YRC- zm>03AmaFafn%8uxUHHyV6~~*KIo`#(1l0etYGU6m95P41rfSxLPnV<<=cun147uC$ z;AS7o)%&gmj|`e-%~!Cvs<h%SZ<FzLp(}qi5AI~=d}Z%hkhoIkSmq419a}UVAIoyA z+cSB=OGTFJc77NB@H9!st7}y99$c&F_>!ID-Jh8Y)|el&_#URFvA^TN!7>)n?+O(q z0*+6u>JLu7%)u4#SBjf`M(j~1##i=<4;eatgDP8p(1N=J!8#|_jP)lDF&agJDh*{& zKk}Rt<1h9N1r3J`LH$TBZg!2@sjW;s)jBc@J|%-jZ2Mc8ulzgV#Q02qQ$fQquGt^9 zF;0@+RM2n@)KRW77vsCI613v(B53@%+=!d?#Qfuj7}=(84rqAPt0R+8!q3gB@xQT^ z*(WYxA;Z-x%XZ9RK64E;EP8!&LBo-CIwA{xg4X_7b+s~Wu?MZVd%h{4;obHK3x~V| zPK>`m_0yrnIwA=_Y`EDq_LdpyEoXRK8e!q^v{XkVAqun{@cYJqhMyBb_J7P2<C<X8 z-pagX-$^INOXi>sIB03*H$gGJ3$r%|H2m2#`(Y8ELB#A<CXwwa4;dE6f_k}oK|M*( zcxYW$EAyFiX$u*?>T$Ds#GH3xoK?QDpyA449gzipWw_Z-=xnysTh4IT6x7S*<Ys;G z{>&jpu2eBD1DiRm%=J_J5*9K%1`P!~O#%fSXt4VoXmo&+n?1wkuoL5?lQMeG8P;Bn z)NrUO7UR2cv$K^c<vVC>;4r8qP$9-=kg+kK;ZZ9n;B>iJHSQlf#Hhv(8nX=sjayt1 zit%H7wR%%Q!;vI0t_wOF3L37&>4+?-)!=47Aya?ciE&r@rh<k$tU4kK>Ulw9-KU%w z+xS6a-94>LJ$qU8o-<4hjnFtyA_yAlKJUc%ta?L1!?A;)aSI*LQ1>|}#!I03cjv5D zrj-1|hYWi`>E|D(7@tAJ235V~3`eI%SRBwe?ZkNM_NIb{lkapy7E~#4v(Jz{>{QSA zYB|VhKXpVFRH|~bd)z(a#CR)NjBi5aoK~ii_@svnJ6oeaZe#ofN<W9pbVL$%NOQAm zygl#4c+7osL4()iRwkeG$qyN}YDZ`s_~Qmz;W@pPNku;SA%p(^{qO(JuV?tT^k#Mi zW9~KHg6m&okADo)U}KrGT<DZ@qf%OZm$Qh8jY#Dh!4@qA7ddVb->X^*Neqn3Z=Yy0 zzuA^<ks6%8<AvF_gT9+yYMPg}acXHv%}AQMa;8;blay%beE;QVYu_D{jo-KL*1!7w zcPh_Xn|_<};e1fJ^XL8XQ>RT?azCP~$kQ`>MeqKb5B}HQ-)<%|?R<zdqw%$sy)83u zU#WLHxMpwGRfFF%Ze`2}{#fpF=jH6(F&%ehSDUdttISGH{3o0BIN{*otjCEDv$KQ~ z0$-;abQh~9^`8$~-Kcu`ir%C1Yl4asZtl$zKm2L=RkedXi?b#tru~dN^GI)c!uC~H zmmPRhwRNRI`r;_vGj|u3X`ZoOQ6_mt|7LsS9Bba{g8H^wB@q^(xokSS0^b%z)b!u- ziTKoVODE#jx>?+(t!%p^?>yytvvaMPblR1bXB%fqUwL+D&D*Tc3EvpE{xo>ra*Jt3 z^jDd*!s%9T?x}2THK?C?ODRHNm2O*W|LUuU7j3^f?Z6|x^T}e*<2r36SCxtGw{t(+ zoVtCL>48t|SJ$;k*8je`u5sqhTU!lQ7uly3PIr4#)_beeV0qx%su{Z@b2ZOYyW~oq zsSnPz)XDhGlvenT_r~GkD`5u~MP`{FdQ_UFop{o0>+FP=ai;RqWP_twPiw~=(RsH? zYPX?ZF7KI~fZdX5xk1s~o6A?OZMJN`dhPI?P16m!i}Me@^ACS~eX&bD@BUlfj}~XC zADr}w`Omj$_cyNH5x)AP?S!kVryl&&o0Xez%+K`CD?LO0m68UlzoeddbZ6U*T<^8A zXI6$<vp!oFlFk2l+p5xrpZ=>#TUg((oXf>+y}v2)&Qp^&7YnxfZP?+r)>!A}f@`hw zH^;8k*0El2jd$Ao0PS{3=Abi=^zLq~pFR1i&y1}xYuV4-TNK7vT(<ga%g*;JzBX0L z@+XNs|90#hfADK&?q{n)4;{LF<=3G_?N@#seDpW#Z=&bAFjjAE{zS2I$p+iif!4Cq z%vbTYNS3df%V;bgx;f#R;MVsC-jw}6pmWYvc(<|3Tb&uNSMfGgzP@^{?I-_=T5+*A z!O}eS-2JQPGIv+)>x#US%JHpY&aIsrmN>q(`FBQb>%t8=({C9>)J43lnQ`%%>6u4& zxHrsBUwzXcyX{uNjJ<BTEN6Dc=c=5!yV#8DS?!82-p{+`kLkQKlBza{>h+b-3zTN= z&I?Z#K4yLOM$1V>Q?taVUsh>_db15SU%AmzxmIfSg&p;ty}lX$oO*pTY?mHW+j2iV zS=cIemO=OK4V-rceV0tTe{*`mcQLbxQ8`>?_8CfBZ-l%#_<pvBZdkW%&Cbp%Nz;@1 z_vI*Uy^(t3F=Kv*@8NpY$yb+sPM@~=Y(r}F6}|SSyRW7l>hZ}HJ7e?J_sk<by|ULe zTeTCu*=)UO@cegHaeczO{4386?GeB7?BJjCSDqbi+UIW2y<6viT-=f{_U?7T(H!0H zL!w!{<wB!*y!%&d<ovAo|A@}Hr+I&)){3OruPzfh^D|<t=$W@)#nL`juH9Ju`0A;I ze^;|=j<ef`KDM^&x%#88KQ8>SwO!t4v$R6J`yXHOnAY9>{Qq!$)W83a>o@=0AM$uJ zYklzJ&8`2#9&dJywLhd|x1OikCaCxPG@YQwo0r-LJ>HyJAMkkd)AcJp-t1X_^@jel z1IaUv#@^7sCSv-nd#_XP-$!@W9;++-zv@`s$A3$&aXy=0CbQXMyYaUNOk4Ff6zq!% zkNCoD`px@KwCOkRPm82}tNx8S+mia%uKt+LyGzW~?^c8!=vkU&oA@j?Yj47}<gC4k z-=ec>6a0Sr8*~?IZ`}QS<=58A%~yXN{PTY0*LK#4QKC9Eez^=fzg*syMBMoueCClJ z^G0>yD>w9Cnr4aV{GKWGTlN2DsozH{oO}N!Nm-^9>is?{wdPpe#`IMi<L7M6dL#Zl zJnM~k{d>u+iU$9cw-zTl_MbQC-aV(?R%Wr8h*<1WGaj-3E^lihKB;fzPI$RG%S<Qx zt7Tf@yMi}AXJ#oU95XTf*1glK_ixhO)yL{K&JUC3Ew&5TE%2=DH`C@FrzPIp)7ZMv zpnl^mi--@&TR(32&<`p;SD6Wl)vpa>_GX)RK<C||x_Zy$D=atUv`YO}-5=fiH_2w< zu{y*1p~?Fr=I%S9b1rrNjcroDk47#yR##ZR;#l3sy5(h(XX-t3rF4Ec<yz^y`7fGQ zI9>9_;_j<@?MJ<@rX8BJ`%2o!V!7br#GBDs;Ril_i%%ANe(qQvPv~rB<L4{RwnlDW zb+-8?|Eja?mgiUN);H{&zv}A2J$9-!Pq*!<7f<@GzDl==^*X2owGUt2?phxh&D4EA zV7JgTiKRvFLN}Cbn8kiN4OD(^%?dx<lbdz@z?r>S*AJ~p&gwPT{YYi!=~9FAHMhPR zTn~=s`ur{+n(ecESTrAZT<~s2v2A&(&(}4$rmwuq(Y<`-+7{K-^;hzmmVRILbHkg= zt)UzKFmJtTuz1hbJE^>9)`abrOuM>zZR<_xtJe<Bk<EIY_|9=_bizN$)FQnVD!bf! z?+e&3Jf`MzFEm+r+1y(vo^Rwdj_&oHp&yVeEG2#AM$5A@Q?rGo4!yn^^8=EFtwPmL z$KGgpr($ZhaJx^h?~C@VoI?-l3r)=ue{7SQZSX4L#Lk>U6)U7>8_ZmMOwA`h^flLM zxscavr{{-jw@dDfDxVQ~GwfZ`p*2!l<qUQQu4Q_b6ZV?3SU&JI>*sqRuX#V;-Zr`T z_kpJ3D_V&Ub++!?kTEyPK4On=ukVaEASWKba-${ksAiG4S)yH7uWyFQijACS>wU9w zoU*4&&Awo_=9pT^savOa<~Ws4keYpA_PS$gU&2>!<ZS+Z<wi^5qGM`3eXBNdKK{f9 zG9hT~F*TppS8uf3bTc(e+~?fun;{dFEIeze?9&*tg{dnya=wk)Drk_t^p?kr-J!W6 zXR3qNN<G`Z+)PTW#4hw*k)n>wIx{x0tMw~;TaSVQ>tva!Sz?t#ukVbx0m;J8LYJS6 zz0q<k#nf!!&X```jNHIv;aj_}-e@^zot5LXJz3pyy6+3#;AG)n#aC~%9L&wiIrITk z!fuK0^_6&@m2+sxrp<R+eP>)-v5|8#*ol$7z8N;Fj;Wb|3bD0iu}@;m7TUVh_xirr zk(J|AzE^7Yg=&Xh-xu$LlZDxiUlluWXkP47z0wUCb8b0B+*xo;&BtuzM$XHzSviOH zteHKBQETJ16(6PF{J#35ul(DJkFxLURvatebA4~jxd+zw<kuc6ui4<z`~Js=GShvJ z7thVAIextT%8x$(weO1bRvfXiiCgpY<|(y~dQ<z`3R|D0&t7-!PtNMAv*y3G+xjfs z`^v2OZ&yTp-aOTR%5D?;=&e%obytQb?_VN)^@jdUKGSdBbpgG9lcrodvGa}i<)GyK z5ugI4da2a!qc>L_t1FxzlDxm-{mPB;f6j@YjJ=_Mu)y@2cf|5zb)9A_HpV}CoAqY; zlLo2Zs<sR3kJX)AC9{+Jx9a>Q$Lb8Ht=<@aMmMWA@mk*2I)mS`TmKm>e|)qkPxOrJ zGBbu}XG4?s|Jc5AV|-Kll^gmG>rB6SPg%V&{?RGVBJpqDL5q*od4gS-X8O(hUx(E1 zqZW&f)fwLQomPBP|C)g5x9+!~!tX1n@MARn*1g@oxBl-V`S9fZEVlX+cW;P4RG9T< z`U=P1ze4#z$@^7ygNoBc({J8kX0GQ__djXAazp<Z52)C4%jG$<D?V4}%)P~Ce9vlD zn8`gWo3B+qli{=5>W%S#RI}bpe^6%n&3i|1?_VL=pyd5WmToQ5`>ne1$_@R@wNk%T zqgNiQJGp(;#`^f4<yUU#Kl`2aMtt?@rA6Z3x@|$#iB3rJ{y+Qe|FfDk``Lnqtg@jC zHS*h44Lx-`Etfo<z}atUS1t40#zs#2<fJV!;Q1lnNtUUTqJq>e2F-Ae@>;g!=>$y^ z&uL3MjgEJ%j;s0oH~vk1?EKpMcdoyGyZ`H@H1B%Vf1gdn*Zw`F4H~riwO)0)w@Td4 z;FnX)>rH>j@7S#8c+=+K-n*Qq<b6Ioh-m6sE@-oB!h%mzS^U{pLf<P^e3NkeD$e1z zW(&jPD>1VlTw!XuwOeS8WygZN-UoM9vV7H7*ipdhcz8Ca-d)!VKLVSy&kLHwCUW-_ z>pEYk32u_E-z_l3X2OGO7g?72EAA+^bG&()^W9ySfI62C4+NXK&I{Q@+4UD{t#|y8 zBHXmfTF^#YIK+O^1DECn&vvpb*Hd0m%Y1OAHRmfkpMrOiO}|d+8lG#t$|;rSQ;^Bt zbj+J$os8dwFDgyh>B1)ar#x_*zu<{1%l10|fM1zS)~EAY3+qp<b1TSKZu->CA*Z9Z zB0{yIM9J~#W{!C=P61z|n$oumhtyAaa3q+;boxE1$8)@duiR^RaK@==*KeUY);$aU zak7Y?Q^~lYxZ?|R)3NP>F+XJ;FROE|%arc9Toc+P{a!(1r|!YELXIz;Ip5XHS@1@Z z<@-LbfPYy{?AwJx_RN1!e^Hoas_^ZkIqItgLTarKZeGi=>b+~hBY~z_^2!#aR*rAi z3(R>bc;zqW!JWT3UfKH<JWOqB)6PG>b?J6NlXJo`H=7r{Jjin0&g;S-lP2kSHH}Kq zgKOo5r|g^l;M|l2Z@O8&hedT1o?7qxA%W5H=v@vuS(kvH$}IkVsw-}(Rg~4UI)0TG zoU>#0f@kwN{A~RLewVWL6sPGn?V2q(M_cHM{e%aH3|V;n6?c45Z8|nxIOeCK<7L5v zTkVBR>Zd(8mMxw*XHvG%ohot1mvcGa?U}vcO(4s6DVC?_l`9G)9gj+L%H8!0_{q=W zKTmPRuUT(F3qd$nJ?HRy;}P(gp()tCUf{|<k%KdfIbPLGesJe2%h&e`I}8*vewZ9w z`n2qTMQ^zBj!%9~%epz%z4gDa$E)D+WERzEmhJl-1AbXGS=*~?{1!O4cR9x?{msh9 zDkrF|0IdUQWtsY2xuQtI@v6UojrHUOzpiuo#W)8PdlbB4Y`RsiZ1H%mf!|$op*hj> z7u5gT#v=YrF{47`;8H8c$HpA%YI+yEyvlOD&h5gVx+dv*RgIlj&PhDKCe7iM&iO9K zH=r!Psk&WYiv7F?2Vb&?>Z?^;QC{&UxJmuHV8~zYgPW&wuG(KGzRq`zdqA;wQ*gD= zm30DhEc+I`d(Lq#)+OM-RulJj0h2w`A6%NxDYf6DzCbnfh=uQU#U0yN9Y5dY$lKGu z;K@do?RMS)znq$^?<;CpE9@vYcl>#rL(axOpzvs{;km_IIkfWqJ}mJF__~+ne4pZq zf09kY;sRIxm>pa>n=|YGtOsWnvy?t(c^-Cy_wkkZ$qx=KW8p1V+wn!N>6p7<%uf@? z%bz*cm2%Fy?@>_yIGjaQUUA1)|E5c)-}e>1+cR~+8#R{iWhxr+Y83@6jz=eR%FT5S z_!-UOzfOI{FYl&w;U=al{|_Ew6gp{Z5YIRZG~lojG|OLIEygz?@{kkbF8Q>F3_B-B zXgKUYdWey0`lf(}how3)3%+oJ7NK>tGKc&-=ft?|^qbDpmh}#A&+3RI>{H}s&k%{w za40h5X3wbYXk}UgnxdbpzbT;MjjE2!f^uGN))V*79b#nT2hAUVW;XVS>n&$^YQC|c z;p8tJkp;VCx!GsDJ?zBz3bc-(Q#eAy;WM|6jKbB#g$!@sZ!Ty!ldB`L;CHkb--PN( ztxR90-;$nQ+)#gLm5xZljMRq=OLHSM4piie@df;304)<ae~2+_e)>X&&)uNad)1)P z7Hw|!43JNMae~%7igUA`SQqptm-!25Xzb_O2#W&={$gAKHTK->60*mg7*F|%@fqyw zXk`l7fBFz(+V%|r4Zre1>k13SxGvOmwlXgXyI0RXJ-Ff9E*%+#$>|Fj?%oAWZX}3t zU9g|l$}9q!xjGD*xq9g(#$_P4p`hWEt&T{-F9~k;6?+djF+S6N*L~X3Avsr!YeEcY zm-OyU0S#Xqbz~L<Cog2U30lGVo=J>r!v3aKW}dvXg$ze`gBBJBig5+h^|dnlgx%n; zpB~(h)z-><#w>Lq!`E1l>m0<mF4)X!WnKc>FZ~v@#N%0!7}tfpovqAI+;l`1fc9K# z*qnA^Y&)%g?5WIxEo|JZ68VP?F=|<ZRz1#aWxfJhqVst&DBNPixF+oBX=UD$m#~oG zr8Q_Da-ta5gxa=N<}Z_eKQ5~0nh-y?m6^v3<T}|1ivt;)+^ib%paq$rB_6MC>c}LN zX>zl!_#SED@Q6>0>%t#fZgvmbvrde+PU{_fDx<JjiktOC-jPF$MWDs9^@iN+8X=Jy z2R^un@da3Qw=(tUCq87DnhjcAQzph2P(7iQ=}fwg%z}+y7<bHJs#m$JBeP&DBWMSA z%0q_rbq~JR+y7@X+N2hRT<gA1vGfb_PV#U&C38t==9FnNZb^Lo>HPi2W#(J@Pg2dC z6gBDDjY&qG)24VzO!Casoav#xeBzc#I-aiWuYY~J-Tii#-T(L9|7-u=Tetal+-a46 zUo-Qzzw5T|tluQmd9Ge(XN=OvN6x9!|5*Rh-%+mQ_;WLdTnUF(ob!hlWlg8P3)@t7 zEm(C*fA5?B_ma0+Iez8k^viJ$*z8h}W$5@;nB&|W&w%eqO~v8DSN8WlI8@8RJ6~nT zlpE5IP2zeVoML0~-LAA_8@J<UR~GX=WsOR<gKN1ty}on2yW>?K@GY*X+FxKwedB|J zt9}>c7S=YoS2mrp7PN`<2>2?|l)hXz#D4yRBcUv&`DzxW{Elxm56*nf`D)!J{bQEf z<sAR&LS`};4=!EIAvNEvV5#qgFI-L8=7J{sXFoW$m_>EI@{X;S>Liwbv0^FTrl1k6 zvSYix<Iklm{C=t{D(dwPE<Vkvb>HDbic7%P#HRG~LLu>qjK?fDnmL|5%i;IdA)q?H z$=F@sO0K{h>-GizQdz{;sb^G(A6$B0$fU0A!71%;$1QxXtL&JjoUzXqyaYtft>CpX z%hK~|J3fmxZMrUa=eMBaPtJqQ%Q?05whKJAiE3W(DVk$mj(fn@=6aU%t5~ku`xGp4 z4fuSTW%)YQ6@Q(ZjQNGG{8c`<GgVt+dEKlBhmNuEZdcv$g%RAMsOebnGLq%`K9>uB zq?)9in<nuK-1)`qn5lorqCCzwpiH@``nJH7`@IhiCN*`HHo2z@h5S=GIC(Yas(%d+ zZq~9~t@kfTn#pY7cQ#ybPW>sND|PG#XU1~Al5;3{SJCt<T_9#h$AZNE2baEcO5OJ^ zcv{Zl8?UhA+bQOqW&7+7_HGxRa%bj)u+{}%HnNo4IR<=VYN`$woU*_5!9iOVQF--> zFE)-(uO#(+PO)uTm9Jc}P1*75Urs+6-+<4LS(cwu1|LVk+_cMH+2Xr|WBuRf9C~YN z1de6y;5)c<yO4>VP|VH#1uuh|vgMUC>huowt{0rLXX1lv{+wR+P6g?H0cA&3C7$2A z#q!i#y<!8i<I!3UIa$YmpNm=i&nd3BrCL#D>-crPz?_PX1>jZr^#;dQ{;g^<o-K5x z*8Jd3Bk<Ja9H$E(a+<v3RWs^qWDic27dDwI5cAvE@$=JF63>slhO7aJ1+M`q=5V|@ zne*LNj#KeoA09+Cb(IU*R83g$X+Fn1oobF_D}O~brArHk=nKrb(Y)Z<L6+ryN-O?m zHW^PBxboNR;7)6fSN0ACiEbAzv?tB+Uay$3NATd(av_tuy$^0VvYdTg&th)p8nDT^ z;B_?1(tPzDpS>X)ah@6U1lN@|u}6YW6uC8Z!KX$R|338<zdW1L#RWtDs~zmT&5_l| z>1XR1@LQfGJ<NJe_U?QYi|wM0f9G=Q=_qAX=p0<C23|T_)4brNF3a^g#|wW7o22X2 zHFmxdgtRE0bJoAxGk?Jwr>1Im;VJfW9~`V?5nZoRVFI1K6b$(*eQ@*C{v#Gwud7;Y zRCQ#W^*;F_!%9{ikp;2I4;f}&1}%I9)pF&m+^jF|pE$(GRlYf(;h{39x(yfOGKeeG zeD{c9Di1g7j6Bf7$M>lV89swflz8j`ns%95-^#qj?z|J@rRkds8qR%>&~PX_a)_~t ze`7$ypUpZl2^(s7L2KosK=bvQ+^ib=+gq7^PJt#6EV$Wc%su49_-cOgLx#@Npz3xv zXpw_BXn9CmD|5=c#DxrZp9Joh!~Eo0%0h;}zMw@8)u2TVlUtdm@TD$fcsx0>-ooMO zW*w1)Dot+o6<<Ls`2589F8na%X7#W;dx$Yg-(Cu|T3$*=X2It)F|G-B`&ya5d`no! z@KcMMU1H7=C&sS%i4PeTdxPeLtU+@^?A)v?{(<I%(sV=?{M(dVV9D;W1T>%K3z|>U z<z~%z5846&((v6yte$Uzb$2V%7i-Y5B)r_L68Fv>V)XhBT1pVCBeP&pa-k*bjCG)p zlin22@bj9E%mUC}kCR7rWEPY%akF~NKY57JN_=xb!<(z1W{NbZnF5+j^WPNEko3qR z<{YEjYS7vhHXWISO`_baE8c-tK7y*MzZPPA6Y4FRTbWGsK`S5SxLGsqpE|^7^c^&r zCafc)@SO>?#h}V2UwXj?J8o8qXOR{Tnd+ceJptNdupyw~<x3rz1!Z>JtTW<I9%7U- z-yG2J#8yXUfobYOhL_JHEgaIP3Kv+io>+JM5Mx#JrhtY&eV|BfXk`-VPkP9(_;P)O zhQm(K#*y$10S&KwLA9+pH|q-fQ->IZ&TkB8c;;0abB;0SHE5H8n2wA>a0=)|63|qd zCO7Mg`KJysa)IXl9zNBPSx~~v%_`9kn&wLs;{q+uVZIV(Avis_;b#=6zLn%=^>_zr zrBrVYX!s%sI?E+tVLii5(Bc&D`VYVNudipC*<EH1s&}CaG=A1xGM@Bop9=3vRYT9S zy468ZN|QHC=~?1xq<XgYU2*NRLTP`a@19#gt2C1IK-I4I$tPx>%a&Yv3)&E9Z~c7h z_nYU^@7G`7{b<5cq5n0rxUbhi)@S_gR~1(g(y!mY@=x#ozJJ_ucYFgrCN{aB7YvDe zb^*LVBY6{><5ii1o7Zx#dhb<`WZyK)U1&~}Prz@ZCS!AfD|@>i+-X_xZ!?Rym`q!t z)H=U{Oy;Iz+??y)_*~fHRq(c;=~*{NUW`+~rz*&%rj7^aj9F5r+sQn><1IWTe*S}l zVJ!8c<w_M>_#K}*bIzON7VuRGy!1m}Ximk11<x+CEU#fXwsNl)ct2B~XF;BO)30jb zm=(e%HmwgXwX*cCSKU#h?Rfb&=Q>-@3pRx+$98Tsay+SXaP3)6ulEiG>H1BZ*oE&{ zcP{wD%EDj8BATyQQ6lg7^f>3dJuU&IM;Gs?FWaW)_|=!wFULP%vs1w<g{E8TLUSUW z0=`Q%6`KoR*+2ckp=1``c$FPfvJ&PTdo2)CDeibVmvdch(}JIkEarXc8kKSf*RB_w zA}4U?r=;Uecg}ZzTNnKK<eof-JzFs3PRoP9i3>g*=bR_w5b!mkDgC)X$bYSaowGTz z{!MvsMY*13>vNX$Y1V9yuhcRh+}X?dD&M&v(Y&ecw{VQrtOXw<S;BX*_~xtZ_$J)+ zj9>W94`auZsr^SSw#WGgR4F%EzgN|WR@<>%-tp&Ra0kA^^59}=4z2$)9-M4h@M=EC zJY5UE$07By2RnH=v-Y(=xT43h)!w<_8AsDD-KOH{LiJZbE9d62@X9Og_~PDl%v-*{ zaNVA13ts+Wxz5FMwp?k)$IPZl?Sgl#<}Ubhl%;&1Yrwa%rfPnnDf0zwK5$Abf0V`2 ze@%JCpS&h@YoU<8A_q5HIerc1^ef@Ws+;`aN-oRRde4GqnoYaB=XMmHTk8~H?_H3{ z-PC3+5c5mL@o{}K=ejvQ7rrnxW#3oGsN+7^Yv_1Vog?q>+y!5}eAm6*)4AYH8q4=> z>Kgmi4>mV*Xqj=!=_;=HQ`)3%E*$cg_2A~?9IO8KJ~+aq4mzSt#bT=ZiocFc#?=B> z{@NVeDa`R|zI(yLtfn^RCU1N7j6WI&r|uUriT#(-Q=FUI^lWy$z?~lqjwkPO=3V9R zl6Nn79@DfbT=35B&INyLS@`7?SNvc)xcI5xL5r!zEdFL{D}L2ArSBFD`7d*@Gnpf6 zo^Qb`uclk~RV|E_R{V}`Dn2iKCH`ATPjRAeQ=7D4OqIOjW21vp&kLE@c0IT?ljW?w z`i_sXO_R!n@BHF*eEFQ?UA>C`VT;u33OlwdI{sYCDfh-HpfJA4-CZEWuKB^qr7WSp zS<b&xS@AEsN%*|bm71q7C7xe-#j^FjTS1zl<K2FNIje=P*!Mg*q}0?VE*$gA%<=JU z&UJsf7QF0va7$Y(an7^Vf_Eyk9Z#<1$g7>P;ENqgIiI3Nwba4Ae2za~bI9GPcMJH) z*yQdn7!t<)*e0@d!K-MFdAW`OdtC~W@|$MGD_N9sIWpdgPkhKQGdohl;k!F%(YHM} z>kIpXhZwm!jXwx$9Vk#0;|r)V26cmu9b(jyPg=-u8MFrSZMTj{!o8G-488iB0~%8L zTbZ}if%c_+-&oLaPCi1z;hSo`7~h5HM8kY(h5dTm>=I8RH5>}9K|A`ITbZWpKX8aq zO&wG){{$7xrrfM6{)2Y(y-Qula8{F>-DB?wC&pXrErq8SH=MBo^=|z@tK~s^Ai%5T znL+&^3o*WcEKq$G3R)flT4cBvv@xv?w2(l5Lw!NRvDN1fJrz;dDaOs7vA4OEX-PMz zvNaUrnqb?|%KW7-aUsJ`P#OKeON=Yvj~q9<L@%haEe9=^x8Y`8vF}w*%sIxi>`eg; zzd(!glB7YsG!4*>zVl9uw|GH&A-h|dO4cVlWY{SUT2q)O#urdAqm_wgescXohNY?J zKnF+dVdG|B@gzdyKuNY3U%>6DtxRXWr#@upRgQ#?3dA0REf0y+5mB%Pt#e3^)NrUf zxO2xGW}dM0g$ze|K^;g%ZdMJ@8V|KlF|GjHIjziRK->3zsp`lm6xwpLX52e?h%pG% z!1-pZBU7)ixkP$;a6{g#R^}(y(ibxPT?=ZafGXKL?XYIbWl)`_1X~@_rX!N@j{&qg z<g63pBs>1;#SPb1>4+%omE&fAk#o|CaTDm+uY31&L=@uFA2KutM`$>FbOP-%nAXZP zB|r5c!(`C<jIW+zd<Ne2bNWwfI@G3$@l7}ZS||Dp)JS0lHBycqVl-mk9MJI26O`f@ zxmjO)kFaofC<a=?+TY4NMJ|0I!{g}@77nRLRrlmJyxgTDvtXMhH|vc2<A)ffL_w{) zj#lO^ekltXUV=tYia{eN_s$$*tOB(!{v_+jJb3#5d;Q=4pZSv?oDu-7&nTZD_Eq-y z$IS}bT4Fldi-I1nirDZVDs!5sv`4f<%VNFQUzY*}uPY=u#`LI$i3zHDl=Rw8TcdhJ z;Ixpi>9yXAH=JU6jegcm`f<Ek&Ahny^PXonpa1@Q_sre*vTA#`Wp7yjRlny_e_QT1 ztv~ap`btZcnY^ygzJIa#f9?JLYv*<9ny=m0`Ppc8KqvS9Z+qjq8Z(pA=N9ssoL+v3 zZKmkzjOMeamS>9343)p!Hsk79{Udj}&(1lwWntPofm;T~`G#vRo{9IC&J)X7)@#7M ze`&8tchvWTdcSgyr(blNtvWd)`OGEh%!!fTEOOUG{<F)S6M1os{<Hc%b8YL3YBNF= za~DPKonUtIOr-DZoM(B9Vw0ZbF7-A19R2mMUhvr_xh<J#4=rw$Y<^*Ni)XXN^0Ox1 z_ZFTt@-BbXzjI?`8B6ZA$a~Yxj<1>FJv%$>p6BfJu)Q9$^TX;LqZ6L(VBT=r$#^?= z?!=k<d(y55<jOya+}pvwG&g_Rm-<C-6Q-S8^zyyc?%r!hbn54An;iL3^XxxelPS7v zN#e)XSaojO9C_CxJMCGF>+PdwV*PJlJySh-+w7V9d#~Nmd11h@`%RwMJn!3wb!NHU zzO1uv=C<jPpLo``Mn+xyW_G$vzGvs}8BgbC{+=c3obh|+)b7l6kz1#2+aI}i=Cw;_ ze)iRuHO##IOzF*~Q)jp{*k}EmoXI|uRXdY?cB}t|sM)Ua7jDfsx|`?Voo#6+4Y!n~ zy>y7G-kc-2wmEX&tZ%}blZ4+2Y%bD1YrHxtwkMX)banLwKI7tJm-)<#*Do(M`7F0o zHu2ehZ|h4s8CD|2{YKpVOJsA!zAi2`>VAH)&(QnbqI%ivY5QEP&*}W0RJJGb9p7QS zxo5ldwg{g&b<yPhnkYBxi)-$ASf4!eQ}}I)ae2Gj8QY_0tb58<&AdOmY*wVe<y|vc zw_n~h%T@ovu9-*YU)(iYwVMC9p6!`SlQWmkPCcBNKI3Wl#d9Xw*Djwk(!RfhHSMa| zt($43?SeO!E}vN}_qJZfxZLV(jPd*QEuYW)iNAc#oco{G?gKh^l2x94GwSwR@YSqa ze_3qCv>3<N$<yxn2MedwFIdU>`F%##p+#pk-YinnVV*T>!z`a*;cMENSx(PAXU)3s zcfvBYFJ-d5JF}erN6eaKAmI`$+&2AE+e}x<wHI~%$@==v_%nH#nh(EBbA9ZUmY)@~ z0-e9=`SM@&Rkm4eeb2IMnf1N5{+DInpI!d4@B8~@FZ=l8K*at<FZ=qx$#=(=96uhL zx$E)e><l;Fdu=Z(!u0>>n#|SBn9=zCWzIuIlexN9^OtQtYMfyeUBvC1?md6$%bc6) zCUbS~7ps+Byva3xS)FG4r7QYZh3aShS{mmbyx(H|<tzH{zMFjQzMdym7P$Y1ZRV@# z30%H^g_fCo_5LE_`&X#mC3wHe?xQnS*Ln6YUKzjXpvhP7I!WKZL2DMSj6W5g`D*$r z=~=&)+GUuP1@5;<zjQ_aol8`<aX#zX-kJX;)~=2GAh&jI<c`lWXL9q!WPOA8A7RdT zRX;t2(f9A9d(Of8Q`TR&qW|-{$ye`-MS5j{`={K^cr{&X(aQK&Pffmdf9CN0`)HqU z@cs(lXNl{69ewW+yq~2u<JI&6KHtAWcO8TGA7S<VJE_Jmc>j{$=Z@>Wn*Jta)-P3g z&*1$c-kGna7cu+(4a!}(GX7N?>x|WPg*LN(9o^e-t^Vqn*`BY{p56C)ohN4F{yI_Y zUvJs2$Sv2M-Ylx?^k1?veo~^zSMMrS-@lV=9fJ2i0fp1i?<QZpZ{`V>1?~?4g;N!m z@83sj7OjlGvpn;a`1|BpzmDeDX+B#O-}E^1)$|Wavwo@WnzO8~^ZnwL@ssXfzM`M$ z8@xY-J-#jWO1=KinI>Po4JR(E(+t0GMgMBD$ye{ca=w2bne3J+zN(+6e#^jEe~Ia= zpWK;;&o)(ODxW!Y|B~A**Z&K8jk@_GdScyXtKQ8_K67c~mJ>R=r<q;Tsc$hmx29sY z*}XM6MXb;K3|3oRQk!+PHe>RcIXkzUOnWzXi}#s5;+er`{^(}}*Pm_rJ1t>)X4=Ed zEicn9YTV-4{3Gj@&*mqJw{$jt>ECig=k`0VGjnsNRd2COJIcCcXWCPrTQ-|th25&z z{LAtdlkxN5TTaH?U7KRXX8!CoS={!5ca~fiD2q(~7XI+_m6n&5CZ>sIQ<tfo3BP!y z<>+M-)5M>KzP=Hr|23bj;(T5II5W#Box|7nh2FB2oO`#Lm@eEubD0{?zNITU4`1`% z*gea@s(YDQkNU+cEhl?TOcQ@u`1;P+>mMxq?CiQ@F{X(ZJC~{HIM153A<83I_}ceN zS6a@g&6;)Lu2-;d)!J!^;-(AlH!oA;Id<_%%fr$w*U|$0vXjO3I|mD^S;sc-%&I^1 zg=5w%gV)}{!q18`vkpD-n>A}g&BSGDYxXTz$@%*4v}AG9g_m2Gsg<~2zS45fXx6L? z_dSAz+44c=eY>8tZkB=7lx1o?)gbrj%$jAew|AM^nR&}sa(?#D%sRB_Xz2}C--vlG z!NOr6*U!ChrR7}JtXUUoCofa`vhCuP`j)!(*C1b7OkbwPv;5+&S+3@ncg;L{{=$_O zPuF1KWxMY;?94i}#@N?)2LHm9oZUxFOcQrbTc)<g?DCbCuiH&b7hX<dEejOh#pvt% z!gtw9&c9PlOcOt_`1(rJIRp#4?Y`5oGwaX~iCMD@Bt3$Kmvv`k9m+BF^_`KwXeDR& z+Ikby#G6^FWr4zBwi#K6s$_h9U&Qza3-9W`bfx9qZWGgm_rIu}2^D5@zjUP~(f*df z<_x*D7j^b^FH`f0TeOn%vVLaPp*`MRu{~0&dQJ8&tm|H8eeY(X=)9}4@8#Ss%fA1* z>}B8g<XP{2JSdy>?#Bn#S?_+lFrM}9N5%Wu8@u2As4uZNyW(JU5&ymA;{U8>ofF&R zcKPO^`imvoR=nN&!)C6o-RxzXRi|Gl+4e<k*12i6o|kWa;?J~--uOD}Oz8e8$1h#c zzp60n*V27cmemzryKqJS-D;Ds-QRhA|2~qRk|_SwyMWF2uaMt@mGO(DGhR*q5pMF; z`;+*rU#g|o64w1vwXW}4R(JCE<tzF}Yi9jgx@Y#Xx|MOuR>r?tpYck3`P14Pu74ku zE#7MOSt&}|xZV;}h#9P%8~GzWs(N$A@vSpf*LCtQUl~7%JM-1_5;Nbwlm5<GR(G=A zIe5QF{qmLZr)uAUN}^XHvwkg=a}3^Z;hp(Pyqwwh?;}u2^rx+KM*ZqKPQHaJ;}3n# zd^J4-<ktI6!TVLtU%I0IQr+aM_qONEH(dWt`VA^0rYx(|oDM1@tY-aMTGzX*u5jP- zmGO7V_>-ouON;Z5GB>X0TDv=P!lkMit^ODN%)9Ltt&D$k_geD0U#gaU%j!JYFJIBW znQHRYdtckKI?cGHE8|bq=V!c{{%S#dg7{bOS~lOmk9?M_jK3oa3PTCszmN922k&RG z&OZ?I)w{yP_pcD|f|c=)SWUiq7xDZ4owUy>cz;U#<tzG?r`tDl|62OOEqMPFLEpbY zy31F_ziQ8XCH_2j)~}<vn*@v7XI9E)_NLXz-zwOgz?a(+S;3yWBr@bez1z&A^Dn#2 zR{i}?Xm^jHxBpVtw3{BcA~x4(=FW-S*l2cd%^j!N31Ox-Jv)WZzH$bYV%!;%&;GlA zeLtg3fsGYtv<S8~;{BJNB~w$*Id4+&ouq1beA*Px%#(>hJSV4Y@lb0IJ2c6}lDGf( zoacMk`=?FuoZ&pv!~10Ylr2vTCSk0NsQ++D(i^liVtdr@so{&(xFmgu_tx_OEsZ#O zW#)Rf|IGc@6j$ugJUCgFW7Rv)4{rpTUL6;lV=;HZv!yJ{*;ux|SGM?U;dob^^PFzI z*s+!S#SXUma!84B>b>>5@FSr~`?!FKZSR9yN9$S6P8YbdqkX}XWR~sESe8z=mVSIE za`J*V#~_`4X>g}s&iBKMkfu|yP3q=CA$w;(xVf0+>U?F3jc+U^mOp#NvfNB*#a`Wm zGmRYYGIO4jbq)A0(!|}(A*H9bqeR{DG3UXl=^V54UL?%PUM*yDf5L-f*H~1`Rd;N) zcYIl|%=vB$$0<FP9p$!;Kdm|BY@7l<W;VHd&+jhOTJQ5Ag}G@JYg77efsp(C4~_`3 znEESOl*&22&E`CJ$1mV}VpFkk(=R*z$1xF|3m*PrnZ8XSV~_E{spkbv)(Xe`=5zd9 z%#j!48}P}jDSE$}#$S<xbC;ewZjl<UvSYfc#(v9#_04lRwB9*?cwx|V>b_b<k(lFE zZO(ajy#i`|3Lbej&HArovGtJCy4?M}56+~ql-fHL<mEU0Y8Q&h5Hhi8esD>Yr8i%3 z$0zNkW&Q#&zttT-UtyJaek_{P>lr7w!ym^|zRx4zTSZg#a^WfadmbEYSn%jCOTV1@ zia-2K>ZkSV4_Sm>SF6~@>G+jj5Hy95*8AYfMwYGh4h7GIns%8B&)GeF!M|h{@i>Ky z9d}wKo(Hup_;`#Z+|J`diFs3Yyh28u$-&<D!c+EiJh<k|veccWyv{%1TV+%A=`usV zpQapgIW7T()=loIO{eCoR}_gjUVSfU6YUc4m#s;-T<}W$AK8N|(>b%$^$%I3hbgc4 zt=Ck1Tj0w5sSge@vhen+?D!H39#j0nIqRNd!Q1UDXXmT!_;?F+oL4AE-rmUzzJ#%q z+xZ1-^D21H(ez1O*v6uN!J|@^{yg;+f25n#jhj}j-^udWCc1OMFKZ6J9M6Ey$t=r3 zhmwaj8LJCjsedalXLs9zf4MB;a!MILL=LLPb`?sk_b7P!mc`dxeMgv5#y<Xoz3T<0 z*fc)4c9Ui4dZitogPS(-3*D)nw4mmZQP1ZD)~2r4f;P8$7JPE&ocG2z;Ol9Y^Rrm4 zo>#HBsJ!B*a8oe9z?FZ(2WL*_e6{am(Ymv1y#wt13liO%+NuTXV}1!aK7P!(?$3k; zFH>2r*ZEukExQPAnxrpyr|PgMWZLkacK~STc(uS3`<4d>H?oM{X6e^cT=7S_N&UM} z$Y14yo5eX+?cdj4=(ol-;Il2u@-CLG_Pzzr5}J11SFk9Ta{SxPp{JvmVWG6+3wzVC z^TIKecc)4$e<{UMfBl~Cg&ON7>G?_;l>!IXvN^sC=6o095%A5esk&TnO8t}v2RE~b zPT$G(*e0rXK~dj>lV&WT_MRW!Ff_f2SGOqSay-k;;is#%;xBiTv2YVp3Fv^OBJOp^ zYFJ;aKXizZ3p8)=Fbp&w!zspPux?X9!>Q{L8VA0li17tj&#!M~I<r3cAw%zc(9k_- z+UBb{=sbmAQlO2Upsg`?L4z>hjh(Te6$bI3jh%Bq8#_U#i5Ev&9C#un#uXsDsi5Je zGib&mT#RqRhpjv2FqzyxbciwN_2z(vZ|o5k4r%FPTo?Z8aI>EPZDsk-0@~Nv-dfMZ z1KQQPbpFPGhL6pl5h8IhE(70~_S2Tol^Jr}>=}FJw=$`egU;+ezagOEOCo51NEb9f z)ZNPbrAkLcp<bJtUBc#o6Ju9>+CzrL(<3z;e)?Yjyp2)qHE1KuZ_uGn?qXa4>o*iM z99gI%vf!t$7~ce|=GJ<qE&AyX8D>U<CbFi3<~&%qSzqiwa)^;@rJde#hQpw3Lz%&1 zTn6_VTbV<?r7UE)>>Od?@YX_%%OHPqLBp}7IwA^|2@e_8{swJ2EfnLsPzl;}Iz7JU zw53Bn$d&h7TA6vebVL^Hum-KnIOD{4>iC9&hLf3~9XC~m-0U-S6Bjaktp+WAFcjmu zFlTc?!<8cQ2Sr>L;wFO*@JL(8aQ7`}&chtEGNYrFS)?y*A;V$N`ihmOofwaqgCg}V zXk~^5H~R|P<4%mvPT%POt;{GD<C<^>Gz<ee4*#VQH~R~j6Hbhq+&309+|$$%QP^MK z(aOvtwmG2T(Oeyw1e3&t3{TS|EDod`^xZLsDI`4gA;V@~&^Z*@pmQjuwlbM~Pk+d; z5;P{Z8#F+~4H}ZH662e&ySbI=i+tikhSueg8V3qqs_vM>JS8k`A;V+d2n&a$2b>s} z?cPw(aH~#7B%uy;Ko)4~Y|`_M^#u*rw(5u|)LL`1zpy#u#JCBxE&KCX@cA7{4;h-j zf<`2{#rQtFVz2xE{d4}dSK|Lr>f98SwJP41iee_If%eQkn*usE%Eg<n*u(qelr1tw zmcF3n5oZ*A{XSP1Jg>Bw@ww>4k}Xqw{9M5+BkGZsM&xbzE9wni8nNruY5UGiLY)`u zb#{g*ef;D+wfukim-r7$`~tpafR`lfpYY&FDU0cQMT@U0j&D`h)jUo8|6OjTD95Wb z&U3jw0rl2R+`9!$Y&srXn#j`Yue_tk&hc_9%k^U{XXh*I_$cIf@+o`F?WoE13%<Cq zly6hj*sXnVFEi&Ub56NAUI8C%n%ucLwC;O;03C;@FJR*x+giA4y<dTne?W0|Q}Awq zEBEF<I3vhX>aS=~uIKod@nGvw7G8Pf9baS|A3qgKn4`T~$mDLrgIm*B&X%j~2vpXn zv^cnSGN;#k=Yr>4O`Ezo-r0Hu{EKX=XFna+Td0-BA-C2)ppv^u-C8i@Zu^6qi7Z$B zRV)h49M7KS@ayHsviB)?CfT&>w9XNW=V6K~_RAe?J<BOIjZ^Qf>xCZyP1?tWO>Da# z+{)*ib>FApaXE|Xe6<}@_pm*l5;ya~x!s(n^4tpEPX-_BQ^4VP^f8CrT+e`={vV#y zyEU!i7qY4DTJUT2`lA-=VM;50>NN$=7P|6}<={*$$Ghu==U7i$@K2IOTu&{d!uH_O z-<(qCIo9oYWz}=J#I*^0t`FzI-hSaJcbXquyUyVy?_99lIpCX8Q}uPhDf_2CI5?R_ z)bxl&f0)9GKYUH<(!wFSf;P8%7yJt6^pkO|5BPkXWx1Zhidx=-Gk0^o`Zw`G%%iHF z;QGoY?rcGmI~@-$9p{jG?^W<ro5goF%k?^!3x8~yq{D@$?CE}RO`gMRzemCIujU20 zWxP$*l}(>+3)tLfUGRvJrN2*M#UJM;_2)t%d%GUoOlo=+3_i}Q=5DXV^D9{_TkpFU zq-i(R@0zb_v0dKr?_o~8Eu2#GoC{uhH682bSode%f|r|FuE$jiAIqGlxZ`77(<IlX z==q8od-V^_%@>?v+xY-|pqF}ufzpZ}90wPtb7<{<me%t*MYL(vd&P=vmX2Tjh3DLu zy&$dm!4*!Ht@VBd&w`qEZ5NnR-Lc@`Wft*i`&b{F)W^(x5Y)HeV;W1io$G}X|EBEw zDj9V$2YcrWPTA7}Zc?bTl>hSzC|enAcy8}hj#KO5Cwi?C3i-!&aB?!|s((`++&s#1 zHDAHvBZK4F{Q`5MidqV@mUEt4;~enandNzz!ixRu2V0vtrR1CoUaGV7>MQLqQpu>& zKUlxjUfAS%uEcZjIf%aClQ*`j@A%Bxw5hbI+FcO5D1ntlbiHatiMiubdqEpdokJF( z_dP!3=t2(NkaawJUU-gG$AWL)InK>-3)t>nkf-SQcQ=QgjpKz6u1((gJLYB|&lZTO zw0FFm%dsw$W0t%_!Q<U5s{X1wzSe6uUE=0=cgHc{TVYf6dEqJky2mW|!<4}bIoEP% z)%QI(SqHwVW{q#a-{~ysY%Hev>K0!m9N*Rp%!z!**Ax8xEz5H=1q}z#;Y?iCn*$mi z?gQ1fxnf)fcAc%vA)uoRmw}cy+{y)w+;ecVXPg1eDcrO!6lbr`*xTF6wB&mFLx#Ei zpv8uMp!18&xmi!hA3Maz2AX_Hn%>IXqnEmn;b}CewpA443ixXcsx)dr3lP78PKP{F z1*+0OM=EH6s@v(HGadp!)h#18`-!<nofv;j2W{5{t#q_Ve8{kLKd811N{%_lSg)0z zxRBv;X{3e2+ryxRkjmWb88w})Oe*||4;j{q!B!aTPXt|i5^3S^o>7czLVZ^&Gtaa= zpoxn|vm-4IWXOtf1?Yk5G|=G<zg)%m46J9gGF{n!{t%;3`i6jpXX`-+DS)m$+1KC7 zoB~=J@w&RelKn*e+7nKUzp^(MG#pX`?d`M$?d?40#CWV!j4!})Rx6Va=p4$e+@O^i zQJ}q@?X65I^HUx&tW|w?^r?u#UM6n#7b%e%4rR%pgFE_KnVyt`j(utdO?r5U@fle4 zwlYmQpZ<_xGUymgtF(s<o7F+5WvPPBpZI52f6vUJ7}OTH)zQkd1#}GM%-Bc`hwlzz zd=o%3O8-`Z7G}tCvr6bCJY-l3I-=u8A?W;x##Sbu;O~!%_yVHmwlbXo-5Aol78I@G zVtf~F&2ME|(x32<VJ>L$<y(vx-v#Ln0S$kW#P|#<dRm!8Kx<YOKb1T1v|ePv9xZP6 z894`>7*|Du8d2wTL>9z>mb4rOEgDGyHB;I_x1J<CWSHp+nqvd)+qFII#Q5v99jK01 zJnY2S_Ih(c!zD2tk%T?M-0Umf9Cl({*1oZz;Z`ST0uVHd1zI0dbmS0Y6zGtwFQ8+h zHlJac9^6p>MhleS<hWT+fKH)gOBLe^xYOOr+yh!4^Yn3~#Q|;T(ug~XSvM*eL1$MO zGszzNSSdGMGZTC)#rLTxp0{RSnPhT(+7!@Ih(i;6yu3jNM!8?|+N8pJ@{T%a^~5u~ z?br9@6<5dZvUq+w|Nomg=l7*spRcQb{${=Jxmh|BD_&lV*>LQ%Kd9P0S+Bd(N9p6I z?p1MLK-Y!c{K|6my#n}>s3)@j|1Ai=r@U3l@og{1xg5`c@^tXYKA=h1LKfchDm$*I zXMh%U-R7L7r?g|+m$?$lf39LNH&f8q+3?_6AIs9!EaiNv8r3=n_ZoAYs*iU7uj<+^ zXfsPNB<_<QqyT*_Xmh)1!LM&D>fe-BR2m#ynaq(@&T(#zf53OCrsD13^8y{qljpQ) z3&%vYFZlS3CESa}_q)Q5G6BcWi#hY=cm;g2X^IXPoU*U=!8vD^RN?OlbE>rkr^L^G za4?NUbh~QB7Hh|+%pCReOgLA~^ZD>5rs-9<z?>a37d&HTSuQ4gCGKI|y0dFs1I+yk z@}!%7?G}u=F@M3sK$hu#Dj79=2dAFqn03#iAlK`{pU5WZ^?UgrPl=iQ;M#5uuYBKv z=fNzg-<5ZK=WY6w+r*wO9I|K1gNwW@Q{Ssrd@^yodfmtH+-4rfujN8>H0p(}{82f$ zvX(Qee(r-aoGhjFeg*G@ntmxaahD65)O0<#wEF#Vi{5bc9iRA`mPLbaiTYg2k!Ql; zCFfu8I-O;yzS@q@=}nu;h3-^0E%>vEKVc60YQc~*f;P7%f!AGtI%L@_=lhgb{0nXp z78knmNBH1MPRF;$InL>PR;WL=@;6&kv9!>Yc!z>S^`^GaCeX6H8l8hv=L?wZZFq1? zo^#fHw}QukO_RKBTMF}*a(b=zFL++dl3K2?W4pNHPiGD}8RvkHB~9+ng+t_oY<5mv z@JgBG{1<~`EB{qB3BMM)Qlo!x<y(%d`e_e*<}P?w&T($8Tfl#tChl-ylRfpV4=x>M z=@sTSJU8{aph@iP2e+y@X61VqJT_)g<yYD9H5Ys$#Y@2{dnY}(cNToS@`f1;9(DB> zyv@*UI`v+yViT|9Rjz}Z|8lOX?|yK^lf|^&wcu4z)2(`Si(-4nyU#h!ElrbH{%;nG zxS49k4%356{e?~L^*=ae&N*uvXZ^arlNS73%3}V{E#Q+~Q}lU(DRKV-dy1dyvZR_T z?g&@W*sp!Cxt3E)&hx_ymZnqt6)K829k1>eve`X*!LK<Fjudqp_?<Nuo^xx)f^Y1c z=iWF4eD7v?-lwpl-sWJdp5x(ePQAaa3qH<e37@BuvFlB;#PeITIc7cQ$g62skTUZ@ z{WWn8FL}R$=f7D}&nxXHS9JXOnp4h)L(9(ZL&nVGhJH`Yg=}t4Sn%pM=e)Nr0e?TU zfUbtSsbo>A=J@u$;GCU(3*PPLIH#+$V*eFkiRXt_vG873-w~pav4<Hv3S-;y;MRAJ zS@Zo09&cw+U9SpW=x^L)T_^N-N^I+cd-c0mo^DsD*dXh8)Rt53DyLTc^am$1Swicb zKfK9mdi7tyV&jAb&xHIF=LBmDUpXf<=jNOR?~Zevd+QkR-=T@yU05Wcf{UA7<L|6i zrk?dF4;iK!a<k5`J8_6HOMgQ^!_Thbx|57j`N<0zPM(dhaCp5KG*J%fYt*#1GNsJc zsh3$$E(AJ&;xz043eXy~5_@h|4g2$l7}ZuTFSKM`v2QADg*xaA;3IjU+7>ir4nBaQ z{>&jpqvsm}8s0UuGC#=!Ej9cNnkkP2A7m(ra**LC(8{#CQ(Kv@WPv(DD%|z#8Gkxj znN-fFJ!DvG59-|V>c}i8<_Dd&eD)Ay)oI)A)0z%;hYvBbNrQT6?GY9SGQ`EW0{#hc zv(Jz@=)}0nKII|9W<Ai_jCrlhDxekemEzp&9=1oF7;mkw;sdSC0NqOVy9~58qpOwa z%V`~%1snLeStaDo9ja&avWFi)0bXJNItdzl0LAf03kT(&p!1<lg3gD^Ru<!$aBos8 zb4gj+LWY}rL2Us8F|G;reXYzq=Ta6j9JS+Smxu-JJJ`Otpy8yF7*{}Sl_BUPL(oBr zwalOj_ka`QEYRwNkg2UqTliBSGStsZj?{4Y&I9T~HncK*0UbrzdOJenKmq6=XzzUu zr!@~$h=NYa>ThN8*$z5q@ol8Wff|1?z6%yJTA5V(LCq9CP&4KHAx10ES<i3Qf@-u0 ztxQj*R=oVL)p<m_UW?NpVrDCo$aT<C&9jjj4m*z=VpOZ%5YSNn$`Q1*#e|!61!ys$ z&~wn5!%)yVQf_Y64ErO87>znr3oKb*tOKp^&juZAoCXR$U2ay1cc7)3paZ81C$}<( zfDXF4TnuVQafxvm?C)%4HjztM$N*lcxwWa{Hp9!U5f%>W=bacg-QG~pa4!$k{kE^? zW|xpT>crTkzNw($qNR?=f*MI~_8E6hI5DmY7UTQyN&o48`#2U2u>uBA<qI2W&bO(y z;VYJt>#v-knQ5tK=>zWMZUj}oUfxN3(3OZLp39a@P4S%dd6J2zZl|Zwav!ggq5Av& zetYzP|G)XKe?Qw-SD$ZQ9q6^^PxiY_U+mYr{Cv9pX1(rCg^3Z*QiE$n_Y3{ypL3&Q z!Lw~F%fBhCs5Lk^GnwPnJhy^%z5)Nenz+q{O!l-txTMU|8?Ufq)1^%k%QM>^+`7hc zwp@Kjp@QSd#hiI_odSN@G+BoWOxfG`;9kdqKZjZP=c%vQkpVgkk<+pMsV?WdH*Nu? z^-bySf+6_=-~;%dvMgVxzM@wA;LLW8S9b0Nc@IBHEdQ6(#BJHswp%FXR{w&J6IsIj zR5Gf}4sP}4ob{bE?~Y?YkyF8I$)-!(VhMAsUkgpS+w|a`9?R43sucmMD=L@{F5b+c z74P%miB;38?SeMd6BhgmW>K%7=AATWR%TQ1Y~d^SW<EG$$5Ps_U{P-4_}7?IFUIA< zho~lR=cZ%)0x^}77OWIYnv<O^XmY>j!LdFT)$eLMzS=ciDs8f!udGq6cyRA~0q`>T zzbvBj6)L7gFg^~6YkqKY84I|(qTlrDy{bi_4ET(|T@4G$`X8J*%Tixj?_2P$u<4h+ zU`#}=;B`K8#T{SRnvPWq#jF%Cv7PqdRyxP5`ThluZ?mZ8EA9BI-E^s6@Xk+m$D3EW zB%Xs#klwCbv4P$3s56J0taHH6%Pju4SVH%^et6^7^lHC~#YYXtv-^eTL{+Nx1XnvZ z8Q&JTqAN7Vx?{n=i!9=Psu}eaatD{@3z_U|d~iyiW0svyL9XA0nv16-o*!dlQQfYz z<EvQHC3b;3KLx<c;OBY=?DPKcpsJ~>T-c_nW5K8U9P@NySRaSP&VLZux8T=oPQNLf zS#=X0T=8Vty5FPVnNia&dj*Sfb;rM)2V2uQrSv=!=Xk#sG`ZLG;M8o6S?}t-3*Iub zob^}OaZyR5lJnr&_rg>5&3$n0J;y0K--7&|p@x1xOF86n`~o&Qe8`Y*I`v+?qR7Va zs=k2D?v4e&zH|89@e267on?6%%htV8$1FFSIo=Hyo^!i%!M}Sf;(Y2E5$Zd>R5l$G z7Xshc5Y4gfZ`*>O!Yt;~B4r;>iJ4sgAZ*%#FMKTJ_Z$Ph88lTN7o1|>{NNxfi|BgA ziV|bTr-lb7ALm^4ulvExtn7l^zo9JZ+tgS5ENcpG7rOFK<KRp+$Ghu==Ioxe;GZvx z_&eo{9})+b)(e^Vt?evam*aDx#JVXvUpb?W<6v*Uz!aOg53YrCc*VOGq&t8&zVz1% zO{t&w;NYq3#5rBwoN~F&0YBHW`12{Ph*GX7({lW(FFa?*qy^9VIsNWB2mJPDNsm)l zvHQ_2iRUqG3;u<%h?l8nR4^P|$}enUH}k=%=^V4lIM@B{Sn%^Mi}^o~fKQc8(b`K4 z{a&iFl*jo8l$keGr#5|Z7qkJLern0mucurO9;7H23i-=?aC186D)s9JE!4$?uhhsM zTp7!mwSV@5GZR@#?OhAr<uv`8E)erV*ksS#2bbP+av9WtPMDn*FaB9HVHY20N7%Gh zrZb@9cR%WhaZOkQI_^n5Qp4ew4rsF)8#n8TIM5>S?VAD`{(z3(Ge};@a5T04`r)TC z30us#SvB^zwKDsZB`svQS`O+5Jp>IVbAoPvoZQM}Vht*iL5t`A&uL{&0Trxw_irj_ zm?QSMi0eZ9<W^=8GtgP{vJn;zD~~ua9s~7$P8|nry5bSz3;1mXTA_aU5Tlems3q_l zbZoG`j)=nCh<f?y#SQ0P>4+%o*5qb?k$c{W@l*B2f`)^jLE;~VVtfW!n*tg>U5~Ih z@FWY=^VZ~MpK<rN6XPq;Ky&Bx2n~ngtDyC7SJZSw7R&_=CEJ23G+WT3IUUdv^>d&l z>YD=^9)i|Cei0VqGT1l0l{sV?Xt-91yPkc;+D(jl%Naf|)sb1SQJ0(5<K4kSj8UMK zcV7%aO#vxx))U)6m8}<OC*8zWW}bJTBl_JVEDpS272^ts(`-I%c|hebsQ<XRpy4Ly zbfr24Zgvluvrde&K&LlesRSMO#LCTn;?4ml#$BKjjjLz0GJTn!{*a-*)m8dY5tl*C zp+k&bpauFL^FSvU+Jkl=9zDdE#Vf{TVBg-#Y@(OGkm2NOP{4s=x2~g=xnv$_lV7WM zp(XnZUC@=DGTiJEZ$KAT{N7m5aB(82E>q%WpE2jG6XUAsn+h5t=eIIld7to*p_7xF zH3QV93F59dJM>g!!CpOX_7gb=ofvn428r+N(-Bz^54sUT7<6HUju>CSt{I@_T{7sH zYS7$;BWNRCS1Z$*>31cj7dN=gYGqO}2Oa!47j#ONycpjF%cfSQl>YRG410|uH5~pa zfsU!3*2*N(t|OE1g#pyMJ9&sv?G_7Y|KTgp?vibi+^j3!pE$%A_p|x_f7^e&k56ts z3#xLfB{qX^D9BF*t^POoQuW;Cc=`IrbHLl(&#s*ISY_)HpF=XIWG;d34zdKz0IRH3 zDW0d|eMx1j%Cm@)UF-7qKc63a{`s$eAMad$e_!=m#DXKI{fl>-#{KlHpAujByI&P_ zM?uBU(6i0|WdDlKStWeMZtjCKtt_SM)hx=n9smC31Px{xY`nJL?pyu+z^Hi(J~}mN zM+=$Uo%`SxBg@%-#T|uOjwiV}^1gC--S;VYZr8M_U-(XS=Yl^)+&jxQG%R?O)a1Tf zFl3#8jpeKbuQXZCpHp8^$9k}{el|zeJ?DZ~hE2Eh1?G6B8v5Ne<pek9wGX!b<&ctd zEqJNYbS$??d%B>>-o^*FVp-10tM2$H<9IUl`Z0^`Vd@%tB@fP3a=cl~`A*g|;Gatq z`*T5Xhx04T)b|P%pEw+^S{~e-dhMXa)o^8tjhv2W=W_bJbq@Gl&}6JGP=CdC`h%G1 z3;va|i07$ifKEVM&MBq8SMS))O<azbWe#qY<(&22x8QL=)1>3VcdTYZPC)bz_-58r zE!_0UU(hBZ%eE)DFty2DS|H@!yay-aSVHZ6KD@DLdZpVWEG~TIkKDnP(VSWT+a8>m z%u*V^)$W+(b|J^VUpe$dIHc;|c^15MX6fx$-SMfiX_>fS%x^u%&x!|o<AtZp5xnz> zO=9_%E|&6ZiW<9l5AL<)0G&gyz%Sq<Pm{a2P{=>NgOj5<R{iUGa8sD&>hv1|kLN@* zE=Zg9;7S<F*883X&m5X|$t!~=0J%BzVq7nLNNe&=ZaUU49P^Xi@$%E6nEL4G?gc-S zAUpN#53cPOnvy4U=cke5O>IulIRyXWn%MgVLuw{I2+UIM2?kXTVuIj}`hPiA)weu2 za+1Y#zYDm($=qaIE_~%L^TD0ZIbZ4THaxa-1DoUFvmAPRdl!7%#}b~!;_I)n<C|;K zv*|*2eh50AwC2qFTR(5Xm(48Yal1K>Y0g&Mv7OWLXDo-@8|Q$J98K=(0wMpH4o=o{ zyecnbvwQA>U++2mY@7o=o6b&}6TDjJ%Ds*UXZ%=7PqRGdQwH}pi#fn2AhNUc<|~6w zK=c=k@y=^2oVDJkAlbQTlC{8{Dt^b8&K&P*x)!{NWcjXFucmQdy`n(d@n}1ToULcT z&#SYO=csE7hJYFrjSGJ5=JX5U%&MF8;L1#vt^3^yo<%`+4k|eQl|0z0DxNr}O<O1? zYWjkYW-Q_NTrYe{Xv&_ikWt5Wu$RyAWHv|M-zf{eFte2Z^9cy6>?zJ?ZTgfgY;&V) zK~m>~i;XN(>+StMJTYln6|Y)RCJNp-xMM!}C<0Zn<T<zURV+47Sny7a<$0O<iv9Kn zTa!7Y%sBOGTNiws$`W4ZbKy%|Q+B;d#y_Qlz2-Rwx1MBW+5U-TX}R)_&x}o*+=cI0 z&s^~5Ckwxx`ictWgNt`_YWZ`{v+)o3`ZYRfPI|UL$o={`500#3F}?3!@JgfU)_hfq z%W5lr=Qb6a3tZVh`N5&ZEWGnocYN8k*1+#E&%vp_oLmNXTU(j0+yl+=xr2`3O9$No zZ3DUm8Z=f5Itkti)KRN#X=VO0543bXb=$F6nS>2m+^iaTXAUvCS#Jzz_*4xVNj4DU z3aHQBP|$D_)bIEQ8U@|a*UDs4e-gAn9W;=9KhnY>?PV^g|FaKtlyQ-c$b$XM-0T`} z&N?x+wS&$G1Wot-02R?c*|=F}d;|4=#6iQ{0^IBwe|lS)RHokoosfJDbYXK9GwA%n zKG6Avpd)#;xLHrs%Y!znrEdyocyv9|;=qe2P}kOyn|+22s0UOJntxQ~-Z6*i%4HoH zg^i#sYI&y*F$Ps{0?jIehPflexGww!9kUpF#EJ3Ob<i;PgjOaVdC+L>{tW>Q8@C;P zDzo5|ni!Ws?Ce(NE8Ef*GJF=|X3vlTon%~EFUEJlYHlmj63`a7xvL{J9KMN(@m<(G zzm@69{Dg-L%}2iNn8PG8J^dlWV$cBc9#wAk8E?)xF|Gm~fO*qUM`S^r7U<kZP|wy@ zjBmoOnXOD)-h=i=9tX7pK*P+@dF=Ph9O@al*)?=P^R{<Ejg&)>^9$=6PHBR+AA+tC ziUr+q1e(h|W~(Ej@KXY`AL4)$<E53dpelCFNhiilpxeXq!$EiT^tUqe^d&81I667P z;=l^f`OniqClT<1wnmC`v(K<S<;3{v{KkTYBb%%r7I9sOncK>|1k^@)tHjNI;>~F% z#$E243L5I~bb@A~<+#~3VnF9Uf)3ccB&#Em@P~t&eZ`qbjRPe!=N4MBuULD`iSd~< zXu|uPj)=lees1;**>g^em(({DG@RS1BcgCS^&!Jv&_xvg%0Q#sGeD!<pt<;^<p-aN yEZD=v%|7EvgoZ<@yBMFr?b)qNS3uqWPLaov8V;XxKo?{G|NZa(Pj!pk%sT<DF0O(A diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj index 0107b4e..c2f0070 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -1,9 +1,9 @@ # compile vhdl design source files vhdl xil_defaultlib \ -"../../../../../src/hdl/controlUnit.vhd" \ -"../../../../../src/hdl/operativeUnit.vhd" \ -"../../../../../src/hdl/firUnit.vhd" \ -"../../../../../src/hdl/tb_firUnit.vhd" \ +"../../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../../src/hdl/operativeUnit.vhd" \ +"../../../../../../src/hdl/firUnit.vhd" \ +"../../../../../../src/hdl/tb_firUnit.vhd" \ # Do not sort compile order nosort diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xelab.pb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xelab.pb index 0e76091ce692a7c8ed1f8df69a920743b1957817..69277267d44ed0eaddbb787cc9913c3355401ddc 100644 GIT binary patch delta 115 zcmX@lc9o5Z%X=b|J{Kn!dzq1ek%^w+MB6QlJrh4Exm;)Dy27ZRUr?f-nVYU3mRXjV zk`GY=<>*6sNtt>2rFrE=i3J6zDf&5i6=o)S`T7;9If+S=0~l2qEhd*SZeg_8tj(mt F2mtYzBSQcH delta 109 zcmcc0cAkxi%XcD^J`Xn+XPJ?Kk%^v>p20-xEsQM_KPcIpXXHA==xn7Qk(ra3SD_!4 zS(ccRuMbhCpOl%WUz%55lvq%ZnxbExnP+CAm#<%unv<BMms*iJIgC-2(P(lF;}%Av J&4x@Wi~taoA#?x$ diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..8a25a91 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..0c467ec22647fa3bbd2f72f3eadc8780fb02b4d3 GIT binary patch literal 31576 zcmb<-^>JfjWMqH=Mg}_u1P><4z;L4i!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_I|>*WCO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna zk2yIp@NaW+@aSbZz`xDQ(Sz}4R|_L&Ap=8)lhfe|6R^ui5s+VvB<~0|zpKUK4_MIg zFvMd}G87)*0Un)~Jv;w&J0-Xperr9j7ZjBIo-d9&Wq{(Z(<!GjtKhg(37GR9?EOv` z6&8<92-~ys=su8?N9S>m&R1Y*m+tBW*Vg~8{GQ)^I=_R3JUX2#JUWm2biVWH`~(*9 z?7ZvQ{I=nEa{~hdIH9<7?rvdVU~uW&+`+)WaJ;z(D(=w@R^rjwJcWUQ!K1qwB<<1J zJcogSp&KmL**pQn?q0yazyOZY?imaW43;PAlwZzbfJJEcWRNuD1&Cr;q}11VbY};2 zS7&q==X3{`ba#VH0=o~w>^!0EoWZ}|xrBe43oC;w|F%6WtVJMKWLI?W2D!X@Gsw~1 zlR>V9=mR@O8|-HO^<ZDPbkwjibc4map-$s(&H4ZTzfX5^g=e=bgJ-udhexNggGZ;g zhez^khzIY3jq~U{3J$kt9-X(q0^Kz#EMSHUJZN8dG#}xBr>s~=Jfp^McUFR{;oH`? zj{F{Pp%LfUc?=PU#|^(BMPPS!LhD<{J)nf(!teR?|5=~T=U_WMI-Lu^&iUul`3Nl1 znO$+*xdyDVb1^7DjyHn><p2Nw|Br!VilN&%q1WSo>j4*j&ljEDpl~`44ho2#)u2c{ z-VBN`kRFe2P&#P_MHM36SAb#{EC!AB?lth3UILD3{{Elf>~0G(6q3Nf@wyviUH4{C zq;^jR+0oq%N;Y7ZLztZ>w83%2zaH!ca5S_0vtcdI=ihd~Gnu8`hw;b%2Oizo1>MyZ z-NiNClR@5t7ywR!+RhdH>%o3L=EBOr^x7R_{RE=5FuxW7X@P_mBZvvc-C)zZyCEJd zk?Ecc@-!&@f_wl=zx;h7pmfxmUD2=`lx!IITjnt^Fd!0D^A=F1>FfqYW;dAA*}MT1 zp4|uFVYq{Vf#J0>*j5D5JsG3`WFJU5%)WYObbobsgXEzB0%9TpgnyfNMu!h5>4U_( zyFvC?o+w@F(_LMFa3MU|gHn%2Cu*|)2TS&_)b0XLiC9xT!u`jb92r67YgdZ}UlFKK z#a8|Wdo;cQB@1u~_|K=e%E9oh;kR9&^3136KiE??jHSUIoiQo_9^K9X-QE#hEF2vz zDxehQ(Ho)?04v~Zm`k-l$~X+a!E|;pbhxN^fK+k7RWUj6PdWOU$?$FG3y;PlAgiN6 zwL$FR35fja(fFo<k%0l?rtYkSUf2JfO`ueV$iYpZxIW$lO5LCo-?<9pp5slRyadWc zolPK<k2ir*3Pc{1p^i6!(h^u6Qgovh<3~`60GDoXY3$hR&gk0ue<#Qke$Nw*&Hw+o z@cX~$<WccRehDi+JUW{}Srl9_JcCsN9=+g%;nKMq6#2)&Ne^U-OXq4(Iyl}8N|7MP zbb*V?j%HADJKlT(qz05e!C9)a`3$%&xB{&Unt%NB==4$H;O~3N#K6$&!Pwb-0j%H% zR9ou-{*HT0pqf8LMZl*wM<oK5B0!N70U`wWw}I{IZ~?_>c0e~c<8*HZ1!nhTP!M)^ zgNy^mes?p7sSPg4_}7DL8*mZP;i3}g)A=1%3U;)pfI{4(J3FI$H;C1}85H*2lR+Vl z#W;`>{`JiuS%`5hDl<U^7|29O9qQP5+@pInC|J9}0oc8H3n)}3gF+39fuJzvUk?sb zaBTzfD8#oOopV$S7#SE~zHU(|03|L^tw)x}TT}`e85n#zzkBpf0UHbsCvaeP&QSqz z5H@K*Y$7{MG!Zs|3<hCPp28OLopV$`X&h4OgK|eVjtB+EEvVE7DME?T7L^AK;Hn*q zd%;%25(AdldkBd=P?&(60ao6D5vh<w4l$;?8<eyyPm~ySPX;IN3!UJ!1}ka#`@H`C z|NmO#crz%4gOc}gusn$6)4ds#GCaC{R5(1k89ll^k(&>o?1f&AeuI^x@a99e4LGtG zJisLpsK7yOK_JQ_Q2P*C3_0Z(o@{-~@A0zJ3DhJ6xur9!;<!@{C?iAL6=<c(J|<A0 zA~z0;b6k7#7+qVxIqnA;;L7j$*RlEEKajH-T=@N7cqYF`Dp?@4!f~HYq>^PaDDF@i ziXekrI#+{>L2xbwIjGw^N83B2rlh;Npu4!lvGb3k<{8h<Yu&*$KFrAhu7(F3cY>6G zBMVXrxO6*bbbIG?{?$B-oPIo*SA(2^tlT+A+c~2*fU)%e*xmdd7d$%O`*c178|Ksb z$)_9K8uI97^62(LjvbH_(PIZ&;|@~$fMN$r<8HzPr1~Pjwez@R=Q)qg&mNtB9XrqZ z_AasIU<c*V=PsRxzz%9Xz~3?#6c4?7ci1y9fW<s|_a5*<uoL1D?1~fw`$IZ{y&(h2 z_UY77@#$Tg!3MJBy+`Lgm(EWvoj)Pwb{_WVW>N9z-J_uZcEvNF&R<{|pH4KTKAq1! zI`6@xJsOXK4D>)!h1#%v35sP{dF~h%9N^LU*>j&N0|NsW0|Nu7;)QlLz_D1+V8g*& zD(3nB7((V)^8*%8W5>y}w~op18`xDIz113q-wZDqo&<AS4wN{0HXma~X!7W87GPmu z=<b$aVPF7N|6oHrdUs!7W?=AWJbZ!$R9WAHxHS&!NGKc95{I}Lk)J%8-$;O5-uc&a zp8<yB9r?GniWf03q;)oTu!1VG#RbeDYIgxE1H&#*X7cHL?$h}X>{!p<I!35Bjy11f zWnf_P=w1B+RO<F_p1=xn)_af65)}cMVwj(gHJ^Ye-W|cjz~Irlc>`FnjS5IL2TV09 z)M$_H<{QkQ0C>O*sz+EjJeq&7^S4g|dB2wz6e%9bER7zFC;mV1?5$%*=mHgm-QA#~ z3KSRot@+Fh44%!$z-=T*R2^%6!OFnE_%f7*fx)x4jt{CA;u&U8Qs_o<K0j0%6h@MW zFv1cl0#KC@k1~67+o*VSm#9F!)_hD55xo#6FulA4a$Ikn2vjG?4r#o0h(c9*bT@l2 zf`TW2k%0l?(FIIk?}$NFK&)W#=-tf$N-MpaFR(&0l4oz7I9w4Z0@3{3d`#ly7Ept} zw@wSH4&*R-ybjZbs)U3hlSk)!pY9qJ0i;Bu162WvSx~d08&vZ_oURXXx-L`&#ObWq zovsI01amsvj^<<f$UzKBZp<(JA!aK;wSjyhi`OTLP?flSq6Ad|%B0{(kbp<R1V(Tq zC_`01e8P;)C(XxHkR6NUnU`lFhL}MO0XbO_uanK8DiKZwB|LZzw17&3dbeQrcEH{H z9Td;a$1IVZ0?Hk1FU=v2a7O4w$yrSNt)LMU&*oz;uZ2CEk9oc3^=v-o@bW#xKrVCx z8D2gE$@JDqK@Efi4HLGY>8+E7D*}ZJC^aE@sQH-8>uk^7IzzZ3kc)8yve9b?&*o!l z=+0qz9p%|uXN_V4)&$pl%m!I6C`~cJbM|XB&*o!p$nqeUu*3Y+co>xDJUT(ev;?B1 z11_z>1#~c|{@h;=FOR{6ASi#pOTGph4#rZ>W6cxT7#NsevqKo5K-&N+a2pR-uz?EP zd(jXxA?7vWF|Uh-qw`?H!G8@unaWsfSV2ZxutSXoF+fHufQskF!=Q@PqZ4K{l6#v; zGyeh1d=LX<{sf5mC)kmlj%0o-9`hS)IG8|=?%;qr8pHq@$^fbu8V?t6AR7t^k9Pd# zF@wxA;Dnk7Vt~v$0db@RC#HFw_|0PjnRf$b9*6-l&jRAU16a)KCc?Z1E~xuJ43K#< zAm(LoA-fOOKI#1DvEQ75fq@&`L;<HN&+ay3&>&)Gvj;n9tfo!52t@U1g9W=Cz>(1m zN&+6eyEi}#2c>{r5}+m=I0<t^J3?9-;J5-2$o5&I+UMBa7G1=^(Ag}&0kWqp7;H~7 z2ZZMh;W0pX))1#Sg9W>PK%DXcMD^}g099U%hi|YmFziyn;}no{CLp^9G|rFg|K4r! zAoF{7@8Doy*j2&;tqeVScTa#=u>d9x$*`Edoq%HgLR9~H_HMge25Q#qzQD`CunRPF z0uFbN-rWqKc248r9WZe`=7Z)VLLhC-&i|hKKs{`TA7Fzy9!Sm1V3cO2XXEkka<Bt_ z@IgHYs~A0ccZ1uDjfWrbF)$cj+6nT#tKk8-Lt;SVLEs|9v$u{JS}0<)QTbbJA$0-^ zOhq?%=%gDw9s;S!r6DR{tvJud<EN_`7`k_Z`g$JByFtSM;Fi-)P@~du2V~F-(ynYd zz~6EQQb({sEdck`_Qf$UFo1{03{N`lh-F}4aNG&f2M%7B?%g+77#Mt*cVA#(U@-iT zPz+Y%xC5jaNxet!ZgB8qfHXlHv_6pF@#$R*3Z7j&3=9lALGrGK2YfpJ!}?dA&Bxdg zCA~*Ccx)Kb(DdjQ;qd633^KUeg@dEBnSmc1%pjBChP<5k@Be>D8yy_npmsWFIs{Zk zfhL0Xfjo;lvdvK<`*^c}2&fGVj_(9e9ng6AhcE-fE>KScRA>_!p@$%iBUprb_HI8* zD7wJG-g2Ns-Ltn27R?^r&7j6WcQ*&LVNsgn*?f%crT72;|2=!_U=3r>-tFg!HNL{L zw@wh63^0u6Z`}(@D9y)&UcUGTwgRaGU;%F8I6z&(-+B*{Bsma<fqH!1-34q649zwi zad5*pU(SXYCIeOK*}MHBk?!Sh0oA&my>+rsy;$6Q6yj#NmnINvgb_;7-K+(1Gpt|W z(cO&VW+u3sMPEMu`~SaZ^D*w1Y!E#fP*Xh{k6$L_cvt}e%6i*DuIsJSglflde`$zk z^D(WL6(H++>*Nv2P~Bcy<k?#XYiy&to3S*?v-z0fOJk5B&Bw%FhkEwb!FmoJ-OXr5 zfsz<fuK^_pxJpGmn~&+feDUZ1fADbjVbA7c%CA{GQ91!A4(Mj#_<x`ioO50t{qz6- zgbASh=NJ+k;Mw^FoCSZu%XC<I>)E^gYBd9cWA`2vP!Z<IyhjCF83xT1{4KK~kpSx; zdv>>|fSm2v-J=3ZZ{0E+$YoULO|Zs;kC}Q|qCsjvWtk^)iwdYP^XL{~^z59XvO|P{ zq1%O#!?CkP<$wsNd$C8QfE7e<QCT3uzyL0=z~&$gFpz5T0#IL=xkY6Ls4j$B`~b<~ z7f_35KrKFjZZW(FLGv*rhs8n48CZGYc&tT*LzIDm*|T?#iUhb1yhY`M2((J}?5%@U z03d}Lq6`d7AcZTyhHOz05Jf12<$I7q517ISP=y9?h0Vt}UY-KAdr%6a>!if2JlIfp znS?!nLA4sVs=|m_P=x}D*#x8jCMjlxpgE3Iiw&SLtAS)ONihp6gwQQ+w&7snZ+!#_ z7TCB1EFQoyiy05Sb+F+HkV3pM3mc*UDFmeza1y{wE1<!O58y;u#{~@`kPf^?b0aCl zlW2PDc#st0bp!9q9EclWC7);S_M2p+F4))udRRls4N$8Gd+PcD%0tX8Djz@%cWCw{ zDRseyG)T4h0Mz0gNEVZnx>TS+jBatW4F>~%3p>boq+zIIEh>0p7FL&lk^tVAg;gsc zg?M9D_a$h^(GxLl0n&lj4Y08bkV3p}fHgBf3h}x@_9Y)A91LKA)p-0i5vdEgdUu56 zQ`ndZdT>J$7-&cvdjj(SC2!^y6$emXhMWXu1amrC{XocK0jR|sNEVZnz)Wyh+-$?a z#ouZMsjp3;ia=430jrn-z=6I+B>-NH^wz=0<c_td;Ei0^kQ~TFJjtc`m<6I!0aA;a z5E_qwYQ>j^5Lc=qxe{b1xRAwGE2<$W#Oq3RB!#Fp_aaxw&Bruef<`HjyDy%-byi5a zLGA$;(b(Jr8)pM~5U+b|kW9qu9$VB<1H~EGc5s|E9sxxeX!Z^|jTPq@5ANNDI);H( zUkHH4&N@GPf`&<K7*U2vx|<t735~hA0z`GXs3dqCcTvdz^~*eVfckTw$vclu7nOqU zZqU%A2XprVuu3153XkJ0DxfJlu;R`Z70`qsXeEY#XYV!#ThOc(Wd6ak^H_I|N`yym ziAsRSaTXPE(6Gi1&^Qasa1M{o=iqz@Y1VbKd3O78cyu-kh=E3Xx+TOwLn>|p9-Yk! zAd%kP2S9FUJp4nHfdMvP1J>FJS#;sicm(9<Xva9{LM`xU32Z(u1QaHqRxM~Wvh%OU zeo!|b<OyhG`1I}tIlsFZJetW2p2h(MK?W#j3NT$?0SyOvkiU9ER3t!?0nom-OLvJ% zf=6eI3aF>((e0uV0h&-w@aXJO0S%&qWI!(MZdL$wYMGlQKvZXoiUKIgj<=|QX7}Yl zJ(WEm(?Q|o)42q^BmguG4w@E4vdp9Ns7v=A6;RjIqj!tS50HC~yQpx04DjhpQ4#Ry ztx@4X1hhxDD}x7kSzPl8P`Gw>UjR|vuAq><0bz=JbT;1yF?)A|#(F&(4{s1-VAut6 zjYsD_aG)cW4MFEq8;^iO7c>AI=NOM11EBr~y#MbAPl>S32l8N#B})I_v%CFEH7G4K z&ld-!h4!})-V6xuZZ$}-|7kS?!)s7S8qbi<M^Iq)*1?+Z9^K6|!2Rb1(Ef92nrHJd zRzz;|>~0sXLAIF(!h_oU2Vye|Sg?D7I9O!|i0a)f0E)}T!=Mxc3omfb4V*QR8vP#K z%@@FKxB+v6KgQq-sL9ve{R5QjL4z;dB^;m;7_dd1o59w0hj0jZbWR4j%cI+c0~Bwd zuzFeiAJ$=nrGM}OVAz_h&)|+AXjTo91F*yee``3TJcjl19J||<Yd~Y20TQ5aZ<hx9 zzS%<pJUn9o@^<fL0|}g6wRUreh6ads^%{^V{rX_R?h248oy`Rxs(1GbP&=!4bA$wV zfC*G)xEdY+r#hsOJIC(!c(8j8Knx5Ao74;{Vm!Os{UN*!5IK8@W$s|X?iC<gI-3`O zsNUTXpe{r2<_?HupwU;jWzEM}kkUls@nn$x-rWyCo&=43!kQi)y}K<yk<@tj1jH1O zBy{KzwiXS#Iyn|29iUH=^SAJW28>ardmWfSv!EVKuvyS{NYo&W_8^i<Lk)*0Gk@z5 zaJ^o~2`%0X|AUu{fQtdp2qUPKjWlBD)4dqfXX{=K>cJtag$^)+x_n5=J-c0WK*a?l zcv*BjyM1&xJUWp>)~9zdC}e$lSA#+p+<o5(jY;q{`#VT{HXlQpMDy%j4=RKAgNm+w zppm~_pt5hLC}=cv2dLSGv`)mMyBXnUk6srJPDp9J1Ed<H7Q`(;t<GR&Hpug!I!nT* z^S?(Y#3kK1Dhb^l98f=WGk8D}h~cH3pb8N@!1>$<suo(|yi8*Q4N$;G{ye&yIlvJm z0FNkdNGu?Y@gW=yji_W$*R{6}*7F4okTUE8c>o?)oFM;$BLW;|-K#<ER1fCWpk}J! ze}r1F5=Ur2f&2(o?g34(pxps5&%zRHCus7zcQq*0LShJ{2<%r#pm_Gy!N%{PapTdM zqryS&2=eH>3igUicM3F;UV!p0IFdk_5fMoqkm-1c>tQQeJrQdUz%DoZM#FRkN>ZTp z5U|yn@N@+(;=oSETFOCF8Q7n&^tBn1dSD%N<a~)W5AP;159=f4VSUeTAAO8G3@sh8 z<zaZv@oYZEizp_+Axv84?G6ED-pQcyxZ8z8u(KIdKqKN56r!E)UT*pY9s`GUJUzOb zE5Mnp0iM~eLwbL(9w$l(0nKd3KuVgA$x`HAT!oG29#ABLiY!pO3!|{{?A;D3-C&ED z!R<CsJF&M!B?8o{2DPL?)iJ282VTq8y9eC52A2cibOCO<b%LwhZdZ=ZW>8HG%F3X+ zxA8E%?nQ5+y##F|@kESD(WQv-f!2vwOBY1iL9EgtKjDCi07$|C6$|JI2OM&qy>$}M znh<Oc2}J-jb%HW2#1e2uMsK8mVjij8AcaU^pkxSM=>QtOf=;4A4ddu+MmDVRFsQ`? zDTtxw!J-nrq#f3b08IqQBP;=XimY4*YQI7Jg*!E2*bZ*QK)Y8HKy7=E{Z;UWJvhKU zx|<!?z>OAA;smu`J128UfyVejQ-=al;6`HysGZk%_=6<0(FmH;Lopg`1*kjQ{Kf;k zu<xJ8eq#m(22gVZ8l4{9&IaAy7NFIGE-DTlosVE`*^cHPpheET#Tp)shaWJ4o06bP z(Q!wIFu0ov3)@{F{!S19T6+y%1mJ<Z2msc9@z`&IYW1;Z&}uX$pWfmGpWfXaOmHi} zHRyL(>ky)h+3*syezx!eTaPNu1eacM4<?Q1H-N(dMxccUXbA*Lcr=4n`a`Cxv4x5U zc+ul#(53*7#={28a92C-aB$)WuRn)&@pgguJ3$2YfPp#)6h5H&C0GPmp@x!UciVe# zI~|n%J-geUgBsDD&7eVCpI-2iexKgOpjD7485cGo?bzM+8(H^fgl?#M#~mw9=`tYA zVLNuWvDbn+Do_jmW6`4F?}MgA7+DK<Ex1buawEc)%UNhz<dL<AW6`1!(TS!-8(E7o z7A-68EJxE~j;uu=ix!Q<V`y5Ok+oQ3(UP(FDw-C5WG(Jkw5)jg1WijcvX)>hT3)Ds zLDQ0stR)_cmW(w*jF6~A8ifNF383x(c^JBefPt|ph=H*}fKi%<oddLj(Sm`2K?Z8% z2N3PVC*Z^<;l!ul#HZoNXVA>n!`jQz$K21vbdOI1EGz+50$P2=z`zgz(!;>Oa2s5z zAnA4DGjQayaO87nX76F^W$k0>XKrI+KFSCZGjKvO%8`!)G+M*JzyR8>0y6F+C?`7- zH0w4K4zoah0{ex5fdRBnmjP~;BcFyl-vP#EsB@UAL4H&K`&R(r4jqtR7#J9OK}#&) zdckf6`N^Gc12c-J3R$o_#Djr>0W_5i@)KyN1>p|3S?J-@ge!bp7#J9iK-~oz-6Cuj z(>-ji0<8$=0fjFE1H)2~!<_g89Qh<1`4pO&`<R#}f|vqMd>pQP3NA1<$RD82H%R$x zR!~0-BW_&y0#Jgajuo5b3=9mQ-fs`oet(dGj(h^mOiZ4jL;#WljVXhKqd){iE(9ib z1ga049B2X(qy?Lqf1vuX$$_RBap^N*2FWlmpz8w-kAOy>L9*!}0yPYyVc`Z+R{=Gn z2~%AevbqIOb)lH*JdxF%AVM7|zCfim$f&oVGy~4CpgipeO78~EtUZt%od@DVlRr4l z4VaS|QN=)c$p(}U7#JApKz2jS0;Ly-8KI!U0-9o&pEALCj(iFXAa_(i^?m^L-ywRP z_!Lmx!K}lHYN;ci0n-XpAyB$K0yT>T<R?hJbmUWT=i9*4%+kl)#>DgmWHP9Dg5+h; zy1*Y$J!J?z;6e;kwz=~yU<Q?M(6T9x5maO$$~dqgpw^BJD9wZX4>AMd2ZaCs!hGz? zr@)j4<1m2sESEs_MSun}Ant|O4JjMl`7SV`WSU~EnctP~0wc2p2e$m=$ajH}sTi9K zIG=ri+8+!`2#~zw3(8BNbO{=v1qp-P$pA^eE_?~#G9VY0-ZU5(7(hdsAa$UXz#w%_ zAV~#Kc=t0gmBPKt05UU$4WyER0ko<Yq}Gv70%j&Wt=lj#F!VsxrGfG#Bs?+GL=Y2d z0)QBelk{O^U|?bR_#c}BW^hv(Q-+xVnmCZ8Kzm4p7?>Cim_Y==;R6Z>W(Ee3xC5xA z$-uw>4iC__QK<L@s5sc~3=9mXm>}vCKpBXEfdTAS1_lOG7Kpe3AH;lc8O*@I@DFMZ zXk8*m23*d9_MSq;8=w_9xGZ8|V3-XRzW@~nmx&Av3@K1?(1I9{IWTcusQ3iX$RGo> zOk-eR_y%?712pmdP;mn>kSPfDf1vJfKoi%3ii4(6KsvzXA_D`%XQ;gnpvfZ!1_p3B z$iTp`2P%F6Dh@99K&L4{#X<dekU22%NT~P(P$j{@zyL1S7#J9opyCZuAl1+^3bY3c z>V5{$Fe(EB1GxNQU|`q+75|_PQ4cOl7#J8nLfy}x2@waE7ohe7RJ=h8B3=h70~i<> z<e}mWIuLPi9%o=+0FBy%(n$g|{ebfx0|Ns)D<s}0fCe`h7#P6q0R{$!c~JK-utUrN zw>d!VDVX^XVFp-xrV=U+<3qj2zzG!xO{syjz{Ek@jzI1K?a@URUj_{~(DVmLTo^<! zFfdd>#X-|pAR%zOj)8%}87dB|_rPs51_lNmm^d^~!_2t~^%rO<9LOASJB)#W!HJQ9 zK>#FxhC%+pCVl{i`VbuAiA>ndx5Xh2axXS}PvJ1XmjS!^&vA%v!(k368DX=R6NfuN zyP~nF2jv57;-CX7u!&3IaL+s(;)`(js|1JmAspfwIQ%t>1$%fp;1CBDr`Y^ujl=z* zAtY?-b8)!yEHob(fHxj8Fo4_I3=9nR(DVwbF+fHAAJ7Qr|NsA2;t*c}ieLs%oy5QZ zsz+h!w;+jw2615G$C1RH85kH~;<u2*jgZ9OAc;F6iNo5~=<bBIozcaGL2WvyJ3&Pp z%w82Fab)vB^$5tzAae|n>@`JFk1U>xB#s;ouy!%X9OQ5SjfTO@M-GRDNai4i188;t zrXJayZXko9V=y4CFn{Gj#X%HuJgx%?K*Ir9{2>nU{m`}*NE%r^7qlD%i6e)nG?F;7 zJC%{dk;6?JhximEanKkO%wM~a#MO}E<s6bYsO<$){}4$WS$#gV9s;=^Iliiq#F68x z8%Z2FzP2NYBgfYnByr?$m;@RGz!LsDk;Ijd{Phq?969{CLH!J<Imqgbki?PIcjFM> zg(R+kWbaobab$B;Ky7@ey~yf~k;D~|%t=NPM>c0Z4)JqH;>hMdKoUnbKM2~+0L3G6 zI!s0qM-KlSByr?)Sb{_RD3UmGI=P4>j+{=OAc-TV6G2b`4GlNsbfShNj_l5ABymt( z087uSaEPBk5=S=Y1Cls$_%K4teNea|tLH)zM-Cq$9O98k;*Lo1o{J<7>eIs9Ux`D! z6^Hml9O83vh_A#UeiTXE63LzSk;IY1;RBMmHj?^(NaD!qRiOPGP<SGn?~Wu6>SM#g zArXgoDGu=#ByrI3TrhK{A&DcKe-cR?RHwt#v+#pz5lDTFtlkhw+z!dVHb~;g>f?~a zk<HIU5=U0wgCvfuemaskvihA+aZuM8RIfq1e+*}#;-GLwR{sfyI487Ggsxr|NgUZd z8c5>E=DQ<_BZp5Ak~p&ZbR=<P^GlJ$k=6I$5MPZXj%@EXBynVOZr~9Agd~pap1(-q z$mR$NBGL)6dQ~KGWc4mc;>hmwM-oR?pN1rkY<?k<II{Z5IK<Z?i6fh{14$g&oKr~R z$m(w(i6g6jk3*bK2oXNW{*^=$M>fX*NgUZ;Yb0@G^|3g_%aO#9&1ppvM>b~>4)KFX z;>hklgCve@&I2TIWP4vDi6g5Q0ChN_^&PUfIu7wf9O4x?#3vz%BfDoVk~p%x8<E73 z)gM9<M^=9aNgP@I2PAQ1^(@c=3cZ|>LlQ?eM+Zq9*&H7n;^j!<$mUE$5=S=YG?F;7 z`iDs3$m-Rg<6<E9Bdd2r5=U0wh9r)xej$=LvijRd;>hYhB8elb*M^R}VYmlL99exe zk~p&Y6OqJ`)t^QZM^^tFNgP?dF?9S6<R0Yw5{@K}tbQMoII{ZZNaD!q|09Vbw<{E( z<ACV)x+94rn-h;Dj%-dNk~p$^)**=_n*&-U2rA(~_9BZvMpBP#KA$8=HKbhunva0B zHzbk7k<HOS5=U;&SR;uetB=JY-iah`iqvkIgCve@&ORh@<aYHt9O6vS@m5ecAp2JX zNgUa~W=P`5_Rhv3z7>b~eI#+@_<DsTj%@x<Byr^M<dH_i3$l7sByr?$b3qbER-cVS zd<v2{vN?;9#F5Q8heP}W4smJdq&+D7k<D>I5=XW-97!BmeG?AxB{;-y;1Fk#MT94^ zz2ZpX$oAUd5Rbtj-ibqeIS%o=IK<_k1LNr7=7l7V?EY9Jab)*5BZ(vT-%lfnBd5=6 zNaD!m{6P{&HpfLC5uV8A_#=rUn^S^Ad=ip4a(O-%NgUan-ALld>W?9bBddRcB#x~9 z2a-6ldKJ*%1+@Kx9M0xQ;>hY_k;IY1XDO06a`<dO5=S=Y8j?7&IdY&u6R3NT&Cx^> zM>fX~hj<Q>IC40YBZ(uMGZjf3S^WYeab)%Tki?PIUqTW`R{sG>99jKuBynW*lAysd zXgDCNS3wd-R_}x)j;uZmNgP>y6OuS`yiY|EM^?WZNgO%8n3b{T3qB-qWOGcA#F5RZ zK@vxf_jV+4WOLTw5I=<^jvNkGk;IYB`HUovto|R8II?;f(8M~Hcric{M^^8LB#vx; zB$7C?`f?<3Wc5u*;>hY3Ac-TZ--0BLto|{QIC8vyLlQ?;F9SMn6Y5{&_)0+%M~<(2 zBynVOrXq<Wn{yXQ9NC-~NaD!m@PY=%q3%Hz*G3XY4hJ(Nab)!&NaD!q<B`OX)z>14 zBdhO55=U0Q8He~qBynVO?jVUHoAV1v99capY`&a<fdN^)GLks5dS@hYWc7(i;>hZA zki?PIcOi)*tDlM_j;ww&k~p&ZgGl1Y>fa!VBdg~F4QgYF_aY>5J*0N^A|!Fp)IY49 ze-}v{IlVnW5(h0gfT>pkaj@8%ha|3#WbbVxanMo(m^s{_L2alx$nFV35=VAV6p}c| zNSHZEIK*coi6e*SRvhAQaESj#5=U;I^MMAJq3%aEUmu5fJCZoEza}AxBb&1shqyW@ z5V6?nj6=K(NgUbUMkH}$dru&VBlnLkBZ(ucH`PVdcgX6Uk;IYJ$03O$_sjZ`#F5RJ zg(Qw_&PpV4<apVGB#x~99u9FvP$t0=o(f3f$o)-!9O5&O#F5><3`rc>J(rNgk<C{E zWge(|Ku(6Gb3Y_;Wb;Fj#F6twDv~&|z3n)}SK$ypgCvgZ&fhr1`9YILQ1>IpuQ`%9 zviqHo#F5=uj3kb1?=d8C<nZ~5B#vzUUnFtl@ZkVWl0n^xY_ArQII_J}NaD!$u0s+> zws$L%II_J*k;IYheS##8Y_BkA(h=%TWbqgzab$b5ki?PA??)0xHs=zOII{XLIK-tu zlcrGjAgi}W5=S<FGLkrQxE(_hM=t-rB8elHSAUVjk;9W0<OnS8(MJ+Tc267*@ggK~ z<a9d&NgO$x7bA&-n6P|$0>pv32iaZ*P~#FRj%*G$k~l~!%p6@Lab$CHk;IYBsYVh< zHs=76II{W&NaD!qSwWEjbtkgDAxPrL@luE+j%?0)BynW*w~)k<)qh432X!@I;UEKQ z97ElM?0yR*anO0MF!iBG;>hOLAc-TJGaH9E_|RG`_P#<=k8D0CD6pXFk=<{KB#vxv zAd)z;`>T<}k<H(UB#vy(8yw>Dpvi2gdyv%!;Sg`dA-)5L_-h>Ea-c;&SnLhNA>M*R z{16UtNNWSKxezqRJQp-j2DJ|)2NDC}D^PLhG92&<YmhkT@FtKt=<*7<I1hBa6m(h@ zF1{9O4s`kjF8%~64jop9iywo|XG4b};o@vigQ3GbaPeNKIJCP77uSN$UqYMVaPc2d zacFZ4F1{W*p9ih(;Noe}c`r~}0{I&h1|Vz$6-RduNDT<bfC%XNG?04OdRx$K1|TVr z7zo4G+kOEFBCCh4--4~{1*rvL*gE1G==wmA7zo4G9iKoGhplr2oz?)d0;Cp%Ve8Xf zKpZ4{Ve8XDCwn8ShpkUvgQgy~J{@$(HnMuy`t&Dg>S62CL5F-JtB0*mmjNw$KynXk zeLCpSZDjSZ_30sK>S62CYtY1D>(iH@iNn^XpFtCctxx}gCJtMl4qCs8;vVQcL=7}u zgS-vGu=VMnQ=5_1!`7#R*4rbC!`7#Rj=ew@hpkTs9cG0r4qKlNIzI<l9JW4P1e%UO zZUJG~z6TpLaoD~G&=IG|=D_wnfKIJP7KiP7V93nQj4v)IEzYQ7$W6^nE~o^HWTvL3 z#^;shrWR!;#}}8R#206#=cT5^=a%M_WESK=6qRM9<ir;zXQZZ-=A_1#6eZ>rCnlF< z=I4P`C+5T#=j4|&=oO{rB<hu<R+NA&C{8T_TL9LZnU`8rl9`wjUzD1anhcSEn^#bj zpPX7;j8L6gmYP=rGrAzLC^0v+B((@3pOaWz5?_{>Q<{ohQE@?j0a$eki~)8;az<)$ zc6?D{UV3VLep-BHUP@|3JX9q%1;sg;$*CX(py0}dn3$N8nV!c`l3$RMQ<e*{qcSfs zH!~R}R6wSIB|(h%#G<0a%J}5`+=9fS)cDlG(!?AFy}bOAR6TdUP~GB^#N=#*Vu;_9 z6LWHsVB+9N1FJ{@MIV@jE82=ui!-ZI!Ah{$4VNn}$t{V`&&$coONB;TYDRn-D4-Eq zi!zHd^U~u}Q_>;k;j%I_uOvP(B?YVzml}|~UU5lLNn#R%UU6kEm<A`8qWqM^l0*iu z#)5d0M1!=n)MU%};?kseONP{n)a25V)OZsF(-^@tvV>`~Of*kTGfYkbYqLOTLokgI zOd|`d+RPE!5KLnP)5sjFHZz1a1k)J7G%~}g%@m;x!8A6-s@4Rd7Qr+&!K&66uGSR6 zG(j+pjj?JoLTE!UO%P0DBXIPBlN3DPVkrmGKsmEGwFH!Q4dOw`%@C`7h6wu%$uOA# z*=hr<HW(mmKrl_<YEcrJA*?L{DmTf+jZ6%%suIPB9mNo?9JJj9;W2;?$b&J#G-#g| zs7U}V?!jUp0@S7j(Z`_eL=YQ<q0K1}6O5CP+J;~ODA54g{0Z6*1szWYEt>|_FR=C^ ztX(&a3F0P@98@cq;s7<;LHq8&JOlx)KH&lrnILN`-~v!aMm~fA+usDTAEX!E{ys*C z{Seg%(gA8eLIlDBg%yMaA>|<MFF<!cO#cbAfQ8u)(apdhiNpP%tD?bbpzRBg`$0?R fVfta=*U1EFtAo`d5gedSHfaAj)P9h=vH2eWYg+{a literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..39a36f6 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,133 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[27] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 27; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 27); + iki_vhdl_file_variable_register(dp + 14376); + iki_vhdl_file_variable_register(dp + 14432); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..fe67fb75df5711ff214bf141ee491e1ed7139cc2 GIT binary patch literal 5776 zcmb<-^>JfjWMqH=Mg}_u1P><4z#t%mU^{@B4h%vJf()Pe<$eWwbpGBc&A`Cm(Jcy+ z^5``MQ7=G*NAGS83kC)lI|0UyJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)^Z*6rYw^6q=V=5}%Zskyxe=mMKcj z$xjB^0OsLVS6o(7S&+)WK*+r0qO#(Y(%b?<>T*+a88VAAb8~YUa*ILsgQ5>aj~MLk z>};i=5t>w*S5m5AXsl<fXP|3V3g#Ns8JXxAm}o+U!9l{pz`!8Dz`(%Dz`)?{7s|lI zz;FO0#tfEaU=V@Qj8#Dlj1>Zm(md=O6Brp7Bp4VNWT0|ZAlj8rpqZ(JkAnfC#{wkA zz`!60qCNNo0{A$<W(9!w3=9m)AlidZAc~KJ0i-qq#Aje&SP7zC`2^aS9Qh=gnO*o4 znEd%P9Qh2K_$(ax918gqBKRa6`2-xnHh~ml$Dq8<!tn7wHd$sYB>@*$At)5U3^>6L zX0d@uWC9W{Yz*wkf-wIwurg?%iOYfctPC*!gSoJ9U|?mafC)fpF9rq%AtZN#!<c~q zY%VCipvvHs9RmY{AOk4$AwoAG6oVTyexY1A6^ui?9BMDjK5+aoFff2J6E=Grq3S{A zfeZ)7D+2>V7Y_5+;SfIpHKz<@2rL~iFfg3Nq5duoaVADcdWISUrxbCBJK+$I!Xci6 zL%bP>_;f~4ydY8uC~turwG@Z?4LHPi;}AcFL;NBR@jE!gpW_h!ghTu<4si}9?BOqr zLtGArxF!y96CC39IK;hhh=<}3PrxCbjYGT)hj=3n@g5xF({YF|!XdsEhxiU0;>U1^ zgDcc{L%n1MJs)QS5Mc-+j6j4jh%f;WrVR1!KK@RQKJor;Zo#f0@ga^*KCbZ$X{C9| zA&E&0nc11~;3_|{Bo)jl%Sg$IPs_|njW0_q%1lhkNsTW`P0uVYNi71)7pLYGXO?7E zr7{#}=9cEbv=t|3q^6YSq{bH%<tL{W7pE4-CziyQWag&ESEUx^gSDnsq$Zb^ASq$U zP0Y*#OJ$@c7Q|=fWtL<n=77uwIjcCeBp%cVNy#jV&&f|tEXmBzi_c7pFG|f#EGS4# z0c!*)DlUr$wM8JVE=VlNh|f#Rh4=;}4QheJgPI^13W_sxor*G3(o^HpQ%k~AlERBJ zVctwGN=+<DjZaA}&P<0m5oA<Ra(qcqW@1i!a(-!E3B&==P=G1*E6oi8HK7tqQaw`` zU|u#dLom$|ObZ0l63#R>LNJXHOcMmt6v0H8Ym6}07-6n4!dw#rxHc1n6($HPOb}L> zAgnM!SYd*&!USQ33Bn3fgcYWSaNA4~wwW3;loTcA6(=TxW7B{EoZP@dpcEOOT9%qu zlAM^6la!d84JvIBWs(*H0|Pe$1H+&H5Fieb2DN(_Ky4nFIIL|0DzABv)Whm^kT|Fb z4O4FdH3wbX6)Miez<^>tsD1}Yfz*TA7%+3<K!OYm814Z#rWqI*K<WjN+>;Ac4-yA; zWnkt^f{KIe1<Aq0XCjG%T01cDc~EhXT2R{tCcXkm9MlPdiLZx>gUkoDj$q<jpyD8N z#E{&%8!8S`52_7e>JLE0LFz$q0TVxkBo1n8!o(jz#X<21YLCFgUm}U~A=&!@Nt_c& z{5w<}<Q`C~31-e8s5r<yptcW8oD0;TgoeKqk~tzs;-J0;Og+>Xa0^Zr#$jN9x6nY^ zAZ<d3B*-ry7D!wk#DHRu-$6`}xB`d)#V|HVToEb;qG0J0B(4M!fMNqE4HAd7(Lh3= zwl|0diK~DZPz>n{Gl1Q%3KauU9w34NBCZBygD6<L7G#b(NC1i>pfpGv<~EQ}0*HW` zqX}h$C|ExLq#hK<ATbaw01;62+E6x#g0-7L>UBT@P}~5eLE^elHi+r~5m0mVpllE| z0YpH>^`UGKH3LLI#bIFx5?TNvpyGy5Hi&}d7m&S1AOR@e0Hs0V#!xnh0{5(;=D^Aj zkT@(~fYgIK=O94_28I(*8YFH8WrL^-AOdQRIg|~eVCe*u&OsO?2EsNV0$R?1#9{6I z5HxXEyFLd^9M(=}&?~OYElEsb&?_z}g3uW-R#9qBqFzaAMG1pmN@7VOgI-c`F@s)F zK8OQSZm4I0$~A;>8T7z<!3v8~a~SlJ^K)}k^GX=>^72bk_1yhJb&E?tWjlnQo>!_@ zP?TSgT2xXA)tZ_SpH>8_zoG7?lmdk#D9k}+9kN-ld?F8$M3O+`GJwhkP?-W#4^t`t zs$3Wt7z#j<z`(!&stZ7RL1hw14J`kI@)}4SR7ZitVK^Qn2;(5p=)$190Wy<Z{h%}s zG7~vXgRDyc2{JG+%m#6gFvu<t7b?vF@;8W$uKzbkkb!{#(*FkOfMBRFxcmW$_(Rh+ zG?+j_U<~SSfEb{#1<^2!&X0lWNB6%1)IeB&8Kxf;-!L|a4u_WgAb)`PFx&vDY#10A zI-ur&;t%9^5C*A%#a|lKe&jL)B>w}d4-`KjJ`AJ#KOd?eCJ&=k&^-i|fa!;F8R{7r zz+)9q>tMnR8c_Y{{)M?8rf@pcesujFQ2ns-5T+hoKd3zdiWBtk;{Y{g7#J8}^#LgS zK<z4+epvW{{DW>c$gUSq`yD`y8R(b*sGdjH4-$ha1!;q04Uhx_1H)r9!$J1J6@kZA VAni9~F%UZg>i&fw4iZM!4*&#b1|t9f literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..ac351a38954928972aaf8ca72f9e675ddbb45a68 GIT binary patch literal 14480 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk_Heb}RkM|NsAYFfcHDU}0eRpw7Uc!O6g2A;!R< zAc=`LNHH)hkYiwQP=VkDDhvz^stgPd)FD`ofq?;peHa)RKv<pu6Ld2&FuY-AV3^4Y zk>g=tU;tr|8$cqA3=9m6j1bJg0OBz){Qv*|KU|Ih!3T+fFw`gzlL5qL0<#zxK(q|V zJO%~^MJNrD0*SLTFfgz&K-Bm_X-6bAAax*nK^Uaon1O+TnSp`93y&HT1_lNe1_p*; zJZj7t7{G3f!K20s>b6v<yFhN?WME(bVM!>R!oa`)^21~#HK1@5Vqjp<fvTxsU|`^2 zU|=``RSPl)gh74+VJ-#+24$$)vv}0#GB7ZJ)Lz4*rk;U;ft!JW;Q<~sjnKG$g-1;@ z0|Nsu0|UbsJZf4Q7#R2%7#RNHQPa-Az`zgkKO-cZK>h|{kiS6~<eyFk1_l8J1_mKK zYPuO17zCkl3JOb*86XTY1B5|-2E{2T++`Rc;SG`pVURosgVb0vFfa&%vI`zH4h#$o zA`A=+dU(_<WME(rWnf^iz@ugz0|SE?0|SE{9yNOz7#PGE7#O_qsQJdgz#zfEzz~5) zjSV9M11L=<;ZbAD$iN`Qz`&4$M~yur1A{aJ149WOHGYf?450knh(}EoBLjmhw2T0S zJt)jUapBN%z7j+uV^CQEihoeP0+j`z^a9H3pmGaDgY42~U|;~{eUKWESq07KD?w~^ zXubjY3uF%ngVHV7{Fd{TApIbHAaRfwhz4Ppngh)cHJ~yBB#uuFD18x911d9MYKj>c z7(n?E<W7*A8`{rTg3>$4KOh?9*ToDB3>%?-P+(wS0Qn1)PC;P@qCsH;G7p4dZf0nK zm;)*^K;pRE46*}MW)M;Xaw8!%pfZDy8c>-*NDZjWAfyIVW)M;XDl-VF0hJl})PTa5 zP@IC)fXWO)=77o!LTW%|1|c<|GJ}vBP?<qU4XDf@qy|)G5K;pwGYF{xl^KN8fXWO) zYCvTMObsYagD@!nYGJ9PLG=zOZ!qW>iG%VNOf9IKfV5UXB9Jx;vRY960FnWj3#y+% zbv39x0n-Cld%Ia2)K-D11?3}{8ju*sop7}}M&hO*L1-I54_d#%%mwL#tNqj>?vA8Z zpMc-uplU&W1eFOOv!FuY_87=qu$YdKcp6j!q!!fv1B*k&VD1Hr=@^OULB&C8LFFuR zIDo<iZtm@7@fswxpfU<<22>2)+<vGy$XrnPA)5;d50JSa^BHb8i!VS@tAryQz-o1j z#J3@-4Z)!nmL5(bsSPEd_70NTFal~pc?zT)<hO7FYWbmo1X3G8KrN`f0y8&~fLd)N zbE62TwL(%GO+c+Hl3HwSVo<!n!Z!d(Z7czEW02H>(lV3_@-966!pzNwN`k^Ho`AWa zwg<=%klF-jz5}H%kP)D^Kis`PW{QLC1*rwqhoH72NDYV&!szK2mWM!UL1yDq3(FTE zwIGa7Z3k2mqz+W4K)E0%z{3G7reh>N3(SDD^+EXu%EhX74O9|jE~tF~<ziL4A1Vn_ z3kn}77pvOqP)U$lP<sr@MNtdOn~$NAAhn=&9F&Vy?MJ92NG+&659MN2`yVO^QVS}# zp<JwLIl+#Bgc+!<g-@+8l3GwZAD>z|B(<RSK0dWtNNPdtetc@Jk<^0P{~#_b{etoX zD1Ja<3@7@;9l;EU-$4BUeCCEDsfE=6p!@&|G+0^(nGdQr(~;DI>Hv_rxYWY(5Gd^u zn}<MZ3FRSJJp@t<if2%IhlK;EeGCc*kh>USXNs3X-3w9+!eDWb$DsWTxLO?}@kS)I zAT#l)?MG5eC=bm=QVX&V#D%#RG!6g~1KV|Cf%syOB(y({D-VIy>KKWyMN*3^55d%K zM^cL`55d$PLQ;z>55d%)LsE+?55d&lM^Z~D4}C;ZODGR9g8~y8W`y#P7?N609s;`! zEj@s()-e*-LsARML!dMP5(4P~rDssQfz`@S6*mJ(K-~b!7a;YZxCP0B(lbacI1edI z6?aEc3u+r7s|BS|xLV0RabG00puQVu*bZbj$XrmmLslDyq!y$OgkfqyX$h|O>;&;- zB(<Raa0v@!j0$8fD1E@y^2`)3MN+E)>gOY=1;sgB?e;n1RY+<<?dlfj_!G!nP&^{5 zort6s)UHNW3yK@K+Uc{!ry;3@wUt5P35pBQ7zSMJx=G^8kko?O%Ak0IsRi}<;cBPP z7T<=X)&M$Y0#geb(}1g8H%a^ml3GIs1_n?(!_<QM=Ww;tXNzA%Qfq{!7Stw(t6euq z{05R*(6|G#dqL$fT<!GP;&+kMg2o>}WiP1A10`YfvKo|@K;keAk_VYhEpbrT!^{TJ zAoD@-j7uDsy`-uK*#*KdeIOcSFD~;zYC#yJ4n`BB9;61Q9u(|^%qLYntbPQ!3)FTc zWIn0tL3Ja{{UEbJ7{rHRP<(;X1B_3sdR*q<5(njFm>nP*WG|@91Ep;cABI8Vpge_3 z9GCg=CHVymB}wsVnMI*_nI#N~MadbN@kjztiFiW>C=03t#>>gfOO1~=HDrJ?j9?5? z7{h`gIX|zYC_e{eLA)U{4{BC^L26NANoH9pNCg85FWwN957rTnq6BJ>G0Z{}7{k!W z94cpMWC>##!(3`;Yz!BJ>oA7vFox+cg)z)bVCu~b8HzJ9(@GdJ^OB3=6H`)(Qj3cj za!V^94$Dg^hN?6+G=sCu8H!7D;}esU8H!8ti&Eo@Qj1G-N?_9F7Lb?>c8*WZ$xqH^ z2zCakNG$;|GV=;bOM(+~3vyD?xM7JonJFN#w9K56)S}cBgep`Kh(b@O;hs>lJ>!v$ zM&Us;_{XCf!+<UTQ5NhRUr>~voLXF*nV0U8pO@+gauceM6BePw;#8l^Jg}!w75iis z7bm8JC35l;Q-Z;v9qb&RnU`7O2n}yAH@OIfn^_WEnhUZBtP8>PFD(H(2_)=aTH=Ic zF;oc2#^Tf*22TtRp^G?SsB!Ya5OU5*&B;m3WAKj$Sp)HgKZ-9vJcK7eJcu8lI!be) zdci(`2!cG|gAhj80dly1yc<eVfJRVId_hraacW*ka7kiGDnoEkd|GKqX%U3yiR3y^ zB0z*Oh=*{UXFSLTkQl^`P<^Gj3=kIBjc_J7I6&U^FD*g15jCD28T`=#+6hwx<X2Q} z2;)lfOA>QH-bv0+O$$m*&nzxUEph}27=cM-VJEOKh(Hnb0f`ub2xMWH6~RIA#-Ie1 zTbfhi4=ShfQVh}fMj*a#X--KHBufW7gUSRLCn&xcln#U9BSBUar6#7pQY<LP>u2QW zrWWfb8krQN7NutD=ccA4=jZ8{6zHZVr|YJcl%`}R=9TEe<%^4w^)pg(^bsYrURg#8 zgMNNNiGF5ox_($@Sz=1Qk%5tko*|T@59OsKmL%$zfi!~*DK1HgH!?7=&@V1Y%u7iu zN`aVDP?VpgUy)RrnUhkiZ)s_1sb>)H=@$}jU}9hzZ(w0!pr4snT#}fRQ>>qulM`Q% zlUS0LUzA%6vkRg{pD4S?cXLT<MM-8pJzR`z6Upw)OifLN1#(J!PJVi3a=f9TnF+|@ zISl$V32Op&Qq2##N%<+21K|;5iz)C;UTJP>QD$;{aS1r((>^*dEu@a?k;8+=DGJF8 z$hMMMgh5-7pftolZZU||vZTlylm;c(Bv9cD9p?hItzj4{%mA8q0nIBpGBPmyW?*3W z&B(y8nvsFw2onQCJ`)2&7c&EcEi(gyFAD?1Z)OID!z>WY&dR_5!v3rb3?K|L7i1=k z2C+f?QW&398l)FA#|oN5t%c6vgZjE4G0<GODgy(98Uq6ZXxsuc9s%mNgZks3el}=M z4Wtg#6a}fZV_;ygXJB9e&9#Eo2)QsYFt{=>Ft{-=Fo68-0bLj54PEyXz`(!|$iTo5 z1YI`*nl}Q?@qp${K=UD>c@EJ01*k6u>a!&>Ffb%BFff4T0MZ#47%~_b7%~|c7_vcY zCO~uZ3=9mQIsFm_28J>Q28Jr={61)I95nY0n%f4=U4!PPL37U_w}bo*axZA^cLQ{; ze=`FE!xjbxhOG<?44`?f?F<YI`xzJ*4lpn<9AaQ#I1F7Ybd-UC;TQu0!*K=%h7$}7 z45t_v7|t^=FkE0@V7SP@z;KCyf#EU(1H%;t1_scYpxX=#450a}y9^8r_ZS!$?nBoB zJz`*Bc+SAU@PdJX;UxnD18CjPYX$~}Hw+96?-&>ueu2^p0|Ub!=vp4wA}`STFD6C? z1{OvJ23AG}1~$<897YBPE=C3hZbk+M9!3TRK1K!x&|H@QBLjmVBV-Ma2qOc7C?f-d z7$XCNIB3lYXiW)d%?Kj{gB&9RgFGVxg90N1gCZjXgAyYHg9;-9gDN8fgBl|PgE}Jv zgBBwL18AO0hY_*{N1u^_!GMv0!H|)G!HAK8!Gw{4!IY7K!HkiC!JLtS0W^;dnx_V( zeJ4f+1{X#K2GD$#8zTdQJ0k;w2O|RmXwE8-k%1wIk%1wYk%1wEk%1wMk%1wc5wfl( zl97QSijjc<G+!0V$iR@m$iR@u$iM(vPm|2Zz>vboz>vnsz>v<!z>vYnz>vkrz>v)d zSxb}4$iM)ay8_K$gYpY9<^T;Gg4Q=dY0&rpvOF%f1!%Ajl-8j%x;}J1%p3>M03`zh zLjaUUR|gx{fT@Sk0nh=12q=xN4>V>1Q;SSTKs)joP#RqyDE}j?hp|1NgB1Z#8eJb~ zEDoj?nMMy!bpOD{&ye+i*y!Pjt`8bLAf+f6Jv`C%L8Avn4~T;vp6L3Z(F0P7g3-eh zT^}@hQ1pN}=;4X34;nonr6||{H1G^s#|EX*^+BTtMGuH00UF3;U|>*y(&+l2#(|Wg zV$k3<Xgwg5M%M>54pk4R?1HNQ0Hx9OL4`5&T>wp{FfcIOfYRvtpu!mXc7O)XL1i+O zM%M=w#?ZF_G|9riz_0>Jqw9kTW9aJu4ZbrlFie2b==z|-82So8lP?Sm3>8osT_02! zLtg}F0H1+@ApuIG>w^ko=yL!~$}li6ctB}%eNbTxeHze#Ljx#{t`91Vp-%ubxCk1{ zhtlZ!pu!mX(8~$*{0*9Cz|aKB2ha@d0Hx9Of#w{r=|j)&==wnO57_jf=Rb6Ppt%Ta z`q1+qx<1gn1U7x(#kffM4_zPncrDC~7tjm_%YW$lKx4fywa7Gj{zKOXnlnRI4`ZX} zKXiSdwM8(s$TWKXL)QmdXN0UC#zxP7==wnObuhKaG<yC+*9V%nLsk!Cqvt<#eV}$R zOf52vp8wGGf!fl@>S1j3{D-a&)c%I4MW)g7AG$tJn;ls_jE!C&q3hFxDMX`xz%n#6 zgQDvLtp!BW0_Hw|s(%5c(e;7mFTo1Y2=sCSn(g7LKy#UJ4iW>sJ%O$dv|bQNIh={! zo<P?Jnh%95Mq;4nBXoVBxlttLa3*>_Le~fCZ^0EKG0^i7x;{`J4M{niiJo52^?~|z zaK%Ur^!$ge4>XsGq#Vvf&wuFpKz&WPVk8E7{zKOX>U$z7hcnUhAG$s>xJpz8dj3P# z2kI-L>VWXj>j!jwpuRCgB`S$tKcMTgL{*O9LCbj#7>$qtvq1fDFawQ1uOHCu1NDQ^ zw1Bzj?Ok+zHegkl#0h9c`~XU$>$Anw0v0&{t%z?xX>@&{F$S<gG~xlYBIbZrtmyhc z;}U3Ez}y#5^#ag}6<r@_YyhkfjnIHrs0*MK54t|k_y?L6Ft-6(;rxIW%;@@@z^X8b z1ZctY0!pLnbH>yH7C8YecmkjW3A#Se7!6n<8gT+zfZTx6==wn8I%rzJ+#S#Y<OGyP z*9RI40xLu#RzM4o15g@WA87mtO$(U209t??fYRvtKx0l|g=oYAXaRBnN~7!ZL{ksr jE`Syw8=y40KG425m|A3d0<-{`0j1IPfyTs;)x+2Tx=!e1 literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..43cfbf4930e3bcc5f08da9c7ce1a07826d608eb1 GIT binary patch literal 4315 zcmZQT0|7Y@0Szd<qX5DmB}YSGGz3ONU^E1169RMo|NjrdFw?nU3@9z2&cMLV0Ks4W z|Njpa9`sZ}EyRi0P!ClwzKw~_QE?Yt`!PG$L&3&r+H<}{Wktnmn{DOSPivn}Zk&37 zZAxs1LsHqb%NwI-wa=8azE>ll?9iaJ<j+S&`R<k@&zS8Nc{BtpneZVZPRYrs>j?WF zrH_p*EuYw*hg^TVPS5}L=bZCtzfPHc54*ayI)Cn}eV6+)wXe$mJ+Bm%5Nh#s{@%cq zb8@S+;<oPQo4j1eYU$j44*nfc0llv--P3<A6LBPdf0<9rtcz@{52si^*m`08`oJDx znPu!I=XP?P{L{MG_P^TFcbjUz?99&*UOYik`ShEHW31dovo={iO?=z!_&xuUNoxEV z70r^H=XP=xa-=?-ay&KuOu6O!#aArU6=yCl*j64<?lf0Q_OXrs5;ISWQ_pTn-`bRZ z((=-?Db{ap=h#*^@6djmd}sUQN$r~|%eD$v2cP<un_pqLoU2eJ_2CrjC%1F%e`6~Q zzjbrpTdrjRoz=k|y;E9)=S`_S{d(Gst=B{LNHLiyDXy*keX91{U%910d+Q5(KG%dk zyPd5*^-#Qv=KI?x3Kf=a-S^n+{gP&lZDx8A%vWa4Zp~=hRB+1t;g2o3|7Uz}mF0T6 z<=e>}k0)%&Ps)DYWPZfzdzZl3ATht6@;BUbe=mDD<LzQar-?I937im^QNVKPSKO&j zuUhiA<ouFk5^iz0RGIhYPEpf`6U$~PPEag(u5=(fS@B%crh-#Hw`+YZt*#O-yF2Gv z<(DOo=X}{Kb-VhT-JZiKa^6lwHJs_cZ7b$I{KIGXO+tO`%Vk^ds~7IuSpDMo9QVsH z&x5}`vwc?St#R`YYv;ioPfUuUHd#LPv_AKFeekKeGYg(wiTES;@pnY`*{Xkrbt^xZ ze_g(IYtZeVT&rfScz*rwx4SC}<+?Ru-0!};UUPPBf4M{7S@BZ41$9489rvEDSO4sl zsP}cT`<-33eaR_zoWs}4NN5zUb?D#U81MX2Cj8ulQ@&4^Iap3T?K@e)$M^i1b8{~o z((&Lf-dx;RkW@A;)<}kr=i{9tbuZ+ucB*m5JdJqaFC4pYRV`a=>`RIEgo*tN&aNu{ z8DO9t!I!o)_NC{i!>?XBE!Up!b~0gcq4DL}$F>A2-Pv{V<stRnti$Z3Thn>-p6{F4 zvM(c$uW$FS6Gb1n=l}n*e8>G(oij^cX7uS#TiG%_YzD_OCGH!g-vk9pdloMdX5eFz zW9Qy`;m@H%S4y5Us$Z~CkIhOn+isw|b>p)bdFlSyIR-~;Tt7OBtowdE;E>JHs^s5p zm+#-ndOrW$dL8}s&-G*XR1|9dpO|I3es=WFW!FE=+8vkbnf%wTCvoB*<(QmZr7PS{ z$+)u0%w1-x{%cq3E|C~n%NFOS&Yvf4Q~DVh{^8>Ujwe6vMf@+gpzz~Eb@R;0_xY#I zcF5$hi_cDaU^+9w_RNO1)c>;%h9*U~I>dNu_&6&cnx@A$;jYF1=tXJgq!+wAcJh7x ztNeuq+{+}F&z-luujBKa&d;YG*u0l0owH?sztg7uvT^%rE#|#%xBFNl(|X@<ai6fB z)292LHS3#~Yn=S``A2@<yDNH&n?Kf_Em-?K;%D2l<PU~x9vf$BE#5Z&iFx*U0SR^% z6?;Q=gUzwOGXz9GJJ;>LxN5<v&+p$aXc504x?VIRb-pB%B-3Jt1GakZ50gdAzS|3K z|0Nd^-~7pT%D#1vA3vLv<r6>a$(~-`Yo_~l{Z&uf{=())@8s{&63_X0#s37#s+IN_ zpIQB3%fI6lb7T_kE7$+K&i=b|^@VN4_l|#@GyTF^<$?;Pt}Fiyxz6`qI^uZl=!3Ao zzmNW~x%>5(R*A%O|G<je8EJFAeLgt(T-A=kPkUw+{u6roZpz~&_6xc9TgsfzcbHiC zkCAozx!|Ld|FztC?I3<W-)UmuKhdXOH8R&(|K;3Ub7WV&bXU*k_Zr_9ERj_I+xp}Q zv-o-Sg(ocjcYb1<VgfR1Z~T$(%WLIMJy)#`NSXJW-)jc{+UKfC&v)#2vM%uMa<A&R zP5eLp9-QiVyZ%}J9>Eu>`-}Ap<tHvT?Auq7zcIZ2Z{KgBnvZt*>;L_dJznE0Q~mY& z`5k%JS4Z3a+2bW#-&`CKS2_KnwW-S9yvN3Q!Z-h<KaSm_?|XZXes6v4it8*nH;$~8 zR5rUX|H51GNB8Gn(!109oB2h;or=7*AJ%gP_0Im+a;?6!;?5%VJ9Ce-mK4a9C(7ls zJvTIDdeve7m-FoZecLNf?C447Na0A~;OT0ZEnd&ie5U)mlHxt?d1-M=>=%BWeoR|` zm&IR}Mxo`w3@>yaEI!ux?R&5MFX2=F^Y#=>+F>+Laf0H9SMCSScArl?b*7tNA@SoZ z-L-p82<Pr}Ygv)^S9PBFU5o$Rvd4BdF;x1_Oq=tqT19-$b2AQC;pffa-<@Wa&)e_8 z{`H=k=!ZX-KUQx3|2FLZr+&U~pSRaItuJ};Jb!O%p5?dL7<&$d&ezQkdhC5;p5B_j zao>~%?cW}GcjS!<<C)awWga_QE$XB$d@b@<>+X-j`H%h?SX{S0`{T2a$PeY(WA!(W z{C6#QciiN0{dUp++l3yb+kC%Wb^b`d@@ntyE6<H{7A~J>8x#MfrT9<g$_D$VHtm~t z^|_XOzyFwH#_xRYIX{~|_BYHpXL9kM9hbD6gW#?GHzm{m9sBriy@c*VqYMVW|95XZ z-Ml>S3meDM)eC(Yz86oCVfwFfsyy&tYmuD!zWFXcjZf7Yd%fNI=T^|se6d^Cz59Qi zU0tzsMakXUTJOb5{C^+Ywmj->(cYlEu#nrgvw!nm(O#OBeEGhHXm|ScklN+XOamP^ zYi*Ujt!1=yRoz|fspmvoBLpAzaJ=@qBX-qo@x45=RaP95o3v(SD~W`kn|bi))Xz$5 zyXp!{O>?;2*R&~zonL)y+jX7oe`EEZJ@1)usHbT~cY61#txxT5h1JzAj?@a*`f;+} z`)b)YuUjsAFB#2ReRT7}62GlwSxY}1V@t>~*(-GHO~~y_wRy3k+oVj_hia@}qsVt& z|H>Y&XR#Ui`c=}iyW^^tKbrpf?a>YUuinwf30-xKN%w7DXvo5iclCa3J$vZ+pSwj% zH*VUqX<LZN)TWi8r`{GZp1R7^TX6G-7IRC2E&EpCoOZjlKjuC6wD?xGXlIuEX75eg zEA|HNG~5xGJ(>UN4B5E((uZa24_bZ6JZ$xeZIiI|wk=DUZ+gW=Ic7<{*=wJ&`N77A z7vf$y`#otsxN!f*bl=E(W>dC5TcoGVI4xyv!4$zm=5Nlt?zwz9_@Ml&%YU4Cbrv{W zn3a>JY~RzA$sf}GQ}bP>v7=u`-|A?uhf{=flQNdbPhOnX>}YVEW0}B2KF0QD#fTz} zU3rK3w3CjnO+DUatSF;5E!B9+;ca{p-tv`AI%nU!k2MP{Si|$~wBH7SJf1{{Ex9-Q zPo`zuSoWGTLeTkQ`I8Kr5GPe{+gS_w)Lw18VrU@arMbqUNTONTWrcjXn5i}2qCSsJ zdoKBkELV0nbV&@lpVc4whA(>C*WZ(OYWzCChA;clG0tpDgSDxCE+sw(*l+o^?3#P( zSYKXRt4IXroIu0HJdGf@Mc~3t?sF~6pMLNAB>bdy^18VvChk(LHl8hQ>G9Q3wPD&^ cM#Im+T+$lST(<%p*Z-2%WB<qB?Pq!%0B;z4T>t<8 literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..6734ebc71e56c039cad59904a595b943da6a223c GIT binary patch literal 1537 zcmey#$^ZrxjC*HTZnzyH(sp#31^1DgXV*z;+z~kQj_ZitB!@Fn7g<CVJC+u_ytLGN zs#a*gijtK<OTDM-#qJ7uDKxiy-+f{GD7Ldt?tZ?j?7hqC|KC!z!-WM!J`%ylT95JD z&Y%0yu)R8cuJn$E@DDql|Fn%cbkN;Se(q<(mgye^=FRzD!*soXOI_T?zFoV5@wm9{ z{^sC$%KbHQHvfLi*uK2tW9M`J*X>B?|CROS5%x8xXwBE_1?PTeJh-^|pNy_e^Y;%A zEYI`Xe>QA&FDU4&v}iM~U>E<e;{EgKAEe?AH=mw&|A6V8-*=ujYukN$DAe~rR_1W` z>bieCafgd5s?L7)-qDtAvGd(e*_cDRGIu}J{AlgxuHfL$|5d}}|4`%|zfJtXOV@>_ z?c28D%hu+l>km$^lYOb)vUEP@nqTT0UhQuP{Vx;tS32Tr{J~ZK<--0p-<a?IRsP_r z{}N$;jU&F!KeVczbM-&bHNWx`uGVv}{`YtNpURN`jB9=!-|))5G4#LXnqT?}SNFGt z{$~sO8y)fW{^3>sADCGk*zXkayPQe=g=*vX4@`aYzQ2C*K`ig#&XSVj=Y^-2_gnt^ zHRE=0Oil5e{&%vU--$e*{P@p{==7JhJl^jmb@uuvzTDgLbh)UGeeA(Yx22}tYkL3Z z-=%2&Y4?t8_#$@j(ruA2+w={uA`e_j=Jf8*j;OkG;8ODY>Uz7E8~MHa1$AtXZTMo* zy!5!Gj;&tePe<O<{AC;dENFeo{*eD)&8KEX9ea<2pN?Fo`HLd%Z)UpoeN9`t{*PLz z3fAofKNdazUck&>|K;ZkReARhVtx;Qq@GXzz^C`%sP;VlhYweu%dYr6>oeQD{qOGl zK6(5Zul(<Vs?(ND?fF*6KXA>{{6Fpa^HYaaZ7Obm7L7Tqz2ke|^Xmny+Y3Idd;YzE zlmFfCnh*2(6=M!f{Pe!sE==y+1F?CBezMp7lX|yNU%c~tx4ON2@wW#vKF9a%tg1LO zIlg~qRmqu``Nw6_j_<KO`c(e-&!dvhS^qwH;s2Z?zW0IddCSJ{HtAgcJI{;A{e5>m za_(2dlznYi)6H$quU;QlaIb0K)`s%(KYQL<%BP=MpZN9e?9cPU=kMM1V9mZ=f%0d+ zPTTP7RM)=1@7f7pr&`u7{!|=M`}EDE`~E+9{9ZDvf9ZUse0=)fny<YNMbp1_?p=NE z6?b$*?Sp5to?rcLdVTKI=b`3vocB$C>ib+M_UVRSyHwwYp1*%U_x@GWm=Cgd4+-AC z7`DH?dH<ifpSJS9Kg@Wa!IA%vwbtQeY1)QgZBy(VYMq+;vuq7@?$3R&_>}vGn)0rG zt@(#O-IcUkRl|0=Jo~5C`^`;Hch}a0?B`GTnb*BuYk%w0-JEu-YIshU3)htN>mS)r zV}8tis{G+kcMa`!9dU2lP*dL0uO)xz(_KNksuPDl-4)z-^O3cp&VAmGfos?EpDvFz z{r@leadhZ(t<Kka|7P!a`KYVn?osQS<LB}}&Pf$#|IvE(yWR~Kfg6A3-FUWLJa^mf zxbOL`@)3Jd-bcr5IIlbJ`)>QYiG|1hZL2tRZ(GF~yKNRJ{Ly!k|3}@i6U)DG-0c2_ zblr6~<i(!9;q6Yp#U5+p`uSU3(U-li9x>@g?{VDToZghs;->rV{;NrM4Qm$dR=Z{0 zd2#06)jYnDa~8<&zRt4NL~L>QWC`9IKLx*TOaHgOf7*ZVjlabv-rpOYCh+lX<)-fP zBmSiy|81N9xx{=*xy`${z<|47Z#*$ODDInA{U}a+`j3UR>mF6#o&V0@<<=u=+mn(u zJ0=v}zGKhHJUMz^MNX0T3w58o%j|ad-?ufr-+VIn=Y{9Kd7S%FilXg4RZfmRR%hPH zYdqh)^KFN3l4$icm9P!deUh@H1IlL{DSIQ|ZT?2GJGgqqyA2j;{1G-u{1G+@{1Gx6 z*mdsNimkuNY!<(nU1#2+uaobW{fU<kzP9g4?Up_31t0g6OMa?L-}8BjRnJOakG(=! y5kc3dL|wnK|NM-FliA+#NG#9LaV|fb8~;(L_M61z6VG2C-;!(mpTXPua3KJzH$ln( literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..2e5884e --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 1677624650740528615 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..fef07f60bb962f26dbca922c1b545eb6708ab919 GIT binary patch literal 878 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=_**Hn>{dEA0|SE?GXsMc0|Nsy1H*#g z5SMr#e|Jx31||juFlJ_8VBln6U{DWLXHbt&XHYj#XHYj(XHbt)XHXAUXHfT1XHfT0 zXHeHwXJBMtVDLsVDU>p!6c`v7SQ!`=_&J7z208kGox{Mu!0`Y7|9X&BjtmS80?3Yz z4|8=6@ecwkWMo(Xb`MA)$S)uoq#1<&{r~@e?*IS)i=b`|i7zfmEJ+3H1F2<YU|`^2 zU|<M$^bCm)cJvMKab*Y&@egukaB>WG_3`v`We5oJcXka9j(3E!oEV&aTzz~T{TTd1 zLjpoWAi7=sTwo@ETwo4!vZuSBs|(mdVjKz!2~WQeS9e#42N@U`8eky-iat<C6s4wT z7MG+Jxn<_0I>Jo{c?V=U8#GEmK>*@|Fem|l`2V3ng3Tl+ye5GH44X-ygn;fQCrBiM zyo}9JaSROLI74?-05sH)z2}^hnv;{5hu3LbILy-k`Iv!$0Tllr=3WrNz`$@9O3Q)# c!@$5W0ZJc-($Ar^A;`}R3=Gv!`WTc301W1ziU0rr literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..bdd39fd0b54539fd10556fd56cf6ab9635e774cb GIT binary patch literal 7344 zcmXqIU|@)mWMI%>U|=wiVqn-H!@!W1m{Xj}P*PNy%D}+Jz`(%FfC?BH7#Nrs7#Kh_ z4^#@I4#fWt1?mRs4C;pJ3>*aXgXBR*ut3d&YX6^Cn!`|>pTSUEQo)c4!ucf(sd<GA ziOJavNvSyuNyQ8qB@8)f3}qz@X=x0}MGVFH48@rYDLJVODanQmDal3*DapnRDaj@b zd5PH!#g%yssU=Aa$%%OkskscrrAZ8_#mNk5#RUxM#RUvS#RUwd#RUxN3hE5%is}sN zO6m;i%IXa2D(VdCs_G2tYU&K?>go*Y8tM${n(7SdTIvky+UgAII_eDSy6Oz-dg=`7 z`sxfYZ>t-rGpHM@GpL)WGpL)YGpL)XGpL)ZGpJjrGpJjtGpJjsGpJjuGpO6BGpO6D zGpO6CGpO6EGpIYLGpIYNGpIYMGpIYOGpM_$GpM_&GpM_%GpM_(GpKv0GpKv2GpKv1 zGpKv3GpPHhGpPHjGpPHiGpPHkGpGlsGpGluGpGltGpGlvGpL8CGpL8EGpL8DGpL8F zGpI+XGpI+ZGpI+YGpI+aGpNU?GpNU^GpNU@GpNU_GpHx1GpHx3GpHx2GpHx4GpMJi zGpMJkGpMJjGpMJlGpJ{%GpJ{(GpJ{&GpJ{)GpOgNGpOgPGpOgOGpOgQGpHA+GpHA; zGpHA-GpHA<GpLuSGpLuUGpLuTGpLuVGpJXnGpJXpGpJXoGpJXqGpN_7GpN_9GpN_8 zGo+;EFeDoqS%3&jhGauy0}x>dB8)(UF^Dh$5vCx*3`CfN2#^kAkPZ`&4ik_L6OaxQ zkPZ`&4ik_L6OaxQkPZ`&4ik_LQ;-f*kPcIj4pWd0Q;-f*kPcIj4pWd0Q;-f*O9u4? z>I~`&)fv<msWYfAR%cLOqRybcRGmS6nL2~|a&-pv73vJ?E7cj)SE)0ouU2PJU!%^T zzE+(<eVsak`g(N+^$qF_>KoM=)HkU!sBczhP~W1?puSa|L4BJ#gZg%L2K6244C*`8 z8Ps>FGpO%YXHegx&Y-?mok4w{I)nOtbq4hV>I~`!)fv<esWYe_R%cK@qRyaxRGmTn zm^y>{adigu6Y31=C)F9$PpLDgpH^p3Kcmi|epa19{hT_3`gwH*^$Y3@>KD}+)Gw(s zs9#oRP`{$ipng@ILH(LKgZg!K2K5{24C*)48Psp7GpOHIXHdVR&Y*r*ok9JcI)nOs zbq4hZ>I~`+)fv<usWYfQR%cLuqRycHRGmTnnL2~|b9Dyw7wQb^FVz{;U#T;wzgA~Z zf1}Qz{#Knq{hd04`g?T-^$+R{>L1k^)IX^+sDD;xQ2(ONp#D{zLH(OLgZg)M2K686 z4C+7C8PtENGpPSoXHfs6&Y=ERok9JdI)nOubp{45Eal06PyxaSDUT#T1qlNKg9r<R zFTesRt9V!-<roJG0|Q723kw4SD@Y#;q>TH+%)r3Tz`*c>nE_m8ePw1~;ACK6_{0pc z^F1>I12+Q$!y9G>22h#zl9_>lmw|!d88ZU|9|Hr!V`c^heg+1H2h0o%0t^fccbFL% z1Q{3@ZZI=22r)1)Tw!Kl5N2RtxWLT7Ai}`FaE6(IL6m`k;RG`SgBSw?!x3f%25|-k zh6BtD3=#|s411Uv7$g}O7<Mu<Fi0^lFl=RJV31~DVA#maz#zlGz_6B?fkBpmfng;x z1A`m`1H)2g1_pTs28M;q3=9ek3=DIb85k597#L<UGcYJIFfdGIW?)ceU|^WY%)p?+ zz`)SU%)p?^z`)SS%)p?=z`)ST%)p?|z`)SR45<rhnHd-~85kHUnHd<g7#J8znHd<g z85kG}nHd;#7#J9GnHd;#85kHcnHd=L7#J8*nHd=L85kH6nHd-i7#J90nHd-i85kHM znHd<27#J8rnHd<285kG>nHd;N7#J9QnHd;Bb&n@A1A`d@1A{9w1A{pO1A`+o1A_$v z1A{Fy1A`?41A`?q1A`R<1A{3u1A{dK1A`$m1A`3%1A{Iz1A{FC1A`_r1A`p{1A{6v z1A{#S1A`(n1A_wt1A{Cx1A`+21A`<p1A`L-1A{0t1A{XI1A`zl1A_|#0|PHJ1A{9A z0|O^B1A`j_0|P5F1A{xL4q|3t@L*tI_{+q=;K{(i@RNyw!Ha=`;VTmZgEs>M!$&3t z1|J3nhPO-%489Bu3@@1&82lI*7@jgQF!(btFg#>pU<hDfV7SY~z!1p5z;Kg^fgy;2 zf#E6>14A$a1H(lo28Iv@28Odt3=E+R3=Aik7#PAB7#NN+F))NPFfbfsVql11U|`tG z#J~^<ihm{shA2?{GchnkgW{iwfguJI|4a-Fu?!3hE14J=;ushhmNGFg#Dn6WiGd*j z6#q;N42hulXJTMT0>wWQ14A+>{+SpUQb6&~#K4dWihm{shBQ$8GchovgW{iwfgyu| zfuWX(fguwV|4a-FS)ll5VqnMy#Xl1RLk=kZnHU&yLGjPTz>o)ue<lWod{F!|F)$Q> z;-86up%4`RObiS~p!jEEU?>K~KNAB(2`K)V7#K<!7#KX67#PYx@z2D-P!5WJCI*HI zQ2aA7FjRu#pNWB?3KaiL3=Gwv_-A5Zr~$=469YpnDE^rk80tXr&&0q`4~l;#28IR( z1_l`>28Kok1_p5^28JdE1_mJ}28L!(yfZN{v@kF*a4<12w1UbKCI*H!1_p+Ij0_Cz zp!jBFVCZ0AVEDqwz|hIS!0?`tfuW0mf#DS+14B0`o*5Y!dO-P{k%6I?fq~&RBLhPp z0|Ub~Mh1p{Q2a77FiZgDZ$<`&i3|)3#~B$ICNVHD9Aac(m<)<nMh1o{3=9lA7#SF* zGB7Y~W@KQP#=yX^j*)?3Iw(FF85m|TFfc4(WMG)dz`!t{k%3_r0|Ub>Mh1r2pm=0t zV3@<ez%YT4fnhEK14B0>1H(K928K3928Q{d_+w;XSOAJYMh1q3p!j2CU|0l-KSl<I z#i00OWMEhVia$mMhNYnRV`N}h28usM28QLJ_+w;XSOJPZMh1qJp!j2CU|0o;KSl<I z)u8xeWMEhWia$mMhP9yhV`N}h2Z}#N28Q*Z_+w;X*Z_(@Mh1qBp!j2CVAuqTKSl<I z&7k;WWMJ3=ia$mMhOMCZV`O0128usM28QjR_+w;X*a6DFj0_ArLHU=FfngUY|1vT# z>;~muMh1pGpm=0tVAu=Fzl;nF`#|}Zk%3`9DE~4tFdP8oUr=5G#V082LHU<~f#EPH z|ANX|Q2qtwIZ*y(U|=`~idRrvf$}e?Oa|p&P~HUPUj_z-Q=t6Iz`$@C6u+Rj1?68T zKFPqqa1LtLd8nNiK=BM!3-ZGyQ2u3LV7Ls*zo5DRlz*XSg2MP3DE~4rFkA=aUr?O^ z%D+&%L22L?DBeM74U~UDbqgr}Lfr^TqxV4hmw|!dJ}CY{X%iIxP``lk&?8X%gX$<y z{4+2xJORZ&)X$(i{tOiV3=9m<LGjPP!0-YT|In}jl|ipS@z21(@ER2VpgIr~|Ijc5 zm67j2@ej%?p!jECVE6!ve`r{P%J5I1_-9~X_za4FP~HN?KQvB2b<8(V{4+2xd<Vrp zs163jKQ!(@b>J^h{4+2x{07B80|Ub!Q2ayV7*t391I0fB0|TfX@E;2D@=H<~$`gz7 zGV{_IQj3c6ix|=pGjmFdK!qOE9q9cU5FgYw0QF-)bttHL0IG9Ad{EsD>hpl=e2_Y* zHUEoJ6I0@I^HWk8%8N2fQX$O5f`ZgMs4&P721wlp(g)>&DUf*}F_8J7{uf9PRF{MJ zF#BNk<QJso#ph=;6qh8HlorQBe3X}%n+j!uoB(Bli~-lJAhW?7C;{Tb{0FL|LBb$= zLH2?C333mp?E+E<vlkS9AU;S9NIe4sL&N|7|3O79xc>*LlR@$z43gJ*-4bc<`R+fI z2CHLW_}~oE|F@ojA+4C9pqL@An4z?oA-9;JI5n9eH#3hR15`(V^n>bfkXazNfcPMN zP&+|Rss|Au3>62rbwGTOS`Y@ci9mdqJgDsi;=ceH3aSr4>E!?a{|-)|)*7TO29jqu zH4EewaGMRx7g%})ln+4h4dx$Me{G@}q)iCsGZ+Tyo#J5tv5_&TEda6#)~^M*2^mAx zV)z5p2Ee5c8r}^sKOvh3YAayV2T}{t2f`pWvK|l{)P?}*1EmKLABI6{K^UYDgh6bO z9H@<fOFc*)q!tuUF!i7|1}W-6*^(6Xpf(FB>OpNAQq+Um?x3&%jcb7X2g9&%LyupO zzd>OD!XP%N%@5KK>Qlh<g6N{mbkMj(Y8t2!!~p3NfYgEHU>Kwyl>R|9C_jS4LH!;O zADVvtgZdC4edz80sRg+KIW9nAFbp0H01W~_$7jF;0ib~a&_Duca6uQ;3xKr6!Tlqc zMkoy`Z$a(=l^Y--P+tPX2bGr~H-q{npl}BDVL<K!xf5g#$RD7v1ofRjVFGeD2*dmX zO2;5|AUz;+VHjjKh>h+iP+JzH9%Kec9v#Eni7pOG2OxdK+5>9ig4AQP2iZ-a@(Y(b zkRL!{0;18~18NiF(g#utawj%@pyY!~A4okeeV{fsE`1>TKyo1cAT}}v_1Qr22Qn8~ z99b=Ln1lLu)KCvfQ!x9G%?F7g^Vcvz`tiiNZ!L-H*O8!}m^=olz!@0kL&qOL{()hT zzhL<t)Yk@ygYp|FtU>t=6eghj21-+){08zfD8GT?4wT<O;SS1gpnL|(Z=kdb!XR^D z`E3EzUXWgp`7jK!1I7lahw&E@P!DQ*ff@ub^@~VU59)J++>6US$axLqK2W=p6!oBb z8KfQ*4j}!=7};!4AD$R>S^SW3B3$kTnU5TPxYUE<5~LP{k<}yf%M&w8;)@e=3vyB! zic9i~QW=sGi&Jwl^HLcKit>|Fi;LqE;jAQv<eb!;oWwka{L+$w(h>%+ZcuX+Y68e) z$k-7mjX`)266SAE9D>FuK%$^{0r5d&C?L1O+Jm669+2NbV@RNM2WoeL(w!IsWE>5a z&Oq&1(6|{$Eyz3=2AKt7gUkl8K{QAmNDP!_LHa>#(AX779G8BOUQj;3r5`l5g-<`I zT>~07!KEKG_JvPBC=5aJ*z|+)C}=EZ0}BJg4;}`F3|<C?8@vn*3VaL<4txv@6ZjYy z0{9siI`|nF4)8ND{NQI`&=6o?h!9|4=n!CF*df5c@IrurK|+v$!9b9KAwrOWp+S&= zVTT|CgM<(RLx&Ip!ww+^h7Upv3<|;w3?9M^3=P5z3_FAw7(NIyFldM{FhqzjFm#A8 zFq{x!VE7@zz@Q<@z|bJd!0<zqfk8uzfgwVSfuTc;fnkRj1H%h31_l9f1_ldp28IlA z28JKv3=9bp3=9h-7#JQ%Ffb@cGB6}Sn$2LhgW_ifln?R~h<^c%&jBik7#J8pVE~f1 zfbv1%0^(;t`Jk`@@n^vJpg~noUmVH@g%?Qv2b2#AI}l$3)KCNU8KL$%pz$-Hd{Fp- z)SrOzVeXv)YN#<l!V@fy#s?4Dg2rp0;s&6O8K{p7<wrpIp!fjkpMb_cfyM_9{vz3D z0V=SO_!(&Y8EE_)P(CQGLH2Qg29ZIHJ*YhvP(COPfaO6M5;^>#e3*MPpnOo80I8n= z<-_Dppz(h|`JgldQm+6Sv<8I_RNMo~hs9?F8ovNkQ6c$v0~-GW8s7jk0Dz<(0B)?m A!2kdN literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..91704886bfc93829631863bfaf3623765f098c99 GIT binary patch literal 91568 zcma#Z%*o8FP>2Z5%vFeRadKBMFl3Nfb}QY1fq~%yGXukhR7N=VVPIh3=Vm|wj4)pJ z`AQHQgc-WeSMo40Fff7SpyGT`Hb|Tw$_A;GMq-1QAiW@Q5C*Y9>Pa<624oP_URflz z91>d|iLHRdRzzYeA+eQ_*eXbDRV20=5?dY02Dx1W$_D!hi4EpM-3bdHkl0{$KXQC& zA^Alci49_c;tu2&5C*Z)<B?F9qlX*0;SaK(l<)+(6P7+D7#J9IK%VJ7UkPHnK-r-9 zk3nKLK-ncM3=B(<*b=M^3?RR^FfcIma3a_OTo5+Mt|>_D1yDA~t~F3LD4iZbVn2qm zL3)`$c@dU=;Oz62ASZw@Gl+oV2vCM*U|?WmK*+N&Ffc?kp0E5{&%nUo2vNw$U?L7- zF)*;f7z_*y0ZtGONFEfXAdE{M6n7wuOCIDd5XL1Bau*2Wk_QDV2;-6miNi3&)u`@g zXJB9eVUQYZ@*E5d3?Ph49u$=zj7uIAMIekz9u!p|j9nfPKOjGX!V%=g6<Fd2RJL*4 zX$HraKsHDfD1J@EL2(1Zxa2`*f-o+5P~3npE_qPgfG{q3kh?(`mpsVdAdE{M6gMD@ zOCA(AAdE{M6gMD@OCA(AAdE{M6gMD@T^<oXg2?$N5ElLjc~CySz%v^hKL%;o<ze|R zfPlOJ0r>(f@*qFJ%op&*v>y}(`4C|S4N&EdRz85r7?}PYIP}BhJ+SEKMGBt;KZr%h z<qxQwh3Vhmk0}qT6JhckSmXte%#R3w>8}8XH;4!FUqL_*n9l)sUj;mWV3$Y4A1Dpo z2N}e`z>we#VIaF7q#x$K47mAzVEaI-g%}tZ44~z!Lj*_!lt2C8_T!QV<xLRAB@fD* zAdE{Mls7>bmpmwMf-o+5P~HS#T=Jm23BtJKL3tB|amj<i6@+oggTfVramj<yH3;L9 z2c=sO#w8C*mmrKw9+d7t7?(UK-GVSKc~H6pVO;W{asz~M$%DjU7+d-gW?*0dVUQYZ z@*)fj3?Ph4UX+1>0fceMi!m@TfG{q3Pyz>GT=I~V&cFa_cfs6OkqHrHWI&{UT=Jl_ z55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73# z<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DpmYVoxa2`; zAB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJS3$<@+d6*|G-lIgZzL^9+dV$7?(UK z?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tV zE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJV+ddv85kS z+6Q5f8f@~Qv=73#<Uwg4gmKA((mn{|k_WYWK^T|3Bm)Bj2;-8MVqjnZVO;W{F$fUG zB@fD%AdE{M)UF3%T=JlCA`r$U59*VHFfMt}*aisWk_U}3fiNz4(6|!_<B|uBg@7<F zd06=a3WI>Xy`ZRKSb?Md#U>9bdq5bMeo)y1!nou?We*7Bk_VMNAdE{MRQ7-{E_qPd z1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3MgOCD7AfG{q3P}u{* zxa2`)4+!Iu2bDb_j7uI=_JA-hc~H6oVO;W{vIm55$%D!s5XL1BDtkZ}mpmvzgD@_6 zP}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hd5|~^W6NKlvIm4gYOu+J${rBLB@ZflKp2-i zsO$k@T=Jl@2ZV9SgUTKd#w8Cbdq5bwygw*vz(o)!4Z!N31Cd}M21W*dc>fodJgDpe zVO;W{vIm55$%D!s5XL1BDtkZ}mprKK0byM7pt1*qamj<q9uUSQ4=Q^=7?(V#>;Yk1 z@}RN@gmKA(${rBLB@ZflKp2-isO$k@T=Jl@2ZV9SgUTKd#w8Cbdq5bMJgDpeVO;W{ zvIm55$%DjU7+d-Sl|3K~QiDw%RQ7-{E_qM_2Vq?Dpt1*qamj<q9uUSQ4=Q^=7?(V# z>;Yk1@}PVP!nou?We*7Bk_VMNAdE{MRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;# zFfMt}{0s==k_XM%fiNz4&^#Up<B|u>?SU{ZdC+_x2;-91WME(bVO;W{c{LEmB@dcw z17Te9pgAiL#wD-Iz`y{)xa9R17#Khpm%Kg$0|N--k~aX2dqT&cu;nj91_lNY#--ng zfq?;pamgDqFff2HE_o9M1_ltuC2z{WzyQLy<joiu7(f`8yg35{0|?`iw_spk0AXD6 zmJAFGAdE}iih+RvgmKARGcYiKFfMr;1_lNY#wBmdz`y{)xa92^7#Khpm%Kd#0|N-- zk_SySf-o+5&{P%(<B|tWHG(iMd1nR&1`x(251OY1VO;XA3=9k)j7#2)fq?;paml+g zFff2HE_n|I1_ltuCGW|=zyQLy<h>Xe7(f`8JZLHcgmKA(rXoNXmpo`H2ZV9SgQjXg z7?(U~Dh7mc$%Ce1Kp2-iXsQf^amfcUFff2HF8N>v1_ltuB_G1TzyQLy<U<)47(f`8 zd>8`*0|?`i4`*Ot0AXD6prJkx#w8DG3WG2%`6vbk1`x(2AI-qP0K&NBL2DC07?*r3 z0|NsH<C2eKU|;}YT=MY@3=ANQOFjWKUxugrPh?<V0AXDElNcBnKp2;NG6Mqx2;-7Z zVPIeYVO;X53=9k)j7uIgl?uYR<kJ}#7(f`8d<Fvp0|?`i2Mxu5FfREl1_lNY#w8D$ z>IY$5@;M9)3?Ph4K9_-k0fceM=P@uafG{q3(3~*{<B~66U|;}YT=Ins3=ANQOTLJK zfdPbZ$rm#)Fn};F`4R>O1`x(2U&_G10K&NB%NQ6KKp2;NIRgU&2;-8kU|?VXVO;W{ z1v(&%OTLPMfdPbZ$yYNlFn};F`5FcW1`x(2U(3M20K&NB>lhdqKp2-iXt@vw<B|uh zhXP?-@{J4(3?Ph4zKMZ>0fceMH#0CWfG{q3(0VHn#wFj%z`y{)xa8Xy7#KhpmwY<| z0|N--lJ8((U;trU@|_F}3?Ph4zKel@0fceMcY~IX;VEBxK<mx$$oDcZFn};F^ZOVW z7(f`8d_MyN0|?`ipTNMt0K&NBCo(WFfG{rkNem1OAdE|XG6Mqx2;-8U!oa`)!now8 zGB7ZJFfRFN3=9k)j7xqx0|NsH<C34jz`y{)xa4OtFff2HF8Nsu3=ANQOMW&30|N-- zlApuCzyQLy<mWOlFn};F`FRWs3?Ph4em(;O0|?`iU%<e?0K&NB7cwv~fG{rkMGOoK zAdE|XF#`hw2;-6mt-%CgT=Gj97#Khpm;5pY1_ltuCBK}3fdPbZ$**8wU;trU@+%n_ z7(f`8{3-?p1`x(2znX!80fceMuVG+d0AXD6YZ(|AKp2<&ItB&?5XL3Ho`Hb@gmKAl zU|?VXVO;VX85kHq7?=De1_lNY#wEX*fq?;pamjCCU|;}YT=H8P7#Khpm;5#c1_ltu zCBL14fdPbZ$?srbU;trU@;ezA7(f`8{4NFt1`x(2zng)90fceM?_pqI0AXD6dl?uQ zKp2<&J_ZH`5XL3HpMik^gmK9qU|?VXVO;VD85kHq7?=DZ1_lNY#wCB4fq?;pamgQH zU|;}YT=GX57#Khpm;5mX1_ltuC4ZcOfdPbZ$)8|gU;trU@+TP>7(f`8{3!+o1`x(2 zf0}`T0fceMpJ8BN0AXD6XBik6Kp2<&IR*v>5XL2co`Hb@gmKAV0PP*Y)Bd=~z`y{) zxb$CQU|;}YT=JJ07#Khpm;4n51_ltuC4ZHHfdPbZ$zNk&U;trU^4A#{7(f`8{0#;M z1`x(2f0KcM0fceM-(p~30AXD6w;321Kp2<&9R>yl5XL2cmw|x+gmKB=V_;waVO;W{ zHL)O!Oa1`^0|N--l7Gm+zyQLy<R39GFn};F`Ns?l3?Ph4{s{vE0|?`if6BnX0K&NB zpD{2nfG{rk=L`%CAdE}?1p@;E2;-7}$-uw>!novLF)%QIFfRGm3=9k)j7$Cv0|NsH z<C1^Nz`y{)xa8k4Fff2HF8TKi3=ANQOa21`0|N--lK;rSzyQLy<UcVmFn};F`Ogdt z3?Ph4{tE*G0|?`i|H{C?0K&NBzcDZ{fG{rk?+gqKAdE}?2Ll5G2;-9f$-uw>!novr zF)%QIFfRGu3=9k)j7$Cx0|NsH<C6c&z`y{)xa9vaFff2HF8Tiq3=ANQOP&F=`GgU& z1{vG@4<jQ30|?{N&&0^U0K&NBnHd=vKp2-i3nK#q2;-7xWn^FgVO;WTj0_AQj7y%K zk%0k(amjNqGBAKJE_qHy1_ltuCC|mkzyQLy<hdCc7(f`8JP#uS0|?`i=VfGI0AXD6 ze2feXAdE|%pOJw9gmK9WFfuTJFfMsPMg|5D#w9Pr$iM)?xa5Ty85lqqm%Iol?SU|8 z9um6$0(t$3C?f*{2;<T(#>l_`!nou?3s^uHm%Icc0|N--l9yy;U;trU@=}Zp3?Ph4 zUYe1C0fceM%P=x9fG{q3Sw;p15XL1h$H>3{!now+85tNr7?->PBLf2n<C0foWMBYc zT=Ghc3=ANQOJ13gfdPbZ$*V9jFn};Fc~wRR1`x(2ug1v00K&NB)fpKWKp2<21|tIl z2;-91WMp6fVO;WBj0_AQj7wgdk%0k(amnj2GBAKJE_q!>1_ltuC9lWGzyQLy<n<XD z7(f`8ya6Kv0|?`iH)LdB0AXD6MvM#$AdE}in2~`2gmKB6FfuTJFfMshMg|5D#wBmY z$iM)?xa7?l85lqqm%Ifd0|N--lDA}JU;trU@>Yxt3?Ph4-kOnt0fceM+b}XPfG{q3 zTSf*35XL2M$H>3{!now^85tNr7?->QBLf2n<C1q|WMBYcT=Gtg3=ANQOWv80fdPbZ z$-6KzFn};Fc~?dT1`x(2@5ac$0K&NB-5D7eKp2<22O|Rm2;-9XWMp6fVO;WFj0_AQ zj7#2|k%0k(amo8IGBAKJE_q)@1_ltuCGW?`zyQLy<oy{L7(f`8d;lW@0|?`i4`gIu z0AXD6L5vIxAdE{sn2~`2gmKA-FfuTJFfRE}Mg|5D#w8!d$iM)?xa7kb85lqqmwW^x z0|N--l8<C$U;trU@==To3?Ph4KAMq%0fceM$1pN5fG{rkSVjg05XL1R$H>3{!now) z85tNr7?*qkBLf2n<C0HgWMBYcT=Geb3=ANQOFo&AfdPbZ$)_+fFn};F`BX**1`x(2 zpT@|*0K&NB(-|2UKp2;N1|tIl2;-8^WMp6fVO;WAj0_AQj7vV7k%0k(amnW}GBAKJ zF8N$W1_ltuC7;L0zyQLy<ntLB7(f`8d;uc^0|?`iFJxq30AXD6MT`s#AdE}Cn2~`2 zgmKB2FfuTJFfRF0Mg|5D#wB0I$iM)?xa7+j85lqqmwW{y0|N--k_R1>1H!oEs~8y= zKp2;NH6sH92;-8kVPs$cVO;XHj0_AQj7z?bk%0k(amm*+GBAKJF8KyV1_ltuCEv)% zzyQLy<eL~77(f`8d@~~h0|?`iZ((F$0AXD6t&9u|AdE}Cjgf%?gmKBYGcquMFfREH zMg|5D#wFj$$iM)?xa7MS85lqqmwY!P0|N--lJ8+;U;trU^1X}<3?Ph4zK@ZC0fceM z_cJmufG{rk35*O3AdE|XA|nF>2;-8U#K^z^!nouoGcquMFfREij0_AQj7xqhBLf2n z<C34o$iM)?xa6lZGBAKJF8LXZ3=ANQOMWIJ0|N--lAp!MzyQLy<YzN7Fn};F`8kXX z3?Ph4el8;e0|?`ipU23+0K&NB=QA=efG{rk1&j;~AdE|XAtM6=2;-7p#K^z^!nouY zGcquMFfREej0_AQj7xqgBLf2n<C0&-$iM)?xa5~JGBAKJF8LLV3=ANQOMWFI0|N-- zl3&HhzyQLy<X1B?Fn};F`8A9T3?Ph4ek~&d0|?`iU&qM60K&NB*E2FOfG{rk4U7y7 zAdE|XBO?O?2;-99#K^z^!nou&GcquMFfREmj0_AQj7xqiBLf2n<C5RT$iM)?xa7Ap zGBAKJF8Ljd3=ANQOMWLK0|N--lHbM1zyQLy<aaYNFn};F`8|vb3?Ph4elH^f0|?`i z-^a+n0K&NB_cJmufG{rk1B?s|AdE}?AR_|<2;-7J#K^z^!nouQGcquMFfREcj0_AQ zj7$C~BLf2n<B~td$iM)?xa5yBGBAKJF8LFT3=ANQOa3Gy0|N--l0U`BzyQLy<WDm) zFn};F`7?|R3?Ph4{wyN{0|?`iKgY<x0K&NB&oeSGfG{rk3ycg5AdE}?A|nF>2;-8! z#K^z^!nouwGcquMFfREkj0_AQj7$D1BLf2n<C4F|$iM)?xa6-hGBAKJF8Ldb3=ANQ zOa3M!0|N--lE1~szyQLy<Zm-FFn};F`8$jZ3?Ph4{w^Z}0|?`izsJbH0K&NB?=vzm zfG{rk2aF61AdE}?AtM6=2;-7}#K^z^!nougGcquMFfREgj0_AQj7$D0BLf2n<C1^I z$iM)?xa6NRGBAKJF8LRX3=ANQOa3Jz0|N--l7Gd>zyQLy<X<x~Fn};F`8SLV3?Ph4 z{w*T|0|?`if5*tc0K&NB-!n2WfG{rk4~z^9AdE}?BO?O?2;-9f#K^z^!nou=GcquM zFfREoj0_AQj7$D2BLf2n<C6cz$iM)?xa7YxGBAKJF8Lpf3=ANQOa3P#0|N--lK;iX zzyQLy<bN|VFn};F`9F*d3?Ph4{x2f~0|?`i|HsI{0K&NB|1&Z$fG{q3@WH=Kc;^3^ z7#JAw$TKl9Fn};F^O>0#7(f`8JPQ*80|?`iXJukw0AXD6Y)lLcAdE|%or!?~gmKAp zFflNIFfMscCI$u&#wE|i#J~W;xa7H+7#Khpmpl&>0|N--lILY&U;trU@_bAT3?Ph4 zo}Y<<0fceM3otP-fG{q3K_&(U5XL1h#Kgb=!novxnHU&A7?->VC~bi-uK9l^1_n_) z@?uO33?Pild~qfQ1`x(2FTupX0K&NBC7Bo)Kp2<26cYmj2;-8MW@2CfVO;VuObiSl zj7wgYiGcxxammXuF))BIE_rz-1_ltuC9lB5zyQLy<Q1717(f`8yb==w0|?`iS7u^h z0AXD6DohLvAdE|1m5G4?gmKBMF)=WJFfMs@CI$u&#wD-8#J~W;xa2jN7#Khpm%J7e z0|N--lGkQpU;trU@;Xcm3?Ph4UYCi10fceM>oGAffG{q3eI^D55XL2Mz{J1+!nouO znHU&A7?->e69WSX<B~UKVqgGaT=FJN3=ANQOWu@;fdPbZ$(u1TFn};Fd2=QP1`x(2 zZ^6XC0K&NBEtwb?Kp2<26%zvk2;-8sW@2CfVO;VyObiSlj7#2@iGcxxamm{;F))BI zE_r(<1_ltuCGWt*zyQLy<Q<t97(f`8yb}`x0|?`icV=Q>0AXD6E=&vzAdE}im5G4? zgmKBcF)=WJFfMs_CI$u&#wG8;#J~W;xa2*V7#Khpm%JAf0|N--lJ{m}U;trU@;*!q z3?Ph4-j|7i0fceM`!O*vfG{q3e<lV75XL1Rz{J1+!nouEnHU&A7?*qy69WSX<B|_% zVqgGaT=F4I3=ANQOFoo|fdPbZ$%ip9Fn};F`EVu%1`x(2AHl@H0K&NBBbgW&Kp2;N z6cYmj2;-8EW@2CfVO;VtObiSlj7vV2iGcxxammLqF))BIF8O#S1_ltuC7-~=zyQLy z<P(_~7(f`8d=e7_0|?`iPiA6Z0AXD6DNGCuAdE{sm5G4?gmKBIF)=WJFfRFYCI$u& z#wDM@#J~W;xa2dL7#KhpmwXlz0|N--lFw#hU;trU@;OWl3?Ph4K9`As0fceM=P@xb zfG{rkd?p445XL26z{J1+!nouMnHU&A7?*qz69WSX<B~6CVqgGaT=FGM3=ANQOTLte zfdPbZ$(J!PFn};F`En)(1`x(2U%|w{0K&NBE14J=Kp2;N6%zvk2;-8kW@2CfVO;Vx zObiSlj7z?jiGcxxamm*)F))BIF8O*U1_ltuCEvirzyQLy<Qth77(f`8d=nD`0|?`i zZ)Rd(0AXD6EldmyAdE}Cm5G4?gmKBYF)=WJFfRFaCI$u&#wFju#J~W;xa2#T7#Khp zmwXo!0|N--lJ90>U;trU@;yup3?Ph4zL$xC0fceM_c1XrfG{rkekKM65XL1xfr)_u zgmKAFWMW_dVO;W)m>3v97?=EHCI$u&#w9<6iGcxxami0*VqgGaT=LVH7#Khpm;7`l z1_ltuB|n3SfdPbZ$<JhBU;trU^0Sy27(f`8{A?x$1`x(2KZl8d0fceM&t+m@0AXD6 z^OzVIKp2<&d?p445XL3HfQf+tgmK9)WMW_dVO;Wym>3v97?=EFCI$u&#wEXmiGcxx zamg=bVqgGaT=L797#Khpm;7=j1_ltuCBK4+fdPbZ$**K$U;trU@~fB_7(f`8{Awl! z1`x(2zlMo{0fceMuVrFj0AXD6>zEiAKp2<&dL{-25XL3Hfr)_ugmKAlWMW_dVO;W? zm>3v97?=EJCI$u&#wEXniGcxxamjCGVqgGaT=LtP7#Khpm;81n1_ltuCBK7-fdPbZ z$?s%hU;trU^1GNA7(f`8{B9-&1`x(2zlVu|0fceM?`2|O0AXD6`<NIQKp2<&ekKM6 z5XL2cfQf+tgmK9qWMW_dVO;Wum>3v97?=EECI$u&#wCA*iGcxxamgQLVqgGaT=K`5 z7#Khpm;7-i1_ltuC4Yj6fdPbZ$)99mU;trU@~4;>7(f`8{Anfz1`x(2e};*H0fceM zpJifT0AXD6=a?86Kp2<&c_s!15XL2cfr)_ugmKAVWMW_dVO;W;m>3v97?=EICI$u& z#wCA+iGcxxamin0VqgGaT=LhL7#Khpm;7}m1_ltuC4Ym7fdPbZ$=_sRU;trU^0$~6 z7(f`8{B0%%1`x(2e}{>I0fceM-(_N80AXD6_m~(MKp2<&eI^D55XL3{fQf+tgmK9~ zWMW_dVO;W$m>3v97?=EGCI$u&#wGuRiGcxxamhbrVqgGaT=LJD7#Khpm;7@k1_ltu zCI5nnfdPbZ$-iV`U;trU@~@Z}7(f`8{A(r#1`x(2|AvWy0fceMzhz=z0AXD6@0b`E zKp2<&dnN`35XL3{fr)_ugmKA#WMW_dVO;W`m>3v97?=EKCI$u&#wGuSiGcxxamjyW zVqgGaT=L(T7#Khpm;84o1_ltuCI5qofdPbZ$^T?xU;trU^1qlE7(f`8{BI@(1`x(2 z|A&cz0fceM|7Bue0AXD6|CksUKp2<&e<lV75XL3X06LtX8PEJbGXn!79(g8a1_ltu zWj-@A0|N--l4oINU;trU@~q4Z3?Ph4o{gD-0fceMvokX=fG{q34rT@h5XL3X$;`k2 z!nowQm>C#A7?(UZGXnz%<C5oLW?%qeT=Klk3=ANQOP-IJfdPbZ$@4QaFn};Fc>!hy z1`x(2FUZWm0K&NBg_s!_Kp2<2Ff#)K2;-6$0i`Vv#x?)X%)lUuM_!DXfdPbZnJ>=F zzyQLy<RzFH7(f`8yd*ON0|?`imttmM0AXD6(##ADAdE|1hM9o@gmKBsGBYrMFfMsH zW(Ecj#w9P$%)kJ`xa1X>85lqqm%Jh~0|N--l2>A8U;trU^2*E%3?Ph4UWJ)~0fceM zt1>e%fG{q3HD(3|5XL31&dk67!nou$m>C#A7?->zGXnz%<C526W?%qeT=Lq?3=ANQ zOJ0YWfdPbZ$?GyRFn};Fc|B$Z1`x(2ug}cD0K&NB4VW1iKp2<2Au|I52;-7BVrF0f zVO;XY%nS@5j7#2xnSlX>amkx9GcbTKE_pL%1_ltuC2!8mzyQLy<Sm#P7(f`8yd^UO z0|?`iw_;{s0AXD6*31kHAdE}ihM9o@gmKB+GBYrMFfMsJW(Ecj#wBmh%)kJ`xa1v} z85lqqm%Jl00|N--l6PWeU;trU^3Kc*3?Ph4-i4Wg0fceMyD~E{fG{q3H)aL~5XL3% z&dk67!nou;m>C#A7?->!GXnz%<C6DcW?%qeT=L$`3=ANQOWuc>fdPbZ$@?-hFn};F zc|T?b1`x(2@6XJ@0K&NB1DF{YKp2;NATt942;-6uVrF0fVO;XT%nS@5j7vU*nSlX> zamj}=GcbTKF8MHK1_ltuB_GbrzyQLy<Rh3F7(f`8d?Ygi0|?`ik78zE0AXD6(aa1C zAdE{shM9o@gmKBoGBYrMFfRExW(Ecj#w8!m%)kJ`xa1R<85lqqmwX~K0|N--l22l0 zU;trU^2y8$3?Ph4K82Zq0fceMr!q4zfG{rkG-d_{5XL2+&dk67!nou!m>C#A7?*q| zGXnz%<C4!}W?%qeT=Ln>3=ANQOFoC0fdPbZ$>%aNFn};F`8;L@1`x(2pU=#|0K&NB z3z!)gKp2;NAu|I52;-73VrF0fVO;XX%nS@5j7z?RnSlX>amkl5GcbTKF8MNM1_ltu zC11|WzyQLy<SUpN7(f`8d?hmj0|?`iuVQ9k0AXD6)yxbGAdE}ChM9o@gmKB&GBYrM zFfREzW(Ecj#wB0R%)kJ`xa1p{85lqqmwY2L0|N--l5b*WU;trU^3BW)3?Ph4zJ-~A z0fceMw=y#@fG{rkHf9C}5XL3n&dk67!nou+m>C#A7?*q}GXnz%<C5=UW?%qeT=Lz_ z3=ANQOTLGhfdPbZ$@eldFn};F`95X_1`x(2-_Ojz0K&NBConTGfG{rkiOdWPAdE|X z5;Fq>2;-8U%*?<5!now8Ff%ZKFfRG2%nS@5j7xqRGXnz%<C34w%)kJ`xa4OrGcbTK zF8P_v3=ANQOMVtJ0|N--lAq1YzyQLy<mWImFn};F`MJyt3?Ph4ejYOe0|?`ipU=#| z0K&NB7cet0fG{rkh0F{LAdE|X5i<h=2;-7p%*?<5!nov@Ff%ZKFfRF}%nS@5j7xqQ zGXnz%<C0&_%)kJ`xa3zbGcbTKF8P(r3=ANQOMVqI0|N--l3&ftzyQLy<kv7WFn};F z`L)ap3?Ph4ejPId0|?`iU(d|I0K&NBH!w3WfG{rkjm!)TAdE|X6Egz?2;-99%*?<5 z!nowOFf%ZKFfRG6%nS@5j7xqSGXnz%<C5Rb%)kJ`xa4;*GcbTKF8Q6z3=ANQOMVwK z0|N--lHbkDzyQLy<o7T$Fn};F`Mt~x3?Ph4ejhUf0|?`i-_Ojz0K&NB4=^(@fG{rk zgUk#JAdE}?5HkY<2;-7J%*?<5!nov*Ff%ZKFfRF{%nS@5j7$C)GXnz%<B~tl%)kJ` zxa3bTGcbTKF8Pzp3=ANQOa2ry0|N--l0VJNzyQLy<j*iOFn};F`LoOn3?Ph4{v0y{ z0|?`iKhMm-0K&NBFEBGOfG{rki_8oRAdE}?5;Fq>2;-8!%*?<5!nowGFf%ZKFfRG4 z%nS@5j7$C+GXnz%<C4G5%)kJ`xa4mzGcbTKF8Q0x3=ANQOa2x!0|N--lE2N&zyQLy z<nJ&uFn};F`Mb;v3?Ph4{vI;}0|?`izt7CT0K&NBA22g8fG{rkhs+ENAdE}?5i<h= z2;-7}%*?<5!now0Ff%ZKFfRG0%nS@5j7$C*GXnz%<C1^Q%)kJ`xa40jGcbTKF8P<t z3=ANQOa2uz0|N--l7G$2zyQLy<liteFn};F`M1mr3?Ph4{v9&|0|?`if6vUo0K&NB zKQJ>efG{rkkIW1VAdE}?6Egz?2;-9f%*?<5!nowWFf%ZKFfRG8%nS@5j7$C-GXnz% z<C6c*%)kJ`xa5B@GcbTKF8QC#3=ANQOa2!#0|N--lK;)jzyQLy<o_@;Fn};F`M=Bz z3?Ph4{vR^~0|?`i|If_80K&NB8CVz?Kp2-iBMSoq2;-7xVqstaVO;XeEDQ`Fj7y${ zg@FNtamll?Fff2HE_pT<1_ltuCC|>nzyQLy<T+Rv7(f`8JSPhS0|?`i=VD=C0AXD6 z+$;<XAdE|%hlPOwgmKC9vM?}!FfMsM76t|o#wE|s!oUE+xa0*`7#Khpm%Jbg0|N-- zk{4oOU;trU^1>_(3?Ph4UWA2#0gQ3Y|FbYKh=SDMkQZZNU;trU=8Lm1Fn};Fc?lK< z1`x(2FUi8d0K&NBrC1mkKp2<2Gz$X*2;-8MVPRkZVO;XEEDQ`Fj7wgQg@FNtammZG zFff2HE_nqO1_ltuC9lZBzyQLy<ds+$7(f`8yfO;|0|?`iS7Bjb0AXD6sw@l)AdE|1 zjfH^$gmKBMvoJ7#FfMrw76t|o#wD-G!oUE+xa7517#Khpm%KI$0|N--lGkBjU;trU z^13Vx3?Ph4UXO)=0fceM>$5O0fG{q30~Q7b5XL2M$ilz?!nov(SQr>U7?->;3j+fP z<B~UFVPF7ZT=J$Y3=ANQOWuryfdPbZ$(yq<Fn};Fc?%W>1`x(2Z^^>I0K&NBtymZs zKp2<2H46g+2;-8sVPRkZVO;XIEDQ`Fj7#2*g@FNtamm}WFff2HE_nwQ1_ltuCGW_> zzyQLy<egX;7(f`8yfX^}0|?`icVS^*0AXD6t}F};AdE}ijfH^$gmKBcvoJ7#FfMry z76t|o#wG8`!oUE+xa7T97#Khpm%KL%0|N--lJ{X@U;trU^1dt#3?Ph4-j9WW0fceM z`?D}GfG{rk02T%Y5XL1R$ilz?!novvSQr>U7?*r73j+fP<B|_yVPF7ZT=JnT3=ANQ zOFoQ+fdPbZ$%nHrFn};F`3M#U1`x(2AIZYN0K&NBqgWUiKp2;NGz$X*2;-8EVPRkZ zVO;XDEDQ`Fj7vU_g@FNtammNCFff2HF8Kr&1_ltuC7;N`zyQLy<daw!7(f`8d@>6I z0|?`iPhnwT0AXD6sVoc(AdE{sjfH^$gmKBIvoJ7#FfREF76t|o#wDN0!oUE+xa6}~ z7#KhpmwYx00|N--lFwmbU;trU^0_Pw3?Ph4K97Zg0fceM=d&;{fG{rk0u}}a5XL26 z$ilz?!nov%SQr>U7?*r83j+fP<C1590x_iaJwpOSkdeV3bafZ_iaK2KObiU*BkXX= zLzlNR;F5=Se{ssQGB7ZJFfRRU3=9k)j7y%Kfq?;pamjNqFff2HE_qG{1_ltuCC|mc zzyQLy<hdCb7(f`8JP!i{0|?`i=Vf4E0AXD6d<+Z>AdE|%pMik^gmK9WFfcHHFfMsP z1_lNY#w9Prz`y{)xa5Ty7#Khpmpmw4f-o+5Q3eJE5XL1B5{F^f{fWrwSDb-?0fa$n zu*pj>Fff2HE_q1?1_ltuB`?LmzyQLy<fR!H7(f`8ybJ>a0|?`imt|mJ0AXD6atsU% zAdE|1o`Hb@gmK9$FfcHHFfMsT1_lNY#wD-Bz`y{)xa5@?7#Khpm%IuC0|N--l2>J5 zU;trU@@fnW3?Ph4UY&t~0fceMYcMb{fG{q3O$G)A5XL31#lXM-!nowM85kHq7?->b z0|NsH<C529U|;}YT=IGh3=ANQOJ1LWfdPbZ$s2&meFg@c<*y+F0|N--(r?7TzyQLy z<c%2^7(f`8ya@vX0|?`iH)UX80AXD6W(*7rAdE}ioPmJ>gmKARFfcHHFfMsZ1_lNY z#wBmXz`y{)xa6%F7#Khpm%I%F0|N--lDB1GU;trU@^%ai3?Ph4-kyPh0fceMJ1{UX zfG{q3M+OE45XL3%#K6D+!nov}85kHq7?->Y0|NsH<C1q}U|;}YT=H%V3=ANQOWvJ< zfdPbZ$$Ky`Fn};Fc~1rg1`x(2@5R8t0K&NBy%`u7Kp2<24+8@O2;-9XWnf?cVO;Wl z3=9k)j7#31fq?;pamfcTFff2HF8M$P1_ltuB_G7VzyQLy<bxR)7(f`8d<X*r0|?`i z4`pCr0AXD6VGIlmAdE{soPmJ>gmKA7FfcHHFfRE>1_lNY#w8!cz`y{)xa6Z57#Khp zmwXHZ0|N--l8<FzU;trU@^K6d3?Ph4KAwSr0fceMgPQsvj7vU|fq?;pamgn!Fff2H zF8O2z1_ltuC7;5;zyQLy<Wm_K7(f`8d>R7-0|?`iPiJ6Y0AXD684L^zAdE{slYxN& zgmKAdF)%QIFfRFQ1_lNY#wDM_z`y{)xa4yg7#KhpmwX-r0|N--lFw&gU;trU@&ybG z3?Ph4zL0@|0fceM7cnp}fG{rkVg?2V5XL26!oa`)!nov185kHq7?*q*0|NsH<B~6D zU|;}YT=EqR3=ANQOTLnUfdPbZ$yYHjFn};F`Dz9R1`x(2U&Fw_0K&NBYZ(|AKp2;N z9RmXc2;-8kXJB9eVO;VJ3=9k)j7z?efq?;pamhC^Fff2HF8O8#1_ltuCEvopzyQLy z<XagS7(f`8d>aD;0|?`iZ)ad&0AXD69SjT%AdE}ClYxN&gmKAtF)%QIFfRFS1_lNY z#wFjwz`y{)xa4~o7#KhpmwX=s0|N--lJ93=U;trU@)H;s7(f`8{6q!@1`x(2KZ${X z0fceMPiA0X0AXD6Qy3T+Kp2<&R0akH5XL1xje&sygmKAFXJB9eVO;Vv7#J8p7?=D^ z1_lNY#w9<Cfq?;pammkSU|;}YT=H`m7#Khpm;77?1_ltuB|ndWfdPbZ$<JqCU;trU z@(UOk7(f`8{6Yo>1`x(2zlec>0fceMFJ@q10AXD6OBfg!Kp2<&QU(SF5XL3HjDdjx zgmK9)XJB9eVO;Vn7#J8p7?=D?1_lNY#wEXsfq?;pamlY{U|;}YT=Hue7#Khpm;71= z1_ltuCBKe=fdPbZ$**T%U;trU@*5Z!7(f`8{6+=_1`x(2zlni?0fceMZ)RX%0AXD6 zTNoG^Kp2<&Rt5$J5XL3Hje&sygmKAlXJB9eVO;V%7#J8p7?=D`1_lNY#wEXtfq?;p zamnvyU|;}YT=IJu7#Khpm;7D^1_ltuCBKh>fdPbZ$?s=iU;trU@&_0g7(f`8{6Pi= z1`x(2e~5vB0fceMA7)@+0AXD6M;I6wKp2<&Q3eJE5XL2cjDdjxgmK9qXJB9eVO;Vj z7#J8p7?=D>1_lNY#wCA>fq?;pamk-%U|;}YT=Hia7#Khpm;6}<1_ltuC4Y{AfdPbZ z$)9InU;trU@)sBw7(f`8{6z)^1`x(2e~E#C0fceMUuIxn0AXD6R~Q%=Kp2<&RR#tI z5XL2cje&sygmKAVXJB9eVO;Vz7#J8p7?=D_1_lNY#wCA?fq?;pamn9iU|;}YT=I7q z7#Khpm;7A@1_ltuC4Y~BfdPbZ$=_#SU;trU@(&mo7(f`8{6hu?1`x(2|A>Ks0fceM zKW1QH0AXD6PZ$^&Kp2<&Qw9bG5XL3{jDdjxgmK9~XJB9eVO;Vr7#J8p7?=D@1_lNY z#wGuXfq?;paml}CU|;}YT=H)i7#Khpm;74>1_ltuCI60rfdPbZ$-ie{U;trU@*fx& z7(f`8{6_``1`x(2|A~Qt0fceMe`a7{0AXD6Ul<q|Kp2<&R|W<K5XL3{je&sygmKA# zXJB9eVO;V*7#J8p7?=D{1_lNY#wGuYfq?;pamoK?U|;}YT=IVy7#Khpm;7G_1_ltu zCI63sfdPbZ$^U0yU;trU^5DsRM%?p1jEoEnAdE{t6C(oy2;-7xW@KOhVO;Voj0_AQ zj7y%Ck%0k(amlkWGBAKJE_rrF1_ltuCC|agzyQLy<T)7`7(f`8JQpJa0|?`i=VoMJ z0AXD6Jd6wsAdE|%myv-1gmKC9F)}cKFfMt1Mg|5D#w9Pn$iM)?xa0*H85lqqm%I=o z0|N--k{4!VU;trU@*<233?Ph4UX+o60fceMi-FQE2!rM^8_!q%1r2tA2DBL%7(nY+ zKnqwv7?*wtMg|5D#w9Pw$iM)?xa6f685lqqm%KD10|N--l9yp*U;trU^0JH!3?Ph4 zUXGE00fceM%QG@CfG{q31x5x25XL31$jHC|!nov>7#SEq7?-><BLf2n<C0flWMBYc zT=J@n3=ANQOJ0qUfdPbZ$*VImFn};Fc@0Je1`x(2ugS>30K&NBwHO%~Kp2<2HX{QA z2;-91VPs$cVO;XMj0_AQj7wgRk%0k(amnj5GBAKJE_nk+1_ltuC2z>czyQLy<c$~^ z7(f`8yfGsK0|?`iH(_L80AXD6ri=^>AdE}ijFEu>gmKB6GcquMFfMruMg|5D#wBmb z$iM)?xa6%E85lqqm%KG20|N--lDA=GU;trU^0tf&3?Ph4-j0!h0fceM+cPpSfG{q3 z2Sx@45XL3%$jHC|!nov}7#SEq7?->=BLf2n<C1q_WMBYcT=K4r3=ANQOWuu<fdPbZ z$-6T$Fn};Fc@IVg1`x(2@5#u(0K&NBy%-r7Kp2<2HzNZB2;-9XVPs$cVO;XQj0_AQ zj7#2+k%0k(amo8LGBAKJF8KgP1_ltuB_GJhzyQLy<bxO)7(f`8d@v&e0|?`i4`F0r z0AXD6p^OX+AdE{sjFEu>gmKA-GcquMFfREBMg|5D#w8!g$iM)?xa6Z485lqqmwYrM z0|N--l8<3zU;trU^0ABz3?Ph4K8}%r0fceM$1^f8fG{rk1V#o15XL2+$jHC|!nov< z7#SEq7?*r9BLf2n<C0HdWMBYcT=J=m3=ANQOFoT}fdPbZ$)__iFn};F`3yz|1`x(2 zpUKF;0K&NBvltl|Kp2;NHX{QA2;-8^VPs$cVO;XLj0_AQj7vU`k%0k(amnX1GBAKJ zF8KmR1_ltuC11$MzyQLy<ck;?7(f`8d@&;f0|?`iFJWY00AXD6rHl*=AdE}CjFEu> zgmKB2GcquMFfREDMg|5D#wB0L$iM)?xa6xC85lqqmwYuN0|N--lCNQ8U;trU^0kZ% z3?Ph4zK)TB0fceM*E2FOfG{rk21W)35XL3n$jHC|!nov{7#SEq7?*rABLf2n<C1S- zWMBYcT=K1q3=ANQOTLYffdPbZ$+t5yFn};F`3^<~1`x(2-^s|p0K&NByBHZ5Kp2;N zHzNZB2#ew9pY|{^Fn};F`Cdi_1`x(2-^a+n0K&NB`xzM+Kp2<&1V#o15XL1xk&%G` zgmKAFVq{<dVO;W)85tNr7?=DMMg|5D#w9<Mk%0k(ami0(WMBYcT=LTy85lqqm;4My z1_ltuB|npqfdPbZ$<JbBU;trU^0OHk7(f`8{2WFG1`x(2KbMh#0fceM&tqg@0AXD6 z^BEZ!Kp2<&0!9V~5XL3Hkdc7_gmK9)Vq{<dVO;Wy85tNr7?=DKMg|5D#wEX$k%0k( zamg=ZWMBYcT=L5q85lqqm;4Gw1_ltuCBKr9fdPbZ$**E$U;trU@~asc7(f`8{2E3E z1`x(2zm}1K0fceMuVZ9j0AXD6>lqmsKp2<&21W)35XL3Hk&%G`gmKAlVq{<dVO;W? z85tNr7?=DOMg|5D#wEX%k%0k(amjCEWMBYcT=Lr)85lqqm;4S!1_ltuCBKuAfdPbZ z$?sxhU;trU^1B%s7(f`8{2oRI1`x(2zn77L0fceM?_*?O0AXD6`xzM+Kp2<&0Y(M} z5XL2ckdc7_gmK9qVq{<dVO;Wu85tNr7?=DJMg|5D#wCB0k%0k(amgQJWMBYcT=K^m z85lqqm;4Dv1_ltuC4Z8UfdPbZ$)93mU;trU@~0UY7(f`8{24|D1`x(2f0mJf0fceM zpJQZT0AXD6=NTCoKp2<&1x5x25XL2ck&%G`gmKAVVq{<dVO;W;85tNr7?=DNMg|5D z#wCB1k%0k(amim}WMBYcT=Lf$85lqqm;4Pz1_ltuC4ZBVfdPbZ$=_mRU;trU^0yfo z7(f`8{2fLH1`x(2f0vPg0fceM-(zH80AXD6_Zb-&Kp2<&14af05XL3{kdc7_gmK9~ zVq{<dVO;W$85tNr7?=DLMg|5D#wGuhk%0k(amhbpWMBYcT=LHu85lqqm;4Jx1_ltu zCI6C<fdPbZ$-iP`U;trU@~;^g7(f`8{2N9F1`x(2|CW(~0fceMzhh)z0AXD6?->~w zKp2<&2Sx@45XL3{k&%G`gmKA#Vq{<dVO;W`85tNr7?=DPMg|5D#wGuik%0k(amjyU zWMBYcT=L%;85lqqm;4V#1_ltuCI6F=fdPbZ$^T+xU;trU^1m4w7(f`8{2xXJ1`x(2 z|Cf=00fceM|6^oe0AXD6{}~w=Kp2-ic=J9Jp80<!1_nkv@=Qz&3?Pild}byF1`x(2 z&%(sO0K&NBS(z9ZKp2-i8xsQq2;-7xXJTLgVO;VYObiSlj7y%AiGcxxamjNrF))BI zE_rSy1_ltuCC|gezyQLy<awDG7(f`8JRcJS0|?`i=VxMI0AXD60!$1HAdE|1kcoi- zgmK9WF)=WJFfMsvCI$u&#w9Pp#J~W;xa38d7#Khpm%JD#ZGte4@fC3<1_lturC)-H zfdPbZ$xAXZFn};Fc_}6a1`x(2FU`cj0K&NBWtbQkKp2<2EE59*2;-8MV`5+cVO;X^ zObiSlj7wgDiGcxxamgz(F))BIE_o#;1_ltuC9llHzyQLy<W-m$7(f`8yebm|0|?`i zS7Tye0AXD6>P!p_AdE|1gNcCwgmKAhGBGfKFfMs5CI$u&#wD-K#J~W;xa4)17#Khp zm%J_$0|N--lGkHmU;trU^7>2+3?Ph4-hhdL0fceM8!|C4fG{q3BPIq05XL2M%*4O| z!nov3m>3v97?->$69WSX<B~UHVqgGaT=M2j3=ANQOWuNsfdPbZ$y+ipFn};Fc`GIc z1`x(2Z_UKO0K&NBZI~DsKp2<2EfWI+2;-8sV`5+cVO;X|ObiSlj7#2uiGcxxamhO} zF))BIE_o*=1_ltuCGX6{zyQLy<XxB;7(f`8yeks}0|?`icVl8;0AXD6?o12}AdE}i zgNcCwgmKAxGBGfKFfMs7CI$u&#wG8~#J~W;xa5797#Khpm%J|%0|N--lJ{d`U;trU z^8QQ=3?Ph4K7fgV0fceM2Qo1*fG{rkASMO|5XL1R%*4O|!nou^m>3v97?*q~69WSX z<B|_!VqgGaT=L;e3=ANQOFn{$fdPbZ$wx9VFn};F`6wm^1`x(2AI-$T0K&NBW0)8i zKp2;NEE59*2;-8EV`5+cVO;X@ObiSlj7vU&iGcxxamgn#F))BIF8L%T1_ltuC7;a1 zzyQLy<Wra!7(f`8d@2(I0|?`iPh(<W0AXD6=}Zg^AdE{sgNcCwgmKAdGBGfKFfREl zCI$u&#wDN4#J~W;xa4z~7#KhpmwYZ00|N--lFwseU;trU^7%{*3?Ph4zJQ5=0fceM z7cwz0fG{rkA|?g~5XL26%*4O|!nov1m>3v97?*r069WSX<B~69VqgGaT=L~i3=ANQ zOTL1MfdPbZ$yYKlFn};F`6?y`1`x(2U(Lk80K&NBYnT`qKp2;NEfWI+2;-8kV`5+c zVO;X{ObiSlj7z?OiGcxxamhC_F))BIF8L-V1_ltuCEv`%zyQLy<Xe~+7(f`8d@B<J z0|?`iZ)0L$0AXD6?Mw^|AdE}CgNcCwgmKAtGBGfKFfREnCI$u&#wFj)#J~W;xa517 z7#KhpmwYc10|N--lJ8?;U;trU^8HK<3?Ph4egYE%0|?`ipUA|(0K&NBCowTFfG{rk z$xI9kAdE|X3KIhZ2;-8U%EZ6`!now8F)=WJFfRG&ObiSlj7xq769WSX<C34r#J~W; zxa4OsF))BIF8SF^3=ANQOMVU$0|N--lAp`OzyQLy<mWLlFn};F`T0x?3?Ph4egP8$ z0|?`iU&zG30K&NB7cns~fG{rk#Y_wgAdE|X2@?YY2;-7p%EZ6`!nov@F)=WJFfRG! zObiSlj7xq669WSX<C0&=#J~W;xa3zcF))BIF8S3=3=ANQOMVR#0|N--l3&ZjzyQLy z<kvAVFn};F`SnZ;3?Ph4eghK&0|?`i-^j$k0K&NBH!(3VfG{rk%}fjoAdE|X3ljqa z2;-99%EZ6`!nowOF)=WJFfRG+ObiSlj7xq869WSX<C5RW#J~W;xa4;+F))BIF8SR| z3=ANQOMVX%0|N--lHbe3zyQLy<o7W#Fn};F`Ta}`3?Ph4{s0pL0|?`iKgh(u0K&NB z4>2(?fG{rk!%PeeAdE}?2onPX2;-7J%EZ6`!nov*F)=WJFfRGyObiSlj7$Cm69WSX z<B~tg#J~W;xa3bUF))BIF8R|;3=ANQOa2TK0|N--l0VDDzyQLy<j*lNFn};F`SVN+ z3?Ph4{sI#N0|?`izsSVE0K&NBFEKGNfG{rk%S;RmAdE}?3KIhZ2;-8!%EZ6`!nowG zF)=WJFfRG)ObiSlj7$Co69WSX<C4G0#J~W;xa4m!F))BIF8SL`3=ANQOa2ZM0|N-- zlE2HuzyQLy<nJ*tFn};F`TI-^3?Ph4{s9vM0|?`if5^nZ0K&NBA2Bg7fG{rk$4m?i zAdE}?2@?YY2;-7}%EZ6`!now0F)=WJFfRG$ObiSlj7$Cn69WSX<C1^L#J~W;xa40k zF))BIF8S9?3=ANQOa2WL0|N--l7Gv@zyQLy<liwdFn};F`S(l=3?Ph4{sR*O0|?`i z|H#C^0K&NBKQS>dfG{rk&rA#qAdE}?3ljqa2;-9f%EZ6`!nowWF)=WJFfRG;ObiSl zj7$Cp69WSX<C6c$#J~W;xa5B^F))BIF8SX~3=ANQOa2cN0|N--lK;!ZzyQLy<o_`- zFn};F`TtA|3?Ph4o&kD5KQ4JjW(Ecj#wE|h%)kJ`xa66c85lqqmpltI0|N--l4oUR zU;trU@@&iu3?Ph4o}HP20fceMb1*Y7fG{q3PG$xM5XL3X#mv9}!nowQnHd;B7?(T` zGXnz%<C5oPW?%qeT=IO(3=ANQOP-&ZfdPbZ$qO(uFn};Fc|m3d1`x(2FT~8i0K&NB zg_#)`Kp2<22r~l%2;-6$WoBRiVO;WJ%nS@*jBEa%nSntZqy~q)1TzBz2;(wel9_=4 zgmKABF*7iLFfMs%W(Ecj#w9Pq%)kJ`xa4J-85lqqm%JP^0|N--l9y*@U;trU@(Roh z3?Ph4UXhuB0fceMD={-LfG{q3Wo8Bj5XL31!py(`!novBnHd;B7?->nGXnz%<C0fr zW?%qeT=E*s3=ANQOJ0+ifdPbZ$!jq)Fn};Fd2MC}1`x(2ufxp10K&NBb(t9$Kp2<2 z9y0?22;-91XJ%jkVO;VC%nS@5j7#2-nSlX>amgDoGcbTKE_q{S1_ltuC2zvazyQLy z<V~3w7(f`8ycshC0|?`iH)m#G0AXD67R(F`AdE}il9_=4gmKARF*7iLFfMs(W(Ecj z#wBmV%)kJ`xa4h_85lqqm%JS_0|N--lDB7OU;trU@(#=l3?Ph4-jSJs0fceMJ25jb zfG{q3XJ!Tl5XL3%!py(`!novJnHd;B7?->oGXnz%<C1r0W?%qeT=E{w3=ANQOWu>2 zfdPbZ$$K#~Fn};Fd2eP01`x(2@59W%0K&NBeVG{;Kp2<2A2S032;-9XXJ%jkVO;V7 z%nS@5j7vU{nSlX>amfcUGcbTKF8N?)1_ltuB_G1fzyQLy<U^Sm7(f`8d>AtW0|?`i z4`*gz0AXD65zGt>AdE{sl9_=4gmKA7F*7iLFfRFMW(Ecj#w8!a%)kJ`xa4D*85lqq zmwX&E0|N--l8<L*U;trU@(Iig3?Ph4K9QM$0fceMCowZHfG{rkWM&2i5XL2+!py(` z!nov9nHd;B7?*q+GXnz%<C0HjW?%qeT=E&r3=ANQOFomCfdPbZ$!9S$Fn};F`D|te z1`x(2pTo?+0K&NBbD0?!Kp2;N9y0?22;-8^XJ%jkVO;VB%nS@5j7z?dnSlX>amg1k zGcbTKF8N|+1_ltuC11kKzyQLy<V%?u7(f`8d>JzX0|?`iFK1?80AXD670e6_AdE}C zl9_=4gmKANF*7iLFfRFOW(Ecj#wB0F%)kJ`xa4b@85lqqmwX*F0|N--lCNiGU;trU z@(s)k3?Ph4zLA-M0fceMH!(9XfG{rkW@ZKk5XL3n!py(`!novHnHd;B7?*q-GXnz% z<C1S@W?%qeT=E^v3=ANQOTLqtfdPbZ$#*d`Fn};F`EF(g1`x(2-^0wn0K&NBdzl#+ zKp2;NA2S032;-9PXJ%jkVO;VPm>C#A7?=D+W(Ecj#w9<AnSlX>ami0+W?%qeT=G+x z85lqqm;6*_1_ltuB|nXsfdPbZ$xmlyU;trU@-vtj7(f`8{7hyB1`x(2KZ}`x0fceM z&t_&|0AXD6bC?+zKp2<&TxJFa5XL1xkC}l1gmKBwXJ%jkVO;VHm>C#A7?=D)W(Ecj z#wEXqnSlX>amg=cW?%qeT=Gkp85lqqm;6#@1_ltuCBKZBfdPbZ$uDPSU;trU@++7b z7(f`8{7Pm91`x(2zlxcG0fceMuV!Xo0AXD6YnT}rKp2<&T4n|Y5XL3Hj+uc0gmKBQ zXJ%jkVO;VXm>C#A7?=D;W(Ecj#wEXrnSlX>amjCHW?%qeT=H9(85lqqm;6>{1_ltu zCBKcCfdPbZ$!}+7U;trU@;jIr7(f`8{7z;D1`x(2zl)iH0fceM?`CFT0AXD6dzcv* zKp2<&US<Xc5XL3HkC}l1gmKC5XJ%jkVO;VDm>C#A7?=D(W(Ecj#wCA<nSlX>amgQM zW?%qeT=GYl85lqqm;6y?1_ltuC4Y>WfdPbZ$scECU;trU@+X)X7(f`8{7Gg81`x(2 ze~Oub0fceMpJrxY0AXD6XP6lnKp2<&S!M<X5XL2cj+uc0gmKBAXJ%jkVO;VTm>C#A z7?=D-W(Ecj#wCA=nSlX>amin1W?%qeT=G|#85lqqm;6;`1_ltuC4Y^XfdPbZ$zNw? zU;trU@;8_n7(f`8{7q&C1`x(2e~X!c0fceM-)3fD0AXD6cbFL%Kp2<&U1kOb5XL2c zkC}l1gmKB=XJ%jkVO;VLm>C#A7?=D*W(Ecj#wGuVnSlX>amhbsW?%qeT=Gwt85lqq zm;6&^1_ltuCI5_>fdPbZ$v<aiU;trU@-LVf7(f`8{7YsA1`x(2|B9J`0fceMzh-7& z0AXD6Z<rYvKp2<&TV@6Z5XL3{j+uc0gmKBgXJ%jkVO;Vbm>C#A7?=D<W(Ecj#wGuW znSlX>amjyXW?%qeT=HL-85lqqm;6^|1_ltuCI5|?fdPbZ$$w{NU;trU@;{gv7(f`8 z{7+^E1`x(2|BIP{0fceM|7K=j0AXD6f0!8<Kp2<&UuFgd5XL3{kC}l1gmKCLXJ%jk zVO;VIEDQ`Fj7y%8g@FNtamh2WFff2HE_r4a1_ltuCC|dbzyQLy<XKr57(f`8JR1uG z0|?`iXJ=tx0AXD694rhBAdE|%lZAl+gmKApu`n=zFfMs+76t|o#wE|g!oUE+xa4_R z7#KhpmpmT}0|N--lILe(U;trU@&YUj3?Ph4UXX=>0fceM3$ZXTfG{q3VHO4k5XL1h z!ot7+!nou`Sr`~V7?->l3j+ff<CxzUXJKFfVO;tpSQr>U7?->x3j+fP<C2$RVPF7Z zT=LQ^3=ANQOJ0VBfdPbZ$;+}ZFn};Fc{vsa1`x(2FVDij0K&NB6<8P;Kp2<2A`1fp z2;-7hVqstaVO;XcEDQ`Fj7wgHg@FNtamlN)Fff2HE_pQ;1_ltuC9lrHzyQLy<TY3r z7(f`8ye10+0|?`i*J5E{0AXD6+AItVAdE|1hlPOwgmKC1vM?}!FfMsL76t|o#wD-M z!oUE+xa1937#Khpm%Jeh0|N--k~d;uU;trU^2RI-3?Ph4-h_pL0fceMo3b!4fG{q3 zGZqF05XL2M&ceU|!nouuSQr>U7?->y3j+fP<C3>xVPF7ZT=Lc|3=ANQOWuZsfdPbZ z$=k9pFn};Fc{>&c1`x(2Z_mQO0K&NB9atC`Kp2<2BMSoq2;-7>VqstaVO;XgEDQ`F zj7#2yg@FNtaml-~Fff2HE_pW=1_ltuCGXC{zyQLy<ULpz7(f`8yeA6-0|?`i_hMmS z0AXD6-Yg6ZAdE}ihlPOwgmKCHvM?}!FfMsN76t|o#wG91!oUE+xa0#^7#KhpmwX@# z0|N--k`H2GU;trU^1&<&3?Ph4K7@sV0fceMhq5p*fG{rkFct;|5XL1R&ceU|!nouk zSQr>U7?*q`3j+fP<C2eJVPF7ZT=LN@3=ANQOFo8$fdPbZ$;YxVFn};F`8XB^1`x(2 zAJ4+T0K&NB6Id7+Kp2;NA`1fp2;-7ZVqstaVO;XbEDQ`Fj7vU+g@FNtamlB$Fff2H zF8MST1_ltuC7;g1zyQLy<TF?p7(f`8d?pJ60|?`i&thR<0AXD6*(?kUAdE{shlPOw zgmKB|vM?}!FfRE#76t|o#wDN6!oUE+xa1317#KhpmwX`$0|N--k}qOmU;trU^2IC+ z3?Ph4o&gF(k=pM!;vj;c`@0yy_joZd;F4!zU;rOshf5y1yd9^0X!jSVJSzhO0|?_X zpN)Zm0fceMvokO-fG{q34h9AW5XL3X$-uw>!nowQ7#J8p7?(UZ0|NsH<C5oLU|;}Y zT=KjO3=ANQOP-H`fdPbZ$@4QXFn};Fc>x9n1`x(2FUY{a0K&NBg%}tZKp2<2FarYv z2;-6$VPIeYVO;VcaTqp$-Y<uoK0)akgh6Vs$%`{EFn};Fc?kvv1`x(2FUi2b0K&NB zr5G3(Kp2<2Gy?+z2;-8MVPIeYVO;XE3=9k)j7wgQfq?;pammXwFff2HE_nq81_ltu zC9lZ9zyQLy<dqm07(f`8yfOm=0|?`iS7Bga0AXD6stgPaAdE|1je&sygmKBMGcYiK zFfMrw1_lNY#wD-Gz`y{)xa74M7#Khpm%KIu0|N--lGkBiU;trU^12KR3?Ph4UXOu+ z0fceM>oYJgfG{q315mlofV+G*WME(bVO;u+7#J8p7?->;0|NsH<B~UFU|;}YT=J$2 z3=ANQOWurufdPbZ$(u7UFn};Fc?$*x1`x(2Z^^*G0K&NBtr!>>Kp2<2H3I_!2;-8s zVPIeYVO;XI3=9k)j7#2*fq?;pamm{=Fff2HE_nwA1_ltuCGW_<zyQLy<eeB87(f`8 zyfXs>0|?`icVS>)0AXD6t_%zeAdE}ije&sygmKBcGcYiKFfMry1_lNY#wG8`z`y{) zxa7SU7#Khpm%KLv0|N--lJ{X?U;trU^1ciV3?Ph4-j9KS0fceM`!g^wfG{rk00ssI z5XL1R$iTn=!novv7#J8p7?*r70|NsH<B|_yU|;}YT=Jm|3=ANQOFoQ&fdPbZ$%ivA zFn};F`3MFE1`x(2AIZSL0K&NBqZk+%Kp2;NGy?+z2;-8EVPIeYVO;XD3=9k)j7uKW zR0m;P^6?A|3?Ph49&}YN2;-7ZWME(bVO;V_3=9k)j7vV5fq?;pamlAJFff2HF8Ndj z1_ltuC7;H?zyQLy<kJ}#7(f`8d<Fvp0|?`i&tza=0AXD6SquyeAdE{sn}LA=gmKB| zFfcHHFfRFA1_lNY#wDM}z`y{)xa9L07#KhpmwW*O0|N--k}qUnU;trU@<j{`3?Ph4 zzL<f50fceMmoP9efG{rkQU(SF5XL26#=yV;!nowi85kHq7?*qn0|NsH<C3prU|;}Y zT=G>63=ANQOTL<cfdPbZ$=5J2Fn};F`C0}B1`x(2U&p|}0K&NBLDzAEFfRE91_lNY z#wFj#z`y{)xa6A{7#KhpmwYn=0|N--l81~mK>9$i{@V*sh69f~_=BzvX8?^sfci+- z<YD6vxa48u54hxE;}5vxL1Pafj7uIg_5i}T<UwN(AdE{MH1+_(xa2`&4<L+79yIm< z!nou?V-FyVOCB`#0K&NBL1Pafj7uIg_5i}T<UwN(AdE{MH1+_(xa2`&4<L+79yIm< z!nou?V-FyVOCB`#0K&NBLFpERamj<m9zYnEJZS6zgmKA(#vVWzmpo|f0fceMgT@{} z7?(U~>;Z&v$%DjU7+d}VjXi)cNDVf5(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{ z0|?`i2aP>|FfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{ z0|?`i2aP>|FfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdD!>^E_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_qN_2!wITgT@{} z7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6zgmKA(#vVWzmpo|f0fceMgT@{} z7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6zgmKA(#vVWzmpo|f0fceMgT@{} z7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6zgmKA(#vVWzmpo|f0fceMgT@{} z7?(U~>;Z&v$-~AUKz*<X==|~lP=-evf50UV8-Kth4;z2LB@Y{az$FhFdjMfv@}RK? z5XL1B8hZd?T=Jl?2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6*uwt>f$&F`#}@v`^4P*3 zSsq*XBg=!r9)ywIk1P)gdl1GY4+?t_#w8C5dl1GY4+?t_#w8C5dl1GY4+?t_#w8C6 zf6y2MEd5J>DlD}0k4qjF{<!2};g3rm7XG;8L17QVxa2`$55l<QL17QVxa2`$55l<Q zL17QVxa2`$55l<QL17QVxa2`&Zy=0I9yIm_!nou?V{aggOCB`#2Ew@HL1S+qj7uIg z_6EYZ<UwO^AdE{MH1-C<xa2`&Zy=0I9yIm_!nou?V{aggOCB`#2Ew@HVd)<<h6YRj z7qFy%T=KB=k4qkw{&C5}(myVFP}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C* z`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#; zOCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgX#qk#w8ERHz15l9wZLK*zyl( z>=}eXYOu+J+GQY&OCB`#48pkNL1WJ#j7uJtzd>$***^i);X<q5aLL2+H!gWt{>CK_ z%ip-<L3ta5amj=7HVEUA2jy)L#w8ER+aQcf9+bC17?(UKZ-X!{c~IU4VO;W{ybZ#* z<Ux5GgmKA(@-_(Lk_Y8&5XL1B%G)4}OCFTBK^T`jC~t!>E_qPi24P(Cpu7#jxa2{3 z8-#JmgYq^A<B|vEZ4kyK56ashj7uJrw?P<}JSbm*FfMtJI1FRUU!c4V!XP!+<Ux5G zgmKA(@-_(Ll85DQkR34d13&{NX!#qLJS>0Xl85DQT=KB|jY}Ssw?P<}JScC2FfMse x-UeY@@}Rs8!nou?c^iar$%FDX2;-6m<!ungE`J_0Gy#qRP#T1VzXY`Z1^^Ht+!g=; literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..ea7caa5 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=117 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=162 +OBJECT_NAME_COLUMN_WIDTH=188 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=0 +PROCESS_TYPE_COLUMN_WIDTH=0 +FRAME_INDEX_COLUMN_WIDTH=0 +FRAME_NAME_COLUMN_WIDTH=0 +FRAME_FILE_NAME_COLUMN_WIDTH=0 +FRAME_LINE_NUM_COLUMN_WIDTH=0 +LOCAL_NAME_COLUMN_WIDTH=0 +LOCAL_VALUE_COLUMN_WIDTH=0 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..e5014e9fac0425ab0e2da5c32a21d9f57d119834 GIT binary patch literal 36160 zcmb<-^>JfjWMqH=W(GS35buH#M8p9?F&t=xG8h;b92hJZco`fR<QQZb*cccXSioWs zd6;?_eS--i4x>3BTn1*SJ_!(mfq?;?mVv5+(I7X0gg`XNJ`ful_7H`L!e|Bo2p^=6 z6~t5k5ey6rX!KTlh&YT!)(5sP0V2<UM&ICsh{I@PeW0-M$brav)S%KEz=kp~?10iR zeW2h1>5G8si-78b(F!01Am>AASa^br0bvVhc%sv2-eo}77Xj6WPP;&y%>bi8c7TL} zpO&P6*y#4a__*u~fa-e#4M%j^1r$*X3=A+DWCzH;z^5fCpl|`PiNVllX9$AYhbta- zK>ZD)q1H3#=VT_Cnds-F=;mbRl~(9hSeWUWndlYg>luNq2e}Jm2PjRs`-L(vH830i ziNW-P*dh!J;B*d>pZ=Nm`rDg9HjCwVm8m6#>#M}CR{*I2nE}!RQUfv=<Q!<!g18JI zwmt&`I8Q?S-v|~5iGdgwQ_tmsXk2&$BLjmF7Rh23?Bd2a#LqHgS1$`ni&)I>!=b*N z0lPWNnXrp%;c(AH9R5nfVLm8~VQCWCxd(8V!;QoJZ*iE<hQk~k9O09P!~FF)%ooKG z4i|8!|AoUnE;zzD9fx{19Okp*a8El9bFSkM&&1_H1afI7Z9Oek%Fy|2tb1va9 zM+1j?aUAM%aD>lf9O`%D5PyWj{oXjtpNS*Bs&J@Zg~MN<dInp$5zE5B0Bsr|XCnrN zc+U{u_>|P5)bz~alGLIQU+0|syws4yq?}ZS`1thP{Ji+$lEk8t_;`p?tO_%;GvmuL zQgY(cGILVn%Myz+LHgriY8l}2$r-81+3`h*dFiR~`DyXRIho0+@%aT{1<6IJi6yD= zDXGPo>3Lw0)QZ&P(vsBpf};H7)Z*gQVz4OKA~b_C^HNePU<QE|Bo-AVR>mji=N2Ru zrN*ZgmL}#PbZ6$J7L{Zs=EN7JW~C;VfF%-hVAg{57bj<=rj+KS#+MW&<`pL<mt=xM zA~QWNF(*DPvA86@IJG1xF*(}+tT{6^H8no3G&i*<GdaGvBqbi?ht!n#%)FBL#FP}U z8nCgcWvO{3VA;f+_=3cu#N5=9)FQBaaY1Qu285Mb5?@>vUs73+3JKAI#FC8oyu{p8 zh;&JANql}@PG%mIpIQ=MlpJ4D1oBaGerX=WLtyi&z&enuPEO3p0l6D2o>G~Yn41aG zitr;yEm*R+02(pypn%6id}2v_NoH<pd{t^uK3FlX0LU%PDakCzsRSzm866Kd4NJfl z=j4}zRTgLFmgb<PhK$t2g80n5Oi;vSRzbX;0uQsI)SUd}#1e=wL5omgFupjmD%Fqy ztO@L>w9>rfcyLUigjR8CNqllqS#f+yX>I|whyk0B14<lai8-aI*rOR&NTDPX)YJ=d zA2?WW=`BiyD*?NqD6=>-FFigrB^?qTAeUDZXXd747R7^u36z*K)8dO#a}x^+QX%QH zI5n?0vji5h@MwZYI@tacaFQs_%ylZtOi53TPfslgPe}?d$^@n1`1qX6Bv9%Hl@_^) znRyKH@yQj5@oAZPi8&x+b5nDZa|;-9Q*(<`OF&d|K_x>@W>Qf~W;`^)^NZrMQ;YIa zbM%Vyk))C!)}crxgBo>a4DLRjPR{X0dWI%&rZIwPq-V;YpI=a-pP8GkAC_5`n38W~ zU}U0a2<7NQc{!O$`Z;+OW+r<1`g(dGR8mk35z$XiPS&;5GuAWEPs+>#Nr9V~;I<tT z10z)+%p7J0Mg|tJiJ<l)sGw$KU}o6P!T{@LFie>^jSbXuI|voq0_HO_oPqL7r7}4g z7?>EYgBqy}3=FXT3#{L;K^bH!1496`e*zOPfQoZ8K;&WKFunqsctaFKod%jXLo`I( z08M-XRNMkhTp<Rc-T_VggCRuR15JDZq#4f;fF|x>0Z|`;CO!cwo`5F)0V<w>CLUl3 zF{c1cd;wIv0!{n_RJ;LA+`$TBP6wL!1gQ7~G;s!Ni2505;uE0a3(&+rK*d*}i3iv~ z%-Mh@?qCNI-+?B6z!4&T08M;@H$?mdn)m@9i1-CGaR)z$_zg7i1O5>42Wa97(Dd^H zP5graME#9=2o35xf%<9?9s|PzByk>?AOi!#3nXztB=HYO;;_CKNcsnoxE4qNia$Wz z1L}A1LB&ASggOw(z`zd9_YgLSoPi_`%d;Ty1xVtcz9C2qgjXPmL$fGIm|+8wILOan zK?Vkf9Z2FJyJ6x7ki=nm6(n~8NgO)F0TO1ofF#ZZ7J(2qki@wmLSXU%k~l9|1VX$( z66c2qfyoa@;-J1USdf8%;Rlj9O1l&q{vbaI!xS?xFmND=gZkkxDFGyL=ui<@N&-n- z3@id66p+NlAwpnM14�ECL}6ki;b+LSWJYNn8pn0wElb#HArZVA2Cg95x09k_bQ& z2lc%{VjvuWBrXRMfZ_xsae1g1h{`|`NA4FFAc-r26hd(Yk~nhvzX3@cTJ(c;bRdbV zfJGq01SD})h!B{Zfh4X57J(28ki^v?LSS+QlDGy~1VU^;64!(Xfyo_6;@V&l2yp;O z9Mo5bh%zvoKoZx52{JG+TtE`nLlPflj)uT!2#kinXb6mkz-S1JhQMeDjE2Ba2!YT1 za=$#9-*9+zv!0A+VDM-?P{Q>8f=BZaj>BN*|2K__V_^8N8WYFBz%TE>@Lv_g&j882 zeDMGO|Np8%aSRL@pl<HV3t+w%h!5(*zB~ZtJAwG1uItMUV7?WI59+eMTma@9f%u@V z`O67lz7~iN>bkyc0P~eVd{Fo9WdWEk1>%FcsxK43d?64Y)J1(60OoUn_@J)oO9wEY z3B(6=NnaX(`M+Wr7&1Uz{+9}1{wEM0)HQu60Or2}@j+eomkeP3BM=|dMSc0<AIQJA zKzvZw^yLFE{}PA~8Y*~s0n9%I;)A-VFAsqEhd_K#*YxEEFn<?_59*S>Tma^80`Wmz z(U%jz{8b=6s0;eC0nA?n;)A-LFAKo@Ss*^B%lR?^%%23}gSwh81Hk+)5FgaVeCYt@ zH-Y$|uH{PuFuw}K2X!f5DuDS#AU>!o`BDJP&jRs5UC5UVV15#a59&I;{O}j#|0ob2 z)Mb460L%{p@j+e1mlwc%FAyKpMSOVx%y$CuL0!X_8^C-k5FgYfe7OM3Hv;iNUBQ<V zz<ezbAJheW*#PD%f%u@V-^&6pUkbzrb@^T<fcYTxX%j#R5`;nN+3>Ar=fyaW&PN{2 zPd)^MxEdZXJn7ME%WK2H@L!ZUmVx0*njXJ=3rIPGN3ZS2SOx}<URF@NdszM`5%uV1 zJr)DW3Y`BR@XLcoat=djk6zn@VD+Y;c=XWx=h6AW<KR1ckApv$Js6L9T>Mue;?d38 z3pIe_|ARDsc^3u-n0}D^8f<H085kH!wL?9cZL>fFmmZx@OL#oGZOdX97+z@p|Ns9* z%K!iWkFkcvGB7a49_E)v(f_ji|Ns9`_c(?-hB<~hh6H<bUOwg&!O~n6z*uVM(_QV* zUG33b?9&|_(){ax=MR3DW6i%99Xr3d@Vk7s{O-c<_ray};|t!u|Npya7kjwyuP+Yq zW&HQDpMinFr?)u5qu1HPqnpE{+kwNQ(>uYV(>bHtIib@zrrSG*fBixJ_1-C#C+br? zJO6t&9^X;Gz%T&<__sMn`1GnA@afJ*xS-oR=EdSa|NnP`w5N0)(hm0EUmqOe$iFQ_ zl);zrj}!kk5v7jc2+I>CLfyeRj2AkcWBAt};$MHt@<iQQ$1b*L#~8<0$2iCM!^fPQ z82Gn2Ie7H49N^#P<><lqv#W)Xvyg$I!^!FJgbCQ?qX@{aMv`{~o8Q%9@dqsEco^a_ zC>aV5@Boj_%buNox}6eS4ZpP>*b7Qd{GKn4JAn(sPN$sCtb*fCC7_bA^ZkpK-~a!2 zx~Q;tbiRL~@#p`4&(5R!KvEu^$2~e<z1aHe|9_Y6>IB!;|E~O=-+em2zxed~|9_88 z=L(O`<3645d^$h9cmURS*R%O;!|`TNsstI}(zzRyl3hACcQ7z89B=M{4lH_fgOzx6 zHctT!-*-2Iq&+&D=P)oZbc4k@n?bpzyL$lx0|PipyJs*kFj$_bQ+_#%0T!X%lR?sq z7a)pZky2md(VZR8U7gWgoYNg#(%lU*>BWIx|NldnohP)NGx*m#m+)_MVP$aT-?oQ^ zwTOYiqdU8zdpF4C-J3y<?w$;C?TZeOKCol7!EWYX5B7yiM-3}OH(0zI>NNh=od5s- z`*bH)cy_xocy{}8cyu~DcyxMucqGq;c<}y<PH?~-1&7-+kIq{!R{Z||zq>|-<%Rw4 z|NmV&zrQdCt9Svb<=`nR781{>@!Or1;A;4`^{pen$J@^LFZTcZ|KG9m7$^=?eu3if zxZyWw1b+GP|9^LOLhD<{J)nf(!teR?|5=~T=P$H>fupei?3{l-osV8ff|5dZ#c^lw z)QL;yVo-n_Zw3VjsKPl0jwyz2=Y(F5|E&jH_&r~Ac7wv{I5;T4rgyFeMe6ZpP=tZ> zcyxo(Ni!&_5b?eO9Md2%XsmayfyeX`a7^>}{{&}u+h69e_y))8Zjg1|n?aG<JsD(2 zcQ+{6ytohYB$(NGLK_@M{OiGP07o;+KO5HaeEw|*Jd;`4eHefIf8f!bUC>=!(Oq2A zJsIS^7xO>{fRmuMa|Qo;u%C~)ure^cc8BIK3@zC>v@pLG0cnAR79)rW#@%4kySpJC zERpG+4DvK6{epY|OTYYmBA|5Cn_bbc8<cDq_*>>NFfbqzRr3~5rs?bkMP@gc)7iWM z6rSA&;9<Cffq~(*GT2rG(LEWY0AwFXIn2I#XLNsccZ1|#^nU;UzZ+~aB0%`Jd1rL^ zfRa8)Nq0BM9?KJ@OMSYl3lJ`ZCwoxp@#wt&V$u&#LW3mxf1qT)@dqTedxGibFC4)N zu%>#1`;R#}GJ?w2t`-ZvB2b}<t^5o2XnX@o7T^-_pHFX<gW+4lZ@WOnkx%FU7aZUJ z|F>Z*4fg1aQ3>$qb`I$Fj_6|H=x9*^r6`Zy5S0K>0XOmg|Nl13rCK0m9ERUOI_<vy z|KG*X;iBRJQpEvMl?_tG<iJ1W=xZj!x1BFM8jpaijt12Rv4<xh@~cPVn+iq-28f%w zvl4n;|93WlQXL`(H-X~%coQgfgR)WQDv*1QH-YjJC>M1$flNN$1WG9oc~Is#-ULcZ zVEOki_I^ba<B8uuMZghw5%BQ~s0;^}#*V%2jIOQ!cY;je_dMa){QsW|zyFI)9u<$| zm!RT9^cyI$nn76<TrfNXRRRp(|Nr;s1t$!b&fTEMKMqcMAX8jASA){Q@n%qp1UaS) zTvT>6gOc0v<`W<_p!5mOQk~6bz;(eDXkF0!<DW;Tj|vBW-%}<AhF%ZG&Ti1UvE$80 zpxRmw@ORu}0@eH}Dgr*eIVusb6ak8y2oNE_zYT0xhYKi9vje)p8K-+QC@{MxgMzTT z8)V#zU0*;+8pPBFmt_3w!L<#zi0E)p3H0gw4k`tUfBgU7(V_whagXlojPBhaR`+I5 z*mq9`g*eo>Y$W4AO8D0|gJdDbwWxsBJAqAn^+NFnsB}K=(Y+cJtli)M?B2Wu6e^QJ zp$0Wj3dulF81t_Ohbg$W0eKYSTaV5;Dh7-U3@~4}s1$$_7pT_5<?(}`@p!yNrI3+< z!Kd@PNADD{!7q%y|NjpT%+5I~FF=I~G<;iBG$1zN4wG;so3Mn5Cc-9=!7omH1KR`( z`I{gvG~_$ysDRQqq|^uHj&95defbGgt%D*IRO*8ip+sqm$^!;))sDrzFV=$ef|Hpx zq@qBLy@#Oc2NEVAXS|SuI1MRMbwDEEgx3u=rn?)Iv@K7R7<EquC+`cLU~yPU%irhq z_y7ObBFCFSDIAo%5vkm#dow6ycy#-yaCmexdUSgtHy=RR3sjDJeFkMsNICiqRE}nX zLL1b4U;r^}R9^h}1X2Mii9iJoY6}8U9)a42&|=6b$M9t9TYit1olc-8A;?voSrx~f zYCsve^ZkqTkBCx*`xCfS*$3)DL)#WJK7b0A;vCoBJVw{nZ;tyx2DtKj{&j5r_YdT3 z1{Z$67oN%QK_$!Xk08f^3kO8WvJB+n-pQc2Lun|2407pQ4K4=3xfJA}Ztomz?~Iy~ z?&^Z>;u6QsKaQGbJUg#-2iN#8CkMD19&p?VQud<p!~g$~QoyC#IiuS<r}MAoS>*KN z!Mqw&IYE^Nfs{MvXgg>01~9fB0K1#t<AO)$d!No{FIYbP|L@cJ$)_9K8uI97^62(L zjvbH_L9w$2>^(^AfE#zGKSCRKNgqMg-TxO+U=_HkIi&b;?L6+-dCsHrvq$G&$Ii39 zy-RF4*g<*pxl8Av7s?<1|8G6O-!d1JxO?~RuxDU+@%}xifZlt+i-F<AV+cDTo`K=T zbqKp6g@NJ4X$bp6Is?Ot{Sfws3<ic5o8N;xsH5W3yEcOjWXpSx&U-GMpIkbBzIgrt z6laG$x>-~_diQ83fL-y-r}Ni~w;w>dkd*d-jd||Tc@HFg4y3X1D9AvMPJ}AdhV9Fa z5C8we%5%rC-~f-#&z}20J#Nq_38>=z{zCQL|NkD~SS)C;;b1Nm^Zb7dBE$Xe|Nmpn z4?qJpubn)5>zE9`z4-nX6b{uIhTjY?8J>J0@$UcsmIEb@p3TRYA)2m%6m&NWurM%m zcT2D^Fo3Fmupu73yDu;^FnBZ`KEVR2tnWeG8uzjp6jsegIHDcnAT4o-ixKhV(fmdN z<nqqHp8G(HI}nb~c>DjqBmeeR@gfF>w9e)ZR!~K@xPTc%?Ji(tVAuu9Og^2@eLDZW z`2Ftxf6v}JM#FC}4Bmpgwt|&`fytwH^$Sp`+q-!JE67>zJvvKN1YW#@C<ghN8LapO zMDgwjCeWC{<_%!QHYy<194`()RI?g>dvWy*C_I~QFoOc%0W+u`Vd3y-{=v@QJ_+Rg zUf%gS3=AI0ER7zFC;mV1?5$%r{Pto#L>H(i?Cu5?RiL=wZ_Q_BVDM}{25uvLe^K=Y z<mwl!3=E7fLs=LYJbUZ-48Ofd1`C5c!wgCa-5}@dLmb3!`0a(u8%P*QBEkqcRGz*C zhl+sVw--`igFqf-_UN`z@#rp5;dlX-Z$2gniQez8VNPIrc?smW-Z~M(Z!aFahS(vE z-Ht|x9ioQcUTk{}4jvCiQ1ApWGB7|qx_}An9WldiFQ&k)VDaeP%>ha)y_+wvGBCV| zf*2!i`0YhLSP`n9twGYw$0S~E0X67*>$D8Ny>N#*Odh+#Uc3Q&Ufb~73t6~{Odg%@ zeY$H@1VD-A8AOGS;kOsRUxA%|1JtbO2Gx8Jr|UzUu50-1#m!f+&|yV$Iw*cUd+YQJ zzrEN3Rs?f8$c`qE9nHt|AwfI^EDw%_m;Mm56%4<<sC@+qVp;4ykpr30Tc>FF?L{!y z0FVVJK9PZ_P%`}X!VuyU&<gqPZV7lKOke~@g0kVa7yMu|KuL`m%_mo1|NrmVd`t!6 z*yk@nJ_gwZN_#$?|6ZPj7-DAl?Zv5=5GN~QcXBq!q~1Dn!*4GZz>NVp8I<q@UgSWe zEeyZCXnY9{`37*J>43ZUJ1Cx;k6A*T5(72^<Ycy&<`73X8-9D?3ek&_vzYi>LECCP zn~%A?7WQmD=JlG_v-z0A%l8lixgZ8|!wqD3`3xk}TPJ1s?ZuZDATNXLVL}U<U9Z5Y zN!sw+i}PSbpm0HWXf{Z?`IyY>Y|q|0L&I+`R)H0POhOOj0*F$h*AAY|$J8Lsse!9z zc^&21TW4+f?L`J$3_Zc=K}@iL=yd?A1Enb@c+P&U=GlDA4I-}qmIt|n9p<OT!=OCp z(FrQ1B@iv0msejxtCV0+{kb2sC<am<zqtJz97~9jufc|cv6S;z^8_{q2Ikl75C$mF zKwFbM8V^^nfePGv(Jw*qI{{)IXpa-ryl!;!x>z_m4>lb9*YK06jKzi(WV8i4)MyX` zWHe~^f=A<FP(|v|c`y2<8qB#+^P3qM7@+2B6Jh=XnE4<E$ovVQ;=l3m33jN{kG+5f z2h@DfW=W{|r=MYl2NTHA9UM?cgBTz~L3_nK8V?t6Kn*Pf843vx(5@+{dDU3VV+NUL zzzH=E!~mIh0^&#sPN;c`2=h9T{Ex*vHjsIs9gX1l0x>}5fwuN}G#)+xGw<T_|No)> z?M9e)`zfY>!R9q^LEQ&pfXtf#st6koXK+E?*9bBX);{U{=ds_Mfq?-uabx)HMeEc5 z|2@0gj6s8loy{KXps|`Z<suN(rwtbDb^u34Gbjmo^zPmOF&vZvc7Y~KAW0b1x_J5X z87#6UOqhUdA808o)IQOt|NlF7w?!8*FmyHxaDeP-3kKWM%mLwfLwKN#l%Cyf))1#S zg9W>PK%DXcMD^|l?ep_!JbZ(lfngVD-UG=gdB{!yH+YcU1KL9ibx-RPP@%Fd9%O#+ z?j0Nq47*BL7#Loh1;t+P?g^m!vGMQ%m^hLZ+^AL{o4*hdzN!%OFPDLuHM=kHGBE4{ z4V}Emg_zF(YUeZ_-T@OwGJo|`q|lr&0X#e&0%>D*{`cGm>S06t02<8M_ZXBoK%E6h zGcy?8%v|#LKWHW)yd3O+AAC>`f-1(BpcK`+8{A%OJp6!<fx+<7PLS_i4G+K_5(63! zdU+4*jXGvf{RgtD7v4rKc?@c!^0(MR>I4>0{RdLf4IVn_29Jk8YI13a3Q#MKAL6Lf z)eH>XyFq<D59ZyVVE}N;X(y;r>9_+j==JZ#W2gmpAaw+r;kOqT9{v9h?yK#KV_;wa z504q1bld@&`*GX}()YsdF{o#^`vwaGgAeoW3oHx_hW`<Y!D<|LfHXtZ^MRb)yBi!l z86Zua|6ZJW^8ddNBzSy!7lVRl7ie~KCrIAa@PJR}e^CGG2*@kV$Jikyz1bsBJb=fG zAq`EBZV?WT&dDHyyInXqI-42z!NCkN32w;CiU0ophqTwh!3}DsgW?-hMu8@R_JKT$ zi0q3GL6#sQ+Z-O*+aLb_f4o@$GzkjsUF}W))d7u%e+V-$>;m;PK!r9qLVZEb?gd9^ z6UaD3gf4yviO@rk#t|q&6CQ#x$@a5^qU(kEBaq$_b<f^9P&8|ROzv(5H3qu7IiL-T z(j3p`V{9+I|AWk|12v2pA;zC4X#5SZ(@H8ld+P)Zzr8s502B^r#`Cv=CX76rj|she z@egbTr~@z$qSON1#BqSSgunG3BuR1@etS^^Q32}lb$1uAF)%dSaKyn4<9s<AVwjBK zw-<pAK;~}0NYK3?|F<0AZvoZ1p1pOlhTmR@Laadz68_espv2vLOzx!##2R74Z!ccm z2YV0Q%~}vQgZc$0ASzJY%mjC{=*#DS!Hr4om!M6wp1pM%p!WZLaCvZ<kmErGM8*S9 zbn>@s2f41dPSfz)i%^J_sO~Qf@oYY(^|AtFU2mPd;kOrh5M`)tFD>%ytphc-1t790 z?q)2F@@zh)_|h0;Nb@oA*P))hb)cTZ<9k?wp%j$FK)r^e5LpzXxJpGmn~&+feDUZ1 zfADbjVbA7c%CA{G;hlhfbY0yn9RCk=f^*KxqkljJFDU;xh6D$Ac76e8!C&w)9aP@> z-2*3qtJMq)j@^4yKt-4*^Bxs!W!MX)`;bta4T(5V2bmwFy}LyP<ZQ?89u-h}>z3g_ zE~7eczBqaB|Nn!JnR;2GL25u{nJ06L3aBvi=oVr0?3|*qLxh2$+l7(Cv9m?xfC#92 zu}7tV6+~}QSs=o|04}gzB!Z$GGQcqHE~vUEWN`qB#S1`vVdfT<8KAllYViXki(f!3 zo&mM^1l-~mAaC~8fr=1WEEYp@SRACB0hJd&?)?Anc&tT*LzIDm*|T?#3h3|(&)zL6 zA4C`!UhIOH2C4wgLltU>GB7ZK6s`apvPDHel!4*JM2JFAzF!7a2s*U_tndL;p#ex? z21sG^F^-p~K<%DhaA8z(2OP84iHTW{yO5Zb2OA15lT1O{vBoT@Rs&a6oi|^+zk?jJ zpb7;Pvk6E6OkB*igF>~pPRQ`vi#@k-#VoSLWhfRKKx0+|$ztMSmJebvs1S0-VsWz# z2NQqmBS^4-#wFx#Lt+*b58#+ZiH8TEK<ljo4NrW%1yP7SX17BWf`%y0Kox@03OHs_ z(n=plA!xAT12|FEaT$JlF$<~#yU{Tq9ldqjhTmQkLKT7>3pN_XvBnUEJci$1ct90m zcLNtlVe>KGmpKqOfJ#2GTj11nlbF=?>K01s0*yUfzlkf7L9HHG>Y8{9Id%O2jUzF) zsC)o5+@aZ*xYVTyirL;e(2zzPQ5H*}SbP9#G3W#kSb;@c>e>Jfr8*VEZ!ezS!1Qsm z4F>~%3p>bo$S~A_8~74U6T~!7T{0c25PQr<LKK3kl{~0I>@jNqQV6PHKtqn6kZ}te zs1EF@>mMlmdh0-A7s615*xhgyq7c-~cy%4(E9`Dq15((0O!g%oBpeJ3zrEOT9bC8H zCL(o#s`t(tkObxk$)}((lQNJASc@-EFBz7=3~nGNFb`1jW^Pe&0QF@^N?<2Ik=t8m zWccmH-)orh+zqY~kuBbS9ob?5sKp#e7894i{2>;b7=C-vg2m!y8xAi1Rx?O_ZEE=K zMf^2LRAj&^rT}oDZ&3*VRU?WJ(?DZ#W>AILBlia=bRk1>yikSMlgoLK!scTZkWR(J zs}S2!6GGz=P_6jV5aLQz!*4HkLe+uH1Q)U>wPH2M%-%XR!*4GpKow$lWe`N6y5YAM z=}?8JHp41pO_0LoV;V0(qZG*97th{0E5mOuG@-gd?g5vhDDHU;3V6sk8v|4!cJ~~D zD6}#B_Tu^#Y#{-2&rFcQ=3};yP+JXE3yL$a?cg|TJOYX`pU!7U?tPhX?f?H!$1u?9 z3(%k~crxUl$9@||_%KP_70?uP11O;}H&=kDP8XE~kK-;X8K8cd#|}_`4m5e^(dnX6 z(A^Chn)G1qUI13<qf+5<yhQ~xWd~N=*`flPFa)i{5b*5X=3on&wfg=->MCf0@>q9{ zN`yymiAsRSaTXQO@>Gu<pm7$M;T#^F&%ycd1=kf&mSOYk_T%vAY!(m$jrMd)h=GPw z+yp#2n-xGJy}J*9+|YRVhbRLBY{2G)|JDEhJKw+farys$kH#Y)KSw*pK^JO)M@uF^ z*MEe7!UWW+1&u~_{`J@o>gIzyVfgKZ*JV)ucrVEL-Ob?9Oy*|L7$_(RGC)C7fa&@Q zXgGkDy@LEN0h$cx{P!a7DyY9+qLSdz*`fmKDSC9fs6>D!loLEUdsIM!=pY%8OS_vD zK%H9VW(g40*`lHVnqN8&ncW91ul3vmG946NKAlUzO9DVk13=TFP|FfQ-tIi=(!ECo z)Q|D#-J<dX)LlOAqQU_(z^5}sMZlxCMuh_s&<ihtdLXV09^hqh%_l(N+Sz>pM0LA@ zLiz@TDelqPd>_Q@-3=P+^=Lf2L5zW67sxdpo%decx(u2}{r;lq5{Ul)q6%be;}KBk zf(C%&9OIE=0M!40_x~N?DG}89xOfrd9z_4&64C#^2uc#|U#dZAp?SVIC@r+Vh45xT zcz3Hog8fgc85mxJI?|vaod%GBh!KE=7a{e+M@Y91)O?QvspxK=0q#F9fcBqD(>$Ax zu|jg2EyQNw8f2S!AUvqee;_uqfCalJh=WyjfT-Tx0-(5TJPb-Pu<!!+++Hrd1ZptV zfg1g{E`Yq<d;#o+8!$Kcd%_1_c0v?@nta{eKS0SIH2Bh8!T}nA0bA6$8EkEL2#0`2 z=VXw(Ji1*tK=B3&tCz+9VI4+T`UfunhONo^4DJYmX4N1$02CLlU_0S)!QUDVDUU(D zJk1NBvCeko8qip0fCMPq+oi$2Z}yM?564-6yxqImKmyiP+Xf0oNLTIkd2n2sLo_r% zw5!*EOzGDL3wBq4OzCVc08zcWUw|5Ty_+K>zynO6GQ-vIzzY?KiJ)knc^>SZc(8j8 zKnx5Ao74;{Vm!Os{UN*!5IK8@W$s|X?iC<gI-3`OsNUTXpe{r2<_?HupwU;5W!o=+ z2OL-+X+jg^gT~{@ApO0&AAmdw8v6t_J@P>5sdu*pD3TfvpMaPGl7tRD!q%ceS0~55 zj0Kt83r+`@&Y?__e?A9Et^A<jW5{&x29S#GW(OwFET{()Y!(!BgqCM-9cZ+t526B* zR2phHM49<pkAUm-I!?oHFS5`5|8Mvoyj%oa41h)$L9J})h~b@cp!KqgL4CIF)u0|6 zvRdc>BdF&ERlfEdC^lVmK*a?lcv*BjyM1&xJUWp>)~9zdC}e$lSA#+p+<o5(jY;q{ z`@0t*pg?Ut2AxDZb{3R9)`QC6{h*?2A86spE>PLG6SVWeaR;dN1YIYhh3;pMUKb8d zNNK$Tq#C3a#4SLr&R}IW$n)Sb+o$utN9Vg2x6b|l-<_k9(Cxti^+Pv<2PA<QUfKz& z5WxeS&wV=Iy_k0nT;a5z{r~@E8XIVU0^HeVKMT&%9N>r&fJc-!Bo;tpd{57SRbWI^ zGN|hc>G>Wy0~-wnc>o?)pq(qwz&AMi|9|&tP&?Iwc{Qk+YWRO2TrD)NKzyijMv$wZ z3AO@MF?7Cru@@A0&;;8Fn!N5^4NA3;7y>B*`}N(6t>6f$1C8Hloq@-VM`w--2f+yH zME5IY5#-T%^@Z74P`#Q0jieW#ybF#bP-a9#k_Tiu{@si3XFyfc^B4C|gPaatdysPa z|9`N{4Zq<?SC>wsMG+a!1|=!bdI->J&89O*=?YxLft`%Cl!K<S7j|dB=}Z0e|Nk#H zLsAc@gTDL}-aIUE8kC236Pt%$o&x7#eWX0B@7e96kCBI=r6aaH49+<dK*8I5j2BW& zGM$2kFuu&2dkQ^}yF);kcQU9v?snl2>}&=V(1<t%g=puymzzM#=^-7@Str3pRDd&E z13a@`hxGnHJ<bY<3XIHl45Xy_m@LG-K_`iD?_PAHa3%`RJ)lSg6<MHm7e-;@*}EN7 zx`7rkXM(~2)NTW{6MIWkB0#NbP)izA9fR6>;I(YMd%&%0a5?be*$I%JT|wm%sLJhj z<>+h%)x;jXyTNsD<6(H+3u>a7p8}=-?=SA3`2YVUXd8(qWK=5t1fe44><N;Jn0X*~ zp%pPvr$Fs#NS%nabOEQGkdxr72^s=Bd7OxdFag=r-HeD+4`{*x6#<Zh11c8K6V8h_ zC&2bd7=C+^2(t%g5pe!ED44NW0?M=yOTZZ!y^#Wnc~HBd9%NbbF)2s_`*;ju8hDKt zX!r^`i3T-{qq7;=u*Son77wH#hMEV8N*$2D(VG#Vi2!+sB|XQ82)yr5m#~5w5|9=p zB-eox6FAr5PEGIy_3%c_jpLx11xN=A)VBB7Uj=X2zxaI=>}v-$kX;_2h9Ri++Bum+ z3N*$KnmQDa0yi2vK<&K7!yhD}jYiO%-pg7fqrnz{y0gu1JYaoUV+PQnV+;(2-(E}t zTjXre?QH>CJ?Nt1;L-UA)Rv7r_5XiI^AFG>=iXuskH*6f7{N_RP{r@KqeB?nO$CMR zg=7E!?*j36f(X#sYw$W2k7&oZmrssD{0Qs6c<eVpSS@}Ow73Vf8jZ=Pw>ZJ4cXtO9 z+zN0F`W@6dEI0;J#%y>AT0dKOfvpEg2g0S9;L<DZ!K5Mm2Hj&2XMn>3M4*KSXbA+U zd1m<SMbi;*z=Kx$L#C@yL&f<dC{#Saiyk+FHU)Sz9yVZxyV`MwgA+e^{dwoT7u%2i z|Gx{w-w7g61LpJ*6c>TQ2Q<F~iy+XkV^9ZuJPc~5x4j3q(?RLqv%Bp%s1e=S3>w7s z=>;$8_vu{>S__1daX}N(#z(=re<SPujL;2L@3>>dDP0EW95w?)3wtf7qXM<?KNc+- z{ys1*XODnw5k}U+T?_8gf!v6&<#HBG%Pfc%d1Ni(ShQ$Fbi%ZxL$qikYf;9cWyPK4 zFfAq!E#}Bt^s#8sNIVA9!U)mgjI6~Pi<XSVS7BPtL88bXS&KUsEi0ZrfoYiy(GrcU zB^ZmA7wTVNS~4J7(vh{qW6_eaMu-s-mB^!7T8IDt2knXt_UQb*6SPm+qgS*|5mbbj z&Szv`cwu!I)Q{S&VZp%gV&5S!I|0Prbm;&8=))kL;Qi~prl1WLFV=umfOfAVNko8* zIehs4f6(9x!;4OkfiNYZ!64n8zdbs?f_CdP>;m;nJbF#{gGOt5Z9&wFjDw)%+3z~< zzc>dnz1LPBWMC0UwAa=f#J+Y2X84~2|Nn;uXE6jgf{bHu1Y7CR%bWWj<Z@A8FlEXE zrffkA6kq&00A5s~us;QK{G81}m`Nc2fx{^lv=blh9gxF8Zln@sWME>5Jn{d3&x!y4 z_dqd>pLO~F|7Dl||JS_o|No&Y|Nr+~{r}(o+W-HbuKoXi<l6uLASZxM1Jz5(EYdGY ziciZd3eC$biBC$+NG#I_%YaW)B|=?s8R(E&1_lPOF5KpUj!gxfno3ArZfY(=W^rb2 zZZ1P^G01*U!eW$WwgxS#0Bt5W+5i7PXm9S7ga7}}VPs%<a`6BE7)Az$D~JC7-@?ei z;Bw^u{|}4|3@XR||F>acU~mByuS^UKS5E%_e}svFA?4iv{{qYm3@jJ^|BnID7ytiX z!py+1<>LSUpz<!{`v3nbEDQ`wuK)k<z{0@5a^wI17#0SGlpFv5SFkWJY`O9O{}hn; z&Hw*FcL9Xl{Qn<x_U4kC|Np;WVPFus_5VL;;$X?G|NlY54=lI;|4(3LU`V<B|37Gf zd&u4Y|F5tzFkE@?|37F{>d34A|6|w~7_Pkj{~xr{GUV<5|5rf#cmMzMurn~2{QUpF zhn<08%FqA*SFkfMi2VBh{{lM$!;)YB|NjBW|Nj49g@b`%*5CjCQ#cqHuKfM~zk!2+ z;qBl5|7UP8Fo4bm*ucTS09~`gz*rT;z*r%`D9yvp0a`H(5(gbB&~V`Yf6(TA1{Zb# zP`HDJTtGGJj=lf?gDO-8J^?pA2`_%`a*hTDdns!zV-?U@0bp}MJK+ru{QnO++ySH) zgh9t^mVoYuIQakn2atdhpMVpegcF~F6Q717pFuNQ4{I+=A9Ftw(>*>7u&@MJ31}51 z0|NtS{h809|Nn1;TL(ybo%jqK`79jy9GcmC*m_y}So)dUn3#_;g2W7*kc@KV1G&e8 zfq~%<0|Uc@!~g$(1XX~J1kJk5gu^V5pFm6Bw;cQbAGF_|0dAHfpN2c%0mf#ibC{|@ zepCSaR{-IT7DfgJj^qFT_kxy6!S#aO4DypZ-v(wBPZhFYcL>N&pkYd%)Bpd2hD;Ie zfSZLLK25m72XsG#3=;#xf;0dBgGQ+do5gewo2x)OJ5rb!7$VO8|GyL(-;R6|j(iHu z%zaEu6G2P?Cq52WJ_Q#T8|04}puBnZ|Nq&blSDAX#Dy;aB}nR6u~`mEXABGsPnZ}O zG%o-D4?c3#kx!tRiOCa`2tXrTphdbdm;e6<A3F+>3xUbWFf%YLz##`(Jo^NPnIX&! z3=&tc+Xvpof<vx{nSr4JiySD=tpJrpSN{J`#|*=0Sh#`Ifi~m(x$^&i6Q;T{WObnC zR?5}?|3fj=c_OO=Eq0wlKpiN)JXjbQu3Y{9|1BtAf-@{APdkFryFoK+4<twDfw<7* z4~}yK=43`xF;HFtm7^8c|NpN8oiYP43zS|UW`u$Y3uuaAe#!*nIr1qmfZPFErnKkQ z|NkF285kHKdY$+bP~E|-!-;CCBcB1&3REFbx|LyNU`TlK|33@JPmna|$fw}Ww}Gjd zrH{FdiRlZ-WKi)0$;+Viy#cHY3^q^y|1U%60T*JRvdx`u0W+w4gO*KkjG!V5QO1D{ z0hO&&SQ!{9p8fw{2QmZV2ZaCs!hGz?r@)j4<1m2E9yr6wz;NLC|Njx-K}C%6%$@H7 zBTA+z#+vzE`7SUrTX0~@PmX*S7@3N($uNNOk`EgL!-9|h{|AH86eKVCg7Ok5U4oXJ zJ^A<_)Vv4BizA<e3ts}b49JD0H_#z4pt{cH)BpdV75&ioL-@TE?p+3unR`I?efs|& zv^pQ87RgL_S_d6_{Dh5xf#dW4|7oCd9ul6IX(EUTH35M904+aM*clk~zW)CY>MJq0 zg36B)khj2f9B7rg&)5I|K?n9Qc<>1X@Ns~}BiI=je82wx4>~9ZBp$`b!2pWe0(J(5 zh_C<uuLPOz$|umq<j5z{%<RIaz~m2dfPoVzcpVD)6e7UE0!|blwWD}61n3w7u=C(y z=f8uBB9Qfspf(Eh7y=M~4jLbHCOt?Tbifvfehifd4UvKPuzR{d2Xuk>E8;;U0|Pk! zfF?aa1sMYa1E_ruk^!~VKs4w8ED#MlpB~gU1@U3>U;q8j2k{qx>I?=3&;|z(m%#(7 z0CWHuNZ<ri9#lkv_*S6y1$2!qhz}~qKs0D#3Pi&&R2xGD^xSGtQ38?!^$kEYsJ#rL zK?j?GXwVu25M2N|NsNI3d_FjcuK_xV40N6~hy(I3)L>9`1rmbU52Hb1ApHA3#DAcs zB8dMF%6|b3$p272ES^3<`AtyypHM#NU@(w=YGc@aX6WXcfld}<U|?{A(qT|K4N8|m z={6`m4N5PA(%Yc)F(`cvN<V|r-=H)b=)^Jx1_m)Gtp=sdptKv54ujHZP`V6CgGMMo zZl4C_FN4zCp!6{)eGN)KgVNuiG#j|r!@wX0rPZLc8I*Q|(qT|K4N8|m={6`m4N5PA z(%Yak$Ze!x*!>LXdSLf6FhK8JfZe|UyKez@zXI$&1=#%wu=^5V_angWLxA0X@Bw<? z0qlMQ*nI}D`wL+A6~OK%fZay`yMF+7-vI1>0oZ*4u=@jG_XWW22Y}rN0P~-_v$K_g zMrcxLUP-Bfp)u%M4qdZSFxRNg$VAV;L=!3u(}A4^<sTM?kKnNoWEG(N3A%%$2AW@? z$&LYZ5Fj@7pjmMy(54%30{~$XNFPYt08Jb+P|nW)D{o;sJi%qHB*PETGA=M1A_Ho- zGct(6@9ThwGJxBGAoF46H%uHfU&Y8E0>AeICcYeeZzHCELHCwn^A|4vg2u%d8H5?G zWWr2F(Adm529_3LfZZ1e<}xra+ywhk9A3`C#6g>Q85zVF(90RnJzff+)+aQ4VCq4A zR?wl1Fg}b1E%Fj%kYIq_TLRkm4Uz*b@e*R-XMm+caQ^{R4}tIN;%9)RYj7Wufq_8{ zDh^B6p!fip16mh}&0Zbwy<GeZuzNVcV=B;l5kdCC(lxm4%)r3l4>liUA}YppuUicx z;tEV;9o=Aa_!(gN9o(*FU|^UA5@!-%fYrY+J)p5Okb5qG8rTdB44`xjlG_AQ&%n<h z02*v#U|;}`nJ_RgoB)f1RG{J~IK;o<5a$Blm&VTkD}TUa1q=)fqA+n#$B==60o+dq z-N6SIN3|2g)5IYTT8;zq7p!~%_vsiI7=pp-`59p45-c9$z~UeiQE@#J_Hdq!L;WTk z;^&zd7z7yv8DRI1LYqkppi`T$rJwIO%wdG4D_D66?sqaUFz_&Acc&UN=*}Ps1_reJ zVhlCsCTMpe0|NuBc(MVDqlN*92O1*<g(s~30QbQd7#Px-vEL`x1U84C!2onl2?GNI zc>IomfdRB>7@L20g4Kg;LdEC6;`|I7(9-7<usEt(5RVajPaZ!5tiFQfUmmbHNChg^ z1dH=C!0JEn_!9#Ig9%t1RV|1Y$-=-O$Rq(TpFv_EoQgwzD-Q9cP;=1h?M-0y{0y-A z5<I@bz`(E<Dh{h>!Q(=p{0J5Yxd0Vk!yyhj2nJjDzXq%4XMoke;IRk>28M57aa6NG zJOx(l_kFs6#rYXv^);wm2B{B%io@C+;J!Hn14Aq;D19R8JCIrs&IGFmu~2azSe&21 z0D4&uc>I-tfnf<$TmYKhK=l?#=Ps!D0cZjTk3oa(0RfAHRG{L!IK)9?^q}+)YyZH~ zl{6dnZ~)D(gVe*?Q!w@3IMla*#rYWyK>Y<C8)jf&m<1L`bt8zk8;3Y(P61?Z8#G^o z$1oTe7><C|^E1HOU*NGe1_p-nU~!O%sQ3|BoSy;Ko&%3BGB7Z_WrM^Q18B1;l9o?k z^+*CxCL22_eE1mxpqDOz$Eg_@7-YfXP(?_TI#`^a0oMKlk8Lq9Fc`5z%Fh?jdxv4= zCuq(E<lh5O|HAr}pm7>(=^QjpBfx-}f8(L{!rIHwZYe_+SRBcLP-Z(=oS#7e8eg#V zHWw=X0j<2+3>JrKMxsvQ5WkH>{2y4Hp8?kXhNUY`4oEn|+U-$LJ4B%3f1u$59*1IJ zV2}ifGx1<l8uB1<s9qE*IJv0AP%ob$K0YxiGrlA-ogulXq`0IsEln?(0da3=d`WJ6 zGU(>eVutwml>GSgocyH3ocNTI{G#Ic#L@}|&`q2<sU@i?dIs24fbI#*j86n#+nJhI zQdG&12D%*+blGBVB}05X5)XU}B#P3K{DPdEvRv@=V!WYVGDCd4Tacr#YrLzU3+U$3 zcz3_hcvlaopodEkL%h3>zmua+yuX`Uuxm(sh@+E_Ydk}|OQfHpuctHkw!>nidp1E= zLWU$JF~F`QHL*Z24G>Hd(6xresU;4uYY9OY5W@7B8iVIHvE7}R3A;cQ`Q}En>sMjM z7@0GG!-@fX8)QMeWukd%nqhKMd~s<~yb)GWGq8WaS3^Pq7!(_zdr{%nMq*yRihkWD z%z9%ZxOa^q=0a|T%+3T|i5idN-cp!u6HB;m6H|n%(5`cZ-wTWHc3M!F6=Pm~3ic(g zYdqsU{o{*EQZn=6ON*h`UV_!*z7Q7Xa8m<3_ut0HrzDmnLN3Z>fL>(@xp@;DM_4X~ z1>N=uGup@k?WRnW)B`pFEtYYI9Lhzwn0I)BuBk;c%McL@sA&UoXD-Z<#^zvkIB$%F zt1||x1G^fFzv1`6#>a>FIzzK3C?>(t0KRh-`?aZHc^uaZ$H%7>=f`Ix=B4DMB9fOG zf@uVH6WRr*@T+5?7v;iyV2TtX@DKziDAZek;g{9o@+<7}Uu3<AvckxcAwE8-xEPvh z;9-FG{#Y#WXMr`xn_v}%TviNT+(F(|x$q!0wtxm{NjzxjM+&ZsWnqD8YywsfjzxTd zitS=vaQGI)n<N^frKKiYg3CEeuyLU54_aCRswA-9$P2#180H2Ob5QA=oLhjBzu>aQ z4DqRX(5rCKuOEhlJBkYoA%O|Gff#0psS$%;X>JhoE@DrJX<$!7l6Ere_T~6gP>BH# zP9rl0jO%mZt^|c0!~rNpy*bt>hGajOd!U#1rWS*JUQh`)(-0CL;Go5lO`#VG!;CRT zl-kCo43N8hK{qi&A^~<&Hr%p!l<T1x^olEUOA?b9^omQ0Aan+dm6=zPT2#QGmzQ6X zs+XQus#j2yUyxc<Qi&v#RGOKSqMMll6>@a))CDy|z{)cci!&JXQY!O`D{~=qNf85B zrYyCnI5R&Fg%e-IpjVWdlL*oPWfkO<FzA83qF0bpqL-du!k|}@T2aEFmzJ5A$)H!1 z4~{3j)C_0?BqODW0nUSHg|tK<I$&&QImw_0(VkRX%%GQ?pPQSS2U-<_(!wH(1DZDh zjbXy(CqTy&fDD4suz3@Z7zl&Zf@l~9b&EiA7%=^ybq*jo7!4Y~1o2@QI=uqpGMGW< zI$-)EpyQ=58rlqotB1AQVFIA>PGtLG<E=0n-F|fa;h;Y1|Nr?g`(g7zFdAk*Y(5Cx z{fW@IAeesGd=ZRpfLaK0BPi@(`eE}mpy5xDmtp#0^G`4uHs1vEFUSlS8$=f}Fo3S9 zfx90ze*>eT-5my)`(gILXwdW&Xl@9`hs_VcXwVu3kUi+`2Z@1jFL*o{vS=H`hs{^P zXwZUWkT}eKbpKC>>URPuVqjo^&40mY*nAmCKWL5&T|ejyGf<d-<iSS3A{<JC(l=Nf zN<gCn%41*voi~oGUjeEQMuXR^!BvC$t`H7*aT@~z186)PS^oj({0oeRxfh~~0d!m( zm<baHtxrSN4;$}=(N{qW62Lkj1k7FtkAYzuc>J3I9>1{hSr`qS&VwmuK=(iBV04iE zu=t0KFT&_IaGeka%-s+k0|V$-aAf_k@p%~S0+NI&hQ>7#m*EoB{V@9tk|44$8njjs zA_5`N?FSvV2AU!T<spy?*gfSQIUpV)ydgXUA7&2dfOOE@GfY2hzBqtL{a+X$VGq*} zn{SAK>Ia=f39=iMe?T<MURXS?hWa0-A2wfHkq1)Ez|esfelYzo`Y)P(_&99=L_ci& z48p_ct}`%0Cx~J8!{&{CK=s4yf$2wc4g+X@1SAGp{{&M5qG9@x@7e{4gVdtau($__ z!>|UZU}IolZ~$$ZVqjnZ)kB~$5}12n<tJ#K4d!;3UJ#uD9e{C$X#fdA!wSj-Q=sW~ aZ2DJ&cKI?eFbKjN3|7qm+K3NjK_~!Uo!;*N literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..6ad1a32 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 51971 +Design successfully loaded +Design Loading Memory Usage: 20188 KB (Peak: 20752 KB) +Design Loading CPU Usage: 20 ms diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..c0f6ce1fa55f360160a80f78b9dfda3aa9f8d3b9 GIT binary patch literal 14308 zcmWg2k!R*+U|7Jwz`)?}q)MKdLu%QrbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$V1Zs}Xm-n(dVUvJ}Prw{w~#?QBRh+<%1_zwjR?hFhJ&E2c`7Ps_SKo#<~ zH+S<kE?UUj(y^$cUs<VvXK`OcOY@4w9Xy?l9X$PudHZ@Bn>%{o^1KT>dV0Hgsc9A) z0|NsS$b$?Hj0_ALn3)(EteKdb7cb=SN_O<}cg)IIVWZlf?-g+hBFvWHnw936CFjgt z`2To<Dkw<S%2_k9czSxe@~v9EaG`^Tt4o5PgI89@<7Mv|4lh=Gz|06y<?w#rS~(_U z4w5*82{nkjdGSKq#+F4LJuCW_EmOI`ld5+bq5y0L1B0l8Kh)hIw}YGu!VC;3!Yn?? z2`OL#T>|7-u!|WO92PP#Fu(!<ITk@|SVS=}I505?FfcF(I^;1hFmOQaW^ZlmZEv(~ zfzl!l?aTrU3=S>K0t`${j0_eGVAY&3)r&h8b}X`OVTaH-RC7U93wgQvIc9tMCHXpL zWMwA#yE?dng?595c0h!tVz-eSYKCA#M_+GqXJ5<0g&mE(i~4O_1O+<!dWDfhaJYg8 zW<nE&2|~n~zza1&$j{l+Kf%kz-!&t{(<31{$-z}<-egbzgjq;JIQ+o}HAB3wp}oDM zy%F6lP;o)xT*MDGjeBudOZUR&hTeADUNGAb%$7w7OJ)WWNbG@P9hAm+7cT5r)ZE;# zxTUYfwin710P}F@6olFbRok$r5m_ggheM|jR3~T8iiKbsdO3Sm7=l?ibO<Bq0Q&~4 z1I)sqLj<aW%P}i6!OJnh&mn<pVpiq`FmnU;un>jn;cjnQyrLIudM~%Q(Bc)cU^Wh$ z#Gtwa{2l%LJpDZ2_CW<^5U^Dos-LyJW1(#?n3Q#xjg-^iaU}s&Dcao9-mt2py}zen zVROf#j>YXQUADboQ7f>hAyib#7K@u183Y&{)^iCkIBWnB8$rY-5V09VYylBlLBuu? zu^mM001-Pu#4ZrA8$|2@5qm+zJ`k~=OMroa*&&EofZ@NxQdSVj${@h-A0!ySEWqHf zm{owmff;1=I*?Ka5XtIL5A_i!1u`%>tOgmihD(5f5mkoKVJ%3L6*E*h1EU_C2`<|i z7%&7J8W<QD&{cs8MKA$25vv-sQXY-V0QRFOy21bdGcYh%u^`+BXTscvE&#S0T@dUv zFoAF%x*Ful1DVYL4tG&><q(&_Y5)dCJvbBQGIRm3%g_Zu^$!R`;s_MB=qlh9DxAUK z07?U*=n6qDVuZCj82(Ga15Hr|#)MSXjG)>YTqA-Bq#BZhDi>WVln<)!gdAKL7#L)s zma=y&Zdkm~b}^JT1|=U3hlxlkxWSogq3z<9hLzkB;_@;AhPK8c0+tTfK&cbd7-B$C z&)Tu5ckx122_so!Lx>ti28RErYB)UoUHv>W9Gy94&YwDO=8lPzAu5?LRB|@hLhCtB z0b4^u2|-ySd5BtOOtnpLwL++BSuoVHLu(&)IRSBT32{M)nXDM<*s?N{vN99ccJ17> zYv%@tDmDyN{LnCJ?&|=D6F)SZgykfV!ipWFkNN+916Yg;gW8uJt6CN}Eb8d*wQcEc zT+{#(vp^GLU~sq!DkMO~hr<;R>pF<I0U~aKh+81yHi)<bBJP5Sdt3qxs<3EgX3}8- zVNmL40{a3&aDW>?AW;WCMg|6i2A1Zv3!1?MND_w@aTG1gOzKP^j7I}6ng%^4W+pvo zF$hXd7>?pc(ZJHYb{)u3>zeV{A&IVKJxI%Xd|D(xS~TRKS%M#&B^LLA8g~7*ixz_U zhA_SnG*L4++-6{4(2$2};stA3v|{m!F55*5!8}6<4^;!GUFz@v6h99^#3K;#7(_e) z5l_Lj04SF_+y~_@FbSy^U}=Vd3EUipaY3aM2tymgFkx^58B8!RAeB0OP}e$ut3yzE z2GalvP!I++kYQaOWHtk{!z83afdLXz;mAT94n(F(hxrT)46x8<V03r}@;b;da50ce z7#JAX!GQ`doEC#EWdN7d=t@yaDQIp4l~@orfh>f@6a%BfbC`v2F~Sz2E5&OeCyO#P zq(NOyP!|kZjDxZ<)Gh`tCa_PCRDxxoJqcKkq6N}m0R<0+O4Oc83%Gs5!06Be%E$=& z#Z(b&unk~q(FL(v`#^w!!2(?=Gp7P42s=cA+Bosd0t}37Y6v^P#v}2;c7ij}LRcn( zW-25;I1w-~V%3W`s2Lrq7#JATq1JQ47+_05fe#8ebV2Tp&gKqVP=~2y5i%QUKe}>g zPY~84goLxh8WsTt1{Ov(4XD*H%aHhBN08!kbiLqmWFe#+ftD(89yk><u;?OLk1L_E zfrAxECGif!suSF2#nO#M6><PKvO(dGZZo#-BW91%0o*FbrXSix#MO1g5O)AK?Xj7J zr8AAuy><Zi6R_&W>_}sXIDk4B3@mI0Nbv+R3yBYo4R99-(h=%)0QD{y7#-#?FfgF2 zV`*Nrs2NOvyGv|_XyzjE!REp`s^E?$tcwfn@H&7xIt+{!=z5qr`8h$@A%a<efz1fb z1|&Y%29yphv}@}C>NqhlTA-^1nF_*SlO5t27#K{^Oh@8_O(!b*aB7)Bje(RPFdo<h z1_lNKB?zd%G>00%z`!7e#0HxH&IIU!q+|kcF^X;gs0amN<YLqU;R>*&NPMtM2p0&D z06^CVZIpwX<_@5CBLgFw6`Ea0e3I-!*M~Q-wQQlbLfi@Cfh}ZUV8C!E3!5EO1FU>S z;)8V&lfcpSfPx)_!9mYvk7ffBA8Z4ztck7;oGZZuBx^dLnTx~+n~N{wpsNMB7K9-# zc0@B7i4QiJ*kppP5794!b<i9@{R=H;sC|$~gYm#tfksYYis9P9x|rBN9ZhiSo&nNm zL*j$=;tE)Fb>J`s6X1XaH*j2`P6FjuBsSPwaMnQ=B&E^;=T~$CK*0~f;3#kaHG7!Z z+z_q+TZ+U7yM)+?K-b5K=*q%b4xkPm8)(J>IZToG5F4=#X`+?)=z2iD0%5Sv*gVku zgv1BifG^pis|A?~!eEmbnAyD0%tqpa%_cUy(DlJn9-IMAf^6Ps_95{h_7M?U=z2i) z7YKvvFg71F8<6;58}Nk|x>}H_APhEH3pN=7$#gIt*iZ%r2AnB`nJoa#Nl1LKZd}<8 zT^&pFLQqp<;i6`6wqpxKGZ%>uHkX)^7+nv@yC4kqGB{%gA#4#tVuNh}=P`6aL5wyR zrU<wd<^ayt=-NTa6NJG@6r8P@*n$ym09%Q~2fKsVWQwj2X*3HyuH^t4ykQGLvk{38 zv5|;`imnIbD-Z_zjDe9Y49yNCKEw`icN<@C1CkWc_2Ep4T9Hs2A^KoEuw|em3=acD zxPU{0nGH0_id@Pe@xi)rC1G@REX|7+f?CT9p-DIz>L6HS0*MbcmzX4st_PHSK^T#Q zV-U88A+f<WfYTGYAo1N0a1ut>4vJ(D21hhF2{W_BBHRGB5{VCX2eC;QT_1el6gq(F z02*;*i$k*wi4U=jh~$f|2jn9V2K$N))K@@G5lDQn4fx7gbhRK;K^SZ@0~1>!n(LAH zV6%x0D|CH`WQ|~f6F6HEnvF<&u#Nb9gRT~o_COe%{<Kn|CPT6uj0ZLpG@=HLHCQZy zV~?3F4XO#2Es*$Now%|ax;mETg`n)V5SHCQi!6{M4T%pnmzeB^t_S2*5C(e}Tw`P) zTrY;i2HOBm`{;t?jURxs9=cJWWCX(Cqy)}-jBJ?*_kgWO;)C5pY;r=^2b+t4Oi4I^ zM)?_-*s{@VL*kQU8@fJN5`od+q{5biW*ZV8Y#Y9Wi>?+Fiy#b+Nv(XS$&iE#<ADtY z4Vptd4GjXY-x=8opju$b7KsnmM{Gc&>%*ANfet9crgt1bb1Pa!P}?C6hVj4_GcYg^ zWd>xdwis#<s4IiS2Ac#<K<I)b4?Un8019;w28TQ})s`S!0k#y04|WN$fr+jU?{EME zBU>4oy-0kL>_yi{R0L>MLTzVsSi-=-0ONrz22E}LXJBLljV~h?Vn}?jI${F`T_65{ z0nc?fEMj0_fGrn*rE(-b*naSG7v!ZcP__eTEdv7slPOFCqXmow)(4vEMIOl)Lze|7 z19U;6#^b;(ZLHcc26(`eVMtB{jg3M>8|+F@G6i99Qe|KOhe#td(qJKi#0TqvRV(1- zIPft~2+skut^*V*FingWFcw%ZMyR06ijgh=4heK^BnBk9c6dh@&H(p#!NKXEAj-gi z;Z9n(0@1EPu)r-Gh&%W|OJC^c82C^LqDKNAG#LoTz^Y9c4X!>B4l#wrC!<9ZA~l0k zASktiFmj3q&0a%u1vna!_+UMtY8Sj*3$#QGmj6NPmOv>TrkK$J#scfXNa^UZVx$XT zbsUK)9o<%V0*5ouQ#!g1TDSs{z7Z^}DV;8kAvUGc!!fWF4x`aiI?N4>7A-`i^j3r# za5N(E!KoHynIh7XMF-H@JWy(fX<)Q~vB3H;QaidVR@+ESy69%YQ!Jc;NV=vl#f%nh z2tR|}3d;Q;j9db=Bh-Lhio^%&L0<BSSpDe$TDAxZ6_|2H3m6Nm3nNs}WwF{tVyK{- ziO4_*79uN|!jv;wbRhf;b}cAOKo~h(IuUBXZbjmQ^+4C7dY~^*MF=>67IcEb2&R?M z0>%QHfDuOMvRLgUF^tg7gm!eG6u7?wt~^X(3K=cB5IzUH6BIHaj2t@M2sL0=BJsg` zz{_W`FRH~5bpS7SWneOe8Nz4*V}Z@W2q$z|tS%rioY2jL1`w1&3MZICMhnpFF0}3h zyAu>fAPfyB20<y<(tid9M(`RM2RlaSj1@u#td)VYv8A)O-L`r0LIex4tQsQEz`!5{ z+Zq5e4ZPSGZW=-cq8ecaf`x7xZ0i8XH1L87xM>I(;!T5XA^@2NUe*9N4Ix9kX|N3i zAk#q091!7#kRjeQ*yaL|Y2c+FaJM03h&K(k%>ZN?c=-q1G=vQCropxufJ_5*s~kdL zDFh)yylJrQ2O!fxOE3_oA!LX*4Ynl#WE!Y*gD?#tL%eCQZ3-aMK#MpKrXggAHx0Ih z0c0AeIf^h1Aw#@ru<Z>X(?As)!Zd^o@utBxK7dREH69SAA!LX*4YnZyWE!YKL70Y+ zA>K6D_6U$^pv6F7(>M{L5R<?KD!QORazd7eXEtKT7KQ+LHjmLEnSp@;U9&?i7D3SJ zSdfJd`k+LQO$A66guy0*2~fca5&;#6upJyA&w%O>uxG$-N60|bB8ou-3#~weC`2Y5 HK>Paus~?b9 literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..99af87d485bccdfbce35764888c400a19a752c0e GIT binary patch literal 15002 zcmWg2k!R*+U|7Jwz`)?}q)MKdLu%QrbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu&r%Zs}XGsHIE!;~v*mCDsEDQ49<W|DnLanSp_!xqB7g;+8&(MJ-*t?akf1 zjf)oYwsb7&=vP*1;91<)(9*nOaR*OlV+T+FV&1;q#^#P5xIFK|j-K9bUTT>m#lXP8 z3Gx(!10w^&2396U25Tnf=EVy+ypkQg{2jA0R@kVv=X*t*f(WxExMrn!X305o7ydt< zpb83xwQ|->ES{d8u6(OjFI?#0;p&p$=irr<@p##LhQo^$A22gQR5`rgw^oh`nS&$_ zVL}b!ZeG05wy|YVN6(7BWy@49@TBUUhA3dhrJn>#!0vz;XU&8bcif=3YwumOxUaWy zv(txtd*kQZ)7nm6kewZ?S{64f>gezNb@{-gV{M{`A+BWLa`0zhU=V}G5-4Ion1KOB zn8hbKAq7mJOMs#U95)OM4htC=7+}#2OQRqflnfad9GDmc7#JAX9P$_#7-XULv9~t% zwl~_gKxq+&c4h$v28R}A0R|=}Mg|K8uxdG&>ct%kI~LitutR7Zs^y`oc{|$sdm0ul zUeVvzV%q}Y8A5m>4zsb@qX5+@<mKw;nC<D8<m;G`m6_!4>fj0%+6@-k0TG&t-K~mH zGX%hDGrT;LJ+u5=y&V!D0z2RW8&KT9%wPiXs}fYdXmd+@!zzdunmZPCEN*Y<vh4+n zT7g9k;i9rAE&-dw$RNPraF<Jf!Qmc=xDO&8fQW}6;t`hs0|T=|5VHWoe}|>4Ad;0q zfZ;z#Fo0Qr!C^700D}WF$c#H6r4AsH)uA3Kp)fEx+yohQi%Wok5mkoK;WkK<l{nNV z42*hkCb%GAV89S?XkcJqKvxA$S6~8cB33nMMFJX^0qjRnbc6r@XJBBkl0di*&V;!S zT>xx1x**tTU;^PjbT!B&4KkYn9PXm%${{X;Wg-SfJvbBQGIRm3%g_ZuSr~*NaRdrm zbQSQ@7tUaC0Hpy@bcG-nF~TY)hX1PYKvPtQF(D;8GnI=maQQS;%mh0YQp5>4crq|B zXhH)Axx5FlEudvT10>KOwS>bDP=*l%mv!1ujnEPiSIKAqDJ^thsv#vJu96X|S{JGs zT$qtszCq2<gPI}O(9zf1+}YQ%aA8Md@1lO&7D0iIzFuJ@5%lsIYJxt@geD9Vgorc2 z0BVAepR=cbf|rZGYet5rM?!LvgR9WI$)5fRvyg<)%W0@9454O-_cgS)ceFR6y9FvP zNSup|pr&yz?rP~?*xb<DZrclH8-m&BB{b9x#!y|n3m0}QYHn^=+|t)#+Y99hfO$A{ znxN@i)QGGT%)_D66snW6XT?IW4ZWN_D-6Lb96HR9bbx&W)&XYW&|wbM!R45hnc(G^ z;OCIQH8Cr51DLr1t+s-Og#}a(cYD*~6}@27d%4Ah7O#*6vvJsD3DqUw@95{}>E{8r z4=ON&fUQ<g{jBXB3vGMBq%2y!40WG1R3*3uCZ=ANvc+^WxDa>v3CZohLG|Y!5b+m8 z`~wmHK?DQ00D}V~h+qN{%pigVM6iMgHW0xMA~-+<Cy3wz5!~FMrc)(S;ltqY3uGR| zJ}Fqq!oa}j0JhtXk%0kReIR7O4rJhLZ0YQ6w{2d$5W#}P8blt{&V!XaAk)B}fSZPp zfv859fncGV1}m9Brh!8PZW=;{c++5I6v#Aiu)<A4$PjNDth@r5296)NX$TqOO@ozP zAk)AR4L1!TL%eCQQVe7oIK{wCL&y+s8mtrpnFdaJA+QvJkRjeQSm_2b4V;GIrXggA zHw{+eflLEu2DoVm8RAWYm4YDCz_|);8bXG6(_kee$TV>FgqwztA>K4t=?O9ooX_E= zA!LX*4OXs#Oam7faMKVn#G3{yZ$YMkOCGps2pQr{gO$D@)4)X-$TUubD8wXi5rr-& zkera^;hBvX48RZo7vqc$$)Kh_wCskM$H3rFi$#zTD(j#RO7z%NKou~6O$HMjFd+s8 zDOd#o@(idbb^v(>>~@3<L^+}uM6l2cMCeEmg8{6S4erD*YU#3V>26%q0OEnV)es&7 z1GohV?xs0>2Nn6CCa4|M*C4ek;N5=^&jQZF*$j1nY9_83>IhR!R5R2Gsv6QIC#r)E zt%N~iTcE)taMKFX%xVF%A<Zlt4Ne!BeaOwM7L;Zd4xO%OI>8MqxK1z+hfX)BPH>Z= z1x%t3Izav84pj+nkhQ=YWH`+BK+*wj9D#L!SvYink^?B*5DhX{M1u@R1KA5|3sQru z1*t)X!zOR2E@=A;-XL>@3cwp=IBfNS>c{9_^kQ@`Fq>E44wNs<1oZAjuONE&0*48H zP!lk_7YUf%3mnZ^(4ZP9#G%~?^zKD3R2;o~fx|@sP#3YcH!SX5Y}*T^F`KI30>;4v z)DjeQFaxy;%|V0(h_D0^Rv^L}MA(1`TM%IfBJ4qg1Bh@05l$e&8AP~%2v-o{1~S$G z)WC!lEesB(API<lQm`@uR04wShBrnKGGGT1)xd<6DIn9po`9Q%kRjeQSeXMd4ICOE z(>M{L5R<^gHM$^1&4M8St_vVl4Z3D<Rf8_b4Y7>@QPrTUfGWUI)xe5DkY~WL3i1rt z?Fbo&35W^?!9uTUV8tWIG;s2On}(1f-ZWT|2{H|w&fumYWQaEnR*Zs711DIJX`BdA zh)H0tqYGlh5{3X$w4rMTM;p2zW(xsb1;jZFIHC<!(t|t$$#|fa2558+Ap<c15m^Wp zdbGi60g!3n91IE?a7Z9zh&K&ZLx4;J7X@(B5HiG@2CF+jrh&^8xM>I(;!T6qDIn9p zg%jK~gbeYf!D<+gY2Z>0-cCcv5N{f+wgH(2E-vAwA!LX*4Oa7jOaqs@aMKVn#G3}I zgFvQ%3vjq;2pQr{gVjMG(?B%=xP8ls5QUfoPJrlw7	^04Xb?YX)aUbV1A#6kP?x zIk>VSta+^w0<Bqv9MMJ+Ju-wQqKzcZ*a69d;OQxc+YAg08lf=l=mU`+8A9j-kyx~c zLA6VE^tH5iENnn;vNSFP$%uC>Y(Q_i2pVFzB^+v)gr|#RMuw{&hKHaM^9Xw@0%{ab zmba^026))cBLmFY0p?)wN+eVZUq*&!vX_@*maD(3gGUCGI}yyqq75{44QeMr)j1}6 zplAbgv1p5i+Q#LZnE^K1BZJE~a|f7-MR^R8a<B&=%E3%5%44C*xf@_Zk&O$v1z<yw zSb{zdszJ!p-__4E!_gTw4(X8r5}N0k;W!yK5{bpWc&K*4j?RXK3tQR|wn9b3@z|XJ z)y(GSnc?7(0j6f`0B0!3L@GF?nx{aO@haGYrh}o=5D*?>Qi4GTrp}=m)Y@Zk=wx7E zfQiBLlrbX%gC2$`s3t=f1Wy`+35Q#tjwv=Zpm~uMPzNEi864JvM@nVVpl*lT4>AQ^ z5Ur=$;;<2BA3>F%iBbj`(9#P~Qbe%@T@X6;44Y_aao7a2g`i5%Oe}*;1~$K-3*wrf zL7lU4*bK9qO#Pr4VFsB@Y%W3<#ORN;V2U_wfw>1=H@4Chv%qyI10^|`ENt#U7ZgM< zDqAo`9Ja#TgRWZ$OL2`U<WLTFPc}C9pbKL56<x6iIc$Tu2wgv@oX1@QV3%>I0J|>- zoBPlOq5VzterO9Wafj_Nm!g{ltwnHFFPIVzm0)+~Vsj_DAb2zfGO`1iK!dU!cEH?& zt^-^xq0~_@jzbmLUeJ0IjC_qQ2ptVVoq~h%9CpI&M%M+awZQcbyt0GUeGb)N`}48+ z8(k1)KX^<DHU-!K;W_Mr*^jOZW<R)^MA#4II@EyeFTiF$x*&Y?2{gF}ofvf34YL<r zHEOjBtAQPA!S)qmvkzSmWFL6M3Tz*Q<*)~4AG&Igec;L(TyMkUw+?Jy5jOkK1rZ}( z2}n$by)YZm)uUAXNX-C;da#|v*z80XL>f8kMP@tfgV~C%1Jqc+(tbb_a%cd%p#+;7 z&;_BxZt!uq1PlR({V>;{>xDKxFq<PtA`XpUx0GUY3%Ve96cjSa+UsxtW-GcXa03R~ zj`7HFXabvGhRu9*K|E8l7!$S*2VwS8WD0mC6{MMBUIBF@Kcs~PA7h8`k;mK_bYN;7 z_JF(xX=TC0;O!4^D+@yu)b>Fa1UIn21frFNt_C#lk2(#2;4wHHfwZ)$pnib60c0Dx zAVy2;1S~8Gs)V$(s<GLEE{M_6ItjCdph`$fs|K4b=z`ehAYd~R4yRx?lB5f?*p5L4 zG=YRs>!1rF)pn413`lL);&2*fGrA6fwOt)HU!x1cY8&vf57>MLq_%5uI0LgAT^Fpj z!CTvb771eb8(k1)Ke&E_+YjM6oQ2tst_x;Aw0cA1LTfuvg2k{OT@YLnL1txI9L~Y) zLsx~hBx=MKzUYFW+6@x62$sWnm_6vKLA4t+Op%z-+O!FqedvN9TflWFXeJ1jhAzPD zLst#51ZQp9jLklDK|~emio|rd2(uAgJ%QS^1)H7df=D%J3o_f`63kX~9VFGJt=Qav zE{I-v!e+JL)vK$+WteNw^^#DVwqbJ%x*$gXs~1zm;R?((=(<Vjk+oxU54s>m|Em{M z#NjH;J?Oeg>XCI|a}T;8X7?)ri;%-Ln2XT$Q_v#=jhSGSLFj@wdS0-ZLG+$lufuhi zOVLdtyGPcAEgaDWp>vI}Imcdy8!&gEs}#V#<Q-`ZyaQ<YIkd6Cz`(!+9%KTyftbPD z0~}U^%svDnj6n?}2M`HaUJhFa!N3IGnE>O0Ryl((bYB8Y7~B>D6ATPUtCxGA9(Dk) zj|Y|aFb%K<4`{yvXm1USX5e?=0ZnnbgNXSI3=FUVV+Ka>a0Ph08!iSl1u<!ZU_pj! z85}@nz($Q37{NmfU^C!iM4AB`I%Z%54+DVBfQu1n25iKbff3wA2b%#GBhn1mP%#4| zxVsHD11?6S8L+Wq21am~8Eghzj7T$J1IY}G;D!L$47eDPX23?385qHpFW3yY7?Ebc zhME}|9YEbvuo-YMBF%t}KZABwfYKc(p~A(8Gy^sy&A<rmE`r?w7bDUP*tj$UBe<If zHUln3q#3Z`X$D4cSB?|Thw29#iY|yTKZGFw9^Zycxua_aPZ*&KV$R*7tAM%(b?%nQ zp#>BHdqIT}n-px<5@-qx)RS?5PedYQAjTl_J%R--0U!z(7^Gmknn0$3dMpUj5Hb+O z2s02YbkktFo<OF7dLUraI1!={lfa>kE{GAh7y?M4jjkCS+USCq({t!5AkM)xJqO!} z1@a7NkQ(e6u-g$b5EBpqf?%Ns4Qxvm$TUy|1ev)+h(b&P8;>rC5fT^zNI`?H85}g| uf|x;rt^(p5TtNfd9|rOaX!HT>8L-<CG7u9G0fJzm1r0<YGU))?l?ni_Lvc+2 literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..5034304300ef6217f02a54d8c28453ea8904c7bf GIT binary patch literal 47885 zcmWg2k!R*+U|7Jwz`)?}q)MKdLwmxlbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<-d~pwOgh#kde|X~fq~&a6gYS?FfcTCui{(W(q{oz z%G=)D&D*$WA#Y2^qK<xLr3RkGeGM(mD;9U~bT)SI^e^V^>uqfA=z+`gF6`*(?dGMf zX{-zk44fcuGB_|YFl=CEVq~ypVs2i%ki#q4(aYa4D`SO?YJ0v{#3_g{TY_s=nrD`r zGk4+t;|Z#ufLSYN&BWsA>FLV1YW2c}4j!&934RV<Ss9O)y=OSQSn&ZfBSe+M`+aNW zn2<S0;t(d(AnxYH3vC-)7IpNj=v%f-<pNKt-f4&euo(;tq7MEH3=E7=w}YGu!VC;3 z!Yn??2`OL#T>|7-u!|WO92PP#Fu(!<H7-FsM07DQI505?FfcF(I^;1hFmOQaW^Zlm zZEv(~fzl!l?aTrU3=S>K0t`${j0_eGVAY@y24VKa9Sb`a*|tDw9ICmXX7hHm_xCg` zT)d*cuf?_n!ZU>ML>y*gvxgh1lY4PjOZUR&hTeAD7BJfo%*J6K4^$WL!i61+nwuLI zw}9<~@C3j-96EW?bS`Q{)(Pg}(8&kY$=cqr(6$9k;_wqcR3&H6iiKdev~c#UFa)!3 zm<@_0P-ug6fc*{D0cPRQAqcgD%P}i6!OJnh&%u>zVpiq`Fmo#QI2D5G;cjnQyrLIu zdJDI>(Bc)cU^Wh$grT|w{2l%LJpDZ2_CW<^5U^DQs$a07qp!EQv#(|0!j8t?Mg6wD zf&v|Vy~0Q$vMBCmW-x)IMNyauO&BH!5odxJ)C3_vXHWkGFBgB;j0{hYgybZL1fhA8 zJ^d4AAqj23?h0|J8RC5n?d=`yjp%NHiVG6wA_=Hz?ClMUdl%dGLTOo)TmlXOMg{=} zhxJ?n3=SJW#6}RY2}EoL5nDjSRuHibL~I8UJ3z!v5U~qH>;@5gK*U}Uu@6M-=MrFG zV0H*%7GU`Au#^=<vN8xT{09jJFbgm^EM^s8a9{>my$+<*0YtJo)FYK)3``EIK}N0N z5@29Nm0@&P3({o81ob2XqaK_IF1Z;PFa#VL7#JAPRe_5<Fab6Zs~WU29F5BW_M<4e z!T<j=Ffdp#BisjP!rX^00Ja-l5bQKCfp8zX8sw@0nauzWcTsfZ5SPKqcLqj1I1}bF zbOEr-&;>!Y3kXBv2o$#HD&W;6oWbA#N&}+k3PCPngtaXg{!7CHO;HxcgjB_hpt=}b z-+>9F`j3PP6<sTo530#b9b6a~804Uq@-}p|w{$J)SiG>MvttpjKu3Fv6pZH(%?2t` z*%%$t7zG%Z|Nl3DIaMgj)j!iS+cnEE*)u7@!ObJt5hSz|RfvJX;VLL?gHpZ26)ph= zRhX^JOgc;;42ldUhif23V1ff&(}F}D_&~KhTmwt<+6B#E0wjq;i#UoFW+rwf5C&;r z1bYQ+5s1sc;J}WimWzp*i3^mz8ITPJISQ(Q5swB?IDriZ6GUhLnTz2hf;PadLAF6d z9vYJZj>(=`8Llp#$=;p`9uCPF0u!MEo52E`cR*YRZlgHdW?*2@P=IP>ZBVjZw2)Om z$=1jaT@g67C_xqSHld^zA-t(Y6{ZUm(;y6THj~3cP;7$<jM#>007o>Kz@r5c+b}Jl zm<C~x254-9>;Q4$v5ioR9NQpe2uDFRK;i<s25>aMbrGQftQM||2n}GhU;?BSS%Zc$ zG$sU`p^*!Z?a5F9cx;39BE_}}R4dD3hvW>F#SWWyfV~ZAw1N`^IIh*8%J}@8J^ft0 zp{6GD&717$=Q<n41*cvH21MDQ3eyLQX%GfEo5|rdD7L`_Mr^}0fFl}A;L!q!ZI~8N zOoK2;12nclc7V9>*hZ*Dj%|=KgrlGuAhC^I12`Jsx`@yKRtwifga)u$FagqvtU*H^ z8W)1?O|U|_tE0EkcF{sXaUrOP5m>~?5bP_Y$kfn)YGzpoitmM>_y${mND|=q)`BV% zhPB9$>I0~lApU#~GXWIqAPn*Xlfzd~%!3Jxn1^WqM>?3mqXiQ4FfE{12Vsx~Xv~A` z0CC|lk5G#o^B`piM?p0}VjjB&aFoDx5upLB7Osm34Pdok0;CmLgN8OVR>YwF1z5fV z7v;$rP~jO+VR)Vgn}dj84IQX4EGs~1U<D`*pexaXD&bdX?gNiyEN)-XW81h8#+L>2 z1q~rN9?5Kdm_{WujZi*LjRsJSyo=jcEbLeWHotKpglDw4eT9rI7TXM=ns~DOGBZ4r zy}TS9JTf4h%^=Q<9T*NYf@)#&&CGB}&Hz)JF_jrZm9eCP!e}cfj6iXMoRv(VO87D$ zR(m;mCOaf&K)Dma+|4_{%_If}a8YW$4yuI}+$D#Q43HKcv`GhQ8$sfn!GVoifPsqz zrU%RgC1-8{1}1RB6ea^z&j1}rfDI_LKzcQx1}cV1)Paf?a3_d?(V>TdfnfvGjSLJ7 zVn}STC17jO1+iQEK!Ab40$nLHrvfJkJ4AvyLh;N342*0W5%z(NN8*F+gq2ju84h1S zT^~k=84L^z=&BeUW@8myf<^TIe+FupVS(-jW=?)i5O#<F`A(7z)Oia9F+n9PqXR^s zih+S)Gt|qRFb3G8put8^WT6XkcXT#)*n;}<EsK!Z42<CLLRStQe1Z)^LE_e74T}H+ z0}CXEw?M52#V`^Z><F-t=z`!;BjgbzDBA(tSq8^6x)x9@gD_G|gHzX5B)gH=2)oe* z!J|QtF`*U*aBm!BpTism1_pGcEX|7+HG>I<4p6Xng2vt0wjpffL}DXsL>EL$aEuPg z3=9nDiX3XO2!eV8Aafn^7#SF_s)z$ggCZJ~l);rV69Xfyodva%0k^Yap-R9x4B{-d z?MUH<#0IMbrxJ8QjBo=55xOF<c9Z~MWMJe(SB2GlHZM<q7uO6Z1uobjIRITZWN;L9 zs1(L?01xtjigK_4pw12h1B3ZqsAqYgWw}GLhrcTd2X`@T1}>&yCOCk&3=9tD@M0P! z1M@oe@)DHuF;wCyruRc#49dhvY_KKZ;6oS0ZY?M?S)eNgWn2(O%Dij`5cYwMN8*F+ zgjRcw;A#(E3&OY#tlR<&42<ABfvyv*9W?so04f^~LG9s$F<{bQThRrP%R^)~v}{CI zPPlA547DB<tVnFIBfu^|7sOLOp(_O!O<)31K0!+4BS^L)u@SbS3t}CehmO@dfJZOE z5sR)36saJL9I;0cc7r{L#0T3?e8i&b1Z#&!>@lc4VlW0w8f+`NAk2f{X@eG2o&$Jf z7VJTEZ6MEqFp>ws)y{FK<)COqVuPIkwjW)P2wyvZTmVkd=$hfB{8UCz3+DvFa<E-U ze6RzEunU@X&~<{fg9t{pQ)reT@j(h0h_XZsT^HEB=z>HT<&XfH_ySb`=$hem<XlGZ zI5{ZKpNG0#48{Pv7*tDuN=0-*JUI?sDNFN0P>W#UqGt3QcL8c8C_x~x!4`u(jV=gF zg5bF(c!GrRka8TlHc(;&VWb4fb`fDW*eWDG*nT3y7n&Z>b%M3SbKE7UJz_8hOd4z} zx**Jh1acg@Hjw8)7|Da+9CsONIVgaU*kC7s?MD|R!q<o#hprhsNDE3ZkQ{dfVL8|? zBtF;yMA!ulVRW5f?I41Y?HZaTNPLh2B6A$NF0gyi1&MGkBFCX?M$K{HN)|NG3z`%a zgE7Dk28ACe!=Ve}$#CdOS(+Cu1U11IVq~~Gh|m&4VuLLP`x#vjmL4Hl43&qJ;n1~# z(jo{WrAM~A2)n^nA@RZX6A`@7<bbXdtR0@=?m_JlgE3&zU|Z1zVO}DT;n1~#JO{!^ z9t3B&`%ue484igJb^_RbbU`9~jmU85n&F8GlHnd8EC<_##0NWo2)m#mjII-`9Yip) zJwmeti4RghWQIf61$HmGAQA3GWH@xq@UQ__ao`O19O`s27z6BJScXFv#FOFBm9jK1 z1ZB8|m>KQ`)Jjl#Kw^U}2KyOZ5SAVZWH@wfptK0WNa>O7CBkm7RY-iW{X_&WG&!K_ z1Z#(9xK~hn#9$1VG}u;jL6`>#WH@wfAkTp?k_W*V?lshMPyi#b!A=0%k1j}ruMrsz zT{CDM5Xfi;NQQfZupDd`5+9_BxV!*vyrSy_YX=dGZ12!4LE?iH5NC-Px-PJL(FKVK z8+e-%T{CKi1NG;>L0!(1;pGpRj!w(;Md9G?&u;?v=V2x|fVd0{4x2%uV5h@mz(K&k zKujoL)rXnOL6tAM>1d-WSWUt*6NE80<nS3Z^#ZctJ2Y%y?uShSBxgAM!=(l~wcr7r zT7b<j;t+QDi_09?G-Gmx!z-AYA878uoW(?+)^vD@OD}ZN8a#FF@Bo)8%vo{tX>y1A zkfCPKfcP(j`^1pg;NSyC2f83;#DaT@pdm|irQp#3FoEcxFthze*a)@&i4V3FHVe}W zoh3o%JNyT`o)cXkR>RN|7-UEdT_-Ww6F#KL$o2=#14w*`2cWY-a1WsI9T>pFMV#pR zz#c#sBs%p&yoRow7_Wiz4rCM`lp_8iyeEdl273+cM07!7>;R_`P>&g1Gs<WJ3ma&8 z6{zhFYdj$F!4AN-5D#;0o&#vX8Uv#Rx^7TK2g2aWjt#V`7TGQ&KG-fS3lA}tB07K; z$uTfmpz8(Alz=dJwuB8d=Zb6-5+7m{<^n|w5eLvpJO)M!biE*3Kp0{Z6C-SqGXprT zkoaJmpo<N0EkeW)cL1&WV_>vEHwR=R2!m~9U}0lHvlodEwwIK!MAr+l2ZX_Pv9Y4r zgv5u~gb{Lr7$UH+MAr+l1%x3sv7y<7#0T3%T3STc4YCJ>A$EZlXCbE$BtF<Ka^nr% z9FUD547QaS+<NDNdQ%L>09y#lfarpFihp#a*v6-r*|?$B!7M=HgDplc?$P<M;vZcf zIJu(>qSeTdG7?=8G36JyJ_ju?Vr1h%^8gYb;sLbco*#`5EB?{-fjxjONMv&X;xTm1 z#CQzc*Z_MB-0TOfL4>A5F(fwFV_*lO3ld`oyzocYj54sr!p4slvPgWe128i(rU)!+ zqw56~`ydP{`UTKzLgGVg!YJr53ouyGkFFP#y+9b8#n=SVY(nCLZ6d7@LDvnk2ZSMZ z38C4A#0T3&ZXtqh4#-9j2HVQO!X|=dFA^VYFJ{<bioik>T`$NU5C+@DCW>Yg5+7m{ zMp2JDg`n#N*#g24o5av;LgIsMA}!v~b%X2yVTfIz^<L0S2hL+ie6U^Q#v8ghAR9p# zY%93zmxB6I48{Q42P>M<1@V;q=t{8-l`^wQL#=~ZfW!w|j9%8G^I>H_x;}8Ip$lU4 zmLP>Bx-y~)Fi>9$w1SzDO$N;iNPLJF&`Nt;r9Zkpuout;iR{WjJch2B7>|KEg5c%P zkeOOJgy+PN*kF%=9f&SSj2-aOA6+xpSWr>`4f?RK$s;TW+l9mjtHdlbFhyXc2)bTS z$q&Mi(q941CL}(@CK5^!biJVb1;XGw#-@m76A~Y66KSOgx^9p?APlif3C%7fKG-gD zOA&N)KsJIf*j5G>HWf5`k@#SHF=Gi+1Qu`TdO`MpFxW0ORWzHB_z;^&h&Ob-AX`8f zVv`!0O-OvOO{B#ex^9p?APlif9nCHzKG-gD;|<*$kc}V=wiR6ZYe8)mgE7GNfpR2h zBn@2<Pw9`Y6x+BxGn+QlI+z7We6Ypnr9C<yR{Eps1D6))f@tG@kRlRY5i!LVc+?QI zf|!v_2h9UWe252#Ec?;*fjxjOh-35-hcI|h65>g8gNX4Yct{iMNpLSf58+WUBsSQS zV8@~h5@QFv9zfTOGWgHJrjM{3Y!?zA>;Q7}1G+h&`T&F>b%Ft!tw?;Zt(fgdOc7Y$ z16?mD*MTrN=dl^0*@VQ0*hE6#16?o377&KmWQ1lD5+7_6X{8vtZje1747Q7bh0O%b zHY7gSHq25VQv_BIpz8(M1Hxdt*i6xELgGVgBB35Y*9)=*gdsMWq1lAQ2irti2%_r- z*#p85yUfw-LgIt%A~)Tmn**{Dgu%8lFqqpz?PYIlY_RQFu@FY%o<mCK0WIB!>2m;a z85kTgcwkHSVKQK6Gce#;x{sj}&zew2s5?P3l1OZ@CE$VrT@cTjP;{lBasY(EWq|`^ z>An-fKCtmfe6XG1%@xo+7Reb7%-}(N@X~v9m5}B8n4+NN`{<(o|1(&ii-BSbguyWe zU1<+mdd~>1#a*E8<b*N6u7g#w=z_@WNRiplHKpjv39l)2g<21a79=*<5#Vq`7X+PE z0GWkHupGdf&_OkX1-f!jw16;j)VLw+1Y3c`2iuD~YS2|eq6SkG6gB9gpr}C?14Ro6 zV~-kls5`}A46y59QG+fB3J&mr3ZO$AV4D!Y8}q>dgRUGDC?Jd+Fdk3~VOAjV!B%4r z7*J~uT_t3n5z3|`D93>bl+qa(%>AI2L6tgqCMRS;_a`MoIJk?DZg3F-Gr<AGWnggV zffpe#8L+GI79kia@f0BeP#1&JDiRxP2{<943*sq4(3OIs0)!FK!NL}Zun%lJ5+7_Q zWd9Xt6P5!DC{Q80f6!Gy(kP}VD2<|vg3>6u7$|*$FnStg3qo@q5+Ce5j1d$Dhy&5p zK^%xF3UVO2C~SKYx;TkuSfJ|%c@TurJqaD%0BuiV3r6!V5+CeewAlivZ_!mjT#6|Q z@-4b3%(v*`B${D?t{>!65XSH=WF#N#+YmJ0BJm-<g>I09u8e^C7F`v@rI?~1-=d4c ze2Xqlq8S$G`awPgVGQ3wdMqH{LaM?rsFyim46sLGg(12ia`lJIhE|2>$_ZD6;ZW;A z#S0P}><DoFM;AmnPzUL79S86sEZ|ZfT??p)0bxWj0~xxDK(ZT&4Yr#TT>$JDbU|n_ z4%%4?+h&Sf;zPE#plgB-TQh(w1@NXLM(`>x3v`7bH-j+3-Arte2sd*gvB7QzI}u$F zErUWfuc0dfulqt5gfF6kZ(c)J0iTP4PxXN|uc51=va`Tm1*K1%&VoA};ViZ&q;NxG zgAD^a5M2->+(0Wa&=rBTgWLkQ3AA|)T@_aIp}W?g6eJmlq3h&C7r<&1!Bhy^uZUq1 zHvfQ5e**c*0o3saCkk|J@PP+Viw5j!Cd9f|bj9$9=w%aN04oL)3JgqaF-Y-�FUo zS%rZvh!GzkPoXOUI~iRN?h1H<L05r29iyuPhdsI=`OX4+6_j>xIt%V_c<N$ei$w}I zBsSPEumjNrF&qercXUNy?I5?nZ33lZbX8c*hbCYs1&&`vPNH-Ym25Fg!sdL;WQ(p1 zTe3y$`D6lj3KO6~D+Xi0Lj}~>M;Ams3=VNFoCEkgFmNq|t^rh%gD_HQ4jwp6gjx%# z0g>2X%Q?{nz)^rMNH8ElVTP^=XDx)T5aebMM!1`qEeYXfP9!$i&0r^@3t|i{gK{sr zB5>|S7lda5cs4;-0gqewursI@LRUp)XMw#6$}u>d1rK0^v)Gc6!VQTHHVo`QbU}=8 z14SjeBCvLlTi`Z<axc0ntmZ?rEtG=fUNLl?oah2rjUt!|K~auj5;p%}W@mJ5@F>St z3!y89ry#Uih%E&vHjvoh-~@XST@a&l2WjA;D+3z<iVyI5WAGd}10yH8Dy%j@BLYf6 z;{#nMaYhjpALvGdvmm-4W_+Nlz#AXvigCpU12bD1Qj8$6!2t>mFLXhS`~ga2=!(Fe zLKlR)0-k}<Rlq$3&sLyv1zi=DodxzPDDB{M7PfLF9Vy(9*kHrJ4n!Bk2scnZL{|jX z4sr`T06^smx+<*ZLlZERf}~?kqI43KY%xs2=6uX#i>?hG!$`#lqE*0_ffTApY;drE zeT^=NqkKSD1~vc`t|;XLx+<(TKm!y?LBo|OokWEzhDo4sMHj>jS9BEw!ZiyiT#?w| zU;+CYT@XjOqAP=EX_Rn9S4D{pU?-x+9JuM24fT;2i~&~&YC56|LJ#xBINcW^-~c{M z7Tk<P*9>ZkfiR*u1{uuAfjR-yj6`CC9m0t&01g9mL4qj+lo-%8!Am1}7J?3DpeqEq z8HADC&6bOBGuTB)e6YJQ#(KrjRdAvUU^R!J&p@>XhDjjrq6=cCeRLJr(ms5j8oFY5 ziUl=I7#P{|(E<dCk3B#*(N%!`k1j}*|IsypYfW@PO#h>+z~X<f(-{;UZh)2q-UJc1 zK*VhjaR)@)1rhf^#3K;#7(_e)5l=zHGZ66{M7#hIZ$QLb5b+K~yay2<K*UE7@d-qH z0}<ar#19bh6GZ$15x+si9}odLN)3E64|qEtcs>?9qrwP2$KByNXulMggdDdFJJObc z34Dk=j0-yT7lffl$isxe$I62V1_q?ld~2Yw>i|Ax8dNgCG(bX#fdO==JmR_lR33w{ z!z835c^SZ?^c=9Wav2~4dvGq)L~tac3qs|Pj(<W|0ZW&h=n~-Mg)RuKji3~yQUafm zg{}~*Ye1*cgIt6db3)e!k_BO~$zX!RVLk%`1MEy&21fAiJh0o~Vjv9+3=C)|7o(hG z3=TmC2C!M6bDzLv86#qsAY6<Dvp`V<I}nxud~zxWoC}E}I2URvI8e|9p>jx3gsuWM zX2ppv0S*RqL1^4SDR3B);2O**LN_1oUU>5f5=F3sXc-t0TQuQfP)%sZ1*04u3=TnP z6v0lWWne^X6@`nDU=}EfV5hh;fX_DLfO8>%0_Q?a1xFFOAXE-1iqKWS_UUn=OMs&Y zT@V^KPzouE&=q2J4Q3RfYlFKNEs9{L$1*S?b_B!4pqkK6`9(eS7aWGrNP-<F%fJXZ zIu2CI!No{24HQkVBUc%~N3wFjxsYgrbD`#f0|s3XDu)zJ=qg|}7ALv{IGWG}q45Ky zkfI4)Ay(I5MiaU=xO>r}33k*g10#6dBG_$kF{mc!LA;H~$8Td31BWIw!eD2=GB6@G zd&9*jFc)@UECVBW4Js#`4>c3)0dzrVs6r{Ez(rRG4qS9W%)mug0ks=xE`kFZ46tKr z85qHP%{bwFs1~qu(FLLIgi=V(MOO%RF1jG5bJ0~m?Z)C<*x|Sgj1G+40t}pRK2!_X zx#)sWcS0#7=b|eFI~QFLbSDSMbVNOkt^y<r!eEoZ1T1u6$MP~Tf+syW;e3#CP=5+k zOrQ%w-3g_@=0Wm3x<asX(FH+`2$1Or=c20s$$~K0WH149F6>BP21f7_HBLAmq#Wj4 zbU~;)p%mCWh;z{uf}M*li0NE(6;QjeBnH^g#0-q!B{7_EK2!@hF`x@V-3g_ToQtjy z>|As~%(RQH0%|uF=faLjW?%##0_gy{M++_n*9J-v=%Vb4IyyTR_qD)i@KMU(mL|GN zu%poh;bz0f*3ebJYHYB<3=BLDi$G_GAU5}dL(&|<2b)Objw1#JMpKwp$eJJ+4{QqP zMix$V5v=xcHh1)Owk)*mU5sEcFoAuHu3v}^Vm4Mu(8W$5X$LD#&{4pg7HIwgTaGS> zuoA&yU=l-D2DT7gun~0s3OM_KE(~!fW)y&&iHoid&0*kXIum#eCzxPh07r{8!nt6V zBJn}KVjyxGFeqAJS|QN_<AF_KU|?Y8L>IwoA0j*uENHZ#>put!2+$A%x+I$KIc*UB z1iJ`qIl3UiN(766Neo>XI9kvJ;fbUHHCoWsp*zeLo5Ror5zaxdprL}U6eU#9CDAMg z_Y0W8$pJw?6NUrAUEr`n;)4T_=;J{^Ndu-Ck~CmEusNWV91pS!LljhYp$j6sk6<w{ ziJ>b4#}K+8tUK<27DMRj&;p6m5#&0UTS3kRISgG8;T!~ufk_Nq8Q5Xyg18)pt`6N{ zPS_lVE{Jdrg2lijhOP|kFmyp&4ntRm?l5O;4nr42I0wOEU=l-D26h;_ATEcYt3!7f z=r$(s_yjaM!6_SE5aApIi-AcDT^ZP6=z_Q$hOQ3XVXoLh3|$c690ZGjNeo>X*kR~` zxEzMA4&7mH*c^r~h;R;q#lR$nt_<ujbV1k%40^6aSBLH}cWe$r7eqJ*!D3(%LstfN z7`h-XhoP%OcbEq@hoK81oP%I7Fo~fn13L^|5SPQy)uB5KbVDje;zt)mI0wOEU=l-D z26h;_AhbSaK#xjvb?6TB!WLraf(YjzSPV>J=*qwjLl?y5Fm!e34)ez5FmyqLa}X>B zCNXqnV27a#;&K?eI&_EmU~?F{Ai_Ba76X$Qx-ziC&;?oG1te-~23;Mx!+fzh3|$c6 z90ZGjNeo>X*kR~`xEzMA4&7mX*c^r~h;R;q#lR$nt_<ujbV1M^grGn}PyFcW&>aT4 zWEUe>q6;FNgJ3Z*iJ>b4I}BYAm&4H2p*t)9TZo|xBAkO@F))dtD+4<WT@c!<!YD4# z)uB5q5Szo$1rg3cuo#%c(3OE5hAxQ9Vd(169TtSmVd#Pg=O9=NOk(KDzz#zfWCtZM za0?VQSE8#!cUUkshoK81oP%I7Fo~fn13L^|5SPQy)uB5q1e?Rq1rg3cuo#%c(3OE5 zhAs%YLK+lk=(!SI9lFCpu{jJ~5aApIi-AcDT^ZP6=z_Q$hOQ3XVPV)DhAxP34uZwN zB!;dG>@ajeSXqP~V(9A79TtwwVd#Pg=O9=NOk(KDzz#zfgiSD@I}BYNy2B!{ISgG8 z;T!~ufk_Nq8Q5Xyg18)pt`6N{k=PuDE{Jdrg2lijhOP|kFmyrq@FH4=7hN5?!=kV` z3|$c690ZGjNeo>X*kR~`xEzMA4&7nV*c^r~h;R;q#lR$nt_<ujbV0a<Xd#AE9dyty z2Ajjs1rg3cuo#%c(3OE5hAs%Y1Rh&ChOQ3o0jR@3H&DTHG(<lG0|UAs!Z`>Q1CtoK zGO)wY1#vkHT^+i^Kx4!h4nr42I0wOEU=l-D26h;_ARoxPSn5i2b?6QQk3~WCf++A1 z0lFZ<IS3X5lNh=(u*1*=aXAcK9lFC3u%$6{L4<P<ECwbqbY)<Np$qbZyo)8o(AA+k zED@W-&;=3BL9iH@#L$(29fmH5%VFs1&>fb9&0*+*2<ISJ3`}C^%D@go7X-D!K!Ju{ zC84WBcNl1)97f_t7eqJ*!D3(%LstfN7`h-XhoP%OcUTIx5JMM4I0wOEU=l-D26h;_ zpdcu?u%t0`b?6RD#pW<{L4<P<ECwbqbY)<Np$p=27`i%ihoxb27`h<BIS3X5lNh=( zu*1*=g>Zxzx;k`+f#w4-(iplR!Z`>Q1CtoKGO)wY1#vkHT^+i^GO&dhx*)<i2o?jA z7`igB!_WnBISgGLy2CQDISgG8;T!~ufk_Nq8Q5Xyg2FhW5?vj-!?LhB3|$c690ZGj zNeo>X*kR~`xEzMA4&7nd*c^r~h;R;q#lR$nt_<ujbU|DWLsy6HupDd-Ll;Ci2f<=s z5<^!8b{M)KE{CD3Lw8s%Hiw}LBAkO@F))dtD+4<WT@aVU(AA+kEDxK*&;=3BL9iH@ z#L$(29fmH5%VFs1&>fbK&0*+*2<ISJ(D_kxrEj2Pu3$%^OQKs|fX#ArL4=hE76X$Q zx-zhZ=z_RH1zjDw!wRuE3|$c690ZGjNeo>X*kR~`xEzMA4&7lz*c^r~h;R;q#lR$n zt_<ujbU|DWLsy6HuwrZuLl;Ci2f<=s5<^!8b{M)KE{CD3Lw8sSHiw}LBAkO@F))dt zD+4<WT@aVU(AA+ktQ4EW&;=3BL9iH@#L$(29fmH5%VFs1&>dEW&0*+*2<ISJ3`}C^ z%D@go7sS<qKv#$EuySk;Ll;Ci2f<=s5<^!8b{M)KE{CD3Lw8sOHiw}LBAkO@F))dt zD+4<WT@aVU(AA+ktP-2U&;=3BL9iH@#L$(29fmH5t6h(-4&7m(`%^KR&**{(=O9=N zOk(KDzz#zf#N{w_b?6SO#uj4ef(YjzSPV>J=*qwjLl?x=cSKi*?ywqc4nr42I0wOE zU=l-D26h;_ATEcYt3!7fXn7b$RH6$aoP%I7Fo~fn13L^|5ZCwwx;k`+)nN-UbU}o3 z5G)2JF?3~MhoKANau~WgbcfYra~QfH!Z`>Q1CtoKGO)wY1#yjmp{ql87-;1cMpU8; zBAkO@F))dtD+4<WT@aVU(AA+ktPxv?p$j6MgJ3Z*iJ>b4I}BYA*ElP>I&_CMVRIO| zAi_Ba76X$Qx-ziC&;@Zh3|$?%!<w-<3|$c690ZGjNeo>X*kR~`a0}7K@^Pwz4w<)L za~QfH!Z`>Q1CtoKGO)wY1#!)OpsRy>0P3(-Yz{*gL^ub*Vqg+OR|a+%x*#rxp{ql8 zSQ|Enp$j6MgJ3Z*iJ>b4I}BYA*9;rFI&_D%V{;g~Ai_Ba76X$Qx-ziC&;@Zh3|$?% z!#c1z3|$c690ZGjNeo>X*kR~`utE}jWEx!^y2CoLISgG8;T!~ufk_Nq8Q5Xyg1F|r z(bb_ltP7jN&;=3BL9iH@#L$(29fmH5%VFs1&>hx|&0*+*2<ISJ3`}C^%D@go7sR!; z0$m-t!$3DG!jb`K;1N8Lg)WG24uZwNB!;dG>@ajeTn<B5hwiXmY$1j&h;R;q#lR$n zt_<ujbU|F}chJ?LJFE|z!_Wm0&Oxvkn8eVPfgOe}h|6K<>d+n5kIiA|f(YjzSPV>J z=*qwjLl?xgMhsmYy2B=5a~QfH!Z`>Q1CtoKGO)wY1#vkHT^+i^CSr3Kx*)<i2o?jA z7`igB!_WnBtwTgthwd=Yeq4-Pi7tq64uZwNB!;dG>@ajeTn<B5hwiY+*g_0l5aApI zi-AcDT^ZP6=z`F3SB&L;=<3iNHU*o*&;=3BL9iH@#L$(29fmH5%VFs1&>c1vo5Ror z5zaxd7?{M+m4O|GE{Mxv=<3iNHVvD@&;=3BL9iH@#L$(29fmH5YXv&GI&_Ck$L26} zL4<P<ECwbqbY)<Np$p=27`i%iht0s|FmyqLa}X>BCNXqnV27a#;&K?eI&_E4#O5$` zL4<P<ECwbqbY)<Np$p>L7lN)1-C?t^ISgG8;T!~ufk_Nq8Q5Xyg18)pt`6N{v#~i0 zT@c|M1dD-53|$%6Vd#Rm9EPqA-C>}6$}viQbU}o35G)2JF?3~MhoKANau~WgbcfBw z7Gmgv2<ISJ3`}C^%D@go7sTZ-bam(sn}^L|=z<96AXp4cV(7}i4nr5j<uG)0XbuCP z)ZqYHuZ7q(Im!}X7*=5b-3Gf5kp{sXC?r0pQ^kPeRx}*K;6q118)9L`KsLm}cwiG5 z7#O%Y(M7P@jY#ka76X$QhF;J(G`b*Y#t38``TzmCI<%zE3EIk!QHr4pBAkO@L8s%< zm4c=_!0rG!5?vDA^2LbA0O^4oagHvCuoA(7T8^$1)Q|!h1TGxVCDAPh-8+Hdb96z3 zl?WEpa&)EpK{kVy!hw8_E{SgWQfxj)7erWzU_mWMSNaIqa&$>_%a>uZ99<A$C4vRD z99`*qWXsVd(Jfz&&2n@>gp~*u)N*vCC_YD*M7MkeHp|fk5mq8tP|MMkqQp76B)a7* zv008Th_DjDf?AHQ6eYEzOQKu83Y+EVf(R=SEU4w^N>TDTx+J>gtFc**E{L!a!D3(% zLstgQ+vtM0N<VaUXbuCP_{2?SHOIi<z`-rR;9vnFwtxswac2WP%@9-&fF_xc_~2Z^ zySRPD!j46Y+gJ41HZDZvfe$SL)g~}ajAAerST8O!(XTf}=Yx-$atIJ$fE2LkW};s# zjm`%j{p4_%4K!a1K4Zid&5uZYusaDHtO@cVObeqJj0M&SN+;+7;HnZ`kj*pQHN!I* zN-;2DRfHP4s6233JAg_`bfd8vMZil~^{}~Rx%hkfK`90XhhNML(1U*-fDU<)1RpR1 zxhDjaRL~uQ<%%_jGDs|B@(3_E^nwUbnyW&K4<tS~RN31b7WXc;?S;_}`$6Y;GBBFL z6f$zcSYY+AbcQY{kera^;hF8=;p&p$=irr<fg#{9n^^#Q=@GhSv{P%rD!~NkJV`+* z&~QDZHfD5K4?2p}juDz%5i$_RaW=Me_O{zLFJ6dXIkYo_QYS<K1A`REBoJm`V074k zVj4&kgkee%W*}JTrh#UKAbJ=bHo{EfM2JGn0;d6VL5vW>5CDe(<VY-Z&ETRAT@XI0 z2fvI4T?NEB3=CkC!2~D>p`ubC{V>mLf_Vn)c7zN>Eh0b=EcBoO6{s-NHlvt^kRjeQ z(A+c3v@Iy6A!LX*4K();Gi@u(G){yl#3ZoS(FHML2}1xJu8?R$*9?v}bU}F66drBp zDj?3m6>XrUA282=uegNg4}=WF1Vm&ZSm@CP$}BL`!1qGJO+&~KZyIRn6U;R5O)qfM z5HiG@23mRsGYx!u8Qe644DqIc)+EAA10Np_Hw_^}ylEn!3JR>85q!b01L%S|a0)`m zfTbA_VUJ*;#{%fsXqemffD$O&G=vQCrimfBZ7+&x2pQr{1D$IKbK5=?(-1Pmn<jze zHc;gQ4mVDOD8wXiK%xs`<U9-kq^yXp8Jrc-1wrK;$U?*!i0CRnvLFmL8B8FRpdblU K>>!jQ&kO)vRN8_7 literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8da4fc2cebf8d1b9c7a8b85cd582a1b0c291fc8d GIT binary patch literal 7508 zcmWg2k!R*+U|7Jwz`)?}q)MKdLu%QrbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vtx%y`&yLvk~XX#a_hqupP-RKa-z`*by3LM-R7#O_Vviq}K{dYptE%5Vl zTi}tLvB1?c*)wU?V#nSre@9oZ%q-7d7Z1<gq^t%02_9aazHs>k8J@lgZVRYrlnes{ z12@Qb3=WJ83>#RO7#XaYn41?b<nT&%^zwJi%2;8e+Me$faS9^Lmf)I|=9wku%w72Z zc!DY@Jl4utGqHGjdb;whTD@?ggNLh2f}ev|R>tFH?->p+R(!zB2vOzme&1R-CS(qh zID`o`h`V|5LfgicMIAjW`j#zIxxkaEcN(IA8JB(%ECIU%Vw^P-S|qYBZs}XGsHIE! z;~v*mCDsEFwG1o{{tOHZ640;$1rG=_FrWyt_#`K!fC+R7P~d<=h=IXjAp-*gEYOjX z42TI$6$}gxObh~`IB{SE(aa7(%mNJm9hS0!NLB^`hW`u<%nkv}0t^m|Sp^szm>C2Z z9CmRDFhEFFhk7K(GB7!8=MrFW*uf>hz=$ft=&%!{$x0IHF9t?EI1^+&0|NtwfI|ZV z0|UA$u;0K0*hH*q&=Ln4mjUcYQFMd<|7T!eu#!T!56*<S4_yFkH@YC$X<!23K6Ew6 zX%3mq01kIibmb72!6K4@Q4h|9xeQ$Z>@svgP%;8xNF0H}7F`8AAHo?74xlt3imnjk zB1TwQ#qeJi9%zd4FeW6AGf_Dcf%6D0%mf<@$qbwho(v2O3Q&*0aw&+mSk%(R0Pz?k zPdi)yC2BT@JO%~^C76cR#@_Zu+ZJ{RE#lD549YDn%mNHdOpFW$3}DsDFx87Y7IrMM zZDEJdI8>`ZRr7YV_xCg`T)d*cuf?_n!ZU>ML>y*gvqu%GQ^?EJ&oSH6FUi+2BP%n> z-_^ktEVLUev;!hE6}wy2pk@ew)n<5kCVOW2xq3S!Km>Nc1va3#ff?pkb*O&P=9cz` zRS+*UcP#2y+}_e<+Y1)80*e~LMP*T30x}7l)EzED!uv9)l(_;Tu7ZebAmTcRxB-%P zs6>iC28T-^35Z51SR^qpFgkz@v14Rl0OuBj4A>?H&c>F`-gevO#S0NEh@&9#3=9lX zut)@%26heHG=vO9HNp%83*9tWB!f%?dkbV5Cqfir64<fmf&$42SstF*i24vi030)n z4#^A*4CtC2YOx4H>S+cB2YpZgVN(Hd4g&+&WH7-26JlVHf~7l<XTZ?|@(kGR2pNcS zM1UYz=s^QZfgsbs@f^a)z`%(Rg_s039$gS4BrpWPZifU7x@K_DpbKIK4Y~@5b8rO> zEIWWa14^$BAkTo^j*x+vfCvx-3q5F{4Mqk7Sjj7ZXd61Xx_Kl!f&`$|Jw$+k0bFi@ zt8s_(TmlRlTF@NI;p36u=i%Uy0cFhC0kO^jRC+nwW?*2@(1t0^^33o|1}TLwuqf4m zD&>Qe8;~-_BLl*P7CAF^fP<d_$p&4hHesaV0#e2`E(D7~3k!&tpdp46^`Hg_f(w;} z@Is|=Aw&dG%m^AH+kO({KYgfXF{A<$Uch-|fQ6xjCR`ZR?;vB${h-EhD%e7sc@P$) z3SrQJ$vb=nl~@c8oeT^NFfmBvGcY(9GcqvfVTgiiZgfF#QxHsm8(-kmgsuiugMr$c zFq*+(Ey!**nE<F;;r4=TKo^8oXRvCm#bG0;SjDCins(rc$RoqyJ;)-NKy3D)3qmVG zScTZ)unA@lx=Id6!t=<0GaNpE?FqtW54s?v@<gplVLXS;Fgww8LDDX?28AVMIM?AL z*#2N__M;1eYhNrCGOCcn7MM%W^@DQ)v@(U{1ZeI+6La_kc2fv8H=zqc>T`?=9!bDq zE6hFUdLda0GqWL!ID7`XB@~-m&;`NG0;pf$IT+Gdzz}uV26Gj<Dd6l14N`b^h2~;R zVTUgu_d&7+1E_cgWlkn=56NLQ$clGhc@PQd-oVOS1}1Rt48{euvOyTyH-ia-^Cy^K zU_fd;heLw{+yevWT$lz}h6Hukpxu2WCIh>}O^~DJGcYi~3UCHSa9#tO0vCfCgQ!Cg zEJ)dDfv%L9lb;iW9U?#-Kad5mVxNH#oXNlzz{Ln!z~BHf16Cg}FoH7*C!7z}4>lBC z5Tm-r5C9k9kop^4Gr0an7sRZ;(N#d*gW9wKdjVEAF))Jj71+gaF{m~~=ptCqa7I@O z3S$sPgfpz}VqgSk7EU-HYB<<NbU}<D!4N<SBXrH+FhUo^3?p<EQ1@U7BUlZ}zzEJy zU>C#1pxO{2gJ40zh=J8%5d#B*F*H+vM#eyu3=$t~1g=VkfzcGEl+mFX)gZ7&F_<)% zN22i#7eqihb}$Pt=wg@yY9ylzvO;YE2LiezQ-(iNhQGrhM$iBZ*w-d#zDDAM4T9Hn za0WOxGB7Zj!jv*wz*t}%3=9mQ1O~#8L<R~IbQM^%C~z`!DsVbPf_#du9Gqg%1%;v3 zfqjZDiR4poq@c@VaR5>Qq>HW`s{<^FbN~YbIH=9hf*OerHi)$Pm4VR|W&kAF!FXV^ z7#JAD&;`KZgf2*urO1g9-5_uXp$ooXhPVc+)kskU4IwME5JKXE%^{{O#K33@)5h&E z2Q<KjFce})^CBeB%!kSa7hB*IV~wT{Y#6#AU-LpZShT1ajSDtR7hMlHq|gO-Ld!pJ zNTEw2g%sFnHrSkoE{NtaG%mzx=z73TLl^9XW;C$V&?V8GW{b^f=z<`(EL^l`AtWBa zQGmpSI1OD7*lFm3k<#EOg^Z`5OQJi?4x7`^1z}EuxDD<!C>P>1bUk3Fp$lq)24p}* zH>fT_mqd4(JvOJI3-UFCLu?_K1f?}F1IC3o4P6h|Y3PDKL5(k%)6gZ+o#ueeY3PEW zbiZifLJ(R6%?2R$LU6D(L!5@L2kbO-!IMTv5sEH}?lebiPD2-jI1Q50;7)^cAx=Zr z19lp^;6^hfr=d%tJIx83)6fM$X$|ZWNHC#sAx=Zr19lp^;7m}72a8a2Npz<<V{;n1 zASl!z1slkLNaY%s3vn8{9<bBU1sg$0ALcZ4Npz>VU~?L}AUGQ=1SK}GThO==r=jZs hI}Keh5Hw{8a~irNlG7L%9KL~iVUXxYAss;TsQ_p6k2?SW literal 0 HcmV?d00001 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..0a2fcb5 --- /dev/null +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,8 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/controlUnit.vhd,1742382607,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,1742373132,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/operativeUnit.vhd,1742376828,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,1742373132,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini index e2f5709..65c1bae 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini @@ -12,478 +12,479 @@ unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver -cpri_v8_12_0=$RDI_DATADIR/xsim/ip/cpri_v8_12_0 -sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 -axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 -an_lt_v1_0_14=$RDI_DATADIR/xsim/ip/an_lt_v1_0_14 -axi_chip2chip_v5_0_24=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_24 -g709_fec_v2_4_11=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_11 -audio_formatter_v1_0_15=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_15 -ats_switch_v1_0_12=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_12 -xbip_pipe_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_10 -v_gamma_lut_v1_1_13=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_13 -vrf_fft_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_fft_v1_0_0 -v_hdmi_tx1_v1_0_10=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_10 -pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 -axi_mm2s_mapper_v1_1_32=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_32 -axis_data_fifo_v1_1_34=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_34 -mailbox_v2_1_18=$RDI_DATADIR/xsim/ip/mailbox_v2_1_18 -lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 -sdfec_ld_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/sdfec_ld_wrapper_v1_0_0 -v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 -tcc_encoder_3gpplte_v4_0_20=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_20 -axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 -axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 -vitis_net_p4_v2_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_2_0 +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 -ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 -hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 -v_frmbuf_rd_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v3_0_0 -fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 -dfx_bitstream_monitor_v1_0_6=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_6 -rs_toolbox_v9_0_14=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_14 -perf_axi_tg_v1_0_15=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_15 -xbip_utils_v3_0_14=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_14 -dptx_v1_0_5=$RDI_DATADIR/xsim/ip/dptx_v1_0_5 -rs_decoder_v9_0_23=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_23 -dsp_macro_v1_0_7=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_7 -axi_vdma_v6_3_21=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_21 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 -qdriv_pl_v1_0_14=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_14 -axis_data_fifo_v2_0_15=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_15 -audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 -noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 -axi_i3c_v1_0_2=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_2 -axi_sg_v4_1_19=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_19 -mipi_csi2_rx_ctrl_v1_0_11=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_11 -hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 -xxv_ethernet_v4_1_13=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_13 -hw_trace=$RDI_DATADIR/xsim/ip/hw_trace -ernic_v4_2_0=$RDI_DATADIR/xsim/ip/ernic_v4_2_0 -rama_v1_1_19_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_19_lib -rfdace5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfdace5_wrapper_v1_0_0 -tsn_temac_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_12 -tri_mode_ethernet_mac_v9_0_35=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_35 -axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 -ieee802d3_200g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_12 -fast_adapter_v1_0_10=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_10 -hdmi_gt_controller_v2_0_0=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v2_0_0 -xdfe_ofdm_v2_2_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_2_0 -ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 -vfb_v1_0_27=$RDI_DATADIR/xsim/ip/vfb_v1_0_27 -blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 -vcu2_v1_0_0=$RDI_DATADIR/xsim/ip/vcu2_v1_0_0 -shell_utils_addr_remap_v1_0_12=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_12 -oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 -sim_trig_v1_0_13=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_13 -v_hdmi_phy1_v1_0_13=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_13 -displayport_v7_0_25=$RDI_DATADIR/xsim/ip/displayport_v7_0_25 -cordic_v6_0_23=$RDI_DATADIR/xsim/ip/cordic_v6_0_23 -axi_crossbar_v2_1_34=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_34 -proc_sys_reset_v5_0_16=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_16 -polar_v1_1_5=$RDI_DATADIR/xsim/ip/polar_v1_1_5 -xscl=$RDI_DATADIR/xsim/ip/xscl -xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 -v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 -func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 -ldpc_v2_0_16=$RDI_DATADIR/xsim/ip/ldpc_v2_0_16 -switch_core_top_v1_0_17=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_17 -v_frmbuf_wr_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_3 -bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 -audio_clock_recovery_unit_v1_0_5=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_5 -xdfe_resampler_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_10 -sd_fec_v1_1_15=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_15 -fifo_generator_v13_2_11=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_11 -axi_timebase_wdt_v3_0_25=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_25 -psx_vip_v1_0_6=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_6 -axi_memory_init_v1_0_14=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_14 -emc_common_v3_0_8=$RDI_DATADIR/xsim/ip/emc_common_v3_0_8 -ieee802d3_rs_fec_v2_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_24 -axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 -v_vcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_13 -v_csc_v1_1_13=$RDI_DATADIR/xsim/ip/v_csc_v1_1_13 -axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 -zynq_ultra_ps_e_vip_v1_0_19=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_19 -axi_cdma_v4_1_33=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_33 -v_dp_axi4s_vid_out_v1_0_9=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_9 -i2s_receiver_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_9 -rld3_pl_v1_0_15=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_15 -axi_firewall_v1_2_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_8 -axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 -cpm5n_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_9 -hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 -lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 -axis_switch_v1_1_33=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_33 -axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 -mipi_dphy_v4_3_13=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_13 -msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters -msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp -iomodule_v3_1_11=$RDI_DATADIR/xsim/ip/iomodule_v3_1_11 -fc32_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_28 -mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 -aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 -axi_hwicap_v3_0_37=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_37 -g709_rs_decoder_v2_2_15=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_15 -axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 -axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 -tmr_voter_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_7 -lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 -mdm_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_3 -axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 -amm_axi_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_19 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 -axis_accelerator_adapter_v2_1_19=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_19 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 -vrf_channelizer_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_channelizer_v1_0_0 -uhdsdi_gt_v2_1_5=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_5 -v_deinterlacer_v5_1_6=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_6 -noc_hbm_v1_0_1=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_1 -in_system_ibert_v1_0_23=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_23 -axi_pcie_v2_9_12=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_12 -axi_epu_v1_0_6=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_6 -floating_point_v7_0_24=$RDI_DATADIR/xsim/ip/floating_point_v7_0_24 -v_axi4s_vid_out_v4_0_19=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_19 -v_multi_scaler_v1_2_7=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_7 -axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 -can_v5_1_5=$RDI_DATADIR/xsim/ip/can_v5_1_5 -axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 -xdfe_cc_filter_v1_1_5=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_5 -anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 -v_smpte_uhdsdi_tx_v1_0_5=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_5 -xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 -noc2_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_sim_v1_0_0 -tmr_sem_v1_0_27=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_27 -axi_ahblite_bridge_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_30 -xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 -hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 -util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 -axi_uart16550_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_35 -ecc_v2_0_17=$RDI_DATADIR/xsim/ip/ecc_v2_0_17 -v_frmbuf_rd_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_12 -axi_data_fifo_v2_1_32=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_32 -xdfe_equalizer_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_10 -axi_mcdma_v1_2_0=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_2_0 -versal_cips_ps_vip_v1_0_11=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_11 -emb_mem_gen_v1_0_10=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_10 -mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 -displayport_v8_1_10=$RDI_DATADIR/xsim/ip/displayport_v8_1_10 -tcc_encoder_3gpp_v5_0_23=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_23 -pcie_qdma_mailbox_v1_0_8=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_8 -v_frmbuf_rd_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_3 -util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 -axis_dwidth_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_32 -axi4svideo_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_19 -util_ff_v1_0_4=$RDI_DATADIR/xsim/ip/util_ff_v1_0_4 -sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 -microblaze_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_3 -cpri_v8_11_22=$RDI_DATADIR/xsim/ip/cpri_v8_11_22 -v_vid_in_axi4s_v5_0_5=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_5 -dprx_v1_0_5=$RDI_DATADIR/xsim/ip/dprx_v1_0_5 -shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 -util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 -axi_pcie3_v3_0_32=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_32 -v_smpte_uhdsdi_rx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_4 -axi_datamover_v5_1_35=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_35 -interlaken_v2_4_19=$RDI_DATADIR/xsim/ip/interlaken_v2_4_19 -nvmeha_v1_0_14=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_14 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 -bfr_ft_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/bfr_ft_wrapper_v1_0_0 -axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 -zynq_ultra_ps_e_v3_3_14=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_14 -stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 -v_hdmi_rx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_5 -ethernet_1_10_25g_v2_7_18=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_18 -v_tpg_v8_2_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_6 -qdma_v5_0_12=$RDI_DATADIR/xsim/ip/qdma_v5_0_12 -pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 -v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 -jesd204c_v4_3_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_3_0 -axi_timer_v2_0_35=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_35 -c_compare_v12_0_10=$RDI_DATADIR/xsim/ip/c_compare_v12_0_10 -axi_clock_converter_v2_1_32=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_32 -axi_protocol_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_33 -axi_dma_v7_1_34=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_34 -pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 -dfx_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_11 -axi_sideband_util_v1_0_17=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_17 -tmr_manager_v1_0_13=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_13 -fec_5g_common_v1_1_5=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_5 -axi_ethernetlite_v3_0_32=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_32 -lib_bmg_v1_0_18=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_18 -high_speed_selectio_wiz_v3_6_10=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_10 -c_shift_ram_v12_0_18=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_18 -axi_pmon_v1_0_2=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_2 -v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 -uhdsdi_gt_v2_2_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_2_0 -v_tpg_v8_1_12=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_12 -noc2_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_sim_v1_0_0 -axi_gpio_v2_0_35=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_35 -axis_interconnect_v1_1_24=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_24 -adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 -xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip -noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 -c_addsub_v12_0_19=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_19 -sem_ultra_v3_1_27=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_27 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 -v_warp_init_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_5 -mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 -roe_framer_v3_0_9=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_9 -axi_remapper_tx_v1_0_3=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_3 -axi_traffic_gen_v3_0_19=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_19 -dfx_controller_v1_0_8=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_8 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 -tmr_comparator_v1_0_8=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_8 -rfadce5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfadce5_wrapper_v1_0_0 -axi_mmu_v2_1_31=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_31 -axi_dwidth_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_33 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 -axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 -picxo_fracxo_v2_0_3=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_3 -dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 -v_frmbuf_wr_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_12 -sd_fec_beta_v1_0_0=$RDI_DATADIR/xsim/ip/sd_fec_beta_v1_0_0 -iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 -lmb_bram_if_cntlr_v4_0_25=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_25 -mrmac_v3_0_0=$RDI_DATADIR/xsim/ip/mrmac_v3_0_0 -tsn_endpoint_ethernet_mac_block_v1_0_18=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_18 -axis_vio_v1_0_12=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_12 -xtlm=$RDI_DATADIR/xsim/ip/xtlm -bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag -floating_point_v7_1_19=$RDI_DATADIR/xsim/ip/floating_point_v7_1_19 -ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig -axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub -pc_cfr_v8_0_3=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_3 -axi_amm_bridge_v1_0_23=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_23 -c_counter_binary_v12_0_20=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_20 xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 -axi_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_19 -xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 -axi_msg_v1_0_12=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_12 -noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 -xpm=$RDI_DATADIR/xsim/ip/xpm -bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 -interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 -gtwizard_ultrascale_v1_7_19=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_19 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 -mipi_rx_phy_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_1 -sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 -dds_compiler_v6_0_26=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_26 -xdma_v4_1_31=$RDI_DATADIR/xsim/ip/xdma_v4_1_31 -axi_fifo_mm_s_v4_3_5=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_5 -l_ethernet_v3_3_13=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_13 -xdfe_nlf_v1_1_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_2 -axi_mcdma_v1_1_14=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_14 -remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 -ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 -xdfe_common_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_4 -axi_intc_v4_1_20=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_20 -axi_uartlite_v2_0_37=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_37 -gmii_to_rgmii_v4_1_17=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_17 -cdcam_v1_2_0=$RDI_DATADIR/xsim/ip/cdcam_v1_2_0 -blk_mem_gen_v8_4_9=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_9 -system_cache_v5_0_12=$RDI_DATADIR/xsim/ip/system_cache_v5_0_12 -cmac_usplus_v3_1_19=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_19 -axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 -axi_interconnect_v1_7_24=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_24 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 -sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 -v_hdmi_tx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_5 -dft_v4_2_9=$RDI_DATADIR/xsim/ip/dft_v4_2_9 -ieee802d3_400g_rs_fec_v3_0_3=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_3 -srio_gen2_v4_1_20=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_20 -mipi_tx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_tx_phy_v1_0_0 -axi_epc_v2_0_36=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_36 -i2s_transmitter_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_9 -flexo_100g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_30 -axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 -axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 -ai_noc=$RDI_DATADIR/xsim/ip/ai_noc -axi_emc_v3_0_33=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_33 -multi_channel_25g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_28 -axi_protocol_checker_v2_0_19=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_19 -axi_register_slice_v2_1_33=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_33 -v_letterbox_v1_1_13=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_13 -gtwizard_ultrascale_v1_6_17=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_17 -dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 -axis_broadcaster_v1_1_32=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_32 -axis_clock_converter_v1_1_34=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_34 -ahblite_axi_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_28 -gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 -sid_v8_0_22=$RDI_DATADIR/xsim/ip/sid_v8_0_22 -sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 -axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 -v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 -axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 -sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 -noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 -noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 -remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 -ten_gig_eth_pcs_pma_v6_0_28=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_28 -axis_register_slice_v1_1_33=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_33 -clk_vip_v1_0_5=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_5 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 -v_vid_sdi_tx_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_3 -processing_system7_vip_v1_0_21=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_21 -microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 -cmac_v2_6_17=$RDI_DATADIR/xsim/ip/cmac_v2_6_17 -pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 -mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 -mpram_v1_0_5=$RDI_DATADIR/xsim/ip/mpram_v1_0_5 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 ai_pl=$RDI_DATADIR/xsim/ip/ai_pl -trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 -v_hscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_13 -ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 -axis_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_17 -xbip_multadd_v3_0_21=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_21 -axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 -axi_vfifo_ctrl_v2_0_36=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_36 -v_warp_filter_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_5 -xfft_v9_1_13=$RDI_DATADIR/xsim/ip/xfft_v9_1_13 -axi_bram_ctrl_v4_1_11=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_11 -v_hcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_13 -v_demosaic_v1_1_13=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_13 -lte_fft_v2_1_11=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_11 -xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 -mrmac_v2_3_2=$RDI_DATADIR/xsim/ip/mrmac_v2_3_2 -v_tc_v6_2_9=$RDI_DATADIR/xsim/ip/v_tc_v6_2_9 -div_gen_v5_1_23=$RDI_DATADIR/xsim/ip/div_gen_v5_1_23 -rs_encoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_22 -axi_utils_v2_0_10=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_10 -v_axi4s_remap_v1_1_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_12 -v_mix_v5_2_11=$RDI_DATADIR/xsim/ip/v_mix_v5_2_11 -xdfe_fft_v1_0_8=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_8 -cic_compiler_v4_0_20=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_20 -sim_clk_gen_v1_0_5=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_5 -qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 -lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 -dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf -hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 -usxgmii_v1_2_20=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_20 -v_smpte_sdi_v3_0_12=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_12 -mipi_dsi2_rx_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_1 -mdm_v3_2_27=$RDI_DATADIR/xsim/ip/mdm_v3_2_27 -accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 -g975_efec_i7_v2_0_24=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_24 -axis_combiner_v1_1_31=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_31 -xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 -dcmac_v2_5_0=$RDI_DATADIR/xsim/ip/dcmac_v2_5_0 -convolution_v9_0_21=$RDI_DATADIR/xsim/ip/convolution_v9_0_21 -microblaze_v11_0_14=$RDI_DATADIR/xsim/ip/microblaze_v11_0_14 -cpm4_v1_0_17=$RDI_DATADIR/xsim/ip/cpm4_v1_0_17 -cmpy_v6_0_25=$RDI_DATADIR/xsim/ip/cmpy_v6_0_25 +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 -spdif_v2_0_30=$RDI_DATADIR/xsim/ip/spdif_v2_0_30 -oran_radio_if_v3_2_1=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_1 -v_hdmi_rx1_v1_0_11=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_11 -cam_v3_1_0=$RDI_DATADIR/xsim/ip/cam_v3_1_0 -emb_fifo_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_6 -axi_iic_v2_1_9=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_9 -axi_perf_mon_v5_0_35=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_35 -v_tpg_v8_0_16=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_16 -mutex_v2_1_14=$RDI_DATADIR/xsim/ip/mutex_v2_1_14 -emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 -lib_fifo_v1_0_20=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_20 -advanced_io_wizard_v1_0_15=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_15 -c_reg_fd_v12_0_10=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_10 -axi4stream_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_19 -lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 -lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 -ieee802d3_50g_rs_fec_v1_0_25=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_25 -icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 -noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 -vid_edid_v1_0_3=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_3 -shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 -sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 -mult_gen_v12_0_22=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_22 -timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 -dfe_channelizer_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/dfe_channelizer_wrapper_v1_0_0 -clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 -noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy -v_frmbuf_wr_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v3_0_0 -xdfe_cc_mixer_v2_0_5=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_5 -mipi_dsi_tx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_10 -axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 -ieee802d3_clause74_fec_v1_0_19=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_19 -sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 -axi_tft_v2_0_29=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_29 -viterbi_v9_1_18=$RDI_DATADIR/xsim/ip/viterbi_v9_1_18 -ieee802d3_50g_rs_fec_v2_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_18 -microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 -fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 -video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 -dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 -ilknf_v1_3_5=$RDI_DATADIR/xsim/ip/ilknf_v1_3_5 -rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 -g975_efec_i4_v1_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_23 -c_accum_v12_0_19=$RDI_DATADIR/xsim/ip/c_accum_v12_0_19 -aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 -ieee802d3_25g_rs_fec_v1_0_31=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_31 -smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 -noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 -xdfe_nr_prach_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_4 -gig_ethernet_pcs_pma_v16_2_21=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_21 -trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 -v_sdi_rx_vid_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_3 fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 -ldpc_5gnr_v1_0_4=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_4 -soft_ecc_proxy_v1_1_2=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_2 -axi_c2c_v1_0_11=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_11 -noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 -hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 -v_smpte_uhdsdi_v1_0_12=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_12 -bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 -noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 -fir_compiler_v7_2_23=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_23 -axi_hbicap_v1_0_8=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_8 -x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 -canfd_v3_0_12=$RDI_DATADIR/xsim/ip/canfd_v3_0_12 -nvme_tc_v3_0_8=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_8 -axi_usb2_device_v5_0_34=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_34 -ldpc_5gnr_lite_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_3 -tmr_inject_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_7 -v_uhdsdi_audio_v2_0_9=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_9 -xsdbm_v3_0_3=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_3 -v_vscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_13 -vid_phy_controller_v2_2_19=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_19 -mem_tg_v1_0_15=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_15 -dfx_axi_shutdown_manager_v1_0_4=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_4 -ibert_lib_v1_0_12=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_12 -ta_dma_v1_0_17=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_17 -cam_blk_lib_v1_2_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_2_0 -noc2_nps6x_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps6x_v1_0_0 -noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 -tcc_decoder_3gppmm_v2_0_28=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_28 -noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 -ddr4_pl_v1_0_15=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_15 -advanced_io_wizard_phy_v1_0_4=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_4 -ft_prach_v1_2_3=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_3 -lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 -jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi -x5io_wizard_v1_0_6=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_6 -axis_subset_converter_v1_1_33=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_33 -axi_quad_spi_v3_2_32=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_32 -g709_rs_encoder_v2_2_13=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_13 -hdcp_v1_0_6=$RDI_DATADIR/xsim/ip/hdcp_v1_0_6 -v_frmbuf_rd_v2_4_4=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_4 -gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux -quadsgmii_v3_5_21=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_21 -xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 -rst_vip_v1_0_7=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_7 -ethernet_offload_v1_0_0=$RDI_DATADIR/xsim/ip/ethernet_offload_v1_0_0 -cpm5_v1_0_17=$RDI_DATADIR/xsim/ip/cpm5_v1_0_17 -processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 -debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 -xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 -vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 -axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 -displayport_v9_0_10=$RDI_DATADIR/xsim/ip/displayport_v9_0_10 -visp_v1_0_0=$RDI_DATADIR/xsim/ip/visp_v1_0_0 -bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini.bak index e2f5709..65c1bae 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini.bak +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.ini.bak @@ -12,478 +12,479 @@ unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver -cpri_v8_12_0=$RDI_DATADIR/xsim/ip/cpri_v8_12_0 -sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 -axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 -an_lt_v1_0_14=$RDI_DATADIR/xsim/ip/an_lt_v1_0_14 -axi_chip2chip_v5_0_24=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_24 -g709_fec_v2_4_11=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_11 -audio_formatter_v1_0_15=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_15 -ats_switch_v1_0_12=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_12 -xbip_pipe_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_10 -v_gamma_lut_v1_1_13=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_13 -vrf_fft_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_fft_v1_0_0 -v_hdmi_tx1_v1_0_10=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_10 -pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 -axi_mm2s_mapper_v1_1_32=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_32 -axis_data_fifo_v1_1_34=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_34 -mailbox_v2_1_18=$RDI_DATADIR/xsim/ip/mailbox_v2_1_18 -lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 -sdfec_ld_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/sdfec_ld_wrapper_v1_0_0 -v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 -tcc_encoder_3gpplte_v4_0_20=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_20 -axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 -axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 -vitis_net_p4_v2_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_2_0 +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 -ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 -hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 -v_frmbuf_rd_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v3_0_0 -fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 -dfx_bitstream_monitor_v1_0_6=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_6 -rs_toolbox_v9_0_14=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_14 -perf_axi_tg_v1_0_15=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_15 -xbip_utils_v3_0_14=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_14 -dptx_v1_0_5=$RDI_DATADIR/xsim/ip/dptx_v1_0_5 -rs_decoder_v9_0_23=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_23 -dsp_macro_v1_0_7=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_7 -axi_vdma_v6_3_21=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_21 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 -qdriv_pl_v1_0_14=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_14 -axis_data_fifo_v2_0_15=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_15 -audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 -noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 -axi_i3c_v1_0_2=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_2 -axi_sg_v4_1_19=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_19 -mipi_csi2_rx_ctrl_v1_0_11=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_11 -hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 -xxv_ethernet_v4_1_13=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_13 -hw_trace=$RDI_DATADIR/xsim/ip/hw_trace -ernic_v4_2_0=$RDI_DATADIR/xsim/ip/ernic_v4_2_0 -rama_v1_1_19_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_19_lib -rfdace5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfdace5_wrapper_v1_0_0 -tsn_temac_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_12 -tri_mode_ethernet_mac_v9_0_35=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_35 -axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 -ieee802d3_200g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_12 -fast_adapter_v1_0_10=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_10 -hdmi_gt_controller_v2_0_0=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v2_0_0 -xdfe_ofdm_v2_2_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_2_0 -ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 -vfb_v1_0_27=$RDI_DATADIR/xsim/ip/vfb_v1_0_27 -blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 -vcu2_v1_0_0=$RDI_DATADIR/xsim/ip/vcu2_v1_0_0 -shell_utils_addr_remap_v1_0_12=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_12 -oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 -sim_trig_v1_0_13=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_13 -v_hdmi_phy1_v1_0_13=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_13 -displayport_v7_0_25=$RDI_DATADIR/xsim/ip/displayport_v7_0_25 -cordic_v6_0_23=$RDI_DATADIR/xsim/ip/cordic_v6_0_23 -axi_crossbar_v2_1_34=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_34 -proc_sys_reset_v5_0_16=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_16 -polar_v1_1_5=$RDI_DATADIR/xsim/ip/polar_v1_1_5 -xscl=$RDI_DATADIR/xsim/ip/xscl -xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 -v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 -func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 -ldpc_v2_0_16=$RDI_DATADIR/xsim/ip/ldpc_v2_0_16 -switch_core_top_v1_0_17=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_17 -v_frmbuf_wr_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_3 -bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 -audio_clock_recovery_unit_v1_0_5=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_5 -xdfe_resampler_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_10 -sd_fec_v1_1_15=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_15 -fifo_generator_v13_2_11=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_11 -axi_timebase_wdt_v3_0_25=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_25 -psx_vip_v1_0_6=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_6 -axi_memory_init_v1_0_14=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_14 -emc_common_v3_0_8=$RDI_DATADIR/xsim/ip/emc_common_v3_0_8 -ieee802d3_rs_fec_v2_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_24 -axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 -v_vcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_13 -v_csc_v1_1_13=$RDI_DATADIR/xsim/ip/v_csc_v1_1_13 -axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 -zynq_ultra_ps_e_vip_v1_0_19=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_19 -axi_cdma_v4_1_33=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_33 -v_dp_axi4s_vid_out_v1_0_9=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_9 -i2s_receiver_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_9 -rld3_pl_v1_0_15=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_15 -axi_firewall_v1_2_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_8 -axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 -cpm5n_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_9 -hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 -lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 -axis_switch_v1_1_33=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_33 -axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 -mipi_dphy_v4_3_13=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_13 -msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters -msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp -iomodule_v3_1_11=$RDI_DATADIR/xsim/ip/iomodule_v3_1_11 -fc32_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_28 -mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 -aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 -axi_hwicap_v3_0_37=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_37 -g709_rs_decoder_v2_2_15=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_15 -axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 -axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 -tmr_voter_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_7 -lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 -mdm_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_3 -axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 -amm_axi_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_19 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 -axis_accelerator_adapter_v2_1_19=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_19 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 -vrf_channelizer_v1_0_0=$RDI_DATADIR/xsim/ip/vrf_channelizer_v1_0_0 -uhdsdi_gt_v2_1_5=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_5 -v_deinterlacer_v5_1_6=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_6 -noc_hbm_v1_0_1=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_1 -in_system_ibert_v1_0_23=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_23 -axi_pcie_v2_9_12=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_12 -axi_epu_v1_0_6=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_6 -floating_point_v7_0_24=$RDI_DATADIR/xsim/ip/floating_point_v7_0_24 -v_axi4s_vid_out_v4_0_19=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_19 -v_multi_scaler_v1_2_7=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_7 -axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 -can_v5_1_5=$RDI_DATADIR/xsim/ip/can_v5_1_5 -axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 -xdfe_cc_filter_v1_1_5=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_5 -anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 -v_smpte_uhdsdi_tx_v1_0_5=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_5 -xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 -noc2_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_sim_v1_0_0 -tmr_sem_v1_0_27=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_27 -axi_ahblite_bridge_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_30 -xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 -hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 -util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 -axi_uart16550_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_35 -ecc_v2_0_17=$RDI_DATADIR/xsim/ip/ecc_v2_0_17 -v_frmbuf_rd_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_12 -axi_data_fifo_v2_1_32=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_32 -xdfe_equalizer_v1_0_10=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_10 -axi_mcdma_v1_2_0=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_2_0 -versal_cips_ps_vip_v1_0_11=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_11 -emb_mem_gen_v1_0_10=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_10 -mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 -displayport_v8_1_10=$RDI_DATADIR/xsim/ip/displayport_v8_1_10 -tcc_encoder_3gpp_v5_0_23=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_23 -pcie_qdma_mailbox_v1_0_8=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_8 -v_frmbuf_rd_v2_5_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_3 -util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 -axis_dwidth_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_32 -axi4svideo_bridge_v1_0_19=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_19 -util_ff_v1_0_4=$RDI_DATADIR/xsim/ip/util_ff_v1_0_4 -sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 -microblaze_riscv_v1_0_3=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_3 -cpri_v8_11_22=$RDI_DATADIR/xsim/ip/cpri_v8_11_22 -v_vid_in_axi4s_v5_0_5=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_5 -dprx_v1_0_5=$RDI_DATADIR/xsim/ip/dprx_v1_0_5 -shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 -util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 -axi_pcie3_v3_0_32=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_32 -v_smpte_uhdsdi_rx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_4 -axi_datamover_v5_1_35=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_35 -interlaken_v2_4_19=$RDI_DATADIR/xsim/ip/interlaken_v2_4_19 -nvmeha_v1_0_14=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_14 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 -bfr_ft_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/bfr_ft_wrapper_v1_0_0 -axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 -zynq_ultra_ps_e_v3_3_14=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_14 -stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 -v_hdmi_rx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_5 -ethernet_1_10_25g_v2_7_18=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_18 -v_tpg_v8_2_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_6 -qdma_v5_0_12=$RDI_DATADIR/xsim/ip/qdma_v5_0_12 -pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 -v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 -jesd204c_v4_3_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_3_0 -axi_timer_v2_0_35=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_35 -c_compare_v12_0_10=$RDI_DATADIR/xsim/ip/c_compare_v12_0_10 -axi_clock_converter_v2_1_32=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_32 -axi_protocol_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_33 -axi_dma_v7_1_34=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_34 -pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 -dfx_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_11 -axi_sideband_util_v1_0_17=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_17 -tmr_manager_v1_0_13=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_13 -fec_5g_common_v1_1_5=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_5 -axi_ethernetlite_v3_0_32=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_32 -lib_bmg_v1_0_18=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_18 -high_speed_selectio_wiz_v3_6_10=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_10 -c_shift_ram_v12_0_18=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_18 -axi_pmon_v1_0_2=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_2 -v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 -uhdsdi_gt_v2_2_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_2_0 -v_tpg_v8_1_12=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_12 -noc2_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_sim_v1_0_0 -axi_gpio_v2_0_35=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_35 -axis_interconnect_v1_1_24=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_24 -adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 -xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip -noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 -c_addsub_v12_0_19=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_19 -sem_ultra_v3_1_27=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_27 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 -v_warp_init_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_5 -mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 -roe_framer_v3_0_9=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_9 -axi_remapper_tx_v1_0_3=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_3 -axi_traffic_gen_v3_0_19=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_19 -dfx_controller_v1_0_8=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_8 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 -tmr_comparator_v1_0_8=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_8 -rfadce5_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/rfadce5_wrapper_v1_0_0 -axi_mmu_v2_1_31=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_31 -axi_dwidth_converter_v2_1_33=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_33 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 -axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 -picxo_fracxo_v2_0_3=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_3 -dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 -v_frmbuf_wr_v2_2_12=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_12 -sd_fec_beta_v1_0_0=$RDI_DATADIR/xsim/ip/sd_fec_beta_v1_0_0 -iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 -lmb_bram_if_cntlr_v4_0_25=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_25 -mrmac_v3_0_0=$RDI_DATADIR/xsim/ip/mrmac_v3_0_0 -tsn_endpoint_ethernet_mac_block_v1_0_18=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_18 -axis_vio_v1_0_12=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_12 -xtlm=$RDI_DATADIR/xsim/ip/xtlm -bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag -floating_point_v7_1_19=$RDI_DATADIR/xsim/ip/floating_point_v7_1_19 -ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig -axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub -pc_cfr_v8_0_3=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_3 -axi_amm_bridge_v1_0_23=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_23 -c_counter_binary_v12_0_20=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_20 xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 -axi_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_19 -xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 -axi_msg_v1_0_12=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_12 -noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 -xpm=$RDI_DATADIR/xsim/ip/xpm -bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 -interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 -gtwizard_ultrascale_v1_7_19=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_19 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 -mipi_rx_phy_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_1 -sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 -dds_compiler_v6_0_26=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_26 -xdma_v4_1_31=$RDI_DATADIR/xsim/ip/xdma_v4_1_31 -axi_fifo_mm_s_v4_3_5=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_5 -l_ethernet_v3_3_13=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_13 -xdfe_nlf_v1_1_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_2 -axi_mcdma_v1_1_14=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_14 -remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 -ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 -xdfe_common_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_4 -axi_intc_v4_1_20=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_20 -axi_uartlite_v2_0_37=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_37 -gmii_to_rgmii_v4_1_17=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_17 -cdcam_v1_2_0=$RDI_DATADIR/xsim/ip/cdcam_v1_2_0 -blk_mem_gen_v8_4_9=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_9 -system_cache_v5_0_12=$RDI_DATADIR/xsim/ip/system_cache_v5_0_12 -cmac_usplus_v3_1_19=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_19 -axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 -axi_interconnect_v1_7_24=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_24 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 -sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 -v_hdmi_tx_v3_0_5=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_5 -dft_v4_2_9=$RDI_DATADIR/xsim/ip/dft_v4_2_9 -ieee802d3_400g_rs_fec_v3_0_3=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_3 -srio_gen2_v4_1_20=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_20 -mipi_tx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_tx_phy_v1_0_0 -axi_epc_v2_0_36=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_36 -i2s_transmitter_v1_0_9=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_9 -flexo_100g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_30 -axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 -axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 -ai_noc=$RDI_DATADIR/xsim/ip/ai_noc -axi_emc_v3_0_33=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_33 -multi_channel_25g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_28 -axi_protocol_checker_v2_0_19=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_19 -axi_register_slice_v2_1_33=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_33 -v_letterbox_v1_1_13=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_13 -gtwizard_ultrascale_v1_6_17=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_17 -dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 -axis_broadcaster_v1_1_32=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_32 -axis_clock_converter_v1_1_34=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_34 -ahblite_axi_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_28 -gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 -sid_v8_0_22=$RDI_DATADIR/xsim/ip/sid_v8_0_22 -sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 -axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 -v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 -axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 -sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 -noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 -noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 -remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 -ten_gig_eth_pcs_pma_v6_0_28=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_28 -axis_register_slice_v1_1_33=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_33 -clk_vip_v1_0_5=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_5 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 -v_vid_sdi_tx_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_3 -processing_system7_vip_v1_0_21=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_21 -microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 -cmac_v2_6_17=$RDI_DATADIR/xsim/ip/cmac_v2_6_17 -pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 -mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 -mpram_v1_0_5=$RDI_DATADIR/xsim/ip/mpram_v1_0_5 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 ai_pl=$RDI_DATADIR/xsim/ip/ai_pl -trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 -v_hscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_13 -ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 -axis_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_17 -xbip_multadd_v3_0_21=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_21 -axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 -axi_vfifo_ctrl_v2_0_36=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_36 -v_warp_filter_v1_1_5=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_5 -xfft_v9_1_13=$RDI_DATADIR/xsim/ip/xfft_v9_1_13 -axi_bram_ctrl_v4_1_11=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_11 -v_hcresampler_v1_1_13=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_13 -v_demosaic_v1_1_13=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_13 -lte_fft_v2_1_11=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_11 -xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 -mrmac_v2_3_2=$RDI_DATADIR/xsim/ip/mrmac_v2_3_2 -v_tc_v6_2_9=$RDI_DATADIR/xsim/ip/v_tc_v6_2_9 -div_gen_v5_1_23=$RDI_DATADIR/xsim/ip/div_gen_v5_1_23 -rs_encoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_22 -axi_utils_v2_0_10=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_10 -v_axi4s_remap_v1_1_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_12 -v_mix_v5_2_11=$RDI_DATADIR/xsim/ip/v_mix_v5_2_11 -xdfe_fft_v1_0_8=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_8 -cic_compiler_v4_0_20=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_20 -sim_clk_gen_v1_0_5=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_5 -qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 -lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 -dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf -hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 -usxgmii_v1_2_20=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_20 -v_smpte_sdi_v3_0_12=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_12 -mipi_dsi2_rx_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_1 -mdm_v3_2_27=$RDI_DATADIR/xsim/ip/mdm_v3_2_27 -accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 -g975_efec_i7_v2_0_24=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_24 -axis_combiner_v1_1_31=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_31 -xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 -dcmac_v2_5_0=$RDI_DATADIR/xsim/ip/dcmac_v2_5_0 -convolution_v9_0_21=$RDI_DATADIR/xsim/ip/convolution_v9_0_21 -microblaze_v11_0_14=$RDI_DATADIR/xsim/ip/microblaze_v11_0_14 -cpm4_v1_0_17=$RDI_DATADIR/xsim/ip/cpm4_v1_0_17 -cmpy_v6_0_25=$RDI_DATADIR/xsim/ip/cmpy_v6_0_25 +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 -spdif_v2_0_30=$RDI_DATADIR/xsim/ip/spdif_v2_0_30 -oran_radio_if_v3_2_1=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_1 -v_hdmi_rx1_v1_0_11=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_11 -cam_v3_1_0=$RDI_DATADIR/xsim/ip/cam_v3_1_0 -emb_fifo_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_6 -axi_iic_v2_1_9=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_9 -axi_perf_mon_v5_0_35=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_35 -v_tpg_v8_0_16=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_16 -mutex_v2_1_14=$RDI_DATADIR/xsim/ip/mutex_v2_1_14 -emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 -lib_fifo_v1_0_20=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_20 -advanced_io_wizard_v1_0_15=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_15 -c_reg_fd_v12_0_10=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_10 -axi4stream_vip_v1_1_19=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_19 -lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 -lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 -ieee802d3_50g_rs_fec_v1_0_25=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_25 -icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 -noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 -vid_edid_v1_0_3=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_3 -shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 -sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 -mult_gen_v12_0_22=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_22 -timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 -dfe_channelizer_wrapper_v1_0_0=$RDI_DATADIR/xsim/ip/dfe_channelizer_wrapper_v1_0_0 -clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 -noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy -v_frmbuf_wr_v3_0_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v3_0_0 -xdfe_cc_mixer_v2_0_5=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_5 -mipi_dsi_tx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_10 -axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 -ieee802d3_clause74_fec_v1_0_19=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_19 -sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 -axi_tft_v2_0_29=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_29 -viterbi_v9_1_18=$RDI_DATADIR/xsim/ip/viterbi_v9_1_18 -ieee802d3_50g_rs_fec_v2_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_18 -microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 -fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 -video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 -dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 -ilknf_v1_3_5=$RDI_DATADIR/xsim/ip/ilknf_v1_3_5 -rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 -g975_efec_i4_v1_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_23 -c_accum_v12_0_19=$RDI_DATADIR/xsim/ip/c_accum_v12_0_19 -aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 -ieee802d3_25g_rs_fec_v1_0_31=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_31 -smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 -noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 -xdfe_nr_prach_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_4 -gig_ethernet_pcs_pma_v16_2_21=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_21 -trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 -v_sdi_rx_vid_bridge_v2_0_3=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_3 fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 -ldpc_5gnr_v1_0_4=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_4 -soft_ecc_proxy_v1_1_2=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_2 -axi_c2c_v1_0_11=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_11 -noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 -hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 -v_smpte_uhdsdi_v1_0_12=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_12 -bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 -noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 -fir_compiler_v7_2_23=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_23 -axi_hbicap_v1_0_8=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_8 -x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 -canfd_v3_0_12=$RDI_DATADIR/xsim/ip/canfd_v3_0_12 -nvme_tc_v3_0_8=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_8 -axi_usb2_device_v5_0_34=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_34 -ldpc_5gnr_lite_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_3 -tmr_inject_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_7 -v_uhdsdi_audio_v2_0_9=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_9 -xsdbm_v3_0_3=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_3 -v_vscaler_v1_1_13=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_13 -vid_phy_controller_v2_2_19=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_19 -mem_tg_v1_0_15=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_15 -dfx_axi_shutdown_manager_v1_0_4=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_4 -ibert_lib_v1_0_12=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_12 -ta_dma_v1_0_17=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_17 -cam_blk_lib_v1_2_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_2_0 -noc2_nps6x_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps6x_v1_0_0 -noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 -tcc_decoder_3gppmm_v2_0_28=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_28 -noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 -ddr4_pl_v1_0_15=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_15 -advanced_io_wizard_phy_v1_0_4=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_4 -ft_prach_v1_2_3=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_3 -lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 -jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi -x5io_wizard_v1_0_6=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_6 -axis_subset_converter_v1_1_33=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_33 -axi_quad_spi_v3_2_32=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_32 -g709_rs_encoder_v2_2_13=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_13 -hdcp_v1_0_6=$RDI_DATADIR/xsim/ip/hdcp_v1_0_6 -v_frmbuf_rd_v2_4_4=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_4 -gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux -quadsgmii_v3_5_21=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_21 -xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 -rst_vip_v1_0_7=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_7 -ethernet_offload_v1_0_0=$RDI_DATADIR/xsim/ip/ethernet_offload_v1_0_0 -cpm5_v1_0_17=$RDI_DATADIR/xsim/ip/cpm5_v1_0_17 -processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 -debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 -xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 -vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 -axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 -displayport_v9_0_10=$RDI_DATADIR/xsim/ip/displayport_v9_0_10 -visp_v1_0_0=$RDI_DATADIR/xsim/ip/visp_v1_0_0 -bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/project_1/project_1.xpr b/proj/project_1/project_1.xpr index 3a6e0cc..8d0cee1 100644 --- a/proj/project_1/project_1.xpr +++ b/proj/project_1/project_1.xpr @@ -60,7 +60,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="115"/> + <Option Name="WTXSimLaunchSim" Val="132"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -189,6 +189,11 @@ </FileSet> <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> <Filter Type="Srcs"/> + <File Path="$PPRDIR/tb_firUnit_behav.wcfg"> + <FileInfo> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -201,6 +206,7 @@ <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> <Option Name="PamPseudoTop" Val="pseudo_tb"/> <Option Name="SrcSet" Val="sources_1"/> + <Option Name="XSimWcfgFile" Val="$PPRDIR/tb_firUnit_behav.wcfg"/> </Config> </FileSet> <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> diff --git a/proj/project_1/tb_firUnit_behav.wcfg b/proj/project_1/tb_firUnit_behav.wcfg new file mode 100644 index 0000000..9f22c48 --- /dev/null +++ b/proj/project_1/tb_firUnit_behav.wcfg @@ -0,0 +1,214 @@ +<?xml version="1.0" encoding="UTF-8"?> +<wave_config> + <wave_state> + </wave_state> + <db_ref_list> + <db_ref path="tb_firUnit_behav.wdb" id="1"> + <top_modules> + <top_module name="tb_firUnit" /> + </top_modules> + </db_ref> + </db_ref_list> + <zoom_setting> + <ZoomStartTime time="3,890.000 ns"></ZoomStartTime> + <ZoomEndTime time="4,090.001 ns"></ZoomEndTime> + <Cursor1Time time="3,995.000 ns"></Cursor1Time> + </zoom_setting> + <column_width_setting> + <NameColumnWidth column_width="243"></NameColumnWidth> + <ValueColumnWidth column_width="90"></ValueColumnWidth> + </column_width_setting> + <WVObjectSize size="42" /> + <wvobject type="logic" fp_name="/tb_firUnit/SC_clock"> + <obj_property name="ElementShortName">SC_clock</obj_property> + <obj_property name="ObjectShortName">SC_clock</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/SC_reset"> + <obj_property name="ElementShortName">SC_reset</obj_property> + <obj_property name="ObjectShortName">SC_reset</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/SC_inputSample"> + <obj_property name="ElementShortName">SC_inputSample[11:0]</obj_property> + <obj_property name="ObjectShortName">SC_inputSample[11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/SC_inputSampleValid"> + <obj_property name="ElementShortName">SC_inputSampleValid</obj_property> + <obj_property name="ObjectShortName">SC_inputSampleValid</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/SC_filteredSample"> + <obj_property name="ElementShortName">SC_filteredSample[11:0]</obj_property> + <obj_property name="ObjectShortName">SC_filteredSample[11:0]</obj_property> + <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject fp_name="divider8" type="divider"> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/I_inputSampleValid"> + <obj_property name="ElementShortName">I_inputSampleValid</obj_property> + <obj_property name="ObjectShortName">I_inputSampleValid</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/I_processingDoneA"> + <obj_property name="ElementShortName">I_processingDoneA</obj_property> + <obj_property name="ObjectShortName">I_processingDoneA</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/I_processingDoneB"> + <obj_property name="ElementShortName">I_processingDoneB</obj_property> + <obj_property name="ObjectShortName">I_processingDoneB</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/I_processingDoneBL"> + <obj_property name="ElementShortName">I_processingDoneBL</obj_property> + <obj_property name="ObjectShortName">I_processingDoneBL</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/I_processingDoneClellan"> + <obj_property name="ElementShortName">I_processingDoneClellan</obj_property> + <obj_property name="ObjectShortName">I_processingDoneClellan</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_loadShift"> + <obj_property name="ElementShortName">O_loadShift</obj_property> + <obj_property name="ObjectShortName">O_loadShift</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_initAddress"> + <obj_property name="ElementShortName">O_initAddress</obj_property> + <obj_property name="ObjectShortName">O_initAddress</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_incrAddress"> + <obj_property name="ElementShortName">O_incrAddress</obj_property> + <obj_property name="ObjectShortName">O_incrAddress</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_initSum"> + <obj_property name="ElementShortName">O_initSum</obj_property> + <obj_property name="ObjectShortName">O_initSum</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_loadSum"> + <obj_property name="ElementShortName">O_loadSum</obj_property> + <obj_property name="ObjectShortName">O_loadSum</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_loadOutA"> + <obj_property name="ElementShortName">O_loadOutA</obj_property> + <obj_property name="ObjectShortName">O_loadOutA</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_loadOutBL"> + <obj_property name="ElementShortName">O_loadOutBL</obj_property> + <obj_property name="ObjectShortName">O_loadOutBL</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_loadOutClellan"> + <obj_property name="ElementShortName">O_loadOutClellan</obj_property> + <obj_property name="ObjectShortName">O_loadOutClellan</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_sel"> + <obj_property name="ElementShortName">O_sel[1:0]</obj_property> + <obj_property name="ObjectShortName">O_sel[1:0]</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/O_FilteredSampleValid"> + <obj_property name="ElementShortName">O_FilteredSampleValid</obj_property> + <obj_property name="ObjectShortName">O_FilteredSampleValid</obj_property> + </wvobject> + <wvobject type="other" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/SR_presentState"> + <obj_property name="ElementShortName">SR_presentState</obj_property> + <obj_property name="ObjectShortName">SR_presentState</obj_property> + </wvobject> + <wvobject type="other" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/SR_futurState"> + <obj_property name="ElementShortName">SR_futurState</obj_property> + <obj_property name="ObjectShortName">SR_futurState</obj_property> + </wvobject> + <wvobject fp_name="divider26" type="divider"> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/O_processingDoneA"> + <obj_property name="ElementShortName">O_processingDoneA</obj_property> + <obj_property name="ObjectShortName">O_processingDoneA</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/O_processingDoneB"> + <obj_property name="ElementShortName">O_processingDoneB</obj_property> + <obj_property name="ObjectShortName">O_processingDoneB</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/O_processingDoneBL"> + <obj_property name="ElementShortName">O_processingDoneBL</obj_property> + <obj_property name="ObjectShortName">O_processingDoneBL</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/O_processingDoneClellan"> + <obj_property name="ElementShortName">O_processingDoneClellan</obj_property> + <obj_property name="ObjectShortName">O_processingDoneClellan</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_multOperand1"> + <obj_property name="ElementShortName">SC_multOperand1[11:0]</obj_property> + <obj_property name="ObjectShortName">SC_multOperand1[11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_multOperand2"> + <obj_property name="ElementShortName">SC_multOperand2[11:0]</obj_property> + <obj_property name="ObjectShortName">SC_multOperand2[11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_MultResult"> + <obj_property name="ElementShortName">SC_MultResult[23:0]</obj_property> + <obj_property name="ObjectShortName">SC_MultResult[23:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_addResult"> + <obj_property name="ElementShortName">SC_addResult[30:0]</obj_property> + <obj_property name="ObjectShortName">SC_addResult[30:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_coefRegisterA"> + <obj_property name="ElementShortName">SR_coefRegisterA[0:1][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_coefRegisterA[0:1][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_2A"> + <obj_property name="ElementShortName">SR_2A[0:1][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_2A[0:1][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_coefRegisterB"> + <obj_property name="ElementShortName">SR_coefRegisterB[0:2][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_coefRegisterB[0:2][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_2"> + <obj_property name="ElementShortName">SR_2[0:2][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_2[0:2][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + <obj_property name="isExpanded"></obj_property> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_2[0]"> + <obj_property name="ElementShortName">[0][11:0]</obj_property> + <obj_property name="ObjectShortName">[0][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_2[1]"> + <obj_property name="ElementShortName">[1][11:0]</obj_property> + <obj_property name="ObjectShortName">[1][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_2[2]"> + <obj_property name="ElementShortName">[2][11:0]</obj_property> + <obj_property name="ObjectShortName">[2][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_coefRegisterBL"> + <obj_property name="ElementShortName">SR_coefRegisterBL[0:94][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_coefRegisterBL[0:94][11:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_1"> + <obj_property name="ElementShortName">SR_1[0:94][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_1[0:94][11:0]</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_coefRegisterClellan"> + <obj_property name="ElementShortName">SR_coefRegisterClellan[0:10][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_coefRegisterClellan[0:10][11:0]</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_3"> + <obj_property name="ElementShortName">SR_3[0:10][11:0]</obj_property> + <obj_property name="ObjectShortName">SR_3[0:10][11:0]</obj_property> + </wvobject> + <wvobject type="other" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_readAddress"> + <obj_property name="ElementShortName">SR_readAddress</obj_property> + <obj_property name="ObjectShortName">SR_readAddress</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_sum"> + <obj_property name="ElementShortName">SR_sum[30:0]</obj_property> + <obj_property name="ObjectShortName">SR_sum[30:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> +</wave_config> diff --git a/src-ref/.nfs000000000231cfd700000038 b/src-ref/.nfs000000000231cfd700000038 new file mode 100644 index 0000000..6b6ee29 --- /dev/null +++ b/src-ref/.nfs000000000231cfd700000038 @@ -0,0 +1,11 @@ +0,0 +1,1023 +2,0 +3,36 +4,100 +5,162 +6,219 +7,11 +8,128 +9,255 +10,186 diff --git a/src-ref/.~lock.ADCSamplesOctave.csv# b/src-ref/.~lock.ADCSamplesOctave.csv# new file mode 100644 index 0000000..8cd0338 --- /dev/null +++ b/src-ref/.~lock.ADCSamplesOctave.csv# @@ -0,0 +1 @@ +,a24perei,fl-tp-br-637,19.03.2025 10:53,file:///homes/a24perei/.config/libreoffice/4; \ No newline at end of file diff --git a/src-ref/ADCSamplesOctave.csv b/src-ref/ADCSamplesOctave.csv index 5580855..6f564ab 100644 --- a/src-ref/ADCSamplesOctave.csv +++ b/src-ref/ADCSamplesOctave.csv @@ -1,14 +1,14 @@ -0,-17,-23 -1,-16,-23 -2,-14,-24 -3,-15,-24 -4,-19,-24 -5,-18,-24 -6,-20,-24 -7,-20,-23 -8,-20,-23 -9,-17,-23 -10,-12,-22 +0,0,-23 +1,1023,-23 +2,0,-24 +3,36,-24 +4,100,-24 +5,162,-24 +6,219,-24 +7,11,-23 +8,128,-23 +9,255,-23 +10,186,-22 11,-9,-22 12,-11,-22 13,-12,-22 @@ -48,9953 +48,4 @@ 47,-6,-12 48,-6,-12 49,-3,-12 -50,-1,-12 -51,0,-13 -52,2,-13 -53,4,-12 -54,0,-12 -55,-1,-11 -56,1,-10 -57,1,-10 -58,2,-9 -59,5,-8 -60,8,-7 -61,11,-6 -62,12,-5 -63,9,-4 -64,8,-2 -65,9,-1 -66,8,1 -67,8,2 -68,14,4 -69,15,5 -70,18,5 -71,21,6 -72,21,6 -73,23,6 -74,21,7 -75,20,7 -76,20,8 -77,19,9 -78,25,11 -79,31,13 -80,32,15 -81,32,17 -82,35,19 -83,35,20 -84,31,21 -85,32,22 -86,30,23 -87,30,24 -88,32,25 -89,36,25 -90,38,25 -91,38,25 -92,40,25 -93,38,24 -94,36,24 -95,35,23 -96,33,22 -97,32,21 -98,33,21 -99,31,20 -100,33,20 -101,33,20 -102,34,20 -103,29,19 -104,27,18 -105,22,17 -106,18,15 -107,14,13 -108,15,10 -109,17,8 -110,17,5 -111,14,3 -112,14,2 -113,10,0 -114,8,-1 -115,5,-2 -116,1,-3 -117,-1,-4 -118,0,-5 -119,0,-7 -120,1,-9 -121,2,-11 -122,0,-12 -123,2,-13 -124,3,-13 -125,6,-12 -126,7,-10 -127,9,-7 -128,13,-3 -129,17,0 -130,18,3 -131,24,5 -132,23,6 -133,18,7 -134,17,7 -135,14,6 -136,16,5 -137,16,5 -138,18,5 -139,19,5 -140,22,6 -141,23,6 -142,26,7 -143,23,8 -144,20,9 -145,19,10 -146,19,10 -147,19,10 -148,20,10 -149,22,11 -150,24,11 -151,25,12 -152,28,12 -153,27,13 -154,23,13 -155,23,14 -156,23,14 -157,23,14 -158,25,14 -159,26,14 -160,25,13 -161,28,13 -162,28,13 -163,28,12 -164,26,12 -165,21,12 -166,20,13 -167,20,13 -168,26,14 -169,28,14 -170,27,14 -171,30,15 -172,29,15 -173,26,15 -174,25,15 -175,25,14 -176,23,14 -177,24,14 -178,25,14 -179,28,13 -180,28,13 -181,30,13 -182,29,13 -183,28,13 -184,27,13 -185,28,12 -186,25,12 -187,25,12 -188,27,13 -189,29,13 -190,29,13 -191,28,14 -192,27,14 -193,24,15 -194,24,15 -195,22,15 -196,23,15 -197,24,14 -198,27,14 -199,27,13 -200,26,13 -201,27,12 -202,26,11 -203,22,11 -204,22,11 -205,22,10 -206,21,10 -207,23,10 -208,25,10 -209,26,10 -210,23,9 -211,24,9 -212,23,9 -213,21,8 -214,20,7 -215,21,7 -216,18,6 -217,20,6 -218,21,5 -219,22,5 -220,24,6 -221,27,6 -222,24,7 -223,22,8 -224,19,9 -225,20,9 -226,19,9 -227,20,9 -228,22,9 -229,22,9 -230,23,9 -231,23,9 -232,19,10 -233,16,10 -234,15,10 -235,14,10 -236,14,9 -237,15,8 -238,18,7 -239,17,6 -240,20,4 -241,25,4 -242,22,4 -243,21,4 -244,15,5 -245,16,7 -246,13,8 -247,15,8 -248,16,9 -249,18,9 -250,20,8 -251,23,7 -252,21,6 -253,18,5 -254,17,5 -255,18,6 -256,17,6 -257,19,7 -258,22,9 -259,27,10 -260,26,11 -261,27,12 -262,25,12 -263,24,12 -264,22,13 -265,21,12 -266,19,12 -267,22,12 -268,28,11 -269,27,11 -270,28,10 -271,28,9 -272,26,9 -273,25,8 -274,24,9 -275,21,10 -276,20,11 -277,24,12 -278,30,14 -279,32,15 -280,32,16 -281,34,17 -282,32,16 -283,24,15 -284,23,14 -285,23,13 -286,19,11 -287,19,10 -288,20,9 -289,19,8 -290,18,8 -291,18,7 -292,17,6 -293,11,4 -294,13,3 -295,12,2 -296,13,2 -297,15,1 -298,16,1 -299,16,2 -300,19,3 -301,15,3 -302,11,4 -303,10,4 -304,9,4 -305,7,4 -306,9,4 -307,9,3 -308,11,3 -309,12,3 -310,12,2 -311,12,2 -312,12,1 -313,10,1 -314,7,0 -315,4,-1 -316,5,-2 -317,7,-2 -318,9,-2 -319,11,-2 -320,12,-2 -321,11,-2 -322,7,-2 -323,5,-2 -324,6,-3 -325,4,-3 -326,6,-4 -327,10,-5 -328,6,-6 -329,8,-6 -330,10,-6 -331,8,-6 -332,5,-6 -333,0,-5 -334,-2,-5 -335,-5,-6 -336,-6,-7 -337,-5,-8 -338,-3,-9 -339,-1,-9 -340,6,-7 -341,13,-3 -342,22,5 -343,32,15 -344,40,28 -345,51,44 -346,67,61 -347,85,78 -348,106,94 -349,129,107 -350,144,118 -351,147,124 -352,137,125 -353,126,120 -354,114,111 -355,97,97 -356,82,79 -357,67,59 -358,46,38 -359,20,18 -360,2,0 -361,-12,-14 -362,-20,-24 -363,-20,-29 -364,-18,-30 -365,-17,-28 -366,-12,-23 -367,-4,-18 -368,2,-12 -369,7,-8 -370,8,-5 -371,7,-3 -372,3,-3 -373,-1,-4 -374,-4,-5 -375,-5,-7 -376,-2,-7 -377,0,-8 -378,1,-8 -379,5,-8 -380,5,-8 -381,4,-7 -382,0,-7 -383,1,-7 -384,-3,-8 -385,-4,-8 -386,-2,-8 -387,-2,-9 -388,0,-9 -389,2,-9 -390,0,-9 -391,1,-9 -392,1,-9 -393,-1,-8 -394,0,-8 -395,-2,-7 -396,0,-6 -397,3,-6 -398,4,-5 -399,5,-5 -400,8,-5 -401,5,-5 -402,2,-5 -403,0,-5 -404,-1,-5 -405,-1,-5 -406,1,-6 -407,5,-6 -408,5,-6 -409,6,-6 -410,5,-5 -411,2,-5 -412,4,-4 -413,1,-4 -414,2,-3 -415,1,-2 -416,3,-2 -417,4,-2 -418,7,-2 -419,8,-2 -420,10,-2 -421,10,-2 -422,9,-2 -423,8,-2 -424,5,-1 -425,6,0 -426,6,1 -427,8,2 -428,9,3 -429,11,4 -430,12,5 -431,13,5 -432,13,6 -433,14,6 -434,14,7 -435,13,8 -436,16,9 -437,19,10 -438,21,11 -439,21,13 -440,24,14 -441,23,14 -442,23,15 -443,22,15 -444,20,15 -445,20,15 -446,23,15 -447,26,16 -448,30,17 -449,31,18 -450,32,19 -451,30,21 -452,32,23 -453,33,24 -454,31,26 -455,32,27 -456,35,28 -457,40,28 -458,41,29 -459,42,29 -460,41,29 -461,39,29 -462,37,29 -463,36,30 -464,36,30 -465,36,31 -466,36,32 -467,40,33 -468,41,34 -469,41,35 -470,39,35 -471,34,34 -472,30,33 -473,27,31 -474,26,28 -475,25,26 -476,26,24 -477,23,23 -478,26,22 -479,26,21 -480,23,20 -481,19,19 -482,16,17 -483,14,15 -484,11,12 -485,6,9 -486,3,6 -487,5,3 -488,5,1 -489,4,-1 -490,2,-1 -491,-1,-1 -492,-4,-1 -493,-5,-1 -494,-7,-1 -495,-6,-2 -496,-2,-3 -497,0,-5 -498,-2,-7 -499,-1,-8 -500,-2,-9 -501,-6,-10 -502,-8,-10 -503,-11,-10 -504,-13,-9 -505,-11,-10 -506,-8,-10 -507,-9,-11 -508,-5,-12 -509,-7,-14 -510,-6,-15 -511,-10,-15 -512,-11,-16 -513,-12,-16 -514,-12,-15 -515,-9,-14 -516,-9,-13 -517,-7,-12 -518,-4,-12 -519,-6,-11 -520,-6,-11 -521,-10,-11 -522,-11,-11 -523,-11,-11 -524,-12,-11 -525,-11,-11 -526,-10,-11 -527,-8,-11 -528,-11,-12 -529,-6,-12 -530,-11,-13 -531,-10,-13 -532,-10,-13 -533,-12,-13 -534,-12,-12 -535,-9,-12 -536,-8,-11 -537,-8,-11 -538,-8,-11 -539,-8,-10 -540,-10,-11 -541,-11,-11 -542,-11,-11 -543,-12,-12 -544,-11,-12 -545,-6,-12 -546,-6,-12 -547,-5,-12 -548,-4,-12 -549,-3,-13 -550,-5,-13 -551,-7,-13 -552,-9,-14 -553,-10,-14 -554,-11,-14 -555,-9,-14 -556,-8,-13 -557,-4,-13 -558,-3,-12 -559,-2,-11 -560,-3,-11 -561,-4,-10 -562,-6,-11 -563,-7,-11 -564,-8,-11 -565,-6,-12 -566,-6,-12 -567,-5,-12 -568,-3,-12 -569,-3,-11 -570,-5,-11 -571,-4,-10 -572,-7,-9 -573,-8,-8 -574,-9,-8 -575,-9,-7 -576,-6,-7 -577,-5,-7 -578,-1,-7 -579,-2,-7 -580,-6,-7 -581,-6,-7 -582,-7,-7 -583,-10,-7 -584,-12,-6 -585,-8,-6 -586,-8,-6 -587,-6,-7 -588,-4,-7 -589,-5,-7 -590,-8,-8 -591,-9,-9 -592,-4,-9 -593,-10,-10 -594,-11,-10 -595,-8,-10 -596,-6,-10 -597,-7,-10 -598,-3,-10 -599,-4,-10 -600,-8,-10 -601,-10,-10 -602,-11,-11 -603,-12,-11 -604,-12,-12 -605,-11,-12 -606,-8,-13 -607,-8,-13 -608,-7,-13 -609,-4,-12 -610,-7,-12 -611,-9,-12 -612,-10,-12 -613,-14,-12 -614,-14,-12 -615,-10,-12 -616,-9,-12 -617,-7,-12 -618,-7,-12 -619,-7,-12 -620,-9,-12 -621,-11,-11 -622,-10,-10 -623,-10,-10 -624,-8,-9 -625,-7,-9 -626,-5,-8 -627,-1,-8 -628,-2,-8 -629,-5,-7 -630,-8,-7 -631,-6,-6 -632,-7,-6 -633,-8,-5 -634,-8,-5 -635,-5,-4 -636,-3,-4 -637,-2,-4 -638,3,-4 -639,-1,-4 -640,-1,-4 -641,-3,-4 -642,-4,-5 -643,-5,-5 -644,-5,-6 -645,-2,-6 -646,1,-7 -647,3,-7 -648,4,-7 -649,3,-6 -650,1,-6 -651,1,-5 -652,0,-3 -653,0,-2 -654,0,-1 -655,0,0 -656,1,0 -657,4,0 -658,6,0 -659,4,-1 -660,-2,-2 -661,-5,-3 -662,-7,-4 -663,-11,-5 -664,-10,-6 -665,-9,-7 -666,-8,-8 -667,-9,-9 -668,-5,-11 -669,-7,-12 -670,-12,-14 -671,-12,-15 -672,-14,-16 -673,-16,-16 -674,-13,-16 -675,-11,-16 -676,-10,-15 -677,-10,-15 -678,-7,-15 -679,-11,-15 -680,-11,-15 -681,-12,-15 -682,-13,-15 -683,-13,-15 -684,-12,-15 -685,-13,-15 -686,-13,-15 -687,-13,-15 -688,-13,-16 -689,-13,-16 -690,-16,-17 -691,-17,-18 -692,-16,-19 -693,-20,-19 -694,-18,-19 -695,-16,-19 -696,-12,-18 -697,-9,-17 -698,-9,-17 -699,-11,-17 -700,-12,-17 -701,-17,-18 -702,-17,-19 -703,-20,-20 -704,-20,-21 -705,-16,-21 -706,-15,-21 -707,-14,-21 -708,-15,-21 -709,-19,-21 -710,-21,-22 -711,-23,-23 -712,-28,-25 -713,-31,-26 -714,-27,-26 -715,-21,-24 -716,-9,-19 -717,4,-11 -718,15,-1 -719,25,12 -720,34,28 -721,45,45 -722,55,62 -723,78,79 -724,103,94 -725,128,106 -726,138,115 -727,132,119 -728,123,118 -729,111,111 -730,97,99 -731,78,82 -732,61,62 -733,39,40 -734,17,17 -735,-6,-3 -736,-23,-19 -737,-31,-30 -738,-29,-36 -739,-25,-37 -740,-23,-34 -741,-22,-28 -742,-17,-22 -743,-10,-16 -744,-11,-12 -745,-6,-11 -746,-7,-11 -747,-9,-13 -748,-8,-15 -749,-8,-17 -750,-13,-19 -751,-14,-20 -752,-16,-20 -753,-15,-19 -754,-14,-18 -755,-12,-17 -756,-10,-17 -757,-6,-16 -758,-9,-16 -759,-9,-16 -760,-11,-16 -761,-12,-16 -762,-11,-16 -763,-12,-15 -764,-8,-14 -765,-7,-14 -766,-5,-13 -767,-2,-13 -768,-5,-13 -769,-9,-14 -770,-10,-14 -771,-11,-14 -772,-10,-13 -773,-11,-13 -774,-6,-12 -775,-5,-11 -776,-4,-10 -777,-2,-9 -778,-2,-9 -779,-4,-8 -780,-6,-8 -781,-5,-7 -782,-4,-7 -783,-3,-6 -784,1,-5 -785,4,-5 -786,5,-4 -787,5,-3 -788,3,-3 -789,1,-3 -790,-2,-3 -791,-2,-4 -792,-2,-4 -793,2,-4 -794,3,-4 -795,5,-4 -796,9,-3 -797,12,-2 -798,13,-1 -799,10,1 -800,8,2 -801,9,3 -802,8,4 -803,9,4 -804,9,4 -805,12,4 -806,13,4 -807,14,3 -808,13,3 -809,13,2 -810,13,2 -811,13,3 -812,15,4 -813,16,5 -814,20,7 -815,23,9 -816,25,12 -817,26,14 -818,28,15 -819,28,17 -820,30,18 -821,27,19 -822,26,20 -823,28,21 -824,34,22 -825,35,23 -826,38,25 -827,40,26 -828,41,28 -829,38,29 -830,40,31 -831,40,32 -832,39,32 -833,42,33 -834,45,33 -835,47,33 -836,46,34 -837,48,35 -838,45,35 -839,45,35 -840,41,35 -841,40,35 -842,38,34 -843,38,33 -844,41,31 -845,41,30 -846,44,29 -847,46,28 -848,41,28 -849,39,28 -850,35,28 -851,33,28 -852,31,27 -853,30,26 -854,28,24 -855,27,22 -856,26,18 -857,22,15 -858,21,12 -859,17,9 -860,15,7 -861,13,6 -862,12,6 -863,13,5 -864,12,5 -865,12,4 -866,10,4 -867,9,2 -868,8,0 -869,4,-2 -870,2,-4 -871,0,-6 -872,0,-7 -873,2,-8 -874,3,-9 -875,2,-9 -876,4,-9 -877,2,-9 -878,0,-10 -879,-4,-10 -880,-4,-11 -881,-8,-12 -882,-7,-12 -883,-5,-13 -884,-3,-13 -885,-1,-14 -886,1,-14 -887,-1,-13 -888,-4,-13 -889,-5,-12 -890,-5,-12 -891,-5,-12 -892,-5,-11 -893,-5,-11 -894,-2,-12 -895,1,-12 -896,3,-12 -897,2,-12 -898,1,-12 -899,-4,-12 -900,-3,-13 -901,-3,-13 -902,-4,-13 -903,-5,-13 -904,-4,-13 -905,-4,-14 -906,0,-14 -907,3,-14 -908,-1,-15 -909,-2,-15 -910,-4,-16 -911,-6,-17 -912,-6,-18 -913,-3,-18 -914,0,-19 -915,2,-19 -916,3,-19 -917,3,-18 -918,-1,-17 -919,-2,-16 -920,-7,-15 -921,-5,-14 -922,-5,-13 -923,-4,-13 -924,1,-13 -925,3,-13 -926,4,-13 -927,5,-14 -928,0,-14 -929,-2,-14 -930,-5,-13 -931,-7,-13 -932,-4,-12 -933,-2,-12 -934,1,-11 -935,1,-11 -936,2,-12 -937,3,-12 -938,0,-13 -939,-1,-13 -940,-4,-14 -941,-5,-14 -942,-5,-15 -943,-3,-15 -944,0,-15 -945,1,-15 -946,3,-15 -947,4,-15 -948,0,-15 -949,-3,-15 -950,-4,-15 -951,-6,-16 -952,-5,-16 -953,-1,-16 -954,-1,-16 -955,0,-16 -956,2,-16 -957,3,-16 -958,1,-16 -959,-2,-16 -960,-3,-16 -961,-4,-16 -962,-3,-16 -963,-1,-17 -964,-1,-17 -965,-1,-17 -966,-2,-17 -967,-2,-18 -968,-2,-18 -969,-3,-19 -970,-5,-19 -971,-5,-20 -972,-4,-20 -973,-2,-20 -974,-2,-20 -975,-1,-20 -976,-1,-20 -977,-2,-20 -978,-5,-20 -979,-5,-20 -980,-5,-20 -981,-7,-21 -982,-6,-21 -983,-4,-22 -984,-2,-22 -985,-1,-22 -986,-2,-23 -987,-3,-23 -988,-5,-23 -989,-6,-23 -990,-7,-23 -991,-8,-23 -992,-5,-23 -993,-4,-23 -994,-3,-23 -995,-1,-22 -996,0,-22 -997,-2,-22 -998,-5,-21 -999,-6,-21 -1000,-9,-21 -1001,-9,-22 -1002,-9,-22 -1003,-7,-23 -1004,-8,-24 -1005,-5,-24 -1006,-2,-25 -1007,-5,-24 -1008,-8,-24 -1009,-8,-22 -1010,-6,-21 -1011,-7,-20 -1012,-8,-19 -1013,-5,-18 -1014,-4,-19 -1015,-3,-20 -1016,-1,-21 -1017,-2,-22 -1018,-5,-23 -1019,-8,-24 -1020,-9,-24 -1021,-8,-24 -1022,-4,-24 -1023,-3,-23 -1024,-2,-23 -1025,0,-23 -1026,-1,-23 -1027,-2,-22 -1028,-2,-22 -1029,-3,-21 -1030,0,-19 -1031,2,-17 -1032,5,-14 -1033,13,-11 -1034,14,-8 -1035,17,-5 -1036,20,-2 -1037,20,0 -1038,18,1 -1039,18,2 -1040,19,3 -1041,17,3 -1042,20,3 -1043,21,3 -1044,22,4 -1045,24,4 -1046,25,5 -1047,26,6 -1048,29,8 -1049,31,9 -1050,29,10 -1051,27,12 -1052,30,13 -1053,33,14 -1054,36,15 -1055,37,16 -1056,35,16 -1057,34,16 -1058,33,17 -1059,35,17 -1060,34,17 -1061,32,17 -1062,34,16 -1063,34,16 -1064,34,16 -1065,33,15 -1066,32,15 -1067,30,14 -1068,27,13 -1069,23,13 -1070,23,12 -1071,21,11 -1072,22,11 -1073,24,10 -1074,25,9 -1075,26,8 -1076,28,8 -1077,25,7 -1078,25,6 -1079,24,6 -1080,22,6 -1081,19,6 -1082,19,6 -1083,19,7 -1084,21,7 -1085,23,7 -1086,24,7 -1087,21,6 -1088,19,5 -1089,16,4 -1090,15,3 -1091,15,2 -1092,18,1 -1093,22,0 -1094,23,-1 -1095,24,-1 -1096,25,-1 -1097,19,-1 -1098,16,-1 -1099,14,0 -1100,12,0 -1101,17,1 -1102,20,1 -1103,20,2 -1104,21,2 -1105,23,1 -1106,21,1 -1107,19,1 -1108,17,0 -1109,15,0 -1110,14,0 -1111,16,0 -1112,15,-1 -1113,16,-1 -1114,18,-1 -1115,16,-2 -1116,18,-4 -1117,11,-5 -1118,9,-7 -1119,4,-8 -1120,4,-9 -1121,8,-8 -1122,14,-5 -1123,23,0 -1124,35,8 -1125,47,18 -1126,56,31 -1127,65,46 -1128,77,62 -1129,89,80 -1130,111,98 -1131,136,115 -1132,164,130 -1133,178,141 -1134,172,147 -1135,168,147 -1136,155,141 -1137,139,128 -1138,119,110 -1139,101,88 -1140,79,64 -1141,53,41 -1142,31,20 -1143,10,3 -1144,2,-9 -1145,7,-15 -1146,8,-17 -1147,8,-15 -1148,11,-11 -1149,12,-7 -1150,15,-2 -1151,19,1 -1152,24,2 -1153,26,3 -1154,25,3 -1155,26,3 -1156,24,3 -1157,21,4 -1158,18,5 -1159,17,6 -1160,18,6 -1161,21,7 -1162,22,7 -1163,22,6 -1164,24,5 -1165,26,4 -1166,25,3 -1167,22,2 -1168,18,2 -1169,15,3 -1170,16,4 -1171,19,5 -1172,23,6 -1173,23,6 -1174,23,7 -1175,28,6 -1176,22,6 -1177,20,5 -1178,19,5 -1179,17,5 -1180,20,4 -1181,24,4 -1182,26,4 -1183,27,4 -1184,28,4 -1185,29,4 -1186,26,4 -1187,23,4 -1188,22,4 -1189,21,4 -1190,22,5 -1191,26,5 -1192,28,6 -1193,30,7 -1194,31,8 -1195,33,8 -1196,27,9 -1197,23,9 -1198,22,9 -1199,23,9 -1200,22,9 -1201,26,8 -1202,27,8 -1203,28,8 -1204,31,9 -1205,33,9 -1206,32,9 -1207,30,10 -1208,29,10 -1209,29,10 -1210,27,11 -1211,28,11 -1212,33,12 -1213,34,13 -1214,35,14 -1215,34,15 -1216,34,16 -1217,33,17 -1218,35,18 -1219,32,18 -1220,33,19 -1221,36,19 -1222,42,19 -1223,43,20 -1224,45,21 -1225,45,22 -1226,43,24 -1227,42,26 -1228,44,28 -1229,40,30 -1230,45,33 -1231,50,34 -1232,51,36 -1233,52,37 -1234,54,37 -1235,54,38 -1236,54,38 -1237,53,38 -1238,53,38 -1239,50,38 -1240,53,39 -1241,53,40 -1242,56,41 -1243,59,41 -1244,59,42 -1245,58,43 -1246,58,43 -1247,57,43 -1248,56,42 -1249,52,41 -1250,52,40 -1251,51,39 -1252,52,38 -1253,52,37 -1254,54,37 -1255,52,36 -1256,47,35 -1257,44,34 -1258,38,32 -1259,34,30 -1260,34,27 -1261,30,24 -1262,31,21 -1263,32,18 -1264,30,15 -1265,26,13 -1266,24,11 -1267,19,10 -1268,19,9 -1269,15,9 -1270,16,8 -1271,15,6 -1272,15,5 -1273,13,3 -1274,13,1 -1275,7,-1 -1276,6,-3 -1277,4,-4 -1278,3,-5 -1279,-1,-6 -1280,0,-6 -1281,2,-7 -1282,2,-7 -1283,2,-8 -1284,6,-10 -1285,3,-11 -1286,1,-12 -1287,-2,-13 -1288,-4,-13 -1289,-6,-13 -1290,-4,-13 -1291,-3,-12 -1292,-2,-12 -1293,-1,-12 -1294,2,-13 -1295,-2,-14 -1296,-1,-15 -1297,-5,-16 -1298,-11,-18 -1299,-8,-18 -1300,-7,-18 -1301,-2,-18 -1302,0,-17 -1303,1,-16 -1304,1,-15 -1305,-1,-15 -1306,-6,-15 -1307,-7,-16 -1308,-8,-17 -1309,-6,-18 -1310,-7,-19 -1311,-6,-20 -1312,-4,-20 -1313,-3,-20 -1314,-2,-20 -1315,-5,-18 -1316,-7,-17 -1317,-11,-15 -1318,-11,-14 -1319,-10,-13 -1320,-8,-12 -1321,-5,-13 -1322,-3,-13 -1323,-4,-14 -1324,-2,-15 -1325,-5,-16 -1326,-6,-17 -1327,-7,-17 -1328,-10,-18 -1329,-11,-18 -1330,-8,-18 -1331,-8,-17 -1332,-4,-17 -1333,-3,-16 -1334,-4,-16 -1335,-6,-15 -1336,-9,-15 -1337,-10,-14 -1338,-11,-14 -1339,-11,-14 -1340,-10,-14 -1341,-4,-15 -1342,-5,-15 -1343,-5,-16 -1344,-3,-17 -1345,-7,-17 -1346,-8,-17 -1347,-8,-17 -1348,-8,-17 -1349,-8,-16 -1350,-5,-16 -1351,-7,-15 -1352,-6,-15 -1353,-5,-15 -1354,-6,-15 -1355,-9,-15 -1356,-12,-16 -1357,-12,-16 -1358,-13,-16 -1359,-12,-16 -1360,-8,-16 -1361,-6,-16 -1362,-7,-16 -1363,-6,-16 -1364,-5,-17 -1365,-9,-18 -1366,-9,-18 -1367,-13,-19 -1368,-14,-19 -1369,-13,-20 -1370,-11,-20 -1371,-10,-20 -1372,-7,-19 -1373,-6,-19 -1374,-6,-19 -1375,-9,-18 -1376,-12,-18 -1377,-13,-18 -1378,-15,-18 -1379,-14,-18 -1380,-10,-19 -1381,-10,-19 -1382,-8,-19 -1383,-6,-18 -1384,-8,-18 -1385,-9,-17 -1386,-12,-16 -1387,-12,-15 -1388,-13,-14 -1389,-15,-14 -1390,-14,-13 -1391,-12,-13 -1392,-11,-13 -1393,-9,-14 -1394,-10,-15 -1395,-11,-16 -1396,-13,-18 -1397,-13,-19 -1398,-16,-19 -1399,-13,-20 -1400,-11,-19 -1401,-8,-19 -1402,-8,-18 -1403,-6,-16 -1404,-7,-16 -1405,-10,-15 -1406,-15,-15 -1407,-15,-15 -1408,-19,-16 -1409,-18,-17 -1410,-13,-17 -1411,-11,-18 -1412,-9,-19 -1413,-9,-19 -1414,-11,-19 -1415,-14,-19 -1416,-16,-19 -1417,-15,-19 -1418,-15,-19 -1419,-13,-19 -1420,-12,-20 -1421,-11,-20 -1422,-9,-20 -1423,-8,-20 -1424,-8,-20 -1425,-11,-20 -1426,-15,-20 -1427,-15,-20 -1428,-16,-20 -1429,-13,-19 -1430,-12,-19 -1431,-11,-19 -1432,-12,-18 -1433,-9,-17 -1434,-13,-17 -1435,-15,-16 -1436,-17,-15 -1437,-18,-15 -1438,-18,-14 -1439,-15,-14 -1440,-11,-15 -1441,-6,-15 -1442,-5,-16 -1443,-9,-17 -1444,-13,-18 -1445,-15,-18 -1446,-17,-18 -1447,-18,-18 -1448,-20,-18 -1449,-17,-17 -1450,-14,-17 -1451,-12,-16 -1452,-9,-16 -1453,-8,-16 -1454,-12,-16 -1455,-14,-16 -1456,-15,-16 -1457,-17,-17 -1458,-17,-17 -1459,-14,-17 -1460,-12,-16 -1461,-12,-16 -1462,-11,-16 -1463,-10,-15 -1464,-13,-15 -1465,-15,-14 -1466,-15,-14 -1467,-16,-13 -1468,-14,-13 -1469,-12,-13 -1470,-11,-13 -1471,-11,-13 -1472,-9,-13 -1473,-8,-14 -1474,-13,-15 -1475,-16,-16 -1476,-18,-16 -1477,-14,-17 -1478,-16,-17 -1479,-14,-17 -1480,-10,-16 -1481,-9,-15 -1482,-10,-15 -1483,-9,-14 -1484,-11,-14 -1485,-14,-14 -1486,-15,-14 -1487,-14,-14 -1488,-13,-14 -1489,-12,-14 -1490,-11,-13 -1491,-11,-13 -1492,-8,-12 -1493,-9,-12 -1494,-12,-11 -1495,-11,-11 -1496,-10,-11 -1497,-13,-11 -1498,-11,-11 -1499,-8,-11 -1500,-7,-11 -1501,-3,-11 -1502,-4,-11 -1503,-6,-10 -1504,-7,-10 -1505,-10,-9 -1506,-10,-9 -1507,-10,-8 -1508,-10,-8 -1509,-8,-7 -1510,-4,-6 -1511,1,-5 -1512,2,-4 -1513,3,-4 -1514,0,-3 -1515,0,-2 -1516,-3,-1 -1517,-4,-1 -1518,-4,-1 -1519,-4,-1 -1520,-1,-1 -1521,2,-1 -1522,9,-2 -1523,5,-2 -1524,1,-2 -1525,0,-2 -1526,-5,-2 -1527,-9,-2 -1528,-8,-2 -1529,-5,-2 -1530,-5,-3 -1531,-6,-3 -1532,-6,-4 -1533,-10,-5 -1534,-12,-6 -1535,-15,-8 -1536,-17,-9 -1537,-18,-11 -1538,-18,-12 -1539,-14,-14 -1540,-14,-15 -1541,-11,-15 -1542,-9,-16 -1543,-11,-16 -1544,-14,-15 -1545,-16,-14 -1546,-16,-14 -1547,-18,-13 -1548,-18,-12 -1549,-16,-12 -1550,-12,-11 -1551,-12,-11 -1552,-12,-12 -1553,-16,-12 -1554,-16,-13 -1555,-17,-13 -1556,-21,-14 -1557,-22,-15 -1558,-20,-15 -1559,-16,-16 -1560,-15,-17 -1561,-13,-17 -1562,-11,-17 -1563,-14,-17 -1564,-17,-17 -1565,-20,-17 -1566,-22,-17 -1567,-21,-17 -1568,-18,-17 -1569,-17,-17 -1570,-16,-17 -1571,-15,-17 -1572,-10,-16 -1573,-16,-16 -1574,-17,-15 -1575,-18,-15 -1576,-22,-14 -1577,-24,-14 -1578,-21,-14 -1579,-19,-15 -1580,-20,-16 -1581,-20,-17 -1582,-21,-18 -1583,-25,-19 -1584,-26,-19 -1585,-25,-18 -1586,-25,-16 -1587,-18,-12 -1588,-4,-6 -1589,9,3 -1590,19,14 -1591,31,27 -1592,47,43 -1593,55,61 -1594,72,79 -1595,92,97 -1596,118,112 -1597,134,125 -1598,135,133 -1599,135,134 -1600,124,130 -1601,115,118 -1602,93,101 -1603,76,80 -1604,54,56 -1605,27,33 -1606,-2,11 -1607,-26,-7 -1608,-36,-20 -1609,-37,-27 -1610,-30,-30 -1611,-27,-30 -1612,-22,-27 -1613,-17,-22 -1614,-14,-18 -1615,-14,-15 -1616,-15,-12 -1617,-12,-10 -1618,-10,-9 -1619,-10,-9 -1620,-7,-8 -1621,-6,-7 -1622,-7,-6 -1623,-10,-5 -1624,-12,-4 -1625,-12,-3 -1626,-12,-3 -1627,-13,-3 -1628,-10,-4 -1629,-8,-4 -1630,-6,-5 -1631,-8,-5 -1632,-6,-5 -1633,-9,-5 -1634,-13,-5 -1635,-12,-5 -1636,-13,-4 -1637,-8,-5 -1638,-8,-5 -1639,-4,-5 -1640,-2,-5 -1641,-3,-4 -1642,-3,-4 -1643,-6,-3 -1644,-9,-3 -1645,-8,-2 -1646,-11,-2 -1647,-9,-1 -1648,-6,-1 -1649,-2,-1 -1650,1,-1 -1651,2,-2 -1652,0,-2 -1653,-5,-2 -1654,-6,-1 -1655,-7,-2 -1656,-13,-2 -1657,-8,-2 -1658,-3,-2 -1659,-3,-2 -1660,-1,-2 -1661,3,-2 -1662,2,-2 -1663,1,-1 -1664,-1,0 -1665,-2,1 -1666,-3,2 -1667,0,3 -1668,-1,3 -1669,0,4 -1670,2,4 -1671,5,5 -1672,6,5 -1673,3,6 -1674,3,7 -1675,0,8 -1676,2,10 -1677,2,11 -1678,6,12 -1679,9,12 -1680,11,13 -1681,13,13 -1682,14,13 -1683,13,13 -1684,12,13 -1685,10,14 -1686,13,15 -1687,14,17 -1688,20,18 -1689,22,19 -1690,23,21 -1691,26,22 -1692,26,23 -1693,24,24 -1694,23,25 -1695,23,26 -1696,25,27 -1697,28,29 -1698,31,30 -1699,34,32 -1700,33,33 -1701,35,35 -1702,33,36 -1703,34,37 -1704,37,37 -1705,36,38 -1706,35,39 -1707,39,39 -1708,40,40 -1709,42,41 -1710,39,42 -1711,43,42 -1712,39,43 -1713,39,44 -1714,38,45 -1715,34,45 -1716,33,45 -1717,34,44 -1718,37,43 -1719,34,41 -1720,35,39 -1721,34,36 -1722,35,34 -1723,26,31 -1724,25,29 -1725,24,28 -1726,21,27 -1727,21,27 -1728,22,27 -1729,21,27 -1730,20,26 -1731,18,25 -1732,15,23 -1733,13,20 -1734,9,16 -1735,5,13 -1736,1,9 -1737,1,6 -1738,-1,4 -1739,1,3 -1740,1,3 -1741,0,3 -1742,-3,3 -1743,-5,3 -1744,-6,3 -1745,-9,2 -1746,-10,0 -1747,-10,-1 -1748,-7,-3 -1749,-7,-5 -1750,-4,-5 -1751,-4,-6 -1752,-7,-6 -1753,-8,-6 -1754,-11,-6 -1755,-11,-6 -1756,-9,-6 -1757,-8,-6 -1758,-9,-7 -1759,-10,-7 -1760,-11,-8 -1761,-11,-9 -1762,-15,-10 -1763,-15,-10 -1764,-14,-10 -1765,-15,-10 -1766,-15,-10 -1767,-12,-10 -1768,-11,-9 -1769,-11,-9 -1770,-10,-9 -1771,-9,-10 -1772,-10,-10 -1773,-12,-11 -1774,-13,-11 -1775,-16,-11 -1776,-14,-11 -1777,-12,-11 -1778,-11,-10 -1779,-7,-10 -1780,-4,-9 -1781,-5,-8 -1782,-9,-7 -1783,-14,-6 -1784,-14,-6 -1785,-15,-7 -1786,-11,-8 -1787,-9,-9 -1788,-4,-10 -1789,-7,-11 -1790,-5,-12 -1791,-5,-12 -1792,-8,-13 -1793,-11,-13 -1794,-13,-13 -1795,-14,-13 -1796,-13,-12 -1797,-9,-11 -1798,-8,-10 -1799,-8,-10 -1800,-5,-9 -1801,-2,-9 -1802,-7,-9 -1803,-9,-9 -1804,-10,-10 -1805,-13,-10 -1806,-13,-11 -1807,-10,-11 -1808,-7,-11 -1809,-7,-11 -1810,-4,-10 -1811,-3,-8 -1812,-6,-6 -1813,-7,-5 -1814,-9,-4 -1815,-10,-3 -1816,-10,-3 -1817,-6,-4 -1818,-6,-5 -1819,-6,-6 -1820,-5,-8 -1821,-2,-9 -1822,-8,-9 -1823,-7,-9 -1824,-8,-9 -1825,-10,-8 -1826,-7,-7 -1827,-7,-6 -1828,-3,-6 -1829,-5,-5 -1830,-3,-5 -1831,-3,-5 -1832,-5,-5 -1833,-8,-6 -1834,-8,-6 -1835,-10,-7 -1836,-11,-7 -1837,-8,-8 -1838,-6,-8 -1839,-5,-9 -1840,-5,-9 -1841,-6,-10 -1842,-7,-10 -1843,-12,-11 -1844,-12,-12 -1845,-14,-12 -1846,-12,-12 -1847,-7,-12 -1848,-6,-11 -1849,-2,-10 -1850,-5,-9 -1851,-5,-8 -1852,-8,-7 -1853,-11,-7 -1854,-14,-7 -1855,-15,-8 -1856,-8,-9 -1857,-9,-11 -1858,-8,-12 -1859,-9,-14 -1860,-6,-14 -1861,-7,-15 -1862,-10,-15 -1863,-11,-14 -1864,-13,-14 -1865,-14,-13 -1866,-13,-13 -1867,-12,-13 -1868,-10,-13 -1869,-8,-14 -1870,-6,-14 -1871,-9,-15 -1872,-11,-15 -1873,-10,-15 -1874,-12,-14 -1875,-14,-14 -1876,-9,-13 -1877,-8,-12 -1878,-6,-11 -1879,-5,-11 -1880,-8,-10 -1881,-8,-10 -1882,-11,-10 -1883,-11,-10 -1884,-13,-10 -1885,-13,-10 -1886,-13,-11 -1887,-12,-11 -1888,-5,-12 -1889,-6,-13 -1890,-3,-14 -1891,-8,-15 -1892,-12,-15 -1893,-13,-16 -1894,-14,-16 -1895,-15,-15 -1896,-12,-14 -1897,-10,-13 -1898,-5,-12 -1899,-5,-11 -1900,-5,-11 -1901,-6,-11 -1902,-9,-12 -1903,-11,-13 -1904,-12,-13 -1905,-16,-14 -1906,-15,-15 -1907,-14,-16 -1908,-12,-17 -1909,-7,-17 -1910,-7,-18 -1911,-11,-18 -1912,-11,-18 -1913,-13,-18 -1914,-15,-18 -1915,-15,-18 -1916,-13,-17 -1917,-9,-17 -1918,-7,-16 -1919,-5,-16 -1920,-7,-15 -1921,-7,-14 -1922,-8,-14 -1923,-9,-13 -1924,-12,-13 -1925,-12,-13 -1926,-8,-13 -1927,-7,-13 -1928,-6,-14 -1929,-4,-14 -1930,-3,-15 -1931,-6,-15 -1932,-7,-15 -1933,-8,-14 -1934,-10,-14 -1935,-9,-13 -1936,-10,-12 -1937,-5,-11 -1938,1,-9 -1939,2,-8 -1940,4,-7 -1941,6,-7 -1942,7,-6 -1943,6,-5 -1944,7,-4 -1945,5,-3 -1946,8,-2 -1947,9,0 -1948,11,1 -1949,13,2 -1950,15,3 -1951,13,4 -1952,11,4 -1953,9,5 -1954,8,5 -1955,11,6 -1956,13,6 -1957,18,7 -1958,21,8 -1959,25,9 -1960,26,9 -1961,19,10 -1962,18,11 -1963,20,12 -1964,18,12 -1965,19,12 -1966,20,12 -1967,22,12 -1968,25,12 -1969,27,12 -1970,29,13 -1971,29,13 -1972,26,14 -1973,27,15 -1974,24,16 -1975,25,17 -1976,25,18 -1977,27,18 -1978,29,19 -1979,31,20 -1980,34,21 -1981,32,22 -1982,33,22 -1983,33,23 -1984,31,23 -1985,31,23 -1986,32,23 -1987,31,23 -1988,33,23 -1989,36,22 -1990,33,21 -1991,32,20 -1992,32,20 -1993,32,20 -1994,28,19 -1995,26,19 -1996,28,19 -1997,28,19 -1998,27,19 -1999,27,19 -2000,26,18 -2001,22,17 -2002,22,17 -2003,19,16 -2004,18,15 -2005,19,15 -2006,21,15 -2007,24,14 -2008,25,14 -2009,24,13 -2010,22,12 -2011,21,11 -2012,18,10 -2013,17,9 -2014,14,8 -2015,17,8 -2016,21,7 -2017,20,7 -2018,21,8 -2019,20,8 -2020,19,7 -2021,16,7 -2022,14,6 -2023,15,5 -2024,11,4 -2025,14,3 -2026,14,2 -2027,16,2 -2028,17,2 -2029,20,3 -2030,17,4 -2031,13,5 -2032,12,6 -2033,10,7 -2034,10,8 -2035,11,8 -2036,14,8 -2037,16,7 -2038,17,6 -2039,17,5 -2040,14,4 -2041,13,3 -2042,13,3 -2043,9,3 -2044,13,3 -2045,13,3 -2046,13,3 -2047,14,3 -2048,12,2 -2049,14,1 -2050,12,-1 -2051,7,-2 -2052,6,-3 -2053,8,-3 -2054,11,-1 -2055,17,3 -2056,26,9 -2057,37,18 -2058,47,29 -2059,58,42 -2060,66,57 -2061,79,73 -2062,100,89 -2063,121,104 -2064,141,117 -2065,151,126 -2066,151,131 -2067,148,131 -2068,139,126 -2069,123,114 -2070,103,98 -2071,86,78 -2072,65,56 -2073,38,33 -2074,14,13 -2075,-3,-3 -2076,-9,-15 -2077,-8,-21 -2078,-4,-23 -2079,3,-20 -2080,5,-16 -2081,5,-10 -2082,8,-4 -2083,12,1 -2084,17,4 -2085,18,6 -2086,20,6 -2087,24,6 -2088,22,6 -2089,18,6 -2090,15,6 -2091,14,7 -2092,13,8 -2093,14,8 -2094,14,8 -2095,17,8 -2096,18,7 -2097,21,6 -2098,21,5 -2099,22,4 -2100,19,3 -2101,19,3 -2102,17,3 -2103,17,3 -2104,17,4 -2105,20,4 -2106,22,5 -2107,24,5 -2108,26,5 -2109,22,6 -2110,18,6 -2111,15,5 -2112,14,5 -2113,14,5 -2114,15,5 -2115,19,5 -2116,23,5 -2117,25,5 -2118,26,6 -2119,25,6 -2120,23,6 -2121,21,6 -2122,20,6 -2123,21,6 -2124,22,6 -2125,24,6 -2126,25,6 -2127,25,7 -2128,26,7 -2129,26,8 -2130,21,9 -2131,21,10 -2132,24,11 -2133,21,12 -2134,22,13 -2135,24,13 -2136,26,14 -2137,29,13 -2138,33,13 -2139,30,12 -2140,28,11 -2141,26,10 -2142,25,10 -2143,26,10 -2144,26,10 -2145,28,11 -2146,28,11 -2147,29,12 -2148,33,13 -2149,34,14 -2150,32,15 -2151,32,16 -2152,33,17 -2153,32,19 -2154,37,20 -2155,36,22 -2156,39,24 -2157,40,25 -2158,42,26 -2159,41,27 -2160,40,28 -2161,40,28 -2162,40,28 -2163,40,29 -2164,43,29 -2165,45,30 -2166,50,31 -2167,52,33 -2168,54,34 -2169,51,36 -2170,51,37 -2171,52,38 -2172,52,38 -2173,49,38 -2174,52,38 -2175,54,38 -2176,53,37 -2177,54,37 -2178,51,37 -2179,53,37 -2180,52,38 -2181,49,39 -2182,48,39 -2183,47,39 -2184,48,39 -2185,51,38 -2186,47,38 -2187,48,36 -2188,48,35 -2189,44,33 -2190,40,31 -2191,36,29 -2192,33,27 -2193,30,25 -2194,31,22 -2195,31,20 -2196,30,18 -2197,27,15 -2198,24,12 -2199,18,10 -2200,17,8 -2201,14,6 -2202,10,4 -2203,8,2 -2204,9,1 -2205,9,0 -2206,8,-2 -2207,10,-3 -2208,9,-4 -2209,6,-5 -2210,2,-6 -2211,-1,-8 -2212,-3,-9 -2213,-5,-10 -2214,-3,-11 -2215,-2,-11 -2216,0,-12 -2217,1,-12 -2218,1,-13 -2219,-4,-13 -2220,-6,-14 -2221,-9,-16 -2222,-12,-17 -2223,-8,-19 -2224,-8,-20 -2225,-4,-21 -2226,-3,-22 -2227,-3,-22 -2228,-2,-22 -2229,-4,-22 -2230,-10,-21 -2231,-11,-21 -2232,-15,-20 -2233,-12,-20 -2234,-11,-20 -2235,-7,-20 -2236,-6,-21 -2237,-6,-21 -2238,-5,-21 -2239,-8,-21 -2240,-12,-21 -2241,-11,-21 -2242,-11,-21 -2243,-13,-21 -2244,-12,-22 -2245,-10,-22 -2246,-6,-23 -2247,-4,-23 -2248,-4,-23 -2249,-6,-24 -2250,-8,-24 -2251,-10,-23 -2252,-13,-23 -2253,-14,-22 -2254,-11,-22 -2255,-7,-21 -2256,-5,-21 -2257,-4,-21 -2258,-3,-21 -2259,-6,-21 -2260,-9,-21 -2261,-9,-22 -2262,-10,-22 -2263,-8,-22 -2264,-5,-22 -2265,-3,-21 -2266,-3,-21 -2267,0,-20 -2268,-2,-19 -2269,-7,-19 -2270,-11,-18 -2271,-8,-18 -2272,-13,-18 -2273,-8,-19 -2274,-10,-20 -2275,-7,-20 -2276,-2,-21 -2277,1,-21 -2278,-4,-21 -2279,-8,-21 -2280,-8,-20 -2281,-8,-18 -2282,-13,-17 -2283,-9,-16 -2284,-7,-15 -2285,-5,-14 -2286,-5,-14 -2287,-2,-15 -2288,-3,-16 -2289,-7,-17 -2290,-7,-18 -2291,-9,-19 -2292,-10,-19 -2293,-10,-19 -2294,-8,-18 -2295,-8,-18 -2296,-9,-17 -2297,-5,-17 -2298,-8,-17 -2299,-9,-17 -2300,-11,-17 -2301,-14,-18 -2302,-14,-18 -2303,-12,-18 -2304,-9,-18 -2305,-7,-18 -2306,-6,-17 -2307,-5,-16 -2308,-5,-16 -2309,-7,-16 -2310,-10,-16 -2311,-15,-17 -2312,-20,-18 -2313,-14,-19 -2314,-10,-20 -2315,-10,-20 -2316,-8,-21 -2317,-7,-21 -2318,-8,-22 -2319,-10,-21 -2320,-12,-21 -2321,-15,-21 -2322,-14,-21 -2323,-10,-20 -2324,-10,-20 -2325,-10,-19 -2326,-8,-19 -2327,-7,-19 -2328,-9,-19 -2329,-12,-19 -2330,-14,-20 -2331,-15,-20 -2332,-16,-21 -2333,-15,-21 -2334,-8,-21 -2335,-9,-22 -2336,-8,-22 -2337,-7,-22 -2338,-11,-22 -2339,-11,-22 -2340,-13,-23 -2341,-15,-23 -2342,-15,-23 -2343,-12,-24 -2344,-13,-24 -2345,-12,-24 -2346,-11,-23 -2347,-9,-22 -2348,-9,-21 -2349,-12,-20 -2350,-16,-19 -2351,-14,-18 -2352,-15,-18 -2353,-12,-18 -2354,-11,-18 -2355,-13,-19 -2356,-9,-20 -2357,-9,-21 -2358,-10,-21 -2359,-13,-22 -2360,-13,-22 -2361,-15,-21 -2362,-16,-21 -2363,-13,-20 -2364,-11,-19 -2365,-10,-19 -2366,-10,-18 -2367,-8,-18 -2368,-12,-18 -2369,-13,-19 -2370,-15,-19 -2371,-17,-20 -2372,-16,-21 -2373,-13,-22 -2374,-12,-22 -2375,-11,-23 -2376,-10,-22 -2377,-7,-22 -2378,-13,-21 -2379,-14,-20 -2380,-11,-19 -2381,-17,-18 -2382,-14,-17 -2383,-13,-17 -2384,-9,-18 -2385,-12,-19 -2386,-10,-21 -2387,-9,-22 -2388,-14,-23 -2389,-16,-24 -2390,-15,-25 -2391,-17,-24 -2392,-18,-23 -2393,-14,-22 -2394,-10,-21 -2395,-11,-19 -2396,-9,-18 -2397,-8,-17 -2398,-10,-17 -2399,-15,-17 -2400,-15,-17 -2401,-18,-18 -2402,-18,-18 -2403,-15,-19 -2404,-14,-19 -2405,-12,-19 -2406,-9,-19 -2407,-10,-19 -2408,-13,-19 -2409,-16,-18 -2410,-15,-18 -2411,-15,-18 -2412,-13,-18 -2413,-13,-18 -2414,-13,-18 -2415,-13,-18 -2416,-10,-19 -2417,-13,-19 -2418,-15,-19 -2419,-15,-20 -2420,-15,-20 -2421,-17,-20 -2422,-15,-20 -2423,-12,-19 -2424,-8,-18 -2425,-8,-17 -2426,-6,-16 -2427,-6,-15 -2428,-9,-13 -2429,-11,-12 -2430,-11,-12 -2431,-10,-11 -2432,-9,-11 -2433,-8,-11 -2434,-7,-11 -2435,-3,-11 -2436,0,-11 -2437,-1,-10 -2438,-3,-10 -2439,-4,-10 -2440,-4,-9 -2441,-3,-8 -2442,0,-7 -2443,-2,-7 -2444,1,-6 -2445,2,-5 -2446,2,-5 -2447,-1,-5 -2448,-2,-5 -2449,-3,-5 -2450,-3,-6 -2451,-5,-6 -2452,-4,-7 -2453,-2,-8 -2454,2,-8 -2455,0,-9 -2456,-1,-9 -2457,-6,-10 -2458,-9,-10 -2459,-12,-11 -2460,-13,-12 -2461,-16,-12 -2462,-16,-13 -2463,-14,-14 -2464,-13,-14 -2465,-10,-15 -2466,-9,-15 -2467,-12,-15 -2468,-13,-15 -2469,-15,-16 -2470,-18,-16 -2471,-21,-16 -2472,-17,-16 -2473,-13,-17 -2474,-13,-18 -2475,-14,-18 -2476,-11,-19 -2477,-12,-20 -2478,-15,-20 -2479,-19,-21 -2480,-21,-21 -2481,-24,-21 -2482,-22,-21 -2483,-15,-21 -2484,-16,-21 -2485,-14,-21 -2486,-12,-20 -2487,-16,-20 -2488,-19,-20 -2489,-22,-20 -2490,-20,-20 -2491,-18,-19 -2492,-19,-19 -2493,-17,-18 -2494,-15,-17 -2495,-15,-17 -2496,-13,-17 -2497,-16,-17 -2498,-20,-17 -2499,-20,-17 -2500,-20,-18 -2501,-20,-19 -2502,-18,-19 -2503,-18,-20 -2504,-16,-20 -2505,-19,-21 -2506,-18,-21 -2507,-20,-22 -2508,-21,-23 -2509,-25,-23 -2510,-29,-24 -2511,-29,-23 -2512,-23,-22 -2513,-15,-18 -2514,-4,-13 -2515,7,-4 -2516,18,6 -2517,24,20 -2518,36,35 -2519,49,52 -2520,65,70 -2521,85,87 -2522,111,102 -2523,128,114 -2524,130,121 -2525,129,122 -2526,119,118 -2527,104,108 -2528,85,93 -2529,67,73 -2530,49,52 -2531,26,30 -2532,4,9 -2533,-18,-8 -2534,-33,-21 -2535,-34,-29 -2536,-29,-33 -2537,-25,-33 -2538,-25,-30 -2539,-23,-27 -2540,-21,-23 -2541,-19,-19 -2542,-14,-17 -2543,-12,-15 -2544,-8,-15 -2545,-8,-15 -2546,-7,-15 -2547,-11,-14 -2548,-14,-14 -2549,-17,-13 -2550,-17,-12 -2551,-16,-11 -2552,-13,-10 -2553,-10,-10 -2554,-7,-10 -2555,-5,-10 -2556,-5,-10 -2557,-6,-10 -2558,-5,-10 -2559,-12,-9 -2560,-15,-9 -2561,-14,-9 -2562,-12,-9 -2563,-10,-9 -2564,-10,-9 -2565,-6,-9 -2566,-6,-9 -2567,-8,-8 -2568,-10,-8 -2569,-10,-7 -2570,-12,-7 -2571,-10,-6 -2572,-9,-6 -2573,-6,-6 -2574,-3,-6 -2575,-2,-6 -2576,-6,-6 -2577,-7,-6 -2578,-7,-6 -2579,-8,-6 -2580,-8,-5 -2581,-6,-4 -2582,-3,-3 -2583,-2,-2 -2584,-1,-1 -2585,2,-1 -2586,1,0 -2587,-4,0 -2588,-6,0 -2589,-8,0 -2590,-9,0 -2591,-9,0 -2592,-4,0 -2593,-2,0 -2594,1,1 -2595,4,1 -2596,3,2 -2597,0,3 -2598,-1,3 -2599,-3,4 -2600,-2,4 -2601,1,4 -2602,3,5 -2603,4,5 -2604,6,5 -2605,10,5 -2606,7,6 -2607,4,7 -2608,5,8 -2609,6,9 -2610,6,10 -2611,10,11 -2612,12,12 -2613,16,13 -2614,17,14 -2615,22,15 -2616,20,16 -2617,16,17 -2618,15,18 -2619,14,19 -2620,16,20 -2621,19,21 -2622,23,23 -2623,25,24 -2624,30,26 -2625,32,27 -2626,32,29 -2627,32,30 -2628,29,32 -2629,29,33 -2630,27,34 -2631,32,35 -2632,35,35 -2633,37,36 -2634,38,37 -2635,39,37 -2636,38,37 -2637,37,37 -2638,35,37 -2639,34,37 -2640,33,37 -2641,36,37 -2642,35,37 -2643,35,37 -2644,34,37 -2645,35,36 -2646,31,36 -2647,29,36 -2648,26,35 -2649,23,34 -2650,21,33 -2651,22,31 -2652,23,29 -2653,22,27 -2654,22,25 -2655,21,23 -2656,16,21 -2657,13,20 -2658,9,18 -2659,3,16 -2660,2,14 -2661,3,12 -2662,3,10 -2663,3,7 -2664,0,5 -2665,3,3 -2666,3,1 -2667,-4,0 -2668,-7,-1 -2669,-9,-1 -2670,-8,-1 -2671,-8,-1 -2672,-7,-1 -2673,-8,-1 -2674,-5,-2 -2675,-4,-3 -2676,-9,-3 -2677,-10,-4 -2678,-13,-5 -2679,-14,-6 -2680,-13,-7 -2681,-9,-7 -2682,-9,-7 -2683,-11,-7 -2684,-9,-6 -2685,-9,-6 -2686,-11,-5 -2687,-14,-5 -2688,-16,-5 -2689,-17,-5 -2690,-17,-6 -2691,-17,-7 -2692,-12,-8 -2693,-13,-9 -2694,-9,-10 -2695,-10,-11 -2696,-12,-12 -2697,-15,-13 -2698,-18,-13 -2699,-17,-12 -2700,-14,-12 -2701,-13,-11 -2702,-12,-10 -2703,-8,-10 -2704,-9,-9 -2705,-10,-8 -2706,-11,-8 -2707,-12,-7 -2708,-14,-7 -2709,-16,-6 -2710,-15,-6 -2711,-12,-6 -2712,-13,-6 -2713,-10,-6 -2714,-6,-7 -2715,-8,-7 -2716,-11,-8 -2717,-11,-8 -2718,-11,-8 -2719,-12,-8 -2720,-12,-7 -2721,-9,-7 -2722,-5,-6 -2723,-4,-5 -2724,-2,-4 -2725,-5,-3 -2726,-11,-3 -2727,-12,-3 -2728,-13,-2 -2729,-13,-2 -2730,-11,-2 -2731,-9,-2 -2732,-7,-2 -2733,-5,-2 -2734,-5,-2 -2735,-7,-2 -2736,-10,-2 -2737,-9,-3 -2738,-10,-4 -2739,-11,-4 -2740,-10,-5 -2741,-8,-5 -2742,-5,-6 -2743,-4,-6 -2744,-3,-5 -2745,-4,-5 -2746,-7,-5 -2747,-7,-5 -2748,-9,-5 -2749,-11,-5 -2750,-9,-5 -2751,-7,-6 -2752,-7,-6 -2753,-6,-7 -2754,-5,-7 -2755,-6,-7 -2756,-9,-7 -2757,-8,-7 -2758,-10,-6 -2759,-11,-5 -2760,-12,-4 -2761,-8,-4 -2762,-6,-3 -2763,-6,-3 -2764,-6,-3 -2765,-10,-3 -2766,-11,-3 -2767,-12,-3 -2768,-12,-4 -2769,-14,-4 -2770,-12,-5 -2771,-10,-5 -2772,-9,-6 -2773,-8,-6 -2774,-6,-6 -2775,-8,-7 -2776,-12,-7 -2777,-12,-8 -2778,-13,-8 -2779,-14,-8 -2780,-13,-8 -2781,-11,-8 -2782,-9,-8 -2783,-9,-8 -2784,-9,-7 -2785,-11,-7 -2786,-10,-6 -2787,-11,-6 -2788,-14,-6 -2789,-14,-6 -2790,-13,-6 -2791,-12,-7 -2792,-9,-8 -2793,-8,-8 -2794,-10,-9 -2795,-11,-9 -2796,-10,-9 -2797,-12,-8 -2798,-14,-8 -2799,-14,-7 -2800,-12,-7 -2801,-11,-7 -2802,-11,-8 -2803,-9,-9 -2804,-11,-11 -2805,-13,-12 -2806,-14,-13 -2807,-13,-13 -2808,-15,-13 -2809,-17,-13 -2810,-14,-12 -2811,-12,-11 -2812,-10,-10 -2813,-10,-9 -2814,-9,-9 -2815,-11,-9 -2816,-13,-9 -2817,-11,-9 -2818,-13,-9 -2819,-14,-10 -2820,-11,-10 -2821,-11,-10 -2822,-12,-10 -2823,-8,-10 -2824,-6,-10 -2825,-10,-10 -2826,-13,-10 -2827,-17,-10 -2828,-13,-10 -2829,-14,-10 -2830,-12,-11 -2831,-8,-11 -2832,-9,-11 -2833,-9,-11 -2834,-8,-11 -2835,-11,-11 -2836,-13,-11 -2837,-16,-11 -2838,-18,-11 -2839,-16,-10 -2840,-13,-10 -2841,-10,-9 -2842,-10,-9 -2843,-10,-9 -2844,-11,-9 -2845,-14,-10 -2846,-12,-10 -2847,-13,-11 -2848,-13,-11 -2849,-16,-12 -2850,-13,-12 -2851,-11,-12 -2852,-9,-12 -2853,-9,-12 -2854,-9,-13 -2855,-11,-13 -2856,-13,-13 -2857,-13,-14 -2858,-15,-14 -2859,-15,-14 -2860,-13,-15 -2861,-8,-14 -2862,-8,-14 -2863,-7,-13 -2864,-8,-12 -2865,-9,-12 -2866,-12,-11 -2867,-11,-11 -2868,-15,-12 -2869,-14,-12 -2870,-11,-13 -2871,-7,-15 -2872,-9,-16 -2873,-9,-17 -2874,-11,-18 -2875,-13,-18 -2876,-14,-17 -2877,-15,-16 -2878,-18,-15 -2879,-14,-14 -2880,-11,-13 -2881,-10,-12 -2882,-8,-12 -2883,-8,-13 -2884,-8,-13 -2885,-13,-14 -2886,-12,-14 -2887,-17,-14 -2888,-15,-14 -2889,-15,-14 -2890,-10,-13 -2891,-6,-12 -2892,-2,-11 -2893,0,-11 -2894,-3,-11 -2895,-5,-11 -2896,-8,-11 -2897,-10,-10 -2898,-9,-10 -2899,-7,-10 -2900,-4,-9 -2901,-2,-8 -2902,0,-7 -2903,4,-6 -2904,-2,-5 -2905,-4,-4 -2906,-2,-4 -2907,-2,-4 -2908,-3,-4 -2909,0,-4 -2910,5,-4 -2911,6,-3 -2912,8,-1 -2913,8,0 -2914,10,3 -2915,11,5 -2916,14,7 -2917,14,9 -2918,15,11 -2919,17,13 -2920,21,14 -2921,24,15 -2922,26,16 -2923,27,17 -2924,23,18 -2925,22,18 -2926,20,19 -2927,17,20 -2928,16,20 -2929,20,20 -2930,20,20 -2931,22,19 -2932,21,18 -2933,23,17 -2934,21,16 -2935,21,15 -2936,18,15 -2937,19,15 -2938,16,15 -2939,17,16 -2940,21,17 -2941,21,17 -2942,24,18 -2943,24,18 -2944,22,18 -2945,18,18 -2946,18,18 -2947,16,18 -2948,13,17 -2949,15,17 -2950,18,17 -2951,19,17 -2952,19,16 -2953,24,16 -2954,20,16 -2955,18,15 -2956,20,15 -2957,17,14 -2958,14,14 -2959,14,14 -2960,17,14 -2961,20,14 -2962,20,14 -2963,18,14 -2964,20,14 -2965,17,14 -2966,17,14 -2967,14,13 -2968,14,13 -2969,15,12 -2970,15,11 -2971,14,11 -2972,17,10 -2973,14,9 -2974,14,8 -2975,11,7 -2976,9,6 -2977,6,6 -2978,6,6 -2979,10,8 -2980,16,12 -2981,28,17 -2982,43,26 -2983,52,36 -2984,61,50 -2985,73,65 -2986,83,83 -2987,99,101 -2988,119,119 -2989,146,135 -2990,170,148 -2991,173,156 -2992,172,159 -2993,162,155 -2994,146,145 -2995,127,129 -2996,109,109 -2997,85,86 -2998,63,62 -2999,41,39 -3000,18,20 -3001,2,6 -3002,2,-3 -3003,8,-7 -3004,6,-6 -3005,8,-3 -3006,10,2 -3007,14,7 -3008,18,12 -3009,23,15 -3010,24,17 -3011,26,17 -3012,26,17 -3013,24,17 -3014,22,16 -3015,21,16 -3016,19,17 -3017,17,17 -3018,18,17 -3019,20,18 -3020,21,17 -3021,22,17 -3022,25,16 -3023,25,15 -3024,22,15 -3025,21,14 -3026,21,13 -3027,17,13 -3028,18,13 -3029,21,13 -3030,21,12 -3031,22,12 -3032,23,12 -3033,24,12 -3034,21,12 -3035,19,12 -3036,19,13 -3037,17,13 -3038,19,14 -3039,22,14 -3040,24,15 -3041,25,15 -3042,27,15 -3043,29,15 -3044,26,15 -3045,22,15 -3046,21,15 -3047,22,15 -3048,23,15 -3049,26,16 -3050,25,17 -3051,29,18 -3052,32,19 -3053,30,20 -3054,27,21 -3055,24,21 -3056,24,21 -3057,24,21 -3058,24,21 -3059,27,21 -3060,29,21 -3061,33,21 -3062,35,21 -3063,33,22 -3064,32,22 -3065,33,23 -3066,33,24 -3067,30,24 -3068,29,24 -3069,31,24 -3070,31,24 -3071,31,24 -3072,32,24 -3073,29,24 -3074,33,24 -3075,35,24 -3076,33,25 -3077,31,26 -3078,35,28 -3079,38,29 -3080,40,30 -3081,45,32 -3082,44,33 -3083,46,34 -3084,46,35 -3085,48,37 -3086,46,38 -3087,45,40 -3088,49,41 -3089,51,43 -3090,52,44 -3091,58,46 -3092,57,47 -3093,54,48 -3094,53,49 -3095,59,50 -3096,63,51 -3097,60,51 -3098,60,52 -3099,60,52 -3100,62,53 -3101,65,54 -3102,64,54 -3103,65,55 -3104,63,55 -3105,63,56 -3106,61,56 -3107,60,57 -3108,61,57 -3109,63,57 -3110,62,57 -3111,62,56 -3112,61,55 -3113,59,54 -3114,57,52 -3115,54,49 -3116,48,47 -3117,45,44 -3118,44,42 -3119,44,39 -3120,44,37 -3121,42,35 -3122,39,33 -3123,33,30 -3124,30,28 -3125,28,25 -3126,27,22 -3127,20,19 -3128,20,17 -3129,19,14 -3130,24,13 -3131,21,11 -3132,20,10 -3133,16,9 -3134,10,8 -3135,7,7 -3136,5,5 -3137,3,3 -3138,1,1 -3139,4,-2 -3140,4,-4 -3141,4,-6 -3142,6,-7 -3143,3,-8 -3144,-2,-9 -3145,-7,-9 -3146,-6,-9 -3147,-4,-8 -3148,-3,-9 -3149,-2,-9 -3150,0,-9 -3151,1,-10 -3152,1,-11 -3153,-4,-12 -3154,-6,-12 -3155,-9,-12 -3156,-8,-12 -3157,-7,-12 -3158,-6,-12 -3159,-6,-12 -3160,-3,-12 -3161,-3,-13 -3162,-2,-14 -3163,-7,-14 -3164,-9,-15 -3165,-9,-16 -3166,-11,-16 -3167,-11,-16 -3168,-6,-16 -3169,-5,-16 -3170,-5,-15 -3171,-6,-15 -3172,-8,-14 -3173,-11,-14 -3174,-13,-14 -3175,-14,-14 -3176,-15,-15 -3177,-14,-15 -3178,-11,-15 -3179,-7,-15 -3180,-6,-15 -3181,-5,-15 -3182,-8,-15 -3183,-11,-15 -3184,-14,-16 -3185,-18,-17 -3186,-17,-17 -3187,-13,-18 -3188,-12,-19 -3189,-9,-19 -3190,-9,-20 -3191,-6,-19 -3192,-8,-19 -3193,-11,-18 -3194,-12,-18 -3195,-12,-17 -3196,-14,-17 -3197,-11,-17 -3198,-10,-17 -3199,-7,-17 -3200,-6,-16 -3201,-5,-16 -3202,-6,-16 -3203,-9,-15 -3204,-10,-15 -3205,-12,-15 -3206,-13,-14 -3207,-11,-14 -3208,-9,-15 -3209,-7,-15 -3210,-7,-16 -3211,-6,-17 -3212,-10,-18 -3213,-10,-18 -3214,-9,-19 -3215,-10,-19 -3216,-11,-19 -3217,-8,-19 -3218,-6,-18 -3219,-9,-18 -3220,-8,-17 -3221,-11,-17 -3222,-15,-17 -3223,-19,-17 -3224,-20,-18 -3225,-19,-19 -3226,-18,-20 -3227,-16,-21 -3228,-16,-22 -3229,-10,-22 -3230,-9,-23 -3231,-10,-23 -3232,-10,-23 -3233,-14,-22 -3234,-16,-22 -3235,-17,-21 -3236,-19,-20 -3237,-15,-20 -3238,-13,-19 -3239,-10,-19 -3240,-9,-19 -3241,-10,-20 -3242,-11,-20 -3243,-15,-20 -3244,-16,-20 -3245,-18,-20 -3246,-18,-20 -3247,-16,-20 -3248,-16,-20 -3249,-15,-20 -3250,-15,-21 -3251,-12,-21 -3252,-15,-22 -3253,-18,-23 -3254,-18,-24 -3255,-17,-25 -3256,-19,-26 -3257,-19,-26 -3258,-16,-26 -3259,-17,-27 -3260,-18,-27 -3261,-16,-27 -3262,-17,-26 -3263,-20,-26 -3264,-26,-26 -3265,-23,-25 -3266,-23,-25 -3267,-19,-24 -3268,-18,-24 -3269,-18,-24 -3270,-16,-24 -3271,-16,-25 -3272,-17,-25 -3273,-16,-26 -3274,-21,-27 -3275,-23,-29 -3276,-23,-29 -3277,-22,-30 -3278,-19,-30 -3279,-18,-30 -3280,-16,-29 -3281,-16,-28 -3282,-17,-28 -3283,-19,-27 -3284,-20,-27 -3285,-23,-26 -3286,-24,-26 -3287,-22,-26 -3288,-23,-26 -3289,-19,-26 -3290,-16,-26 -3291,-16,-26 -3292,-17,-26 -3293,-20,-26 -3294,-23,-26 -3295,-21,-26 -3296,-23,-26 -3297,-22,-26 -3298,-23,-26 -3299,-20,-26 -3300,-20,-26 -3301,-19,-25 -3302,-19,-25 -3303,-22,-24 -3304,-22,-24 -3305,-24,-24 -3306,-21,-24 -3307,-17,-25 -3308,-18,-25 -3309,-16,-26 -3310,-19,-26 -3311,-19,-26 -3312,-20,-26 -3313,-25,-26 -3314,-24,-25 -3315,-25,-24 -3316,-20,-23 -3317,-18,-22 -3318,-21,-22 -3319,-21,-21 -3320,-17,-21 -3321,-16,-21 -3322,-18,-21 -3323,-22,-21 -3324,-20,-22 -3325,-21,-22 -3326,-24,-22 -3327,-20,-23 -3328,-19,-23 -3329,-17,-23 -3330,-17,-23 -3331,-17,-23 -3332,-19,-22 -3333,-22,-21 -3334,-22,-20 -3335,-23,-19 -3336,-22,-18 -3337,-15,-18 -3338,-14,-18 -3339,-13,-18 -3340,-11,-18 -3341,-9,-19 -3342,-14,-19 -3343,-16,-19 -3344,-16,-19 -3345,-16,-19 -3346,-17,-18 -3347,-16,-17 -3348,-14,-17 -3349,-14,-17 -3350,-11,-17 -3351,-9,-17 -3352,-11,-17 -3353,-13,-16 -3354,-13,-16 -3355,-13,-15 -3356,-9,-13 -3357,-10,-12 -3358,-5,-10 -3359,-8,-8 -3360,-2,-7 -3361,-7,-6 -3362,-8,-5 -3363,-10,-5 -3364,-11,-5 -3365,-13,-6 -3366,-11,-7 -3367,-9,-8 -3368,-9,-10 -3369,-10,-12 -3370,-7,-13 -3371,-15,-15 -3372,-19,-16 -3373,-19,-18 -3374,-20,-19 -3375,-23,-20 -3376,-25,-20 -3377,-22,-21 -3378,-16,-21 -3379,-21,-22 -3380,-22,-23 -3381,-23,-23 -3382,-23,-24 -3383,-22,-25 -3384,-22,-25 -3385,-26,-25 -3386,-24,-25 -3387,-20,-24 -3388,-24,-23 -3389,-19,-23 -3390,-19,-22 -3391,-22,-21 -3392,-22,-21 -3393,-23,-21 -3394,-25,-21 -3395,-27,-22 -3396,-27,-22 -3397,-23,-23 -3398,-22,-24 -3399,-23,-24 -3400,-21,-25 -3401,-25,-26 -3402,-27,-26 -3403,-26,-27 -3404,-26,-27 -3405,-27,-26 -3406,-26,-26 -3407,-22,-25 -3408,-21,-24 -3409,-20,-24 -3410,-22,-23 -3411,-23,-23 -3412,-27,-23 -3413,-27,-24 -3414,-27,-25 -3415,-27,-26 -3416,-31,-26 -3417,-29,-27 -3418,-26,-27 -3419,-26,-27 -3420,-28,-27 -3421,-32,-27 -3422,-37,-27 -3423,-35,-26 -3424,-33,-25 -3425,-25,-22 -3426,-12,-16 -3427,-1,-8 -3428,9,3 -3429,21,17 -3430,36,34 -3431,49,51 -3432,66,69 -3433,87,85 -3434,103,98 -3435,111,106 -3436,111,110 -3437,105,108 -3438,96,100 -3439,83,88 -3440,72,71 -3441,51,52 -3442,27,32 -3443,-2,12 -3444,-23,-6 -3445,-39,-20 -3446,-43,-31 -3447,-43,-38 -3448,-38,-41 -3449,-33,-40 -3450,-32,-37 -3451,-30,-32 -3452,-25,-26 -3453,-21,-21 -3454,-22,-17 -3455,-18,-15 -3456,-18,-13 -3457,-16,-13 -3458,-16,-13 -3459,-16,-13 -3460,-17,-13 -3461,-18,-12 -3462,-21,-12 -3463,-23,-12 -3464,-23,-12 -3465,-23,-13 -3466,-19,-14 -3467,-19,-15 -3468,-19,-16 -3469,-15,-17 -3470,-17,-17 -3471,-19,-17 -3472,-19,-16 -3473,-18,-15 -3474,-21,-13 -3475,-18,-12 -3476,-13,-11 -3477,-15,-10 -3478,-15,-10 -3479,-13,-10 -3480,-12,-10 -3481,-14,-11 -3482,-18,-11 -3483,-19,-11 -3484,-20,-11 -3485,-18,-11 -3486,-13,-10 -3487,-12,-10 -3488,-11,-9 -3489,-6,-9 -3490,-11,-9 -3491,-14,-9 -3492,-14,-9 -3493,-15,-9 -3494,-14,-9 -3495,-14,-9 -3496,-12,-9 -3497,-11,-9 -3498,-10,-8 -3499,-8,-8 -3500,-11,-7 -3501,-10,-6 -3502,-9,-4 -3503,-11,-3 -3504,-12,-2 -3505,-8,-1 -3506,-6,0 -3507,-6,0 -3508,-4,1 -3509,-4,1 -3510,-7,2 -3511,-7,2 -3512,-7,3 -3513,-7,4 -3514,-8,4 -3515,-7,5 -3516,-1,6 -3517,4,6 -3518,4,7 -3519,4,7 -3520,2,6 -3521,3,6 -3522,2,6 -3523,2,6 -3524,3,7 -3525,4,8 -3526,5,9 -3527,4,11 -3528,7,13 -3529,11,15 -3530,13,17 -3531,11,19 -3532,12,20 -3533,12,22 -3534,14,23 -3535,20,24 -3536,23,25 -3537,23,26 -3538,21,27 -3539,25,28 -3540,25,29 -3541,23,30 -3542,21,31 -3543,23,32 -3544,22,32 -3545,25,32 -3546,25,32 -3547,26,32 -3548,29,32 -3549,30,32 -3550,27,32 -3551,26,33 -3552,26,33 -3553,23,34 -3554,22,35 -3555,26,35 -3556,27,36 -3557,27,35 -3558,26,35 -3559,26,34 -3560,23,33 -3561,17,31 -3562,15,30 -3563,13,28 -3564,12,26 -3565,11,24 -3566,10,22 -3567,6,20 -3568,8,18 -3569,6,16 -3570,4,14 -3571,-3,12 -3572,-5,11 -3573,-6,10 -3574,-6,9 -3575,-6,8 -3576,-6,7 -3577,-6,6 -3578,-4,5 -3579,-8,4 -3580,-10,2 -3581,-15,0 -3582,-17,-2 -3583,-16,-4 -3584,-16,-5 -3585,-13,-6 -3586,-14,-7 -3587,-13,-8 -3588,-11,-8 -3589,-15,-8 -3590,-18,-8 -3591,-23,-7 -3592,-20,-7 -3593,-22,-7 -3594,-23,-8 -3595,-21,-8 -3596,-19,-8 -3597,-18,-9 -3598,-15,-10 -3599,-12,-10 -3600,-19,-10 -3601,-20,-11 -3602,-25,-11 -3603,-23,-11 -3604,-23,-11 -3605,-20,-11 -3606,-20,-11 -3607,-20,-10 -3608,-18,-10 -3609,-18,-10 -3610,-20,-9 -3611,-22,-9 -3612,-21,-9 -3613,-23,-9 -3614,-21,-8 -3615,-18,-8 -3616,-16,-8 -3617,-15,-8 -3618,-15,-8 -3619,-19,-8 -3620,-20,-8 -3621,-21,-8 -3622,-24,-7 -3623,-24,-7 -3624,-23,-7 -3625,-19,-7 -3626,-19,-8 -3627,-18,-8 -3628,-14,-8 -3629,-19,-9 -3630,-19,-9 -3631,-18,-9 -3632,-21,-9 -3633,-22,-9 -3634,-18,-8 -3635,-14,-7 -3636,-14,-7 -3637,-14,-6 -3638,-14,-5 -3639,-17,-5 -3640,-20,-4 -3641,-20,-4 -3642,-20,-4 -3643,-22,-5 -3644,-22,-5 -3645,-18,-6 -3646,-18,-7 -3647,-14,-7 -3648,-14,-8 -3649,-15,-8 -3650,-19,-8 -3651,-22,-8 -3652,-20,-7 -3653,-20,-7 -3654,-19,-5 -3655,-19,-4 -3656,-16,-3 -3657,-15,-3 -3658,-10,-3 -3659,-13,-3 -3660,-17,-3 -3661,-18,-4 -3662,-22,-5 -3663,-23,-6 -3664,-20,-6 -3665,-19,-7 -3666,-17,-7 -3667,-13,-6 -3668,-12,-6 -3669,-13,-6 -3670,-19,-5 -3671,-24,-5 -3672,-20,-5 -3673,-20,-5 -3674,-21,-5 -3675,-17,-5 -3676,-15,-5 -3677,-15,-5 -3678,-14,-6 -3679,-17,-6 -3680,-20,-6 -3681,-23,-7 -3682,-24,-7 -3683,-23,-8 -3684,-20,-8 -3685,-16,-9 -3686,-18,-9 -3687,-18,-9 -3688,-14,-9 -3689,-19,-9 -3690,-23,-9 -3691,-23,-9 -3692,-23,-10 -3693,-24,-10 -3694,-23,-10 -3695,-19,-10 -3696,-20,-10 -3697,-19,-10 -3698,-15,-9 -3699,-19,-9 -3700,-22,-8 -3701,-25,-8 -3702,-24,-8 -3703,-20,-8 -3704,-23,-8 -3705,-22,-9 -3706,-20,-9 -3707,-16,-9 -3708,-19,-9 -3709,-19,-9 -3710,-22,-8 -3711,-26,-7 -3712,-24,-6 -3713,-25,-6 -3714,-24,-5 -3715,-22,-5 -3716,-21,-5 -3717,-19,-6 -3718,-20,-6 -3719,-21,-7 -3720,-24,-8 -3721,-24,-8 -3722,-25,-9 -3723,-24,-8 -3724,-21,-8 -3725,-19,-7 -3726,-19,-6 -3727,-18,-6 -3728,-20,-6 -3729,-21,-6 -3730,-23,-7 -3731,-23,-8 -3732,-28,-9 -3733,-27,-11 -3734,-24,-12 -3735,-24,-13 -3736,-22,-14 -3737,-21,-14 -3738,-19,-14 -3739,-23,-13 -3740,-26,-12 -3741,-26,-11 -3742,-23,-10 -3743,-25,-9 -3744,-21,-9 -3745,-21,-8 -3746,-19,-8 -3747,-19,-7 -3748,-22,-7 -3749,-21,-7 -3750,-21,-7 -3751,-23,-7 -3752,-27,-7 -3753,-29,-8 -3754,-23,-8 -3755,-20,-9 -3756,-22,-9 -3757,-19,-10 -3758,-19,-10 -3759,-22,-10 -3760,-23,-10 -3761,-24,-10 -3762,-26,-10 -3763,-28,-10 -3764,-25,-10 -3765,-23,-10 -3766,-20,-10 -3767,-20,-10 -3768,-22,-10 -3769,-21,-10 -3770,-21,-11 -3771,-20,-11 -3772,-25,-10 -3773,-21,-9 -3774,-16,-8 -3775,-16,-7 -3776,-12,-5 -3777,-13,-3 -3778,-13,-2 -3779,-16,-1 -3780,-19,-1 -3781,-20,-2 -3782,-22,-2 -3783,-21,-3 -3784,-15,-4 -3785,-10,-5 -3786,-10,-6 -3787,-9,-5 -3788,-10,-4 -3789,-13,-3 -3790,-14,-1 -3791,-11,1 -3792,-13,2 -3793,-11,4 -3794,-12,4 -3795,-8,5 -3796,-7,5 -3797,-6,4 -3798,-9,4 -3799,-12,3 -3800,-13,3 -3801,-13,3 -3802,-15,2 -3803,-15,2 -3804,-15,1 -3805,-15,0 -3806,-10,-2 -3807,-14,-4 -3808,-19,-6 -3809,-19,-8 -3810,-22,-10 -3811,-23,-11 -3812,-27,-12 -3813,-22,-12 -3814,-21,-12 -3815,-23,-12 -3816,-21,-11 -3817,-20,-11 -3818,-21,-11 -3819,-23,-11 -3820,-27,-11 -3821,-28,-11 -3822,-26,-12 -3823,-26,-12 -3824,-24,-12 -3825,-23,-12 -3826,-20,-12 -3827,-19,-12 -3828,-21,-12 -3829,-24,-12 -3830,-24,-12 -3831,-24,-13 -3832,-30,-14 -3833,-26,-14 -3834,-24,-15 -3835,-23,-15 -3836,-27,-16 -3837,-24,-15 -3838,-22,-15 -3839,-22,-14 -3840,-23,-14 -3841,-26,-13 -3842,-27,-13 -3843,-27,-13 -3844,-25,-13 -3845,-21,-13 -3846,-22,-13 -3847,-22,-13 -3848,-27,-13 -3849,-27,-14 -3850,-30,-14 -3851,-32,-16 -3852,-32,-17 -3853,-29,-19 -3854,-30,-20 -3855,-34,-21 -3856,-30,-21 -3857,-27,-20 -3858,-23,-17 -3859,-16,-11 -3860,-8,-4 -3861,0,6 -3862,6,18 -3863,19,30 -3864,31,44 -3865,48,58 -3866,69,71 -3867,83,83 -3868,100,92 -3869,97,98 -3870,93,99 -3871,79,97 -3872,70,89 -3873,57,77 -3874,48,61 -3875,35,43 -3876,17,24 -3877,-5,6 -3878,-27,-10 -3879,-44,-22 -3880,-45,-30 -3881,-41,-33 -3882,-36,-32 -3883,-30,-29 -3884,-27,-24 -3885,-22,-18 -3886,-19,-14 -3887,-17,-11 -3888,-15,-9 -3889,-19,-10 -3890,-21,-11 -3891,-25,-12 -3892,-24,-13 -3893,-24,-13 -3894,-22,-12 -3895,-19,-11 -3896,-16,-9 -3897,-15,-8 -3898,-17,-7 -3899,-20,-8 -3900,-24,-9 -3901,-25,-11 -3902,-22,-13 -3903,-24,-14 -3904,-23,-16 -3905,-20,-16 -3906,-18,-16 -3907,-17,-15 -3908,-20,-13 -3909,-20,-12 -3910,-18,-10 -3911,-19,-9 -3912,-17,-9 -3913,-18,-8 -3914,-18,-9 -3915,-17,-9 -3916,-13,-9 -3917,-15,-9 -3918,-16,-9 -3919,-15,-9 -3920,-16,-8 -3921,-20,-7 -3922,-18,-7 -3923,-14,-6 -3924,-11,-6 -3925,-13,-6 -3926,-13,-6 -3927,-12,-5 -3928,-7,-3 -3929,-5,-1 -3930,-3,1 -3931,0,4 -3932,3,7 -3933,8,10 -3934,8,14 -3935,15,16 -3936,17,18 -3937,17,20 -3938,18,22 -3939,19,23 -3940,14,25 -3941,16,26 -3942,20,27 -3943,20,28 -3944,24,29 -3945,27,29 -3946,29,30 -3947,25,30 -3948,27,31 -3949,26,32 -3950,23,34 -3951,27,35 -3952,29,37 -3953,36,39 -3954,38,41 -3955,35,42 -3956,37,43 -3957,38,44 -3958,36,44 -3959,37,43 -3960,36,43 -3961,37,43 -3962,39,44 -3963,43,45 -3964,42,47 -3965,44,49 -3966,50,52 -3967,51,54 -3968,50,56 -3969,52,58 -3970,49,60 -3971,52,61 -3972,52,62 -3973,57,62 -3974,55,63 -3975,61,63 -3976,61,64 -3977,59,64 -3978,58,65 -3979,54,65 -3980,55,65 -3981,56,65 -3982,57,65 -3983,59,64 -3984,64,64 -3985,58,64 -3986,64,64 -3987,61,64 -3988,60,65 -3989,56,65 -3990,52,65 -3991,54,65 -3992,52,64 -3993,54,63 -3994,54,62 -3995,53,60 -3996,53,58 -3997,48,55 -3998,43,53 -3999,45,51 -4000,42,49 -4001,36,47 -4002,35,46 -4003,34,44 -4004,34,42 -4005,37,40 -4006,32,38 -4007,29,36 -4008,25,34 -4009,23,32 -4010,20,31 -4011,22,30 -4012,21,29 -4013,20,28 -4014,26,27 -4015,22,26 -4016,21,26 -4017,17,25 -4018,14,24 -4019,13,23 -4020,13,23 -4021,12,22 -4022,15,22 -4023,15,22 -4024,14,21 -4025,13,21 -4026,13,21 -4027,12,20 -4028,9,19 -4029,7,18 -4030,7,16 -4031,4,15 -4032,7,14 -4033,10,13 -4034,10,13 -4035,14,13 -4036,14,14 -4037,10,15 -4038,10,16 -4039,8,17 -4040,6,17 -4041,7,17 -4042,10,16 -4043,10,15 -4044,14,14 -4045,16,13 -4046,11,12 -4047,9,12 -4048,8,12 -4049,6,12 -4050,8,13 -4051,4,13 -4052,8,13 -4053,10,13 -4054,10,13 -4055,12,13 -4056,9,13 -4057,7,12 -4058,7,12 -4059,5,12 -4060,5,12 -4061,6,12 -4062,8,12 -4063,10,12 -4064,11,12 -4065,13,11 -4066,10,11 -4067,9,11 -4068,6,11 -4069,4,10 -4070,3,10 -4071,2,10 -4072,3,10 -4073,6,10 -4074,8,10 -4075,10,9 -4076,8,9 -4077,5,9 -4078,4,8 -4079,1,8 -4080,2,8 -4081,2,8 -4082,6,8 -4083,7,8 -4084,9,8 -4085,7,8 -4086,7,7 -4087,3,7 -4088,2,6 -4089,0,6 -4090,-2,5 -4091,-1,4 -4092,3,4 -4093,3,3 -4094,2,3 -4095,5,4 -4096,3,4 -4097,1,4 -4098,-3,5 -4099,2,5 -4100,1,5 -4101,-1,5 -4102,1,4 -4103,2,3 -4104,4,2 -4105,0,1 -4106,2,0 -4107,-3,-1 -4108,-8,-1 -4109,-9,-2 -4110,-10,-2 -4111,-6,-2 -4112,-3,-1 -4113,-1,-1 -4114,2,-1 -4115,-6,-1 -4116,0,-1 -4117,-2,-1 -4118,-2,-2 -4119,-6,-2 -4120,-5,-2 -4121,-8,-3 -4122,-7,-3 -4123,-2,-4 -4124,-1,-4 -4125,-4,-4 -4126,-6,-4 -4127,-8,-4 -4128,-6,-4 -4129,-9,-3 -4130,-12,-3 -4131,-4,-3 -4132,0,-3 -4133,-5,-3 -4134,-4,-4 -4135,3,-4 -4136,-7,-4 -4137,-8,-5 -4138,-12,-6 -4139,-10,-6 -4140,-7,-7 -4141,-10,-8 -4142,-7,-9 -4143,-10,-10 -4144,-8,-10 -4145,-6,-10 -4146,-6,-9 -4147,-10,-8 -4148,-9,-7 -4149,-12,-6 -4150,-16,-5 -4151,-9,-5 -4152,-8,-5 -4153,-9,-6 -4154,-8,-8 -4155,-6,-10 -4156,-13,-12 -4157,-13,-13 -4158,-11,-14 -4159,-14,-15 -4160,-15,-14 -4161,-14,-13 -4162,-11,-12 -4163,-11,-10 -4164,-12,-9 -4165,-10,-9 -4166,-13,-9 -4167,-15,-9 -4168,-15,-10 -4169,-17,-11 -4170,-19,-12 -4171,-16,-13 -4172,-14,-14 -4173,-14,-15 -4174,-13,-16 -4175,-15,-16 -4176,-15,-17 -4177,-16,-17 -4178,-15,-17 -4179,-20,-17 -4180,-17,-17 -4181,-16,-17 -4182,-15,-17 -4183,-11,-16 -4184,-12,-15 -4185,-16,-14 -4186,-18,-14 -4187,-21,-13 -4188,-22,-13 -4189,-22,-14 -4190,-20,-14 -4191,-15,-14 -4192,-13,-14 -4193,-11,-14 -4194,-10,-14 -4195,-11,-13 -4196,-15,-12 -4197,-13,-11 -4198,-12,-10 -4199,-13,-9 -4200,-11,-8 -4201,-8,-8 -4202,-9,-9 -4203,-8,-10 -4204,-9,-11 -4205,-10,-12 -4206,-14,-13 -4207,-16,-14 -4208,-16,-14 -4209,-13,-13 -4210,-5,-12 -4211,-6,-11 -4212,-6,-9 -4213,-6,-6 -4214,0,-4 -4215,-2,-3 -4216,-4,-1 -4217,-7,-1 -4218,-8,-1 -4219,-9,-2 -4220,-8,-3 -4221,-5,-4 -4222,-6,-6 -4223,-6,-7 -4224,-5,-8 -4225,-1,-9 -4226,-7,-9 -4227,-9,-9 -4228,-13,-9 -4229,-20,-10 -4230,-17,-10 -4231,-16,-11 -4232,-16,-12 -4233,-16,-13 -4234,-16,-15 -4235,-18,-17 -4236,-20,-18 -4237,-23,-20 -4238,-24,-21 -4239,-27,-21 -4240,-26,-22 -4241,-21,-22 -4242,-23,-22 -4243,-20,-22 -4244,-21,-22 -4245,-19,-22 -4246,-20,-21 -4247,-24,-21 -4248,-26,-21 -4249,-27,-20 -4250,-24,-20 -4251,-23,-20 -4252,-21,-21 -4253,-21,-21 -4254,-21,-22 -4255,-24,-23 -4256,-28,-25 -4257,-27,-26 -4258,-26,-26 -4259,-29,-26 -4260,-26,-26 -4261,-22,-26 -4262,-22,-25 -4263,-26,-24 -4264,-23,-23 -4265,-22,-22 -4266,-25,-22 -4267,-28,-22 -4268,-28,-22 -4269,-32,-23 -4270,-26,-24 -4271,-25,-25 -4272,-26,-26 -4273,-30,-26 -4274,-24,-27 -4275,-29,-28 -4276,-32,-28 -4277,-35,-29 -4278,-32,-29 -4279,-39,-29 -4280,-37,-28 -4281,-28,-26 -4282,-18,-23 -4283,-9,-17 -4284,2,-9 -4285,10,1 -4286,14,14 -4287,24,29 -4288,38,45 -4289,56,61 -4290,78,76 -4291,101,88 -4292,104,95 -4293,102,98 -4294,94,95 -4295,77,86 -4296,66,72 -4297,50,55 -4298,31,35 -4299,12,16 -4300,-12,-3 -4301,-27,-18 -4302,-41,-30 -4303,-44,-37 -4304,-41,-41 -4305,-42,-41 -4306,-39,-39 -4307,-33,-35 -4308,-29,-31 -4309,-26,-28 -4310,-26,-25 -4311,-22,-23 -4312,-18,-22 -4313,-19,-21 -4314,-21,-20 -4315,-23,-20 -4316,-23,-20 -4317,-23,-19 -4318,-23,-19 -4319,-22,-19 -4320,-22,-19 -4321,-16,-19 -4322,-24,-20 -4323,-20,-20 -4324,-16,-21 -4325,-22,-21 -4326,-29,-21 -4327,-25,-21 -4328,-26,-20 -4329,-23,-18 -4330,-22,-17 -4331,-16,-15 -4332,-13,-14 -4333,-14,-13 -4334,-16,-12 -4335,-19,-12 -4336,-20,-13 -4337,-19,-13 -4338,-23,-14 -4339,-22,-15 -4340,-17,-16 -4341,-18,-16 -4342,-13,-15 -4343,-8,-14 -4344,-13,-13 -4345,-9,-12 -4346,-16,-10 -4347,-13,-9 -4348,-20,-8 -4349,-21,-8 -4350,-19,-8 -4351,-16,-9 -4352,-14,-10 -4353,-12,-11 -4354,-16,-12 -4355,-16,-13 -4356,-15,-13 -4357,-11,-13 -4358,-19,-13 -4359,-15,-12 -4360,-11,-11 -4361,-7,-10 -4362,-6,-10 -4363,-6,-9 -4364,-7,-9 -4365,-9,-9 -4366,-8,-9 -4367,-9,-8 -4368,-9,-7 -4369,-9,-7 -4370,-7,-5 -4371,-3,-4 -4372,0,-3 -4373,1,-2 -4374,0,-1 -4375,-3,-1 -4376,-4,0 -4377,-5,0 -4378,-3,1 -4379,0,2 -4380,-2,3 -4381,4,4 -4382,6,5 -4383,10,6 -4384,11,7 -4385,9,8 -4386,8,8 -4387,6,9 -4388,6,9 -4389,7,11 -4390,11,12 -4391,13,14 -4392,17,17 -4393,26,20 -4394,20,22 -4395,15,24 -4396,18,26 -4397,18,27 -4398,19,27 -4399,19,27 -4400,22,26 -4401,24,26 -4402,26,26 -4403,30,26 -4404,27,27 -4405,20,28 -4406,20,29 -4407,23,30 -4408,19,30 -4409,23,29 -4410,25,28 -4411,22,27 -4412,25,26 -4413,23,25 -4414,21,25 -4415,18,24 -4416,17,24 -4417,14,24 -4418,12,24 -4419,12,23 -4420,10,21 -4421,19,19 -4422,9,16 -4423,8,13 -4424,6,10 -4425,-1,7 -4426,-3,5 -4427,-2,3 -4428,-7,1 -4429,-8,0 -4430,-6,-1 -4431,-8,-2 -4432,-10,-3 -4433,-8,-4 -4434,-12,-6 -4435,-20,-7 -4436,-21,-8 -4437,-21,-9 -4438,-23,-11 -4439,-19,-12 -4440,-18,-13 -4441,-13,-15 -4442,-17,-16 -4443,-18,-17 -4444,-23,-18 -4445,-27,-18 -4446,-27,-18 -4447,-23,-18 -4448,-25,-18 -4449,-25,-17 -4450,-24,-17 -4451,-22,-17 -4452,-19,-18 -4453,-20,-18 -4454,-23,-19 -4455,-25,-20 -4456,-28,-21 -4457,-29,-21 -4458,-28,-22 -4459,-24,-21 -4460,-23,-21 -4461,-21,-20 -4462,-21,-18 -4463,-20,-17 -4464,-23,-16 -4465,-24,-16 -4466,-27,-16 -4467,-28,-17 -4468,-28,-17 -4469,-25,-18 -4470,-21,-19 -4471,-22,-20 -4472,-20,-20 -4473,-21,-19 -4474,-20,-18 -4475,-21,-17 -4476,-22,-16 -4477,-25,-14 -4478,-28,-13 -4479,-25,-13 -4480,-23,-13 -4481,-27,-14 -4482,-21,-16 -4483,-22,-17 -4484,-24,-19 -4485,-25,-20 -4486,-25,-21 -4487,-25,-21 -4488,-24,-21 -4489,-25,-20 -4490,-22,-18 -4491,-19,-17 -4492,-18,-15 -4493,-19,-14 -4494,-21,-13 -4495,-22,-13 -4496,-20,-13 -4497,-24,-14 -4498,-26,-14 -4499,-25,-14 -4500,-21,-15 -4501,-21,-15 -4502,-19,-15 -4503,-21,-16 -4504,-22,-16 -4505,-20,-16 -4506,-26,-16 -4507,-27,-16 -4508,-25,-16 -4509,-22,-16 -4510,-22,-16 -4511,-19,-15 -4512,-18,-14 -4513,-16,-13 -4514,-17,-13 -4515,-19,-12 -4516,-24,-11 -4517,-24,-11 -4518,-26,-11 -4519,-23,-11 -4520,-24,-11 -4521,-20,-11 -4522,-18,-11 -4523,-19,-11 -4524,-22,-11 -4525,-24,-10 -4526,-24,-10 -4527,-24,-10 -4528,-24,-11 -4529,-22,-11 -4530,-22,-11 -4531,-20,-12 -4532,-20,-12 -4533,-22,-13 -4534,-24,-13 -4535,-25,-14 -4536,-24,-15 -4537,-26,-15 -4538,-27,-16 -4539,-25,-17 -4540,-23,-17 -4541,-21,-18 -4542,-19,-18 -4543,-25,-18 -4544,-26,-18 -4545,-27,-17 -4546,-27,-17 -4547,-29,-16 -4548,-25,-16 -4549,-23,-16 -4550,-26,-16 -4551,-23,-15 -4552,-23,-15 -4553,-22,-15 -4554,-25,-14 -4555,-25,-14 -4556,-27,-13 -4557,-26,-13 -4558,-25,-13 -4559,-25,-13 -4560,-26,-14 -4561,-25,-14 -4562,-24,-15 -4563,-28,-16 -4564,-28,-16 -4565,-28,-16 -4566,-29,-16 -4567,-32,-16 -4568,-28,-16 -4569,-26,-16 -4570,-24,-15 -4571,-23,-15 -4572,-22,-14 -4573,-26,-14 -4574,-28,-14 -4575,-28,-14 -4576,-30,-14 -4577,-31,-14 -4578,-27,-14 -4579,-25,-15 -4580,-22,-15 -4581,-20,-15 -4582,-20,-15 -4583,-26,-15 -4584,-25,-14 -4585,-28,-14 -4586,-30,-14 -4587,-30,-14 -4588,-26,-14 -4589,-24,-14 -4590,-26,-14 -4591,-21,-15 -4592,-25,-15 -4593,-28,-15 -4594,-29,-16 -4595,-27,-15 -4596,-28,-15 -4597,-32,-15 -4598,-25,-15 -4599,-26,-15 -4600,-28,-15 -4601,-27,-15 -4602,-28,-15 -4603,-30,-16 -4604,-27,-16 -4605,-29,-16 -4606,-30,-16 -4607,-30,-16 -4608,-26,-15 -4609,-24,-15 -4610,-22,-14 -4611,-20,-13 -4612,-22,-13 -4613,-21,-12 -4614,-22,-11 -4615,-21,-10 -4616,-23,-10 -4617,-22,-9 -4618,-24,-9 -4619,-20,-9 -4620,-22,-9 -4621,-17,-8 -4622,-21,-8 -4623,-20,-8 -4624,-20,-8 -4625,-19,-7 -4626,-17,-6 -4627,-17,-5 -4628,-16,-4 -4629,-12,-2 -4630,-12,-1 -4631,-9,1 -4632,-12,2 -4633,-14,3 -4634,-12,3 -4635,-14,4 -4636,-14,3 -4637,-13,2 -4638,-15,1 -4639,-17,-1 -4640,-14,-3 -4641,-18,-5 -4642,-20,-7 -4643,-20,-8 -4644,-23,-9 -4645,-25,-9 -4646,-28,-9 -4647,-26,-9 -4648,-25,-9 -4649,-25,-9 -4650,-27,-10 -4651,-25,-11 -4652,-28,-12 -4653,-26,-13 -4654,-26,-15 -4655,-29,-16 -4656,-30,-16 -4657,-27,-16 -4658,-28,-16 -4659,-28,-15 -4660,-23,-15 -4661,-26,-14 -4662,-29,-14 -4663,-30,-15 -4664,-29,-15 -4665,-32,-16 -4666,-33,-16 -4667,-29,-17 -4668,-27,-17 -4669,-26,-16 -4670,-26,-16 -4671,-28,-15 -4672,-28,-15 -4673,-30,-15 -4674,-33,-15 -4675,-32,-15 -4676,-37,-15 -4677,-30,-16 -4678,-27,-16 -4679,-26,-16 -4680,-29,-16 -4681,-27,-16 -4682,-27,-16 -4683,-32,-16 -4684,-32,-17 -4685,-34,-18 -4686,-37,-19 -4687,-34,-20 -4688,-35,-21 -4689,-33,-21 -4690,-34,-21 -4691,-33,-21 -4692,-36,-21 -4693,-39,-21 -4694,-42,-20 -4695,-38,-19 -4696,-33,-17 -4697,-22,-12 -4698,-10,-5 -4699,0,5 -4700,11,18 -4701,25,35 -4702,37,53 -4703,56,72 -4704,75,91 -4705,99,106 -4706,117,118 -4707,116,123 -4708,111,122 -4709,98,115 -4710,86,102 -4711,65,83 -4712,47,62 -4713,21,39 -4714,-2,17 -4715,-24,-2 -4716,-48,-18 -4717,-55,-29 -4718,-52,-35 -4719,-44,-37 -4720,-39,-35 -4721,-37,-31 -4722,-30,-26 -4723,-27,-21 -4724,-32,-16 -4725,-29,-12 -4726,-28,-10 -4727,-25,-9 -4728,-23,-10 -4729,-23,-10 -4730,-22,-11 -4731,-22,-12 -4732,-27,-12 -4733,-26,-12 -4734,-28,-12 -4735,-29,-11 -4736,-30,-10 -4737,-24,-10 -4738,-24,-10 -4739,-23,-10 -4740,-21,-11 -4741,-18,-12 -4742,-23,-12 -4743,-25,-12 -4744,-25,-12 -4745,-26,-12 -4746,-25,-11 -4747,-24,-10 -4748,-19,-9 -4749,-20,-8 -4750,-20,-8 -4751,-20,-8 -4752,-22,-8 -4753,-24,-8 -4754,-24,-8 -4755,-25,-7 -4756,-20,-7 -4757,-22,-6 -4758,-18,-5 -4759,-16,-4 -4760,-16,-3 -4761,-15,-2 -4762,-16,-2 -4763,-17,-1 -4764,-20,-1 -4765,-19,-1 -4766,-20,-2 -4767,-15,-2 -4768,-15,-2 -4769,-14,-2 -4770,-13,-2 -4771,-13,-1 -4772,-16,-1 -4773,-18,-1 -4774,-19,0 -4775,-19,0 -4776,-15,0 -4777,-14,0 -4778,-12,1 -4779,-8,1 -4780,-7,1 -4781,-9,1 -4782,-13,1 -4783,-13,2 -4784,-11,3 -4785,-10,4 -4786,-9,6 -4787,-8,7 -4788,-5,9 -4789,1,10 -4790,1,11 -4791,3,12 -4792,1,13 -4793,-2,13 -4794,-2,14 -4795,-4,15 -4796,-1,15 -4797,1,16 -4798,6,17 -4799,5,18 -4800,8,19 -4801,13,20 -4802,12,21 -4803,11,22 -4804,11,23 -4805,9,24 -4806,12,25 -4807,14,27 -4808,15,28 -4809,19,30 -4810,24,31 -4811,23,32 -4812,25,34 -4813,24,35 -4814,24,36 -4815,23,37 -4816,22,38 -4817,25,39 -4818,30,40 -4819,28,41 -4820,29,41 -4821,29,42 -4822,26,42 -4823,22,42 -4824,19,41 -4825,19,40 -4826,19,39 -4827,24,37 -4828,24,36 -4829,25,36 -4830,25,35 -4831,21,35 -4832,19,35 -4833,14,35 -4834,12,34 -4835,9,32 -4836,8,31 -4837,8,28 -4838,8,25 -4839,3,22 -4840,4,19 -4841,3,16 -4842,-5,13 -4843,-11,11 -4844,-9,9 -4845,-10,8 -4846,-13,7 -4847,-13,6 -4848,-9,5 -4849,-11,4 -4850,-11,4 -4851,-14,3 -4852,-17,2 -4853,-21,1 -4854,-22,1 -4855,-22,0 -4856,-23,-1 -4857,-22,-3 -4858,-21,-4 -4859,-22,-6 -4860,-21,-8 -4861,-20,-9 -4862,-19,-11 -4863,-26,-12 -4864,-27,-12 -4865,-28,-12 -4866,-23,-12 -4867,-25,-10 -4868,-25,-9 -4869,-19,-8 -4870,-20,-7 -4871,-25,-7 -4872,-28,-7 -4873,-27,-8 -4874,-28,-9 -4875,-29,-10 -4876,-25,-11 -4877,-23,-12 -4878,-22,-12 -4879,-24,-11 -4880,-22,-11 -4881,-25,-10 -4882,-25,-9 -4883,-25,-8 -4884,-25,-8 -4885,-27,-8 -4886,-23,-9 -4887,-24,-9 -4888,-22,-10 -4889,-20,-10 -4890,-17,-11 -4891,-21,-11 -4892,-22,-11 -4893,-25,-11 -4894,-25,-10 -4895,-25,-10 -4896,-24,-10 -4897,-19,-10 -4898,-19,-10 -4899,-18,-9 -4900,-20,-9 -4901,-21,-8 -4902,-23,-7 -4903,-23,-6 -4904,-25,-5 -4905,-26,-5 -4906,-21,-5 -4907,-20,-5 -4908,-16,-5 -4909,-18,-6 -4910,-15,-7 -4911,-20,-7 -4912,-25,-7 -4913,-24,-7 -4914,-25,-7 -4915,-26,-6 -4916,-18,-6 -4917,-19,-6 -4918,-16,-6 -4919,-14,-6 -4920,-16,-6 -4921,-18,-6 -4922,-22,-6 -4923,-23,-7 -4924,-24,-6 -4925,-22,-6 -4926,-20,-6 -4927,-20,-6 -4928,-18,-6 -4929,-16,-6 -4930,-19,-6 -4931,-21,-6 -4932,-21,-6 -4933,-25,-5 -4934,-23,-5 -4935,-22,-4 -4936,-21,-4 -4937,-20,-4 -4938,-18,-5 -4939,-19,-5 -4940,-20,-6 -4941,-23,-6 -4942,-22,-6 -4943,-21,-6 -4944,-16,-5 -4945,-15,-3 -4946,-11,-1 -4947,-9,1 -4948,-5,4 -4949,0,6 -4950,0,9 -4951,-1,11 -4952,-5,13 -4953,-2,14 -4954,-3,16 -4955,-5,17 -4956,1,17 -4957,3,18 -4958,4,18 -4959,7,18 -4960,7,17 -4961,3,17 -4962,1,16 -4963,-2,16 -4964,-2,15 -4965,3,15 -4966,6,16 -4967,7,16 -4968,7,16 -4969,8,16 -4970,4,17 -4971,2,16 -4972,1,16 -4973,1,16 -4974,2,15 -4975,2,15 -4976,5,15 -4977,6,15 -4978,9,16 -4979,11,16 -4980,10,17 -4981,6,18 -4982,2,19 -4983,2,20 -4984,1,21 -4985,1,21 -4986,5,22 -4987,8,21 -4988,10,21 -4989,7,20 -4990,5,20 -4991,4,19 -4992,2,19 -4993,3,19 -4994,3,19 -4995,3,19 -4996,6,19 -4997,4,19 -4998,7,19 -4999,8,19 -5000,5,19 -5001,4,19 -5002,4,19 -5003,0,18 -5004,1,18 -5005,1,18 -5006,6,18 -5007,6,18 -5008,6,18 -5009,7,17 -5010,3,17 -5011,-2,16 -5012,-3,15 -5013,-1,14 -5014,-1,14 -5015,2,14 -5016,3,14 -5017,7,14 -5018,7,15 -5019,8,16 -5020,5,17 -5021,3,18 -5022,2,18 -5023,2,18 -5024,4,18 -5025,6,18 -5026,8,18 -5027,9,17 -5028,11,18 -5029,10,18 -5030,9,18 -5031,6,19 -5032,4,20 -5033,5,20 -5034,8,21 -5035,10,21 -5036,15,22 -5037,18,22 -5038,20,23 -5039,19,24 -5040,16,25 -5041,15,26 -5042,9,27 -5043,8,27 -5044,8,28 -5045,11,28 -5046,14,27 -5047,14,27 -5048,16,26 -5049,15,25 -5050,10,25 -5051,5,24 -5052,2,23 -5053,-1,22 -5054,0,21 -5055,1,19 -5056,0,18 -5057,1,16 -5058,2,14 -5059,2,12 -5060,-4,10 -5061,-6,9 -5062,-8,8 -5063,-10,8 -5064,-10,7 -5065,-8,7 -5066,-10,6 -5067,-7,5 -5068,-7,5 -5069,-8,4 -5070,-9,3 -5071,-10,2 -5072,-12,1 -5073,-15,1 -5074,-15,1 -5075,-15,0 -5076,-13,0 -5077,-12,-1 -5078,-10,-1 -5079,-12,-1 -5080,-13,-2 -5081,-17,-2 -5082,-17,-3 -5083,-19,-3 -5084,-18,-3 -5085,-14,-3 -5086,-15,-3 -5087,-15,-3 -5088,-14,-3 -5089,-12,-3 -5090,-14,-2 -5091,-15,-2 -5092,-18,-2 -5093,-20,-1 -5094,-17,-1 -5095,-16,-1 -5096,-17,-2 -5097,-15,-3 -5098,-15,-5 -5099,-19,-7 -5100,-24,-9 -5101,-25,-11 -5102,-29,-13 -5103,-34,-14 -5104,-31,-14 -5105,-29,-13 -5106,-23,-10 -5107,-14,-5 -5108,-1,2 -5109,12,12 -5110,16,25 -5111,27,40 -5112,43,58 -5113,57,77 -5114,82,96 -5115,110,113 -5116,130,126 -5117,137,135 -5118,135,138 -5119,123,134 -5120,107,124 -5121,88,107 -5122,66,86 -5123,46,62 -5124,21,38 -5125,-2,16 -5126,-26,-4 -5127,-41,-18 -5128,-45,-28 -5129,-42,-32 -5130,-39,-33 -5131,-38,-30 -5132,-33,-25 -5133,-30,-20 -5134,-24,-15 -5135,-19,-11 -5136,-16,-9 -5137,-16,-8 -5138,-15,-8 -5139,-15,-8 -5140,-21,-9 -5141,-22,-9 -5142,-23,-9 -5143,-24,-8 -5144,-20,-8 -5145,-17,-7 -5146,-17,-7 -5147,-16,-6 -5148,-17,-6 -5149,-20,-7 -5150,-21,-7 -5151,-23,-7 -5152,-23,-7 -5153,-23,-6 -5154,-21,-6 -5155,-20,-5 -5156,-19,-5 -5157,-17,-4 -5158,-15,-4 -5159,-17,-4 -5160,-20,-4 -5161,-18,-5 -5162,-21,-5 -5163,-20,-6 -5164,-18,-6 -5165,-15,-6 -5166,-13,-6 -5167,-12,-6 -5168,-13,-6 -5169,-15,-6 -5170,-18,-6 -5171,-19,-6 -5172,-19,-6 -5173,-19,-5 -5174,-16,-5 -5175,-15,-5 -5176,-15,-4 -5177,-11,-4 -5178,-11,-3 -5179,-13,-3 -5180,-15,-3 -5181,-16,-3 -5182,-17,-3 -5183,-17,-2 -5184,-11,-2 -5185,-8,-2 -5186,-10,-2 -5187,-11,-2 -5188,-10,-2 -5189,-11,-1 -5190,-13,0 -5191,-13,0 -5192,-12,1 -5193,-12,2 -5194,-7,3 -5195,-5,4 -5196,-6,4 -5197,-7,4 -5198,-8,4 -5199,-7,4 -5200,-5,4 -5201,-7,3 -5202,-8,3 -5203,-6,4 -5204,-5,5 -5205,-1,6 -5206,1,8 -5207,5,10 -5208,6,12 -5209,2,14 -5210,2,15 -5211,2,16 -5212,3,17 -5213,4,17 -5214,9,17 -5215,12,18 -5216,13,18 -5217,16,19 -5218,16,20 -5219,16,22 -5220,12,24 -5221,14,26 -5222,16,28 -5223,17,29 -5224,18,31 -5225,22,31 -5226,24,32 -5227,24,32 -5228,24,33 -5229,23,33 -5230,22,33 -5231,21,33 -5232,17,33 -5233,17,32 -5234,18,32 -5235,19,31 -5236,22,29 -5237,20,28 -5238,20,27 -5239,15,25 -5240,11,25 -5241,7,24 -5242,6,23 -5243,6,22 -5244,5,21 -5245,6,19 -5246,8,18 -5247,5,15 -5248,3,13 -5249,-4,11 -5250,-5,9 -5251,-10,7 -5252,-13,6 -5253,-13,5 -5254,-10,4 -5255,-8,4 -5256,-8,3 -5257,-9,2 -5258,-13,0 -5259,-18,-2 -5260,-24,-4 -5261,-22,-7 -5262,-26,-9 -5263,-27,-12 -5264,-28,-13 -5265,-28,-15 -5266,-26,-16 -5267,-22,-16 -5268,-25,-16 -5269,-28,-17 -5270,-30,-17 -5271,-30,-17 -5272,-33,-17 -5273,-30,-18 -5274,-29,-18 -5275,-29,-19 -5276,-27,-20 -5277,-25,-20 -5278,-28,-21 -5279,-31,-22 -5280,-32,-22 -5281,-34,-22 -5282,-32,-21 -5283,-33,-20 -5284,-31,-19 -5285,-29,-19 -5286,-30,-18 -5287,-24,-17 -5288,-27,-16 -5289,-26,-15 -5290,-29,-15 -5291,-32,-15 -5292,-34,-14 -5293,-31,-14 -5294,-29,-14 -5295,-27,-14 -5296,-26,-15 -5297,-23,-15 -5298,-27,-16 -5299,-30,-17 -5300,-31,-17 -5301,-32,-18 -5302,-33,-19 -5303,-29,-19 -5304,-25,-19 -5305,-23,-19 -5306,-21,-18 -5307,-20,-17 -5308,-22,-17 -5309,-25,-16 -5310,-27,-16 -5311,-33,-15 -5312,-33,-15 -5313,-30,-15 -5314,-28,-16 -5315,-29,-16 -5316,-28,-16 -5317,-27,-17 -5318,-25,-17 -5319,-29,-18 -5320,-31,-18 -5321,-30,-18 -5322,-30,-18 -5323,-28,-18 -5324,-26,-17 -5325,-25,-17 -5326,-24,-16 -5327,-23,-16 -5328,-28,-15 -5329,-27,-15 -5330,-26,-15 -5331,-31,-15 -5332,-32,-15 -5333,-31,-16 -5334,-30,-16 -5335,-29,-17 -5336,-28,-18 -5337,-26,-19 -5338,-29,-19 -5339,-29,-20 -5340,-31,-20 -5341,-32,-19 -5342,-32,-19 -5343,-30,-19 -5344,-27,-18 -5345,-26,-18 -5346,-27,-19 -5347,-29,-19 -5348,-32,-20 -5349,-33,-20 -5350,-32,-21 -5351,-34,-22 -5352,-34,-22 -5353,-31,-22 -5354,-28,-22 -5355,-26,-21 -5356,-28,-20 -5357,-30,-20 -5358,-31,-19 -5359,-32,-19 -5360,-33,-18 -5361,-36,-18 -5362,-37,-18 -5363,-33,-18 -5364,-32,-19 -5365,-31,-19 -5366,-28,-19 -5367,-29,-19 -5368,-31,-19 -5369,-34,-18 -5370,-33,-18 -5371,-36,-18 -5372,-32,-18 -5373,-31,-18 -5374,-28,-19 -5375,-29,-20 -5376,-27,-21 -5377,-32,-22 -5378,-34,-23 -5379,-38,-23 -5380,-43,-23 -5381,-42,-22 -5382,-40,-22 -5383,-34,-21 -5384,-30,-20 -5385,-28,-20 -5386,-30,-20 -5387,-31,-21 -5388,-31,-21 -5389,-36,-22 -5390,-37,-23 -5391,-38,-23 -5392,-38,-24 -5393,-35,-24 -5394,-31,-24 -5395,-30,-23 -5396,-29,-23 -5397,-30,-23 -5398,-32,-23 -5399,-33,-23 -5400,-34,-23 -5401,-32,-22 -5402,-32,-22 -5403,-30,-22 -5404,-29,-21 -5405,-27,-20 -5406,-25,-19 -5407,-28,-18 -5408,-29,-17 -5409,-30,-16 -5410,-32,-15 -5411,-34,-14 -5412,-30,-13 -5413,-27,-13 -5414,-21,-12 -5415,-20,-12 -5416,-19,-12 -5417,-18,-12 -5418,-21,-12 -5419,-25,-12 -5420,-25,-12 -5421,-25,-11 -5422,-21,-10 -5423,-18,-9 -5424,-17,-9 -5425,-22,-8 -5426,-20,-8 -5427,-23,-8 -5428,-24,-9 -5429,-27,-10 -5430,-29,-12 -5431,-31,-13 -5432,-28,-14 -5433,-28,-16 -5434,-29,-17 -5435,-31,-18 -5436,-32,-19 -5437,-35,-20 -5438,-34,-21 -5439,-36,-22 -5440,-35,-22 -5441,-35,-22 -5442,-34,-22 -5443,-34,-21 -5444,-30,-20 -5445,-31,-19 -5446,-31,-18 -5447,-32,-18 -5448,-35,-17 -5449,-36,-17 -5450,-39,-18 -5451,-39,-18 -5452,-37,-19 -5453,-36,-20 -5454,-35,-21 -5455,-33,-22 -5456,-36,-22 -5457,-39,-23 -5458,-41,-23 -5459,-40,-23 -5460,-39,-24 -5461,-41,-24 -5462,-36,-25 -5463,-34,-25 -5464,-34,-26 -5465,-33,-26 -5466,-31,-26 -5467,-36,-25 -5468,-38,-25 -5469,-42,-24 -5470,-42,-24 -5471,-42,-24 -5472,-43,-24 -5473,-37,-24 -5474,-37,-24 -5475,-34,-24 -5476,-32,-24 -5477,-32,-25 -5478,-40,-25 -5479,-47,-26 -5480,-46,-27 -5481,-49,-28 -5482,-48,-30 -5483,-49,-31 -5484,-47,-32 -5485,-41,-31 -5486,-35,-29 -5487,-32,-23 -5488,-18,-13 -5489,-6,0 -5490,1,16 -5491,16,35 -5492,39,54 -5493,61,73 -5494,87,89 -5495,103,101 -5496,104,108 -5497,101,110 -5498,88,105 -5499,77,95 -5500,62,80 -5501,43,62 -5502,25,41 -5503,7,21 -5504,-17,1 -5505,-36,-16 -5506,-51,-30 -5507,-60,-40 -5508,-57,-45 -5509,-57,-47 -5510,-56,-46 -5511,-53,-42 -5512,-45,-37 -5513,-40,-32 -5514,-33,-28 -5515,-30,-24 -5516,-31,-22 -5517,-31,-21 -5518,-34,-20 -5519,-37,-20 -5520,-39,-20 -5521,-35,-20 -5522,-31,-20 -5523,-31,-19 -5524,-30,-19 -5525,-31,-18 -5526,-31,-17 -5527,-34,-16 -5528,-30,-15 -5529,-29,-15 -5530,-31,-15 -5531,-31,-15 -5532,-30,-15 -5533,-28,-15 -5534,-25,-15 -5535,-21,-15 -5536,-26,-15 -5537,-30,-15 -5538,-27,-15 -5539,-30,-14 -5540,-32,-14 -5541,-30,-14 -5542,-29,-15 -5543,-25,-15 -5544,-23,-15 -5545,-21,-15 -5546,-22,-14 -5547,-22,-14 -5548,-24,-13 -5549,-27,-12 -5550,-25,-11 -5551,-23,-10 -5552,-21,-9 -5553,-22,-9 -5554,-23,-9 -5555,-22,-9 -5556,-23,-9 -5557,-26,-10 -5558,-24,-10 -5559,-24,-10 -5560,-28,-9 -5561,-28,-9 -5562,-24,-8 -5563,-21,-8 -5564,-17,-7 -5565,-17,-7 -5566,-16,-7 -5567,-17,-7 -5568,-18,-7 -5569,-20,-6 -5570,-18,-5 -5571,-19,-4 -5572,-16,-3 -5573,-13,-1 -5574,-12,1 -5575,-7,2 -5576,-9,3 -5577,-12,4 -5578,-11,5 -5579,-10,5 -5580,-10,5 -5581,-8,5 -5582,-6,5 -5583,-4,6 -5584,-2,7 -5585,1,8 -5586,2,9 -5587,-4,10 -5588,-5,11 -5589,-3,11 -5590,-1,12 -5591,2,12 -5592,6,13 -5593,9,14 -5594,10,16 -5595,11,18 -5596,12,20 -5597,11,22 -5598,11,24 -5599,11,26 -5600,11,27 -5601,14,28 -5602,17,29 -5603,19,29 -5604,20,30 -5605,23,31 -5606,22,31 -5607,19,32 -5608,19,32 -5609,15,33 -5610,13,33 -5611,15,33 -5612,24,32 -5613,17,32 -5614,19,32 -5615,22,32 -5616,19,32 -5617,15,32 -5618,11,31 -5619,8,30 -5620,7,29 -5621,4,27 -5622,7,25 -5623,7,22 -5624,5,19 -5625,7,16 -5626,0,14 -5627,-6,12 -5628,-6,11 -5629,-7,10 -5630,-10,9 -5631,-14,7 -5632,-14,6 -5633,-14,4 -5634,-12,2 -5635,-15,-1 -5636,-20,-3 -5637,-22,-5 -5638,-25,-7 -5639,-29,-9 -5640,-31,-10 -5641,-31,-11 -5642,-27,-12 -5643,-27,-14 -5644,-26,-15 -5645,-23,-16 -5646,-29,-17 -5647,-29,-17 -5648,-29,-17 -5649,-33,-17 -5650,-32,-17 -5651,-30,-17 -5652,-31,-16 -5653,-28,-17 -5654,-30,-17 -5655,-30,-19 -5656,-35,-20 -5657,-34,-22 -5658,-34,-23 -5659,-34,-24 -5660,-33,-24 -5661,-33,-24 -5662,-31,-23 -5663,-31,-22 -5664,-29,-20 -5665,-29,-19 -5666,-34,-17 -5667,-32,-16 -5668,-33,-15 -5669,-33,-14 -5670,-31,-14 -5671,-30,-14 -5672,-30,-15 -5673,-27,-15 -5674,-27,-16 -5675,-27,-16 -5676,-31,-16 -5677,-34,-17 -5678,-34,-17 -5679,-31,-16 -5680,-30,-16 -5681,-30,-16 -5682,-29,-15 -5683,-28,-15 -5684,-27,-14 -5685,-30,-14 -5686,-31,-14 -5687,-33,-15 -5688,-32,-15 -5689,-33,-16 -5690,-31,-17 -5691,-30,-17 -5692,-28,-18 -5693,-26,-18 -5694,-24,-18 -5695,-27,-18 -5696,-30,-17 -5697,-31,-17 -5698,-32,-17 -5699,-32,-17 -5700,-29,-17 -5701,-29,-17 -5702,-27,-17 -5703,-27,-17 -5704,-24,-16 -5705,-27,-15 -5706,-29,-14 -5707,-28,-13 -5708,-29,-12 -5709,-32,-12 -5710,-30,-11 -5711,-29,-11 -5712,-27,-12 -5713,-27,-13 -5714,-25,-13 -5715,-28,-14 -5716,-31,-15 -5717,-30,-15 -5718,-31,-15 -5719,-33,-14 -5720,-30,-14 -5721,-30,-14 -5722,-28,-14 -5723,-28,-15 -5724,-27,-15 -5725,-28,-16 -5726,-31,-17 -5727,-33,-18 -5728,-32,-18 -5729,-36,-18 -5730,-34,-17 -5731,-32,-16 -5732,-33,-15 -5733,-26,-14 -5734,-28,-14 -5735,-29,-13 -5736,-31,-14 -5737,-31,-14 -5738,-33,-15 -5739,-34,-15 -5740,-31,-15 -5741,-29,-15 -5742,-26,-15 -5743,-26,-15 -5744,-25,-14 -5745,-29,-14 -5746,-29,-14 -5747,-28,-14 -5748,-31,-14 -5749,-32,-14 -5750,-31,-14 -5751,-31,-14 -5752,-31,-14 -5753,-27,-13 -5754,-26,-13 -5755,-29,-13 -5756,-32,-13 -5757,-33,-13 -5758,-37,-14 -5759,-37,-15 -5760,-31,-15 -5761,-31,-16 -5762,-30,-16 -5763,-27,-16 -5764,-27,-16 -5765,-27,-16 -5766,-27,-16 -5767,-28,-15 -5768,-31,-15 -5769,-30,-14 -5770,-28,-13 -5771,-25,-12 -5772,-24,-12 -5773,-24,-11 -5774,-25,-11 -5775,-29,-11 -5776,-27,-11 -5777,-30,-11 -5778,-28,-12 -5779,-31,-12 -5780,-26,-12 -5781,-25,-12 -5782,-22,-11 -5783,-19,-10 -5784,-17,-9 -5785,-20,-7 -5786,-20,-6 -5787,-21,-5 -5788,-20,-4 -5789,-21,-4 -5790,-19,-5 -5791,-16,-5 -5792,-14,-5 -5793,-14,-5 -5794,-16,-5 -5795,-18,-5 -5796,-23,-5 -5797,-27,-6 -5798,-29,-7 -5799,-31,-9 -5800,-27,-11 -5801,-26,-13 -5802,-30,-15 -5803,-28,-17 -5804,-30,-18 -5805,-31,-19 -5806,-33,-19 -5807,-34,-19 -5808,-35,-18 -5809,-35,-18 -5810,-31,-17 -5811,-30,-17 -5812,-29,-16 -5813,-31,-16 -5814,-29,-16 -5815,-33,-16 -5816,-36,-16 -5817,-34,-16 -5818,-36,-16 -5819,-35,-16 -5820,-32,-17 -5821,-32,-17 -5822,-32,-18 -5823,-31,-18 -5824,-30,-18 -5825,-32,-18 -5826,-32,-16 -5827,-28,-15 -5828,-26,-12 -5829,-22,-10 -5830,-17,-8 -5831,-15,-6 -5832,-13,-4 -5833,-11,-2 -5834,-12,-1 -5835,-13,0 -5836,-13,0 -5837,-14,1 -5838,-14,1 -5839,-10,2 -5840,-8,2 -5841,-6,3 -5842,-5,3 -5843,-5,3 -5844,-8,4 -5845,-9,4 -5846,-12,5 -5847,-15,5 -5848,-18,5 -5849,-14,5 -5850,-14,5 -5851,-14,5 -5852,-14,5 -5853,-8,7 -5854,1,10 -5855,6,15 -5856,14,23 -5857,22,33 -5858,30,45 -5859,40,60 -5860,55,75 -5861,74,90 -5862,97,103 -5863,116,114 -5864,122,121 -5865,114,124 -5866,101,122 -5867,88,116 -5868,76,104 -5869,68,89 -5870,57,71 -5871,40,52 -5872,15,34 -5873,-4,17 -5874,-22,4 -5875,-31,-6 -5876,-30,-11 -5877,-25,-13 -5878,-22,-11 -5879,-18,-6 -5880,-10,0 -5881,-4,6 -5882,1,11 -5883,5,15 -5884,4,17 -5885,0,18 -5886,-2,17 -5887,-4,17 -5888,-2,16 -5889,0,15 -5890,0,15 -5891,0,15 -5892,0,15 -5893,-1,15 -5894,-2,15 -5895,-3,15 -5896,-1,15 -5897,-3,15 -5898,-1,15 -5899,1,15 -5900,1,15 -5901,4,16 -5902,6,17 -5903,8,18 -5904,3,19 -5905,-1,19 -5906,-3,19 -5907,-4,19 -5908,-3,18 -5909,0,18 -5910,4,17 -5911,4,16 -5912,7,16 -5913,7,17 -5914,4,17 -5915,2,18 -5916,1,19 -5917,0,20 -5918,0,20 -5919,5,20 -5920,8,20 -5921,5,20 -5922,8,20 -5923,11,20 -5924,6,20 -5925,4,21 -5926,5,21 -5927,3,22 -5928,2,22 -5929,2,22 -5930,5,22 -5931,6,22 -5932,8,22 -5933,9,22 -5934,8,21 -5935,6,22 -5936,4,22 -5937,5,23 -5938,5,24 -5939,7,25 -5940,11,26 -5941,13,27 -5942,14,27 -5943,13,27 -5944,11,27 -5945,8,27 -5946,9,27 -5947,10,27 -5948,9,27 -5949,12,28 -5950,13,28 -5951,14,29 -5952,17,29 -5953,18,30 -5954,16,30 -5955,14,30 -5956,14,30 -5957,15,31 -5958,17,32 -5959,20,33 -5960,23,34 -5961,24,35 -5962,26,37 -5963,29,38 -5964,26,39 -5965,23,40 -5966,23,41 -5967,22,41 -5968,22,42 -5969,26,43 -5970,28,44 -5971,32,45 -5972,34,47 -5973,34,48 -5974,30,48 -5975,25,49 -5976,26,49 -5977,25,48 -5978,28,47 -5979,29,46 -5980,29,45 -5981,27,44 -5982,28,43 -5983,26,42 -5984,22,41 -5985,20,39 -5986,16,38 -5987,11,36 -5988,14,35 -5989,17,33 -5990,16,32 -5991,12,32 -5992,15,31 -5993,12,31 -5994,10,30 -5995,8,29 -5996,3,28 -5997,-1,26 -5998,1,24 -5999,-2,21 -6000,-1,19 -6001,-3,16 -6002,-3,14 -6003,-6,12 -6004,-9,11 -6005,-8,10 -6006,-12,9 -6007,-14,9 -6008,-16,9 -6009,-15,8 -6010,-14,8 -6011,-13,7 -6012,-14,7 -6013,-14,6 -6014,-14,6 -6015,-17,5 -6016,-18,4 -6017,-21,3 -6018,-20,2 -6019,-21,0 -6020,-19,-1 -6021,-15,-3 -6022,-17,-4 -6023,-20,-5 -6024,-23,-6 -6025,-24,-6 -6026,-23,-6 -6027,-26,-6 -6028,-23,-5 -6029,-21,-5 -6030,-21,-4 -6031,-19,-5 -6032,-18,-5 -6033,-22,-5 -6034,-29,-6 -6035,-25,-7 -6036,-26,-7 -6037,-27,-7 -6038,-25,-7 -6039,-24,-6 -6040,-20,-5 -6041,-16,-4 -6042,-18,-3 -6043,-22,-3 -6044,-28,-3 -6045,-26,-3 -6046,-24,-4 -6047,-27,-6 -6048,-26,-7 -6049,-23,-8 -6050,-24,-9 -6051,-23,-9 -6052,-21,-8 -6053,-25,-8 -6054,-24,-6 -6055,-23,-5 -6056,-25,-4 -6057,-29,-4 -6058,-26,-3 -6059,-24,-3 -6060,-23,-4 -6061,-19,-4 -6062,-20,-4 -6063,-23,-4 -6064,-25,-4 -6065,-26,-4 -6066,-26,-3 -6067,-27,-3 -6068,-22,-3 -6069,-20,-3 -6070,-23,-4 -6071,-22,-5 -6072,-22,-6 -6073,-24,-6 -6074,-26,-7 -6075,-26,-7 -6076,-26,-7 -6077,-30,-7 -6078,-29,-6 -6079,-20,-6 -6080,-20,-5 -6081,-19,-5 -6082,-22,-5 -6083,-26,-6 -6084,-28,-6 -6085,-30,-7 -6086,-28,-7 -6087,-30,-7 -6088,-28,-7 -6089,-27,-6 -6090,-24,-6 -6091,-23,-6 -6092,-23,-6 -6093,-23,-6 -6094,-27,-7 -6095,-30,-8 -6096,-30,-9 -6097,-33,-10 -6098,-30,-10 -6099,-29,-10 -6100,-25,-10 -6101,-25,-9 -6102,-27,-9 -6103,-31,-8 -6104,-32,-7 -6105,-32,-7 -6106,-31,-8 -6107,-32,-8 -6108,-28,-9 -6109,-26,-10 -6110,-27,-10 -6111,-24,-10 -6112,-27,-9 -6113,-31,-8 -6114,-28,-7 -6115,-29,-6 -6116,-33,-5 -6117,-32,-5 -6118,-30,-6 -6119,-28,-6 -6120,-25,-8 -6121,-28,-9 -6122,-26,-10 -6123,-30,-11 -6124,-28,-12 -6125,-28,-12 -6126,-30,-11 -6127,-28,-10 -6128,-25,-9 -6129,-24,-8 -6130,-21,-7 -6131,-19,-7 -6132,-19,-6 -6133,-24,-7 -6134,-27,-7 -6135,-27,-7 -6136,-29,-8 -6137,-29,-8 -6138,-25,-7 -6139,-22,-7 -6140,-23,-6 -6141,-18,-4 -6142,-14,-3 -6143,-19,-1 -6144,-18,0 -6145,-19,1 -6146,-22,2 -6147,-19,2 -6148,-19,2 -6149,-17,1 -6150,-17,0 -6151,-14,-1 -6152,-13,-2 -6153,-19,-3 -6154,-21,-4 -6155,-24,-4 -6156,-25,-4 -6157,-22,-5 -6158,-23,-5 -6159,-22,-5 -6160,-23,-5 -6161,-27,-5 -6162,-28,-6 -6163,-30,-7 -6164,-31,-7 -6165,-34,-8 -6166,-33,-9 -6167,-29,-9 -6168,-27,-10 -6169,-29,-11 -6170,-28,-12 -6171,-26,-12 -6172,-30,-13 -6173,-34,-13 -6174,-36,-14 -6175,-39,-14 -6176,-41,-14 -6177,-37,-14 -6178,-34,-14 -6179,-30,-14 -6180,-29,-15 -6181,-32,-16 -6182,-33,-17 -6183,-34,-17 -6184,-35,-18 -6185,-38,-18 -6186,-39,-18 -6187,-37,-17 -6188,-37,-17 -6189,-34,-16 -6190,-34,-15 -6191,-33,-15 -6192,-33,-15 -6193,-36,-15 -6194,-39,-15 -6195,-38,-15 -6196,-39,-14 -6197,-37,-14 -6198,-35,-13 -6199,-34,-12 -6200,-33,-12 -6201,-33,-11 -6202,-35,-12 -6203,-36,-13 -6204,-38,-14 -6205,-40,-16 -6206,-42,-18 -6207,-38,-20 -6208,-42,-22 -6209,-43,-23 -6210,-39,-23 -6211,-41,-22 -6212,-41,-20 -6213,-36,-17 -6214,-30,-12 -6215,-22,-6 -6216,-10,3 -6217,1,13 -6218,9,26 -6219,20,40 -6220,35,55 -6221,55,69 -6222,70,81 -6223,87,91 -6224,84,96 -6225,76,96 -6226,63,91 -6227,51,81 -6228,41,67 -6229,30,49 -6230,14,30 -6231,-4,12 -6232,-26,-5 -6233,-46,-18 -6234,-63,-28 -6235,-66,-34 -6236,-59,-37 -6237,-52,-36 -6238,-44,-34 -6239,-38,-30 -6240,-33,-26 -6241,-34,-23 -6242,-34,-20 -6243,-34,-18 -6244,-35,-16 -6245,-35,-16 -6246,-36,-15 -6247,-31,-14 -6248,-28,-13 -6249,-27,-12 -6250,-28,-11 -6251,-32,-9 -6252,-30,-8 -6253,-32,-8 -6254,-33,-7 -6255,-34,-7 -6256,-33,-7 -6257,-30,-8 -6258,-29,-9 -6259,-27,-9 -6260,-25,-10 -6261,-26,-11 -6262,-27,-11 -6263,-31,-12 -6264,-32,-13 -6265,-33,-13 -6266,-30,-14 -6267,-28,-14 -6268,-26,-13 -6269,-25,-13 -6270,-22,-12 -6271,-22,-10 -6272,-23,-8 -6273,-28,-7 -6274,-29,-5 -6275,-28,-4 -6276,-27,-4 -6277,-25,-3 -6278,-24,-3 -6279,-24,-4 -6280,-21,-4 -6281,-22,-5 -6282,-24,-5 -6283,-24,-5 -6284,-25,-5 -6285,-24,-5 -6286,-24,-5 -6287,-23,-5 -6288,-21,-5 -6289,-18,-5 -6290,-17,-5 -6291,-19,-5 -6292,-20,-4 -6293,-19,-4 -6294,-20,-3 -6295,-21,-2 -6296,-15,-1 -6297,-16,1 -6298,-13,2 -6299,-13,3 -6300,-10,4 -6301,-13,5 -6302,-13,6 -6303,-14,6 -6304,-11,6 -6305,-15,6 -6306,-13,6 -6307,-11,6 -6308,-9,6 -6309,-8,7 -6310,-5,7 -6311,-5,7 -6312,-5,8 -6313,-5,8 -6314,-6,10 -6315,-5,11 -6316,-3,13 -6317,1,15 -6318,1,17 -6319,3,18 -6320,3,20 -6321,5,21 -6322,3,22 -6323,4,22 -6324,6,23 -6325,2,23 -6326,7,23 -6327,9,23 -6328,12,23 -6329,14,24 -6330,16,25 -6331,14,27 -6332,14,28 -6333,14,29 -6334,13,30 -6335,7,31 -6336,12,32 -6337,13,32 -6338,15,32 -6339,12,31 -6340,18,31 -6341,14,30 -6342,10,28 -6343,9,27 -6344,8,26 -6345,8,25 -6346,8,24 -6347,10,23 -6348,6,23 -6349,6,22 -6350,5,22 -6351,1,21 -6352,-5,20 -6353,-7,18 -6354,-9,16 -6355,-12,13 -6356,-13,10 -6357,-12,7 -6358,-14,4 -6359,-14,1 -6360,-13,-1 -6361,-18,-3 -6362,-21,-4 -6363,-23,-5 -6364,-25,-5 -6365,-27,-6 -6366,-26,-6 -6367,-26,-7 -6368,-25,-8 -6369,-24,-9 -6370,-25,-10 -6371,-29,-10 -6372,-29,-11 -6373,-31,-11 -6374,-32,-11 -6375,-33,-11 -6376,-32,-11 -6377,-29,-10 -6378,-28,-10 -6379,-27,-9 -6380,-27,-9 -6381,-29,-9 -6382,-30,-9 -6383,-32,-9 -6384,-34,-10 -6385,-34,-10 -6386,-31,-10 -6387,-30,-10 -6388,-28,-10 -6389,-27,-10 -6390,-28,-10 -6391,-29,-10 -6392,-33,-11 -6393,-32,-12 -6394,-33,-12 -6395,-32,-13 -6396,-30,-14 -6397,-28,-14 -6398,-28,-14 -6399,-28,-13 -6400,-29,-13 -6401,-31,-12 -6402,-34,-11 -6403,-36,-12 -6404,-36,-12 -6405,-33,-13 -6406,-31,-14 -6407,-30,-16 -6408,-26,-17 -6409,-24,-17 -6410,-28,-16 -6411,-28,-15 -6412,-27,-14 -6413,-29,-12 -6414,-31,-11 -6415,-30,-10 -6416,-30,-10 -6417,-30,-11 -6418,-30,-12 -6419,-26,-13 -6420,-27,-14 -6421,-26,-15 -6422,-30,-15 -6423,-30,-14 -6424,-28,-13 -6425,-29,-12 -6426,-25,-11 -6427,-24,-10 -6428,-24,-10 -6429,-24,-10 -6430,-26,-10 -6431,-25,-11 -6432,-28,-11 -6433,-31,-12 -6434,-30,-12 -6435,-31,-11 -6436,-26,-11 -6437,-24,-11 -6438,-22,-11 -6439,-22,-10 -6440,-26,-11 -6441,-28,-11 -6442,-30,-11 -6443,-32,-11 -6444,-32,-12 -6445,-30,-12 -6446,-29,-12 -6447,-26,-13 -6448,-24,-13 -6449,-23,-14 -6450,-26,-15 -6451,-28,-16 -6452,-30,-17 -6453,-31,-17 -6454,-31,-18 -6455,-28,-18 -6456,-28,-17 -6457,-25,-16 -6458,-20,-15 -6459,-22,-14 -6460,-26,-13 -6461,-29,-12 -6462,-31,-12 -6463,-32,-12 -6464,-33,-13 -6465,-32,-14 -6466,-30,-15 -6467,-29,-16 -6468,-25,-16 -6469,-27,-17 -6470,-30,-17 -6471,-32,-17 -6472,-31,-16 -6473,-32,-16 -6474,-31,-15 -6475,-26,-15 -6476,-27,-15 -6477,-26,-15 -6478,-24,-15 -6479,-22,-15 -6480,-24,-15 -6481,-27,-15 -6482,-30,-15 -6483,-28,-15 -6484,-31,-14 -6485,-30,-14 -6486,-25,-13 -6487,-25,-13 -6488,-21,-12 -6489,-22,-12 -6490,-24,-12 -6491,-24,-11 -6492,-25,-11 -6493,-26,-11 -6494,-28,-10 -6495,-24,-9 -6496,-23,-9 -6497,-23,-8 -6498,-20,-8 -6499,-21,-8 -6500,-25,-8 -6501,-27,-8 -6502,-25,-9 -6503,-24,-9 -6504,-21,-9 -6505,-18,-9 -6506,-17,-8 -6507,-13,-8 -6508,-15,-7 -6509,-16,-7 -6510,-16,-6 -6511,-17,-6 -6512,-18,-6 -6513,-19,-6 -6514,-21,-6 -6515,-17,-6 -6516,-16,-6 -6517,-17,-7 -6518,-16,-7 -6519,-16,-7 -6520,-21,-8 -6521,-24,-9 -6522,-25,-10 -6523,-29,-11 -6524,-33,-12 -6525,-33,-14 -6526,-30,-16 -6527,-30,-18 -6528,-29,-19 -6529,-27,-20 -6530,-31,-21 -6531,-32,-22 -6532,-33,-22 -6533,-32,-21 -6534,-34,-21 -6535,-33,-20 -6536,-31,-20 -6537,-32,-19 -6538,-28,-20 -6539,-31,-20 -6540,-36,-21 -6541,-37,-22 -6542,-36,-23 -6543,-38,-24 -6544,-38,-24 -6545,-35,-25 -6546,-32,-25 -6547,-31,-24 -6548,-30,-24 -6549,-28,-24 -6550,-32,-23 -6551,-34,-23 -6552,-36,-23 -6553,-39,-23 -6554,-40,-22 -6555,-34,-22 -6556,-32,-22 -6557,-32,-22 -6558,-32,-22 -6559,-32,-22 -6560,-33,-22 -6561,-34,-22 -6562,-37,-22 -6563,-36,-21 -6564,-34,-20 -6565,-31,-20 -6566,-30,-19 -6567,-32,-19 -6568,-35,-20 -6569,-37,-21 -6570,-40,-23 -6571,-41,-26 -6572,-46,-28 -6573,-50,-30 -6574,-48,-31 -6575,-43,-30 -6576,-31,-26 -6577,-22,-20 -6578,-9,-11 -6579,-4,1 -6580,7,15 -6581,19,31 -6582,32,48 -6583,47,65 -6584,70,81 -6585,94,94 -6586,113,105 -6587,113,110 -6588,104,110 -6589,90,104 -6590,73,92 -6591,54,74 -6592,35,53 -6593,17,30 -6594,-7,7 -6595,-28,-13 -6596,-50,-28 -6597,-56,-39 -6598,-52,-44 -6599,-48,-44 -6600,-45,-41 -6601,-41,-36 -6602,-38,-30 -6603,-34,-25 -6604,-31,-21 -6605,-29,-19 -6606,-26,-18 -6607,-25,-18 -6608,-27,-18 -6609,-26,-18 -6610,-29,-17 -6611,-32,-16 -6612,-34,-15 -6613,-33,-14 -6614,-30,-14 -6615,-27,-14 -6616,-27,-15 -6617,-26,-16 -6618,-26,-16 -6619,-27,-17 -6620,-28,-17 -6621,-29,-17 -6622,-30,-16 -6623,-31,-16 -6624,-27,-15 -6625,-23,-16 -6626,-24,-16 -6627,-24,-17 -6628,-25,-19 -6629,-26,-20 -6630,-26,-20 -6631,-25,-20 -6632,-25,-20 -6633,-26,-18 -6634,-22,-17 -6635,-21,-15 -6636,-21,-14 -6637,-18,-12 -6638,-15,-11 -6639,-18,-11 -6640,-20,-10 -6641,-20,-10 -6642,-21,-9 -6643,-23,-9 -6644,-20,-8 -6645,-19,-8 -6646,-19,-8 -6647,-16,-7 -6648,-14,-8 -6649,-17,-8 -6650,-18,-8 -6651,-19,-8 -6652,-18,-8 -6653,-18,-8 -6654,-17,-8 -6655,-14,-7 -6656,-11,-6 -6657,-10,-6 -6658,-8,-5 -6659,-12,-4 -6660,-14,-4 -6661,-15,-4 -6662,-14,-4 -6663,-13,-3 -6664,-10,-3 -6665,-10,-3 -6666,-7,-2 -6667,-7,-2 -6668,-4,-1 -6669,-7,0 -6670,-7,1 -6671,-6,2 -6672,-4,3 -6673,-3,4 -6674,-3,5 -6675,1,7 -6676,3,9 -6677,7,10 -6678,10,13 -6679,8,15 -6680,2,17 -6681,7,18 -6682,9,20 -6683,9,20 -6684,13,21 -6685,15,21 -6686,17,21 -6687,20,21 -6688,20,21 -6689,20,22 -6690,19,22 -6691,18,24 -6692,17,25 -6693,18,27 -6694,22,28 -6695,24,29 -6696,23,30 -6697,26,31 -6698,26,31 -6699,23,30 -6700,22,30 -6701,22,29 -6702,19,29 -6703,19,29 -6704,19,29 -6705,22,30 -6706,25,31 -6707,29,32 -6708,29,33 -6709,25,34 -6710,27,35 -6711,24,35 -6712,23,35 -6713,25,35 -6714,24,34 -6715,26,33 -6716,24,31 -6717,23,30 -6718,25,29 -6719,21,28 -6720,14,26 -6721,15,25 -6722,12,24 -6723,11,23 -6724,10,23 -6725,13,22 -6726,12,22 -6727,13,21 -6728,13,21 -6729,9,20 -6730,7,19 -6731,2,18 -6732,2,17 -6733,2,16 -6734,6,14 -6735,5,13 -6736,6,13 -6737,7,12 -6738,6,12 -6739,3,12 -6740,0,12 -6741,1,12 -6742,-1,12 -6743,-2,12 -6744,3,11 -6745,4,11 -6746,3,10 -6747,3,10 -6748,3,10 -6749,0,10 -6750,-2,10 -6751,-5,10 -6752,-2,10 -6753,2,9 -6754,2,9 -6755,4,8 -6756,4,7 -6757,4,7 -6758,5,6 -6759,4,6 -6760,-2,6 -6761,-4,6 -6762,-3,7 -6763,-2,7 -6764,0,8 -6765,2,8 -6766,1,9 -6767,6,9 -6768,4,8 -6769,2,8 -6770,-3,8 -6771,-4,7 -6772,-1,8 -6773,0,8 -6774,3,9 -6775,2,9 -6776,6,10 -6777,5,10 -6778,4,11 -6779,1,11 -6780,0,11 -6781,-2,10 -6782,-2,10 -6783,2,10 -6784,3,11 -6785,3,11 -6786,5,12 -6787,5,12 -6788,3,12 -6789,0,13 -6790,-2,13 -6791,-3,13 -6792,-2,13 -6793,-1,13 -6794,1,12 -6795,2,12 -6796,4,12 -6797,5,12 -6798,2,11 -6799,-1,11 -6800,-3,10 -6801,-7,9 -6802,-5,9 -6803,-2,8 -6804,1,8 -6805,1,8 -6806,0,7 -6807,1,7 -6808,-3,7 -6809,-5,7 -6810,-4,6 -6811,-6,6 -6812,-7,5 -6813,-3,5 -6814,-2,5 -6815,-2,5 -6816,-1,5 -6817,2,5 -6818,-2,5 -6819,-6,4 -6820,-9,3 -6821,-11,2 -6822,-7,1 -6823,-12,-1 -6824,-8,-2 -6825,-6,-2 -6826,-7,-2 -6827,-7,-2 -6828,-9,-2 -6829,-12,-1 -6830,-14,0 -6831,-15,0 -6832,-14,0 -6833,-11,0 -6834,-8,0 -6835,-8,-1 -6836,-7,-1 -6837,-7,-1 -6838,-10,-2 -6839,-14,-2 -6840,-15,-3 -6841,-15,-3 -6842,-19,-3 -6843,-15,-4 -6844,-12,-4 -6845,-12,-5 -6846,-12,-5 -6847,-12,-6 -6848,-15,-6 -6849,-18,-6 -6850,-19,-7 -6851,-21,-7 -6852,-20,-7 -6853,-16,-7 -6854,-15,-7 -6855,-14,-7 -6856,-11,-7 -6857,-10,-7 -6858,-13,-6 -6859,-16,-5 -6860,-17,-5 -6861,-18,-4 -6862,-19,-3 -6863,-15,-3 -6864,-12,-2 -6865,-10,-2 -6866,-9,-3 -6867,-9,-3 -6868,-13,-4 -6869,-16,-5 -6870,-17,-6 -6871,-17,-7 -6872,-17,-8 -6873,-16,-9 -6874,-12,-9 -6875,-10,-9 -6876,-10,-8 -6877,-9,-7 -6878,-9,-6 -6879,-11,-5 -6880,-11,-4 -6881,-12,-3 -6882,-10,-2 -6883,-7,-1 -6884,-5,0 -6885,-5,1 -6886,-4,1 -6887,-7,2 -6888,-8,2 -6889,-11,2 -6890,-16,1 -6891,-18,0 -6892,-18,-2 -6893,-17,-4 -6894,-18,-7 -6895,-21,-10 -6896,-22,-12 -6897,-24,-15 -6898,-24,-16 -6899,-29,-18 -6900,-28,-18 -6901,-29,-19 -6902,-27,-18 -6903,-24,-18 -6904,-22,-17 -6905,-20,-17 -6906,-22,-17 -6907,-23,-17 -6908,-24,-17 -6909,-28,-17 -6910,-30,-17 -6911,-33,-17 -6912,-31,-17 -6913,-28,-17 -6914,-27,-17 -6915,-24,-18 -6916,-25,-18 -6917,-27,-18 -6918,-29,-19 -6919,-33,-19 -6920,-33,-20 -6921,-32,-20 -6922,-29,-20 -6923,-29,-20 -6924,-28,-20 -6925,-24,-20 -6926,-22,-19 -6927,-23,-19 -6928,-26,-19 -6929,-29,-19 -6930,-30,-19 -6931,-32,-19 -6932,-31,-19 -6933,-27,-19 -6934,-29,-20 -6935,-25,-20 -6936,-24,-21 -6937,-30,-21 -6938,-34,-22 -6939,-36,-22 -6940,-36,-23 -6941,-37,-24 -6942,-35,-25 -6943,-35,-26 -6944,-39,-27 -6945,-36,-27 -6946,-33,-26 -6947,-31,-23 -6948,-25,-18 -6949,-15,-9 -6950,-5,2 -6951,5,16 -6952,22,33 -6953,41,52 -6954,59,71 -6955,84,89 -6956,109,105 -6957,126,117 -6958,120,124 -6959,114,124 -6960,97,118 -6961,84,106 -6962,71,88 -6963,53,66 -6964,36,43 -6965,10,20 -6966,-16,-2 -6967,-41,-19 -6968,-53,-31 -6969,-53,-38 -6970,-51,-41 -6971,-48,-39 -6972,-40,-35 -6973,-33,-30 -6974,-30,-24 -6975,-24,-20 -6976,-23,-17 -6977,-22,-15 -6978,-26,-14 -6979,-27,-13 -6980,-26,-13 -6981,-27,-13 -6982,-25,-12 -6983,-23,-11 -6984,-23,-10 -6985,-23,-10 -6986,-21,-9 -6987,-22,-9 -6988,-24,-10 -6989,-26,-11 -6990,-25,-12 -6991,-27,-12 -6992,-24,-12 -6993,-24,-12 -6994,-20,-12 -6995,-20,-11 -6996,-22,-10 -6997,-20,-9 -6998,-25,-9 -6999,-25,-9 -7000,-25,-9 -7001,-24,-9 -7002,-21,-9 -7003,-20,-10 -7004,-18,-10 -7005,-16,-11 -7006,-19,-11 -7007,-19,-10 -7008,-21,-10 -7009,-21,-10 -7010,-23,-9 -7011,-23,-8 -7012,-20,-8 -7013,-19,-7 -7014,-16,-6 -7015,-13,-6 -7016,-13,-5 -7017,-16,-5 -7018,-18,-4 -7019,-19,-4 -7020,-21,-5 -7021,-20,-5 -7022,-16,-6 -7023,-15,-6 -7024,-12,-6 -7025,-10,-6 -7026,-11,-5 -7027,-13,-4 -7028,-17,-2 -7029,-16,0 -7030,-19,1 -7031,-14,3 -7032,-8,4 -7033,-7,4 -7034,-6,4 -7035,-5,4 -7036,-7,4 -7037,-7,4 -7038,-8,4 -7039,-7,5 -7040,-9,6 -7041,-6,7 -7042,-2,8 -7043,1,9 -7044,2,10 -7045,6,11 -7046,7,12 -7047,5,13 -7048,4,15 -7049,4,16 -7050,6,18 -7051,8,20 -7052,13,22 -7053,14,24 -7054,14,25 -7055,15,26 -7056,14,26 -7057,12,26 -7058,12,26 -7059,13,26 -7060,13,26 -7061,14,26 -7062,19,27 -7063,22,28 -7064,22,30 -7065,24,31 -7066,22,32 -7067,20,32 -7068,17,33 -7069,18,32 -7070,17,32 -7071,18,32 -7072,21,31 -7073,22,31 -7074,22,31 -7075,23,32 -7076,23,32 -7077,20,32 -7078,17,33 -7079,12,32 -7080,12,31 -7081,10,30 -7082,11,28 -7083,11,26 -7084,6,23 -7085,5,21 -7086,6,19 -7087,1,18 -7088,1,16 -7089,-3,15 -7090,-6,15 -7091,-5,14 -7092,-3,13 -7093,-4,12 -7094,-6,11 -7095,-6,9 -7096,-12,7 -7097,-15,5 -7098,-16,4 -7099,-20,2 -7100,-19,1 -7101,-20,0 -7102,-19,-1 -7103,-19,-2 -7104,-21,-3 -7105,-21,-4 -7106,-23,-5 -7107,-27,-6 -7108,-28,-7 -7109,-29,-7 -7110,-28,-7 -7111,-27,-7 -7112,-25,-7 -7113,-23,-6 -7114,-23,-6 -7115,-23,-6 -7116,-25,-6 -7117,-27,-7 -7118,-27,-7 -7119,-30,-8 -7120,-30,-9 -7121,-26,-9 -7122,-23,-10 -7123,-24,-10 -7124,-22,-10 -7125,-24,-10 -7126,-27,-11 -7127,-30,-11 -7128,-31,-11 -7129,-31,-12 -7130,-29,-12 -7131,-27,-13 -7132,-26,-13 -7133,-25,-13 -7134,-22,-13 -7135,-22,-13 -7136,-23,-13 -7137,-25,-14 -7138,-26,-14 -7139,-28,-14 -7140,-29,-14 -7141,-28,-14 -7142,-26,-14 -7143,-25,-14 -7144,-24,-13 -7145,-23,-12 -7146,-26,-11 -7147,-24,-10 -7148,-25,-9 -7149,-27,-8 -7150,-28,-8 -7151,-27,-7 -7152,-24,-7 -7153,-23,-7 -7154,-22,-7 -7155,-23,-7 -7156,-27,-7 -7157,-28,-8 -7158,-29,-8 -7159,-29,-9 -7160,-27,-10 -7161,-23,-11 -7162,-23,-11 -7163,-21,-12 -7164,-22,-13 -7165,-24,-13 -7166,-25,-13 -7167,-27,-13 -7168,-28,-12 -7169,-32,-11 -7170,-30,-11 -7171,-26,-10 -7172,-24,-9 -7173,-22,-8 -7174,-23,-8 -7175,-26,-8 -7176,-29,-8 -7177,-26,-8 -7178,-30,-9 -7179,-32,-10 -7180,-29,-10 -7181,-27,-11 -7182,-24,-11 -7183,-25,-11 -7184,-27,-11 -7185,-27,-11 -7186,-30,-10 -7187,-29,-10 -7188,-33,-10 -7189,-33,-11 -7190,-34,-11 -7191,-29,-12 -7192,-24,-13 -7193,-26,-14 -7194,-25,-14 -7195,-28,-15 -7196,-30,-15 -7197,-32,-15 -7198,-31,-14 -7199,-30,-13 -7200,-31,-12 -7201,-28,-11 -7202,-27,-11 -7203,-28,-10 -7204,-27,-10 -7205,-30,-10 -7206,-31,-11 -7207,-33,-12 -7208,-32,-12 -7209,-35,-13 -7210,-34,-14 -7211,-33,-15 -7212,-31,-15 -7213,-28,-15 -7214,-28,-15 -7215,-31,-14 -7216,-33,-14 -7217,-32,-13 -7218,-32,-13 -7219,-35,-13 -7220,-31,-13 -7221,-32,-12 -7222,-29,-12 -7223,-27,-12 -7224,-28,-12 -7225,-31,-11 -7226,-31,-11 -7227,-32,-11 -7228,-33,-10 -7229,-35,-10 -7230,-33,-10 -7231,-31,-10 -7232,-28,-10 -7233,-25,-11 -7234,-26,-11 -7235,-28,-11 -7236,-30,-11 -7237,-31,-11 -7238,-32,-11 -7239,-36,-11 -7240,-33,-10 -7241,-31,-10 -7242,-28,-10 -7243,-28,-10 -7244,-28,-10 -7245,-30,-11 -7246,-30,-11 -7247,-27,-11 -7248,-27,-11 -7249,-32,-11 -7250,-27,-11 -7251,-25,-11 -7252,-24,-10 -7253,-20,-10 -7254,-19,-9 -7255,-22,-9 -7256,-27,-8 -7257,-27,-8 -7258,-26,-8 -7259,-25,-8 -7260,-25,-8 -7261,-23,-8 -7262,-22,-7 -7263,-22,-7 -7264,-18,-6 -7265,-20,-5 -7266,-23,-4 -7267,-22,-3 -7268,-21,-2 -7269,-20,-1 -7270,-20,0 -7271,-18,0 -7272,-18,0 -7273,-15,0 -7274,-16,0 -7275,-14,0 -7276,-19,-1 -7277,-21,-1 -7278,-23,-2 -7279,-25,-3 -7280,-23,-3 -7281,-23,-4 -7282,-20,-5 -7283,-18,-6 -7284,-24,-7 -7285,-30,-9 -7286,-31,-10 -7287,-34,-11 -7288,-32,-12 -7289,-33,-12 -7290,-32,-12 -7291,-27,-12 -7292,-26,-12 -7293,-25,-12 -7294,-28,-12 -7295,-30,-12 -7296,-33,-13 -7297,-34,-13 -7298,-36,-13 -7299,-36,-14 -7300,-31,-14 -7301,-30,-14 -7302,-33,-15 -7303,-31,-15 -7304,-32,-15 -7305,-32,-15 -7306,-33,-15 -7307,-37,-15 -7308,-34,-15 -7309,-37,-16 -7310,-33,-15 -7311,-29,-15 -7312,-28,-15 -7313,-26,-14 -7314,-29,-14 -7315,-34,-14 -7316,-39,-14 -7317,-39,-15 -7318,-40,-16 -7319,-41,-17 -7320,-35,-18 -7321,-33,-19 -7322,-32,-20 -7323,-32,-20 -7324,-34,-20 -7325,-35,-19 -7326,-37,-18 -7327,-39,-17 -7328,-41,-17 -7329,-43,-18 -7330,-41,-19 -7331,-38,-21 -7332,-40,-23 -7333,-40,-25 -7334,-39,-24 -7335,-38,-22 -7336,-34,-18 -7337,-25,-10 -7338,-13,0 -7339,-2,13 -7340,15,28 -7341,29,45 -7342,43,62 -7343,64,79 -7344,89,94 -7345,107,107 -7346,110,116 -7347,108,120 -7348,96,118 -7349,86,110 -7350,73,97 -7351,57,79 -7352,39,58 -7353,22,35 -7354,-5,13 -7355,-31,-7 -7356,-49,-22 -7357,-60,-32 -7358,-58,-37 -7359,-52,-38 -7360,-45,-35 -7361,-40,-30 -7362,-36,-24 -7363,-30,-19 -7364,-30,-16 -7365,-29,-14 -7366,-29,-13 -7367,-28,-14 -7368,-28,-14 -7369,-26,-14 -7370,-25,-14 -7371,-24,-13 -7372,-27,-11 -7373,-23,-10 -7374,-23,-8 -7375,-27,-8 -7376,-30,-8 -7377,-29,-9 -7378,-31,-10 -7379,-28,-11 -7380,-22,-12 -7381,-23,-13 -7382,-21,-12 -7383,-21,-12 -7384,-22,-10 -7385,-22,-9 -7386,-21,-8 -7387,-22,-7 -7388,-26,-6 -7389,-25,-6 -7390,-23,-6 -7391,-20,-6 -7392,-20,-6 -7393,-20,-6 -7394,-21,-6 -7395,-22,-6 -7396,-24,-6 -7397,-24,-6 -7398,-25,-6 -7399,-19,-5 -7400,-16,-5 -7401,-17,-5 -7402,-16,-4 -7403,-15,-4 -7404,-15,-3 -7405,-17,-3 -7406,-18,-2 -7407,-18,-2 -7408,-17,-2 -7409,-14,-3 -7410,-12,-3 -7411,-11,-3 -7412,-11,-2 -7413,-9,-2 -7414,-10,0 -7415,-13,1 -7416,-11,3 -7417,-16,4 -7418,-15,5 -7419,-10,6 -7420,-8,6 -7421,-8,6 -7422,-7,5 -7423,-8,5 -7424,-9,4 -7425,-9,5 -7426,-6,6 -7427,-8,7 -7428,-4,9 -7429,-2,10 -7430,0,12 -7431,0,13 -7432,1,13 -7433,1,14 -7434,-1,13 -7435,-1,13 -7436,1,13 -7437,-1,14 -7438,0,15 -7439,5,16 -7440,7,18 -7441,11,20 -7442,14,21 -7443,18,23 -7444,16,24 -7445,14,25 -7446,13,26 -7447,11,26 -7448,14,27 -7449,14,27 -7450,18,28 -7451,22,29 -7452,24,30 -7453,24,31 -7454,23,32 -7455,22,33 -7456,23,35 -7457,19,36 -7458,20,37 -7459,24,38 -7460,23,39 -7461,24,39 -7462,23,38 -7463,19,37 -7464,17,36 -7465,16,34 -7466,14,33 -7467,13,31 -7468,11,30 -7469,11,29 -7470,12,28 -7471,11,27 -7472,10,26 -7473,10,24 -7474,5,22 -7475,-1,19 -7476,-4,16 -7477,-6,13 -7478,-7,11 -7479,-6,9 -7480,-4,8 -7481,-4,7 -7482,-4,7 -7483,-9,7 -7484,-12,7 -7485,-14,6 -7486,-14,5 -7487,-19,4 -7488,-17,2 -7489,-17,-1 -7490,-16,-3 -7491,-16,-5 -7492,-15,-7 -7493,-18,-7 -7494,-21,-8 -7495,-23,-8 -7496,-22,-8 -7497,-23,-8 -7498,-23,-8 -7499,-26,-8 -7500,-27,-9 -7501,-27,-10 -7502,-27,-10 -7503,-28,-11 -7504,-28,-12 -7505,-28,-13 -7506,-28,-14 -7507,-29,-15 -7508,-28,-15 -7509,-25,-16 -7510,-24,-17 -7511,-24,-17 -7512,-23,-17 -7513,-24,-16 -7514,-27,-16 -7515,-26,-15 -7516,-28,-14 -7517,-30,-13 -7518,-32,-12 -7519,-24,-12 -7520,-23,-12 -7521,-22,-12 -7522,-21,-12 -7523,-24,-13 -7524,-23,-13 -7525,-26,-13 -7526,-29,-13 -7527,-27,-13 -7528,-22,-12 -7529,-19,-12 -7530,-22,-12 -7531,-23,-12 -7532,-22,-13 -7533,-22,-13 -7534,-24,-13 -7535,-25,-14 -7536,-25,-14 -7537,-26,-13 -7538,-27,-13 -7539,-24,-13 -7540,-22,-12 -7541,-20,-12 -7542,-19,-12 -7543,-21,-12 -7544,-22,-12 -7545,-21,-12 -7546,-22,-12 -7547,-23,-12 -7548,-22,-12 -7549,-20,-12 -7550,-20,-11 -7551,-22,-11 -7552,-22,-10 -7553,-22,-10 -7554,-21,-10 -7555,-22,-9 -7556,-24,-10 -7557,-27,-10 -7558,-25,-10 -7559,-20,-11 -7560,-18,-11 -7561,-17,-11 -7562,-18,-11 -7563,-20,-11 -7564,-22,-10 -7565,-22,-10 -7566,-22,-9 -7567,-23,-9 -7568,-25,-9 -7569,-22,-9 -7570,-21,-10 -7571,-20,-10 -7572,-18,-11 -7573,-21,-12 -7574,-23,-13 -7575,-24,-13 -7576,-25,-13 -7577,-24,-13 -7578,-22,-12 -7579,-20,-11 -7580,-20,-10 -7581,-17,-9 -7582,-18,-8 -7583,-20,-8 -7584,-23,-9 -7585,-23,-10 -7586,-27,-11 -7587,-25,-12 -7588,-22,-14 -7589,-20,-14 -7590,-19,-15 -7591,-18,-14 -7592,-18,-13 -7593,-14,-12 -7594,-13,-9 -7595,-13,-7 -7596,-14,-4 -7597,-11,-2 -7598,-6,0 -7599,-7,2 -7600,-4,4 -7601,-2,5 -7602,-2,6 -7603,-3,6 -7604,-4,7 -7605,-5,7 -7606,-7,7 -7607,-4,8 -7608,-3,8 -7609,-2,8 -7610,-2,9 -7611,2,9 -7612,4,9 -7613,3,8 -7614,-2,8 -7615,-5,8 -7616,-4,8 -7617,-3,8 -7618,1,8 -7619,1,8 -7620,2,8 -7621,4,9 -7622,5,10 -7623,2,10 -7624,-1,11 -7625,-2,11 -7626,-4,11 -7627,-4,11 -7628,1,10 -7629,3,10 -7630,2,9 -7631,5,8 -7632,6,8 -7633,1,7 -7634,0,7 -7635,1,7 -7636,1,8 -7637,3,9 -7638,5,10 -7639,4,11 -7640,7,13 -7641,9,14 -7642,8,15 -7643,3,15 -7644,0,15 -7645,-1,15 -7646,-3,15 -7647,-1,14 -7648,7,14 -7649,8,14 -7650,11,14 -7651,14,14 -7652,17,15 -7653,11,16 -7654,9,17 -7655,8,18 -7656,4,19 -7657,3,19 -7658,8,20 -7659,12,20 -7660,12,20 -7661,13,20 -7662,13,20 -7663,11,20 -7664,11,20 -7665,8,19 -7666,6,19 -7667,5,18 -7668,5,17 -7669,4,16 -7670,5,14 -7671,4,12 -7672,0,10 -7673,-4,8 -7674,-6,6 -7675,-8,4 -7676,-8,3 -7677,-6,3 -7678,-2,2 -7679,-1,2 -7680,2,3 -7681,1,3 -7682,1,4 -7683,-2,4 -7684,-6,4 -7685,-8,4 -7686,-9,4 -7687,-9,3 -7688,-9,3 -7689,-9,3 -7690,-3,2 -7691,-1,1 -7692,-6,0 -7693,-9,-1 -7694,-12,-2 -7695,-13,-3 -7696,-12,-4 -7697,-11,-4 -7698,-9,-5 -7699,-6,-5 -7700,-7,-6 -7701,-7,-6 -7702,-9,-6 -7703,-9,-5 -7704,-10,-5 -7705,-10,-5 -7706,-12,-5 -7707,-13,-4 -7708,-8,-4 -7709,-9,-4 -7710,-8,-4 -7711,-6,-4 -7712,-10,-4 -7713,-12,-4 -7714,-15,-4 -7715,-19,-4 -7716,-19,-5 -7717,-16,-6 -7718,-16,-8 -7719,-15,-9 -7720,-18,-11 -7721,-19,-12 -7722,-19,-11 -7723,-15,-9 -7724,-7,-4 -7725,2,3 -7726,13,14 -7727,21,27 -7728,38,43 -7729,52,60 -7730,76,78 -7731,96,96 -7732,119,111 -7733,127,123 -7734,126,129 -7735,123,130 -7736,112,124 -7737,102,113 -7738,86,96 -7739,67,75 -7740,50,52 -7741,25,29 -7742,-5,9 -7743,-27,-8 -7744,-40,-21 -7745,-44,-28 -7746,-39,-31 -7747,-33,-30 -7748,-25,-26 -7749,-19,-22 -7750,-14,-17 -7751,-11,-13 -7752,-10,-11 -7753,-10,-9 -7754,-14,-9 -7755,-15,-9 -7756,-16,-9 -7757,-15,-9 -7758,-14,-8 -7759,-11,-7 -7760,-11,-6 -7761,-12,-5 -7762,-14,-5 -7763,-14,-5 -7764,-12,-6 -7765,-15,-7 -7766,-16,-8 -7767,-12,-9 -7768,-10,-9 -7769,-11,-9 -7770,-9,-8 -7771,-10,-7 -7772,-14,-6 -7773,-17,-5 -7774,-16,-5 -7775,-17,-5 -7776,-16,-6 -7777,-13,-7 -7778,-7,-8 -7779,-8,-8 -7780,-8,-8 -7781,-11,-8 -7782,-13,-7 -7783,-11,-5 -7784,-14,-4 -7785,-16,-2 -7786,-13,-1 -7787,-10,-1 -7788,-8,-1 -7789,-8,-1 -7790,-3,-1 -7791,-4,-2 -7792,-7,-2 -7793,-9,-2 -7794,-10,-2 -7795,-10,-2 -7796,-7,-1 -7797,-7,-1 -7798,-6,0 -7799,-7,1 -7800,-2,1 -7801,-4,1 -7802,-8,1 -7803,-9,0 -7804,-10,0 -7805,-10,-1 -7806,-8,-1 -7807,-5,-1 -7808,-1,-1 -7809,-1,-1 -7810,1,0 -7811,-1,1 -7812,-1,1 -7813,-3,1 -7814,-4,2 -7815,-3,2 -7816,-1,2 -7817,1,3 -7818,2,4 -7819,6,5 -7820,9,7 -7821,9,9 -7822,8,11 -7823,6,13 -7824,9,15 -7825,7,17 -7826,11,18 -7827,15,20 -7828,17,21 -7829,18,22 -7830,21,23 -7831,20,24 -7832,17,25 -7833,17,26 -7834,19,27 -7835,19,27 -7836,21,28 -7837,24,28 -7838,25,28 -7839,26,28 -7840,29,29 -7841,29,29 -7842,28,30 -7843,27,31 -7844,26,32 -7845,24,33 -7846,26,34 -7847,27,34 -7848,25,35 -7849,25,35 -7850,24,34 -7851,23,34 -7852,22,33 -7853,19,32 -7854,18,31 -7855,17,29 -7856,19,28 -7857,16,27 -7858,16,25 -7859,15,24 -7860,14,22 -7861,8,19 -7862,5,17 -7863,0,15 -7864,-1,12 -7865,-2,10 -7866,-3,8 -7867,-5,7 -7868,-4,5 -7869,-4,4 -7870,-4,3 -7871,-9,2 -7872,-14,1 -7873,-14,-1 -7874,-17,-3 -7875,-17,-4 -7876,-18,-6 -7877,-17,-7 -7878,-16,-8 -7879,-14,-9 -7880,-15,-10 -7881,-18,-10 -7882,-23,-11 -7883,-27,-11 -7884,-28,-12 -7885,-30,-14 -7886,-26,-15 -7887,-23,-17 -7888,-25,-18 -7889,-21,-19 -7890,-22,-20 -7891,-23,-20 -7892,-25,-20 -7893,-26,-19 -7894,-29,-19 -7895,-29,-18 -7896,-28,-18 -7897,-27,-18 -7898,-24,-18 -7899,-23,-18 -7900,-22,-18 -7901,-22,-19 -7902,-26,-19 -7903,-26,-18 -7904,-27,-18 -7905,-28,-17 -7906,-25,-17 -7907,-23,-16 -7908,-23,-16 -7909,-22,-16 -7910,-25,-16 -7911,-27,-17 -7912,-28,-17 -7913,-25,-17 -7914,-27,-16 -7915,-27,-16 -7916,-24,-16 -7917,-21,-16 -7918,-22,-16 -7919,-22,-16 -7920,-23,-16 -7921,-25,-17 -7922,-26,-17 -7923,-27,-17 -7924,-27,-17 -7925,-25,-16 -7926,-24,-15 -7927,-20,-14 -7928,-21,-13 -7929,-20,-12 -7930,-22,-11 -7931,-24,-11 -7932,-27,-11 -7933,-26,-11 -7934,-25,-12 -7935,-25,-13 -7936,-24,-13 -7937,-20,-14 -7938,-22,-14 -7939,-20,-14 -7940,-21,-13 -7941,-26,-13 -7942,-26,-12 -7943,-27,-12 -7944,-27,-11 -7945,-24,-11 -7946,-22,-11 -7947,-21,-12 -7948,-21,-12 -7949,-20,-13 -7950,-23,-13 -7951,-24,-14 -7952,-26,-15 -7953,-27,-15 -7954,-28,-15 -7955,-26,-15 -7956,-25,-15 -7957,-24,-15 -7958,-26,-15 -7959,-23,-15 -7960,-26,-15 -7961,-29,-15 -7962,-27,-15 -7963,-30,-15 -7964,-31,-16 -7965,-30,-16 -7966,-27,-16 -7967,-25,-16 -7968,-23,-16 -7969,-21,-16 -7970,-24,-16 -7971,-27,-16 -7972,-30,-16 -7973,-32,-16 -7974,-32,-16 -7975,-30,-16 -7976,-28,-17 -7977,-28,-17 -7978,-26,-17 -7979,-24,-18 -7980,-25,-18 -7981,-27,-18 -7982,-27,-17 -7983,-29,-17 -7984,-33,-16 -7985,-30,-16 -7986,-29,-15 -7987,-29,-15 -7988,-27,-15 -7989,-27,-15 -7990,-30,-16 -7991,-31,-17 -7992,-31,-17 -7993,-33,-18 -7994,-34,-18 -7995,-33,-19 -7996,-30,-19 -7997,-28,-18 -7998,-28,-18 -7999,-28,-18 -8000,-30,-18 -8001,-32,-19 -8002,-35,-19 -8003,-35,-20 -8004,-36,-20 -8005,-33,-20 -8006,-31,-20 -8007,-30,-19 -8008,-26,-19 -8009,-26,-17 -8010,-30,-16 -8011,-30,-16 -8012,-33,-15 -8013,-34,-16 -8014,-34,-16 -8015,-32,-17 -8016,-29,-18 -8017,-30,-19 -8018,-29,-20 -8019,-26,-20 -8020,-28,-20 -8021,-31,-19 -8022,-31,-19 -8023,-32,-19 -8024,-35,-18 -8025,-33,-18 -8026,-30,-19 -8027,-31,-19 -8028,-30,-19 -8029,-28,-19 -8030,-29,-19 -8031,-31,-18 -8032,-29,-17 -8033,-32,-16 -8034,-30,-15 -8035,-27,-13 -8036,-26,-13 -8037,-24,-12 -8038,-24,-12 -8039,-24,-12 -8040,-26,-13 -8041,-30,-13 -8042,-31,-14 -8043,-30,-14 -8044,-29,-14 -8045,-27,-14 -8046,-23,-14 -8047,-24,-14 -8048,-24,-13 -8049,-22,-12 -8050,-22,-11 -8051,-23,-10 -8052,-22,-9 -8053,-23,-7 -8054,-21,-6 -8055,-18,-5 -8056,-18,-4 -8057,-18,-4 -8058,-16,-4 -8059,-17,-4 -8060,-19,-5 -8061,-22,-5 -8062,-21,-5 -8063,-21,-5 -8064,-18,-4 -8065,-18,-3 -8066,-17,-3 -8067,-17,-2 -8068,-19,-2 -8069,-23,-2 -8070,-25,-3 -8071,-28,-5 -8072,-28,-7 -8073,-31,-9 -8074,-31,-11 -8075,-31,-13 -8076,-30,-14 -8077,-31,-15 -8078,-29,-16 -8079,-28,-15 -8080,-28,-15 -8081,-28,-14 -8082,-31,-14 -8083,-34,-14 -8084,-35,-13 -8085,-30,-14 -8086,-30,-14 -8087,-30,-14 -8088,-27,-14 -8089,-30,-15 -8090,-32,-15 -8091,-35,-15 -8092,-37,-15 -8093,-39,-16 -8094,-37,-16 -8095,-37,-17 -8096,-33,-17 -8097,-32,-18 -8098,-33,-18 -8099,-35,-18 -8100,-35,-18 -8101,-38,-18 -8102,-37,-17 -8103,-36,-16 -8104,-35,-16 -8105,-32,-15 -8106,-32,-15 -8107,-29,-16 -8108,-27,-17 -8109,-32,-18 -8110,-35,-19 -8111,-39,-20 -8112,-41,-21 -8113,-40,-22 -8114,-39,-22 -8115,-37,-22 -8116,-37,-22 -8117,-37,-22 -8118,-35,-22 -8119,-38,-22 -8120,-43,-23 -8121,-44,-24 -8122,-47,-24 -8123,-46,-23 -8124,-39,-20 -8125,-28,-15 -8126,-15,-7 -8127,-3,4 -8128,7,17 -8129,19,33 -8130,29,50 -8131,45,67 -8132,64,84 -8133,86,100 -8134,109,112 -8135,116,121 -8136,115,124 -8137,107,122 -8138,93,114 -8139,76,100 -8140,57,82 -8141,40,60 -8142,15,38 -8143,-11,16 -8144,-33,-4 -8145,-48,-19 -8146,-52,-29 -8147,-50,-34 -8148,-43,-34 -8149,-42,-31 -8150,-38,-26 -8151,-36,-20 -8152,-34,-15 -8153,-35,-11 -8154,-31,-9 -8155,-25,-8 -8156,-23,-9 -8157,-27,-10 -8158,-28,-11 -8159,-29,-11 -8160,-31,-11 -8161,-30,-11 -8162,-32,-10 -8163,-33,-10 -8164,-30,-10 -8165,-28,-10 -8166,-25,-11 -8167,-25,-12 -8168,-26,-12 -8169,-26,-12 -8170,-27,-11 -8171,-30,-9 -8172,-29,-7 -8173,-31,-5 -8174,-27,-4 -8175,-25,-4 -8176,-25,-4 -8177,-22,-5 -8178,-25,-6 -8179,-25,-7 -8180,-26,-8 -8181,-26,-8 -8182,-24,-7 -8183,-25,-6 -8184,-22,-4 -8185,-20,-2 -8186,-21,0 -8187,-19,1 -8188,-21,1 -8189,-22,1 -8190,-24,0 -8191,-23,0 -8192,-25,-1 -8193,-24,-2 -8194,-22,-2 -8195,-21,-2 -8196,-17,-2 -8197,-16,-2 -8198,-17,-1 -8199,-19,-1 -8200,-21,-1 -8201,-21,-1 -8202,-20,0 -8203,-20,0 -8204,-16,1 -8205,-16,1 -8206,-14,2 -8207,-13,3 -8208,-11,3 -8209,-13,3 -8210,-17,4 -8211,-18,4 -8212,-18,4 -8213,-16,4 -8214,-12,4 -8215,-7,5 -8216,-7,6 -8217,-6,7 -8218,-6,8 -8219,-9,9 -8220,-8,10 -8221,-9,11 -8222,-10,12 -8223,-7,12 -8224,-5,13 -8225,-3,13 -8226,2,14 -8227,3,16 -8228,4,17 -8229,3,19 -8230,1,22 -8231,3,24 -8232,4,27 -8233,3,29 -8234,12,31 -8235,10,32 -8236,14,33 -8237,14,33 -8238,14,33 -8239,10,33 -8240,10,33 -8241,15,34 -8242,15,35 -8243,18,36 -8244,18,37 -8245,20,39 -8246,19,40 -8247,23,41 -8248,21,42 -8249,20,42 -8250,16,42 -8251,18,41 -8252,15,40 -8253,16,40 -8254,15,40 -8255,17,39 -8256,17,39 -8257,16,38 -8258,13,37 -8259,10,36 -8260,6,34 -8261,2,32 -8262,1,29 -8263,-1,27 -8264,1,25 -8265,-1,23 -8266,-1,21 -8267,-2,20 -8268,-6,19 -8269,-9,17 -8270,-12,16 -8271,-15,14 -8272,-18,12 -8273,-17,10 -8274,-17,8 -8275,-16,6 -8276,-17,5 -8277,-16,4 -8278,-18,3 -8279,-21,3 -8280,-23,2 -8281,-26,2 -8282,-30,1 -8283,-28,0 -8284,-26,-2 -8285,-24,-3 -8286,-21,-4 -8287,-21,-4 -8288,-24,-5 -8289,-25,-5 -8290,-27,-4 -8291,-29,-4 -8292,-30,-4 -8293,-30,-4 -8294,-27,-4 -8295,-26,-5 -8296,-25,-5 -8297,-25,-5 -8298,-27,-5 -8299,-30,-5 -8300,-31,-5 -8301,-32,-5 -8302,-32,-5 -8303,-27,-4 -8304,-26,-4 -8305,-26,-4 -8306,-26,-4 -8307,-28,-4 -8308,-29,-4 -8309,-30,-4 -8310,-30,-4 -8311,-31,-4 -8312,-31,-5 -8313,-28,-5 -8314,-28,-6 -8315,-26,-8 -8316,-27,-9 -8317,-27,-9 -8318,-28,-10 -8319,-27,-9 -8320,-27,-8 -8321,-31,-7 -8322,-29,-5 -8323,-25,-3 -8324,-25,-1 -8325,-25,0 -8326,-21,0 -8327,-23,0 -8328,-25,-1 -8329,-24,-2 -8330,-26,-3 -8331,-27,-4 -8332,-28,-5 -8333,-24,-4 -8334,-21,-3 -8335,-21,-2 -8336,-19,0 -8337,-21,1 -8338,-24,2 -8339,-27,3 -8340,-29,3 -8341,-30,2 -8342,-28,1 -8343,-25,0 -8344,-24,-2 -8345,-23,-4 -8346,-21,-5 -8347,-24,-6 -8348,-25,-7 -8349,-26,-7 -8350,-28,-7 -8351,-29,-7 -8352,-26,-7 -8353,-23,-6 -8354,-23,-5 -8355,-24,-4 -8356,-22,-4 -8357,-24,-3 -8358,-23,-3 -8359,-26,-2 -8360,-28,-2 -8361,-30,-3 -8362,-28,-3 -8363,-25,-4 -8364,-25,-5 -8365,-22,-5 -8366,-22,-6 -8367,-23,-6 -8368,-25,-7 -8369,-26,-7 -8370,-29,-7 -8371,-31,-7 -8372,-29,-7 -8373,-27,-7 -8374,-27,-7 -8375,-25,-7 -8376,-23,-7 -8377,-24,-7 -8378,-26,-8 -8379,-28,-8 -8380,-29,-8 -8381,-31,-8 -8382,-30,-8 -8383,-29,-8 -8384,-27,-8 -8385,-26,-8 -8386,-24,-8 -8387,-27,-8 -8388,-30,-8 -8389,-29,-8 -8390,-28,-8 -8391,-31,-8 -8392,-28,-9 -8393,-25,-9 -8394,-24,-9 -8395,-24,-9 -8396,-24,-9 -8397,-27,-9 -8398,-30,-8 -8399,-30,-8 -8400,-29,-8 -8401,-32,-8 -8402,-31,-9 -8403,-30,-9 -8404,-28,-8 -8405,-28,-8 -8406,-25,-8 -8407,-25,-8 -8408,-26,-8 -8409,-28,-7 -8410,-30,-7 -8411,-30,-7 -8412,-30,-7 -8413,-29,-7 -8414,-28,-6 -8415,-26,-6 -8416,-25,-6 -8417,-26,-6 -8418,-29,-6 -8419,-29,-6 -8420,-31,-7 -8421,-34,-7 -8422,-30,-7 -8423,-28,-7 -8424,-27,-7 -8425,-25,-7 -8426,-25,-7 -8427,-29,-8 -8428,-27,-8 -8429,-31,-8 -8430,-31,-9 -8431,-34,-10 -8432,-31,-10 -8433,-27,-11 -8434,-24,-12 -8435,-25,-12 -8436,-23,-12 -8437,-27,-11 -8438,-31,-11 -8439,-31,-10 -8440,-31,-9 -8441,-31,-8 -8442,-27,-7 -8443,-26,-6 -8444,-22,-6 -8445,-18,-5 -8446,-17,-4 -8447,-20,-4 -8448,-26,-3 -8449,-27,-3 -8450,-25,-3 -8451,-25,-3 -8452,-22,-3 -8453,-21,-3 -8454,-21,-3 -8455,-21,-3 -8456,-23,-3 -8457,-23,-4 -8458,-24,-4 -8459,-22,-4 -8460,-21,-4 -8461,-19,-4 -8462,-16,-3 -8463,-13,-2 -8464,-12,-1 -8465,-13,0 -8466,-13,1 -8467,-14,2 -8468,-15,3 -8469,-16,3 -8470,-18,3 -8471,-18,2 -8472,-15,2 -8473,-15,1 -8474,-15,0 -8475,-14,0 -8476,-16,-1 -8477,-19,-2 -8478,-22,-2 -8479,-24,-3 -8480,-28,-4 -8481,-29,-4 -8482,-26,-5 -8483,-25,-6 -8484,-24,-7 -8485,-22,-7 -8486,-23,-8 -8487,-23,-8 -8488,-27,-9 -8489,-28,-9 -8490,-30,-10 -8491,-31,-10 -8492,-29,-11 -8493,-25,-11 -8494,-23,-11 -8495,-23,-11 -8496,-25,-11 -8497,-29,-11 -8498,-29,-11 -8499,-29,-11 -8500,-33,-12 -8501,-34,-12 -8502,-30,-13 -8503,-27,-13 -8504,-24,-14 -8505,-26,-14 -8506,-27,-14 -8507,-30,-14 -8508,-34,-14 -8509,-34,-13 -8510,-34,-13 -8511,-34,-13 -8512,-32,-13 -8513,-28,-13 -8514,-25,-13 -8515,-23,-14 -8516,-27,-14 -8517,-30,-15 -8518,-31,-15 -8519,-34,-15 -8520,-34,-14 -8521,-34,-13 -8522,-31,-13 -8523,-31,-13 -8524,-30,-13 -8525,-31,-13 -8526,-33,-14 -8527,-36,-16 -8528,-38,-17 -8529,-42,-19 -8530,-44,-20 -8531,-40,-19 -8532,-37,-17 -8533,-26,-13 -8534,-12,-6 -8535,-1,3 -8536,7,15 -8537,17,29 -8538,30,46 -8539,39,63 -8540,58,80 -8541,81,97 -8542,109,111 -8543,128,122 -8544,123,129 -8545,124,130 -8546,108,125 -8547,94,114 -8548,75,98 -8549,56,78 -8550,37,57 -8551,15,35 -8552,-8,14 -8553,-31,-3 -8554,-38,-16 -8555,-40,-25 -8556,-39,-29 -8557,-42,-30 -8558,-39,-27 -8559,-35,-23 -8560,-30,-19 -8561,-27,-15 -8562,-23,-11 -8563,-19,-9 -8564,-20,-8 -8565,-19,-8 -8566,-22,-8 -8567,-25,-8 -8568,-28,-9 -8569,-29,-9 -8570,-29,-10 -8571,-27,-10 -8572,-23,-10 -8573,-24,-10 -8574,-21,-11 -8575,-18,-11 -8576,-21,-12 -8577,-23,-12 -8578,-25,-12 -8579,-26,-12 -8580,-26,-12 -8581,-23,-12 -8582,-21,-11 -8583,-19,-11 -8584,-16,-10 -8585,-16,-9 -8586,-17,-9 -8587,-18,-8 -8588,-18,-7 -8589,-19,-6 -8590,-23,-5 -8591,-22,-5 -8592,-22,-5 -8593,-19,-5 -8594,-18,-5 -8595,-18,-6 -8596,-18,-6 -8597,-20,-7 -8598,-20,-7 -8599,-22,-7 -8600,-21,-7 -8601,-18,-6 -8602,-14,-5 -8603,-13,-4 -8604,-12,-3 -8605,-10,-2 -8606,-11,-1 -8607,-13,0 -8608,-14,1 -8609,-16,2 -8610,-17,3 -8611,-17,3 -8612,-12,3 -8613,-10,2 -8614,-11,2 -8615,-10,2 -8616,-13,1 -8617,-10,1 -8618,-12,2 -8619,-15,2 -8620,-13,3 -8621,-9,4 -8622,-6,5 -8623,-5,6 -8624,-2,6 -8625,-4,7 -8626,-5,7 -8627,-6,7 -8628,-6,7 -8629,-7,8 -8630,-5,8 -8631,0,9 -8632,3,10 -8633,4,12 -8634,9,13 -8635,9,15 -8636,8,16 -8637,8,18 -8638,8,19 -8639,10,20 -8640,10,21 -8641,11,22 -8642,15,24 -8643,19,25 -8644,22,26 -8645,22,28 -8646,20,29 -8647,21,30 -8648,22,32 -8649,22,33 -8650,23,34 -8651,24,34 -8652,25,35 -8653,29,36 -8654,29,37 -8655,30,38 -8656,28,39 -8657,25,40 -8658,25,40 -8659,25,40 -8660,24,40 -8661,25,40 -8662,26,40 -8663,26,39 -8664,27,39 -8665,26,37 -8666,22,36 -8667,19,34 -8668,16,31 -8669,13,29 -8670,10,26 -8671,13,23 -8672,11,21 -8673,10,19 -8674,11,17 -8675,9,16 -8676,3,14 -8677,1,13 -8678,-5,12 -8679,-8,10 -8680,-4,8 -8681,-2,7 -8682,-4,5 -8683,-6,4 -8684,-6,3 -8685,-8,2 -8686,-10,1 -8687,-13,0 -8688,-13,0 -8689,-16,-1 -8690,-14,-2 -8691,-17,-3 -8692,-17,-3 -8693,-16,-4 -8694,-16,-5 -8695,-16,-5 -8696,-18,-6 -8697,-21,-6 -8698,-21,-6 -8699,-21,-7 -8700,-20,-7 -8701,-17,-8 -8702,-15,-8 -8703,-15,-9 -8704,-17,-9 -8705,-17,-10 -8706,-21,-10 -8707,-21,-11 -8708,-23,-11 -8709,-26,-12 -8710,-24,-13 -8711,-19,-13 -8712,-17,-14 -8713,-16,-15 -8714,-15,-15 -8715,-17,-15 -8716,-19,-15 -8717,-21,-14 -8718,-21,-13 -8719,-24,-11 -8720,-17,-10 -8721,-16,-8 -8722,-15,-7 -8723,-15,-6 -8724,-16,-5 -8725,-18,-5 -8726,-19,-5 -8727,-19,-5 -8728,-19,-6 -8729,-21,-7 -8730,-18,-8 -8731,-15,-9 -8732,-15,-10 -8733,-16,-11 -8734,-15,-11 -8735,-18,-11 -8736,-19,-11 -8737,-22,-10 -8738,-22,-10 -8739,-20,-9 -8740,-15,-9 -8741,-14,-9 -8742,-12,-9 -8743,-10,-9 -8744,-11,-9 -8745,-14,-9 -8746,-15,-10 -8747,-16,-10 -8748,-16,-10 -8749,-15,-10 -8750,-15,-9 -8751,-14,-8 -8752,-10,-8 -8753,-9,-7 -8754,-7,-6 -8755,-11,-6 -8756,-13,-6 -8757,-13,-6 -8758,-14,-6 -8759,-14,-7 -8760,-13,-8 -8761,-11,-8 -8762,-8,-9 -8763,-6,-9 -8764,-6,-9 -8765,-9,-8 -8766,-11,-7 -8767,-13,-6 -8768,-12,-5 -8769,-9,-4 -8770,-8,-3 -8771,-7,-2 -8772,-7,-2 -8773,-7,-1 -8774,-4,-1 -8775,-8,-1 -8776,-9,-2 -8777,-11,-2 -8778,-14,-3 -8779,-13,-3 -8780,-11,-4 -8781,-8,-5 -8782,-3,-6 -8783,-8,-6 -8784,-9,-7 -8785,-9,-8 -8786,-11,-9 -8787,-13,-9 -8788,-14,-9 -8789,-13,-9 -8790,-10,-8 -8791,-7,-8 -8792,-7,-7 -8793,-7,-6 -8794,-7,-6 -8795,-10,-5 -8796,-12,-5 -8797,-11,-5 -8798,-14,-5 -8799,-14,-6 -8800,-11,-6 -8801,-9,-7 -8802,-8,-7 -8803,-6,-7 -8804,-5,-7 -8805,-10,-7 -8806,-13,-7 -8807,-17,-6 -8808,-17,-6 -8809,-14,-5 -8810,-11,-5 -8811,-7,-6 -8812,-8,-6 -8813,-9,-7 -8814,-9,-7 -8815,-10,-8 -8816,-15,-8 -8817,-15,-9 -8818,-19,-9 -8819,-18,-9 -8820,-16,-9 -8821,-13,-9 -8822,-11,-9 -8823,-10,-9 -8824,-10,-9 -8825,-12,-9 -8826,-15,-9 -8827,-16,-9 -8828,-18,-9 -8829,-16,-9 -8830,-12,-8 -8831,-9,-8 -8832,-11,-8 -8833,-11,-8 -8834,-13,-8 -8835,-15,-9 -8836,-16,-9 -8837,-16,-10 -8838,-17,-10 -8839,-15,-11 -8840,-15,-12 -8841,-14,-12 -8842,-12,-12 -8843,-11,-12 -8844,-10,-12 -8845,-13,-12 -8846,-15,-11 -8847,-16,-10 -8848,-18,-10 -8849,-18,-10 -8850,-15,-9 -8851,-12,-9 -8852,-13,-9 -8853,-11,-9 -8854,-12,-9 -8855,-15,-9 -8856,-16,-10 -8857,-19,-10 -8858,-21,-10 -8859,-20,-10 -8860,-19,-10 -8861,-15,-10 -8862,-15,-11 -8863,-14,-11 -8864,-15,-12 -8865,-18,-13 -8866,-19,-13 -8867,-18,-14 -8868,-21,-14 -8869,-17,-13 -8870,-13,-12 -8871,-9,-11 -8872,-9,-10 -8873,-4,-8 -8874,-8,-7 -8875,-13,-5 -8876,-13,-4 -8877,-14,-4 -8878,-15,-4 -8879,-14,-4 -8880,-13,-4 -8881,-12,-5 -8882,-8,-6 -8883,-6,-7 -8884,-9,-7 -8885,-14,-8 -8886,-12,-8 -8887,-11,-7 -8888,-10,-7 -8889,-7,-6 -8890,-4,-6 -8891,-5,-5 -8892,-2,-3 -8893,-1,-2 -8894,-5,-1 -8895,-9,0 -8896,-6,1 -8897,-5,2 -8898,-6,2 -8899,-5,2 -8900,-4,2 -8901,-2,1 -8902,-3,0 -8903,-5,-1 -8904,-8,-3 -8905,-15,-5 -8906,-18,-7 -8907,-20,-8 -8908,-22,-9 -8909,-20,-11 -8910,-18,-11 -8911,-17,-12 -8912,-16,-13 -8913,-17,-13 -8914,-16,-14 -8915,-20,-14 -8916,-21,-14 -8917,-19,-15 -8918,-22,-15 -8919,-19,-15 -8920,-16,-15 -8921,-17,-16 -8922,-15,-16 -8923,-14,-16 -8924,-17,-16 -8925,-20,-16 -8926,-23,-15 -8927,-22,-15 -8928,-25,-15 -8929,-21,-14 -8930,-19,-14 -8931,-17,-14 -8932,-17,-13 -8933,-19,-14 -8934,-22,-14 -8935,-25,-14 -8936,-24,-15 -8937,-20,-15 -8938,-24,-16 -8939,-22,-16 -8940,-21,-17 -8941,-18,-17 -8942,-17,-17 -8943,-19,-17 -8944,-24,-18 -8945,-23,-18 -8946,-24,-18 -8947,-24,-17 -8948,-23,-17 -8949,-21,-17 -8950,-20,-16 -8951,-20,-17 -8952,-19,-17 -8953,-22,-18 -8954,-28,-20 -8955,-30,-22 -8956,-30,-24 -8957,-34,-25 -8958,-35,-26 -8959,-31,-24 -8960,-23,-21 -8961,-11,-15 -8962,0,-6 -8963,9,5 -8964,20,19 -8965,31,34 -8966,43,51 -8967,57,68 -8968,77,85 -8969,106,100 -8970,126,112 -8971,133,121 -8972,131,124 -8973,118,122 -8974,101,113 -8975,85,99 -8976,69,80 -8977,50,58 -8978,30,36 -8979,5,14 -8980,-18,-4 -8981,-32,-18 -8982,-34,-27 -8983,-31,-32 -8984,-30,-32 -8985,-29,-29 -8986,-29,-25 -8987,-22,-21 -8988,-19,-17 -8989,-15,-15 -8990,-12,-14 -8991,-9,-14 -8992,-10,-14 -8993,-12,-14 -8994,-15,-14 -8995,-19,-14 -8996,-18,-12 -8997,-18,-11 -8998,-18,-10 -8999,-17,-9 -9000,-16,-8 -9001,-14,-8 -9002,-10,-8 -9003,-12,-9 -9004,-13,-10 -9005,-16,-10 -9006,-18,-11 -9007,-20,-11 -9008,-18,-11 -9009,-12,-10 -9010,-11,-9 -9011,-11,-9 -9012,-8,-8 -9013,-11,-7 -9014,-14,-7 -9015,-16,-7 -9016,-13,-7 -9017,-13,-7 -9018,-10,-7 -9019,-11,-7 -9020,-9,-7 -9021,-6,-7 -9022,-3,-7 -9023,-6,-6 -9024,-10,-6 -9025,-9,-4 -9026,-9,-3 -9027,-12,-2 -9028,-7,-1 -9029,-5,-1 -9030,-5,0 -9031,-7,0 -9032,-6,0 -9033,-7,0 -9034,-7,-1 -9035,-9,-1 -9036,-11,-1 -9037,-11,-1 -9038,-8,0 -9039,-6,0 -9040,-3,0 -9041,-1,0 -9042,2,0 -9043,-1,0 -9044,-2,0 -9045,-1,0 -9046,-2,0 -9047,-3,1 -9048,-3,2 -9049,2,4 -9050,2,6 -9051,6,8 -9052,10,10 -9053,8,12 -9054,4,13 -9055,4,14 -9056,4,14 -9057,3,14 -9058,8,14 -9059,11,13 -9060,14,13 -9061,17,13 -9062,17,13 -9063,16,14 -9064,17,15 -9065,14,17 -9066,15,19 -9067,14,20 -9068,18,22 -9069,21,23 -9070,25,25 -9071,28,26 -9072,27,27 -9073,26,28 -9074,27,29 -9075,27,30 -9076,28,31 -9077,29,32 -9078,31,33 -9079,31,34 -9080,34,35 -9081,36,36 -9082,35,36 -9083,33,37 -9084,31,37 -9085,32,37 -9086,31,37 -9087,30,38 -9088,31,38 -9089,34,38 -9090,34,38 -9091,36,38 -9092,36,37 -9093,31,36 -9094,26,35 -9095,23,34 -9096,22,32 -9097,19,31 -9098,19,29 -9099,20,27 -9100,18,26 -9101,16,23 -9102,15,21 -9103,12,19 -9104,8,16 -9105,10,13 -9106,1,11 -9107,-3,9 -9108,1,7 -9109,1,5 -9110,0,4 -9111,-1,3 -9112,-2,3 -9113,-6,2 -9114,-6,2 -9115,-8,1 -9116,-10,0 -9117,-11,-1 -9118,-9,-2 -9119,-8,-3 -9120,-7,-4 -9121,-10,-5 -9122,-10,-6 -9123,-15,-6 -9124,-16,-7 -9125,-18,-7 -9126,-20,-7 -9127,-17,-7 -9128,-15,-8 -9129,-12,-8 -9130,-11,-9 -9131,-10,-9 -9132,-15,-9 -9133,-17,-9 -9134,-19,-9 -9135,-19,-9 -9136,-19,-9 -9137,-17,-9 -9138,-16,-10 -9139,-14,-10 -9140,-13,-11 -9141,-11,-11 -9142,-13,-12 -9143,-15,-13 -9144,-16,-13 -9145,-21,-13 -9146,-20,-12 -9147,-17,-11 -9148,-17,-10 -9149,-13,-9 -9150,-11,-8 -9151,-10,-7 -9152,-11,-6 -9153,-14,-6 -9154,-12,-5 -9155,-15,-5 -9156,-20,-5 -9157,-19,-5 -9158,-17,-6 -9159,-14,-7 -9160,-10,-8 -9161,-9,-9 -9162,-12,-10 -9163,-13,-10 -9164,-14,-11 -9165,-19,-11 -9166,-18,-11 -9167,-18,-11 -9168,-13,-11 -9169,-14,-10 -9170,-11,-10 -9171,-10,-10 -9172,-12,-9 -9173,-14,-9 -9174,-15,-9 -9175,-17,-8 -9176,-20,-8 -9177,-15,-8 -9178,-15,-7 -9179,-12,-7 -9180,-12,-7 -9181,-11,-7 -9182,-11,-7 -9183,-13,-7 -9184,-16,-7 -9185,-15,-7 -9186,-14,-7 -9187,-13,-6 -9188,-13,-6 -9189,-9,-5 -9190,-5,-4 -9191,-7,-4 -9192,-9,-3 -9193,-13,-3 -9194,-15,-4 -9195,-15,-4 -9196,-16,-5 -9197,-16,-5 -9198,-13,-6 -9199,-12,-7 -9200,-10,-8 -9201,-12,-8 -9202,-14,-8 -9203,-14,-9 -9204,-16,-9 -9205,-18,-9 -9206,-22,-9 -9207,-18,-9 -9208,-15,-9 -9209,-14,-9 -9210,-9,-9 -9211,-11,-9 -9212,-16,-9 -9213,-14,-9 -9214,-15,-9 -9215,-19,-8 -9216,-20,-8 -9217,-17,-7 -9218,-15,-7 -9219,-13,-6 -9220,-13,-6 -9221,-13,-6 -9222,-15,-7 -9223,-20,-8 -9224,-18,-9 -9225,-18,-10 -9226,-19,-11 -9227,-16,-11 -9228,-11,-11 -9229,-12,-11 -9230,-9,-10 -9231,-11,-9 -9232,-16,-8 -9233,-15,-7 -9234,-18,-6 -9235,-19,-6 -9236,-20,-7 -9237,-17,-8 -9238,-16,-9 -9239,-15,-10 -9240,-11,-10 -9241,-10,-11 -9242,-12,-11 -9243,-14,-10 -9244,-17,-10 -9245,-19,-9 -9246,-21,-8 -9247,-16,-8 -9248,-14,-8 -9249,-17,-9 -9250,-15,-9 -9251,-17,-10 -9252,-18,-11 -9253,-20,-11 -9254,-15,-11 -9255,-21,-11 -9256,-20,-10 -9257,-18,-9 -9258,-17,-9 -9259,-13,-8 -9260,-9,-8 -9261,-11,-8 -9262,-13,-9 -9263,-19,-10 -9264,-22,-11 -9265,-22,-12 -9266,-18,-12 -9267,-17,-12 -9268,-13,-11 -9269,-13,-10 -9270,-10,-9 -9271,-14,-8 -9272,-15,-8 -9273,-19,-7 -9274,-19,-7 -9275,-18,-8 -9276,-18,-8 -9277,-14,-9 -9278,-15,-10 -9279,-15,-10 -9280,-12,-11 -9281,-13,-11 -9282,-17,-11 -9283,-19,-11 -9284,-21,-11 -9285,-20,-10 -9286,-19,-10 -9287,-15,-10 -9288,-16,-10 -9289,-13,-9 -9290,-13,-10 -9291,-12,-10 -9292,-12,-10 -9293,-17,-10 -9294,-17,-10 -9295,-15,-9 -9296,-12,-8 -9297,-12,-7 -9298,-9,-6 -9299,-9,-4 -9300,-9,-3 -9301,-6,-2 -9302,-9,-2 -9303,-9,-2 -9304,-11,-2 -9305,-11,-3 -9306,-12,-5 -9307,-10,-6 -9308,-8,-6 -9309,-6,-6 -9310,-5,-5 -9311,-3,-4 -9312,-2,-1 -9313,-2,1 -9314,-1,4 -9315,-5,6 -9316,-2,7 -9317,-3,8 -9318,-6,7 -9319,-1,6 -9320,0,4 -9321,-5,2 -9322,-7,1 -9323,-5,-1 -9324,-7,-2 -9325,-9,-2 -9326,-9,-2 -9327,-9,-2 -9328,-7,-2 -9329,-8,-2 -9330,-6,-2 -9331,-8,-2 -9332,-12,-3 -9333,-17,-4 -9334,-21,-5 -9335,-23,-7 -9336,-20,-9 -9337,-14,-10 -9338,-15,-11 -9339,-16,-13 -9340,-16,-13 -9341,-15,-14 -9342,-19,-14 -9343,-22,-14 -9344,-25,-13 -9345,-24,-13 -9346,-20,-13 -9347,-17,-13 -9348,-15,-13 -9349,-12,-13 -9350,-16,-13 -9351,-19,-12 -9352,-21,-12 -9353,-20,-11 -9354,-21,-10 -9355,-21,-9 -9356,-21,-9 -9357,-18,-9 -9358,-16,-9 -9359,-13,-10 -9360,-16,-11 -9361,-18,-12 -9362,-19,-13 -9363,-25,-13 -9364,-25,-14 -9365,-23,-14 -9366,-23,-15 -9367,-21,-15 -9368,-21,-15 -9369,-18,-16 -9370,-17,-16 -9371,-18,-16 -9372,-20,-16 -9373,-20,-15 -9374,-22,-14 -9375,-25,-12 -9376,-22,-11 -9377,-22,-11 -9378,-22,-11 -9379,-21,-12 -9380,-21,-14 -9381,-26,-17 -9382,-29,-19 -9383,-29,-20 -9384,-28,-19 -9385,-23,-16 -9386,-14,-10 -9387,-1,-1 -9388,11,11 -9389,25,24 -9390,41,40 -9391,48,56 -9392,62,71 -9393,83,86 -9394,101,99 -9395,112,109 -9396,117,114 -9397,113,115 -9398,106,110 -9399,93,100 -9400,76,85 -9401,56,66 -9402,34,45 -9403,9,23 -9404,-16,3 -9405,-33,-14 -9406,-40,-26 -9407,-40,-33 -9408,-34,-35 -9409,-32,-33 -9410,-31,-29 -9411,-26,-23 -9412,-21,-18 -9413,-18,-13 -9414,-18,-10 -9415,-16,-9 -9416,-11,-8 -9417,-9,-8 -9418,-11,-7 -9419,-10,-7 -9420,-14,-6 -9421,-12,-5 -9422,-14,-5 -9423,-15,-4 -9424,-18,-3 -9425,-17,-3 -9426,-11,-3 -9427,-9,-4 -9428,-8,-4 -9429,-4,-3 -9430,-6,-3 -9431,-10,-2 -9432,-10,-1 -9433,-11,-1 -9434,-13,0 -9435,-10,0 -9436,-9,-1 -9437,-9,-1 -9438,-6,-2 -9439,-4,-3 -9440,-4,-3 -9441,-6,-2 -9442,-11,-1 -9443,-11,-1 -9444,-9,0 -9445,-8,1 -9446,-7,1 -9447,-3,1 -9448,-3,1 -9449,0,1 -9450,1,0 -9451,-4,0 -9452,-5,0 -9453,-5,0 -9454,-10,0 -9455,-8,0 -9456,-6,1 -9457,-2,1 -9458,0,2 -9459,2,2 -9460,0,3 -9461,0,4 -9462,-1,5 -9463,-4,6 -9464,-6,7 -9465,0,8 -9466,1,9 -9467,4,9 -9468,5,9 -9469,7,8 -9470,8,8 -9471,6,7 -9472,4,8 -9473,2,8 -9474,0,9 -9475,3,10 -9476,6,11 -9477,10,12 -9478,11,13 -9479,12,13 -9480,12,14 -9481,9,14 -9482,10,15 -9483,10,15 -9484,12,16 -9485,14,17 -9486,14,19 -9487,17,20 -9488,20,21 -9489,23,22 -9490,23,23 -9491,17,24 -9492,20,25 -9493,22,26 -9494,23,26 -9495,25,27 -9496,27,29 -9497,32,30 -9498,34,32 -9499,35,34 -9500,36,36 -9501,35,37 -9502,36,39 -9503,31,40 -9504,31,41 -9505,34,41 -9506,36,42 -9507,36,42 -9508,38,42 -9509,41,42 -9510,40,41 -9511,36,41 -9512,33,40 -9513,33,39 -9514,30,39 -9515,33,38 -9516,36,37 -9517,38,37 -9518,36,37 -9519,37,36 -9520,30,36 -9521,26,35 -9522,24,34 -9523,20,33 -9524,16,31 -9525,18,29 -9526,19,27 -9527,16,25 -9528,17,23 -9529,15,21 -9530,14,19 -9531,10,17 -9532,5,15 -9533,-1,13 -9534,1,11 -9535,0,9 -9536,1,7 -9537,-1,4 -9538,-2,2 -9539,-2,0 -9540,-8,-2 -9541,-8,-3 -9542,-12,-3 -9543,-14,-3 -9544,-10,-3 -9545,-10,-3 -9546,-6,-3 -9547,-6,-3 -9548,-4,-3 -9549,-8,-4 -9550,-11,-4 -9551,-10,-5 -9552,-14,-5 -9553,-17,-5 -9554,-15,-5 -9555,-13,-5 -9556,-12,-6 -9557,-13,-6 -9558,-12,-6 -9559,-14,-7 -9560,-13,-7 -9561,-16,-8 -9562,-16,-8 -9563,-17,-8 -9564,-14,-8 -9565,-13,-8 -9566,-11,-7 -9567,-10,-6 -9568,-10,-5 -9569,-13,-5 -9570,-15,-5 -9571,-19,-5 -9572,-20,-6 -9573,-18,-6 -9574,-15,-7 -9575,-14,-7 -9576,-14,-7 -9577,-12,-8 -9578,-10,-7 -9579,-10,-7 -9580,-12,-7 -9581,-13,-7 -9582,-15,-7 -9583,-15,-7 -9584,-14,-7 -9585,-12,-6 -9586,-10,-6 -9587,-10,-6 -9588,-7,-5 -9589,-9,-5 -9590,-14,-4 -9591,-16,-4 -9592,-15,-4 -9593,-14,-4 -9594,-12,-4 -9595,-10,-4 -9596,-7,-4 -9597,-5,-4 -9598,-6,-4 -9599,-7,-3 -9600,-10,-3 -9601,-11,-2 -9602,-12,-2 -9603,-12,-2 -9604,-12,-2 -9605,-11,-3 -9606,-9,-4 -9607,-10,-5 -9608,-7,-6 -9609,-9,-7 -9610,-11,-8 -9611,-13,-7 -9612,-16,-7 -9613,-15,-6 -9614,-13,-6 -9615,-10,-5 -9616,-5,-5 -9617,-6,-5 -9618,-4,-5 -9619,-9,-5 -9620,-11,-5 -9621,-10,-5 -9622,-13,-5 -9623,-15,-5 -9624,-11,-5 -9625,-9,-5 -9626,-9,-5 -9627,-9,-6 -9628,-9,-6 -9629,-12,-7 -9630,-12,-8 -9631,-11,-8 -9632,-15,-9 -9633,-17,-9 -9634,-15,-8 -9635,-13,-8 -9636,-11,-8 -9637,-12,-7 -9638,-10,-7 -9639,-14,-7 -9640,-15,-7 -9641,-15,-8 -9642,-16,-9 -9643,-18,-9 -9644,-15,-10 -9645,-12,-11 -9646,-12,-11 -9647,-11,-11 -9648,-11,-11 -9649,-13,-11 -9650,-15,-11 -9651,-15,-11 -9652,-15,-11 -9653,-18,-11 -9654,-17,-10 -9655,-12,-10 -9656,-9,-10 -9657,-8,-9 -9658,-9,-8 -9659,-13,-7 -9660,-12,-7 -9661,-16,-6 -9662,-19,-6 -9663,-20,-6 -9664,-15,-6 -9665,-12,-7 -9666,-13,-8 -9667,-11,-9 -9668,-12,-10 -9669,-14,-10 -9670,-17,-11 -9671,-19,-12 -9672,-18,-13 -9673,-19,-13 -9674,-16,-13 -9675,-14,-13 -9676,-14,-12 -9677,-12,-12 -9678,-12,-11 -9679,-15,-10 -9680,-17,-10 -9681,-19,-9 -9682,-20,-9 -9683,-18,-10 -9684,-14,-10 -9685,-12,-10 -9686,-13,-11 -9687,-11,-11 -9688,-13,-11 -9689,-14,-11 -9690,-16,-10 -9691,-18,-10 -9692,-20,-9 -9693,-16,-9 -9694,-16,-9 -9695,-16,-9 -9696,-14,-10 -9697,-13,-11 -9698,-12,-12 -9699,-16,-12 -9700,-17,-13 -9701,-19,-13 -9702,-20,-13 -9703,-17,-12 -9704,-15,-12 -9705,-13,-11 -9706,-11,-10 -9707,-13,-10 -9708,-13,-9 -9709,-16,-9 -9710,-19,-9 -9711,-19,-10 -9712,-20,-10 -9713,-17,-10 -9714,-14,-10 -9715,-13,-10 -9716,-14,-10 -9717,-12,-9 -9718,-11,-9 -9719,-14,-8 -9720,-14,-8 -9721,-14,-8 -9722,-14,-8 -9723,-11,-8 -9724,-7,-8 -9725,-7,-7 -9726,-7,-6 -9727,-7,-5 -9728,-7,-5 -9729,-9,-4 -9730,-10,-3 -9731,-11,-2 -9732,-13,-2 -9733,-12,-2 -9734,-9,-3 -9735,-10,-3 -9736,-6,-4 -9737,-3,-4 -9738,-4,-4 -9739,-6,-4 -9740,-4,-3 -9741,-4,-2 -9742,-5,-2 -9743,-3,-1 -9744,-2,0 -9745,-1,0 -9746,0,0 -9747,1,0 -9748,1,0 -9749,-3,0 -9750,-6,-1 -9751,-6,-1 -9752,-9,0 -9753,-7,0 -9754,-7,0 -9755,-7,0 -9756,-5,1 -9757,-6,0 -9758,-6,0 -9759,-11,-1 -9760,-14,-1 -9761,-14,-2 -9762,-15,-4 -9763,-16,-5 -9764,-14,-6 -9765,-12,-7 -9766,-14,-7 -9767,-13,-8 -9768,-14,-8 -9769,-15,-9 -9770,-17,-9 -9771,-18,-9 -9772,-18,-9 -9773,-15,-9 -9774,-14,-9 -9775,-13,-9 -9776,-16,-10 -9777,-15,-10 -9778,-18,-11 -9779,-19,-11 -9780,-20,-11 -9781,-23,-11 -9782,-24,-10 -9783,-21,-10 -9784,-19,-9 -9785,-17,-9 -9786,-17,-9 -9787,-13,-9 -9788,-17,-10 -9789,-18,-10 -9790,-23,-11 -9791,-22,-11 -9792,-25,-11 -9793,-23,-11 -9794,-17,-11 -9795,-20,-11 -9796,-19,-10 -9797,-17,-11 -9798,-20,-11 -9799,-19,-12 -9800,-22,-12 -9801,-23,-13 -9802,-22,-13 -9803,-19,-13 -9804,-19,-13 -9805,-21,-13 -9806,-21,-13 -9807,-23,-13 -9808,-24,-14 -9809,-29,-16 -9810,-29,-17 -9811,-28,-17 -9812,-23,-15 -9813,-12,-11 -9814,-1,-5 -9815,8,5 -9816,19,18 -9817,32,33 -9818,44,50 -9819,59,67 -9820,77,84 -9821,98,99 -9822,117,111 -9823,124,119 -9824,123,122 -9825,115,120 -9826,107,112 -9827,89,99 -9828,70,81 -9829,51,61 -9830,31,39 -9831,3,17 -9832,-20,-2 -9833,-35,-17 -9834,-37,-28 -9835,-37,-34 -9836,-32,-35 -9837,-29,-32 -9838,-27,-27 -9839,-24,-21 -9840,-19,-15 -9841,-18,-11 -9842,-16,-8 -9843,-13,-7 -9844,-11,-8 -9845,-11,-9 -9846,-10,-10 -9847,-14,-11 -9848,-15,-12 -9849,-15,-12 -9850,-18,-13 -9851,-20,-13 -9852,-17,-13 -9853,-15,-13 -9854,-14,-13 -9855,-11,-12 -9856,-10,-12 -9857,-9,-10 -9858,-11,-9 -9859,-10,-7 -9860,-12,-6 -9861,-16,-5 -9862,-15,-4 -9863,-12,-4 -9864,-10,-5 -9865,-11,-5 -9866,-8,-6 -9867,-9,-6 -9868,-8,-6 -9869,-11,-6 -9870,-12,-6 -9871,-11,-5 -9872,-10,-5 -9873,-9,-5 -9874,-6,-5 -9875,-4,-5 -9876,-3,-5 -9877,-5,-5 -9878,-8,-5 -9879,-10,-5 -9880,-8,-5 -9881,-10,-4 -9882,-9,-4 -9883,-6,-4 -9884,-6,-3 -9885,-3,-3 -9886,-3,-3 -9887,-3,-3 -9888,-3,-3 -9889,-4,-2 -9890,-8,-2 -9891,-8,-1 -9892,-7,0 -9893,-4,0 -9894,-4,1 -9895,-3,1 -9896,1,1 -9897,0,1 -9898,-4,2 -9899,-5,2 -9900,-3,2 -9901,-3,3 -9902,1,4 -9903,3,5 -9904,7,6 -9905,8,7 -9906,10,9 -9907,6,10 -9908,5,11 -9909,9,12 -9910,8,13 -9911,7,13 -9912,9,14 -9913,13,15 -9914,16,16 -9915,20,18 -9916,20,19 -9917,17,20 -9918,16,22 -9919,18,23 -9920,19,24 -9921,20,25 -9922,23,26 -9923,25,27 -9924,27,28 -9925,27,29 -9926,31,30 -9927,29,31 -9928,29,32 -9929,28,33 -9930,27,33 -9931,27,34 -9932,30,35 -9933,33,35 -9934,36,36 -9935,35,36 -9936,37,37 -9937,36,37 -9938,34,38 -9939,33,38 -9940,32,39 -9941,31,40 -9942,31,40 -9943,32,41 -9944,33,41 -9945,34,41 -9946,31,40 -9947,26,38 -9948,23,37 -9949,21,34 -9950,17,32 -9951,16,30 -9952,16,27 -9953,16,25 -9954,15,23 -9955,13,21 -9956,10,20 -9957,7,18 -9958,2,16 -9959,0,14 -9960,-3,12 -9961,-5,11 -9962,-2,9 -9963,0,8 -9964,-1,7 -9965,-2,7 -9966,-2,6 -9967,-7,5 -9968,-10,4 -9969,-12,3 -9970,-14,2 -9971,-14,1 -9972,-12,0 -9973,-11,-1 -9974,-10,-2 -9975,-9,-2 -9976,-9,-2 -9977,-15,-2 -9978,-19,-2 -9979,-17,-2 -9980,-19,-3 -9981,-17,-3 -9982,-15,-4 -9983,-13,-5 -9984,-12,-5 -9985,-11,-6 -9986,-11,-6 -9987,-16,-6 -9988,-19,-6 -9989,-21,-6 -9990,-21,-6 -9991,-19,-6 -9992,-18,-6 -9993,-14,-6 -9994,-14,-6 -9995,-11,-7 -9996,-12,-7 -9997,-13,-7 -9998,-16,-7 -9999,-16,-7 +50,-1,-12 \ No newline at end of file diff --git a/src-ref/octaveScript.m b/src-ref/octaveScript.m index e3f6181..05c72f5 100644 --- a/src-ref/octaveScript.m +++ b/src-ref/octaveScript.m @@ -5,13 +5,13 @@ Fs = 500; % Frequence d'echantillonnage Fn = Fs/2; % Frequence de Nyquist -%figure(1) +figure(1) T = csvread('./ADCSamplesOctave.csv'); -%subplot(2,3,1);plot(T(:,2));title('Raw ECG signal');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (output of an 11-bit ADC)'); +% subplot(2,3,1);plot(T(:,2));title('Raw ECG signal');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (output of an 11-bit ADC)'); % Pour Octave (à supprimer sous Matlab) pkg load signal; - +fid = fopen("output.txt", "w"); % Pour les trois filtres suivants, on peut jouer sur les ordres % donc le nombre de coefficients des filtres numériques @@ -20,25 +20,36 @@ fBaseLine = fir1(128, 5/Fn, 'high'); % Génération du filtre fBaseLine = round(fBaseLine * 2^10); % Normalisation et mise à l'échelle y_minus_BL = filter(fBaseLine, [1], T(:,2)); % Application du filtre +for i = 1:length(y_minus_BL) + fprintf(fid, "%f\n", y_minus_BL(i)); +end + % subplot(2,3,2);plot(y_minus_BL);title('Baseline wander reduced');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); % subplot(2,3,3);plot(y_minus_BL(1:1000));title('Baseline wander reduced -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); +fprintf(fid, "----------------\n"); % Élimination du bruit à 50Hz par un coupe-bande basique (Notch FIR) f50Hz = fir1(100, [45 55]/Fn, 'stop'); % Génération du filtre f50Hz = round(f50Hz * 2^10); % Normalisation et mise à l'échelle y_minus_50Hz_simple = filter(f50Hz, [1], y_minus_BL); % Application du filtre -% subplot(2,3,4);plot(y_minus_50Hz_simple(1:1000));title('FIR1 band-cut-- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); +for i = 1:length(y_minus_50Hz_simple) + fprintf(fid, "%f\n", y_minus_50Hz_simple(i)); +end +% subplot(2,3,4);plot(y_minus_50Hz_simple(1:1000));title('FIR1 band-cut-- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); +fprintf(fid, "----------------\n"); % Élimination du bruit à 50Hz par un coupe-bande plus élaboré (Pei-Tseng) IIR [b, a] = pei_tseng_notch(50 / Fn, 10/Fn); b = round(b * 2^10); a = round(a * 2^10); y_minus_50Hz_pei_tseng = filter(b, a, y_minus_BL); - +for i = 1:length(y_minus_50Hz_pei_tseng) + fprintf(fid, "%f\n", y_minus_50Hz_pei_tseng(i)); +end % subplot(2,3,5);plot(y_minus_50Hz_pei_tseng(1:1000));title('Pei Tseng band-cut -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); - +fprintf(fid, "----------------\n"); % Lissage du bruit haute fréquence par filtre de Parks-McClellan (Low-pass FIR) Fpass = 50; Fstop = 60; @@ -48,10 +59,11 @@ fLP = remez(10, F, A); % Génération du filtre fLP = round(fLP * 2^10); % Normalisation et mise à l'échelle yLP = filter(fLP, [1], y_minus_50Hz_pei_tseng); % Application du filtre + % subplot(2,3,6);plot(yLP(1:1000));title('Low-pass filter to suppress high-freq noise -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); -% figure(2) +figure(2) % subplot(2,1,1);plot(T(:,2));title('Raw ECG signal');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); -% subplot(2,1,2);plot(yLP);title('After 3 filters');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); +subplot(2,1,2);plot(yLP);title('After 3 filters');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)'); % print(2, "ECG_raw_3filters.pdf", "-dpdflatexstandalone"); % figure(3) @@ -62,34 +74,37 @@ yLP = filter(fLP, [1], y_minus_50Hz_pei_tseng); % Application du filtre % EXPORT DES COEFFICIENTS POUR VHDL % Conversion en entiers signés et exportation -printf("\n--- Coefficients du filtre Baseline ---\n"); -fid = fopen("coeficientes_vhdl.txt", "w"); +%printf("\n--- Coefficients du filtre Baseline ---\n"); + -fprintf(fid, "\n--- Coefficients du filtre Baseline ---\n"); -for i = 1:length(fBaseLine) - fprintf(fid, "to_signed(%d, 11),\n", fBaseLine(i)); +for i = 1:length(yLP) + fprintf(fid, "%f\n", yLP(i)); end +%fprintf(fid, "\n--- Coefficients du filtre Baseline ---\n"); +%for i = 1:length(fBaseLine) +% fprintf(fid, "to_signed(%d, 11),\n", fBaseLine(i)); +%end %fprintf(fid, "\n--- Coefficients du filtre Notch 50Hz ---\n"); %for i = 1:length(f50Hz) % fprintf(fid, "to_signed(%d, 11),\n", f50Hz(i)); %end -fprintf(fid, "\n--- Coefficients du filtre Pei-Tseng A ---\n"); -for i = 1:length(a) - fprintf(fid, "to_signed(%d, 11),\n", a(i)); -end +%fprintf(fid, "\n--- Coefficients du filtre Pei-Tseng A ---\n"); +%for i = 1:length(a) +% fprintf(fid, "to_signed(%d, 11),\n", a(i)); +%end -fprintf(fid, "\n--- Coefficients du filtre Pei-Tseng B ---\n"); -for i = 1:length(b) - fprintf(fid, "to_signed(%d, 11),\n", b(i)); -end +%fprintf(fid, "\n--- Coefficients du filtre Pei-Tseng B ---\n"); +%for i = 1:length(b) +% fprintf(fid, "to_signed(%d, 11),\n", b(i)); +%end -fprintf(fid, "\n--- Coefficients du filtre Parks-McClellan ---\n"); -for i = 1:length(fLP) - fprintf(fid, "to_signed(%d, 11),\n", fLP(i)); -end +%fprintf(fid, "\n--- Coefficients du filtre Parks-McClellan ---\n"); +%for i = 1:length(fLP) +% fprintf(fid, "to_signed(%d, 11),\n", fLP(i)); +%end fclose(fid); -printf("\nThe coefficients were saved in 'coeficientes_vhdl.txt'.\n"); +printf("\nThe coefficients were saved in 'ouutput.txt'.\n"); diff --git a/src-ref/output.txt b/src-ref/output.txt new file mode 100644 index 0000000..676bef7 --- /dev/null +++ b/src-ref/output.txt @@ -0,0 +1,155 @@ +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +-1023.000000 +-1023.000000 +-1059.000000 +-1159.000000 +-1321.000000 +-1540.000000 +-2574.000000 +-2702.000000 +-2993.000000 +-4302.000000 +-4455.000000 +-4699.000000 +-5821.000000 +-6097.000000 +-7614.000000 +-7894.000000 +-9218.000000 +-9767.000000 +-11165.000000 +-11579.000000 +-13030.000000 +-13626.000000 +-15066.000000 +-16670.000000 +-17076.000000 +-18706.000000 +-19163.000000 +-20848.000000 +-22376.000000 +-22815.000000 +-24486.000000 +-25107.000000 +-26763.000000 +---------------- +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +-960.061523 +-864.547468 +-872.452714 +-1007.332459 +-1239.158748 +-1526.348123 +-2549.174401 +-2606.297610 +-2846.246141 +-4067.323776 +-4140.389023 +-4406.900503 +-5573.617605 +-5896.029304 +-7421.085495 +-7672.679270 +-8959.869041 +-9461.613461 +-10816.884559 +-11216.276690 +-12680.642116 +-13298.928376 +-14763.135468 +-16322.915784 +-16705.625600 +-18338.983129 +-18818.738886 +-20533.539416 +-22021.385226 +-22451.647252 +-24137.255157 +-24777.309502 +-26451.984462 +---------------- +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +0.000000 +114247.321289 +-14246.357145 +-144702.085156 +-298755.992162 +-487532.594174 +-711115.377321 +-880672.932992 +-1279887.865637 +-1676778.876275 +-2019815.453374 +-2459984.642114 +-3063931.113446 +-3605668.175116 +-4359910.585321 +-4975847.759287 +-5901455.796777 +-6565906.580186 +-7622323.465723 +-8629709.882135 +-9688511.022544 +-10815685.573006 +-12166970.780497 +-13255531.154205 +-14578966.245634 +-15929506.296283 +-17334591.013759 +-18827595.832633 +-20285559.871650 +-21715089.200852 +-23508573.761967 +-24912240.319270 +-26671912.997716 +-28123611.818423 diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 19a3236..11451e8 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -72,10 +72,13 @@ BEGIN O_initSum <= '1'; ELSE SR_futurState <= BASELINE; + O_initAddress <= '0'; + O_initSum <= '0'; END IF; WHEN PROCESS_B => O_sel <= "01"; + O_initAddress <= '0'; IF I_processingDoneB = '1' THEN SR_futurState <= PROCESS_A; O_initAddress <= '1'; @@ -85,20 +88,24 @@ BEGIN WHEN PROCESS_A => O_sel <= "10"; + O_initAddress <= '0'; IF I_processingDoneA = '1' THEN SR_futurState <= CLELLAN; O_initAddress <= '1'; O_initSum <= '1'; ELSE SR_futurState <= PROCESS_A; + O_initSum <= '0'; END IF; WHEN CLELLAN => O_sel <= "11"; + O_initAddress <= '0'; IF I_processingDoneClellan = '1' THEN SR_futurState <= OUTPUT; ELSE SR_futurState <= CLELLAN; + O_initSum <= '0'; END IF; WHEN OUTPUT => diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 4314419..2e86d5d 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -346,7 +346,7 @@ BEGIN SR_2(0) <= signed(SC_addResult(21 DOWNTO 10) + 1); ELSE SR_2(1 TO 2) <= SR_2(0 TO 1); - SR_2(0) <= signed(SC_addResult(21 DOWNTO 10) + 1); + SR_2(0) <= signed(SC_addResult(21 DOWNTO 10)); END IF; ELSIF I_loadOutA = '1' THEN @@ -370,6 +370,11 @@ BEGIN END IF; END PROCESS store_result; + + + + + O_total <= STD_LOGIC_VECTOR(SR_Y); END ARCHITECTURE arch_operativeUnit; \ No newline at end of file -- GitLab