From d4645636d0660b9955919b997b531c381c20009d Mon Sep 17 00:00:00 2001 From: Antonio PEREIRA <a24perei@fl-tp-br-633.imta.fr> Date: Wed, 26 Mar 2025 11:58:50 +0100 Subject: [PATCH] update filtres --- docs/compte-rendu.md | 2 +- proj/project_1/project_1.cache/wt/project.wpc | 2 +- .../project_1.sim/sim_1/behav/xsim/compile.sh | 2 +- .../sim_1/behav/xsim/elaborate.sh | 2 +- .../sim_1/behav/xsim/simulate.sh | 2 +- .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 39496 -> 41098 bytes .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 31656 -> 32056 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 13 +- .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 5776 -> 5840 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 14488 -> 14936 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 4329 -> 4335 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 1537 -> 1572 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 2 +- .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 878 -> 878 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 7344 -> 7344 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 91568 -> 92408 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 36160 -> 40296 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 6 +- .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 14406 -> 14911 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 15002 -> 15621 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 47885 -> 48597 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 7508 -> 7508 bytes .../xil_defaultlib/xil_defaultlib.rlx | 6 +- proj/project_1/project_1.xpr | 45 ++- src-ref/coeficientes_vhdl.txt | 294 +++++++++--------- src-ref/octaveScript.m | 6 +- src-ref/output.txt | 259 +-------------- src-ref/values.txt | 294 ++++++++++++++++++ src/hdl/controlUnit.vhd | 6 + src/hdl/firUnit.vhd | 5 + src/hdl/operativeUnit.vhd | 20 +- 31 files changed, 506 insertions(+), 460 deletions(-) create mode 100644 src-ref/values.txt diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index 30104db..04d4105 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -1,6 +1,6 @@ --- title: "Compte rendu Filtrage ECG" -author: John Doe +author: Antonio Pereira et Grazia Obuzor geometry: margin=1cm output: pdf_document mainfont: sans-serif diff --git a/proj/project_1/project_1.cache/wt/project.wpc b/proj/project_1/project_1.cache/wt/project.wpc index 2599f42..d5e2b40 100644 --- a/proj/project_1/project_1.cache/wt/project.wpc +++ b/proj/project_1/project_1.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:7 +6d6f64655f636f756e7465727c4755494d6f6465:8 eof: diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh index efd020f..499c382 100755 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Wed Mar 19 12:17:57 CET 2025 +# Generated by Vivado on Wed Mar 26 11:56:40 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh index 4719bae..fe23dc1 100755 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Wed Mar 19 12:17:59 CET 2025 +# Generated by Vivado on Wed Mar 26 11:56:42 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh b/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh index ff133aa..8254733 100755 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Wed Mar 19 12:10:35 CET 2025 +# Generated by Vivado on Wed Mar 26 09:31:13 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index a8af8b06ea2374011d39abb4c0d2d4e29738ca4f..26161f3734521c5185699b75fad51b581a5e15fa 100644 GIT binary patch delta 11844 zcmX@Hg{kWx(*z5p+`Es{8IZsXMhM4@6+-W`pUlW;H*to6)jNBL$T}AYo#zRm_52|8 zx^M`c7XzW+1wm-N#L0?wVUq(GSwsavA{-!sfx(~wLZ6tJs4%&cQJpbh@<v8YR+m>f zcOP%Q&-jaRvNDUr=8w#=jEpBH`?D&?=G=Xp{^kGw|2r5M7$jI37z8vK7%Dg!7zD%_ z7=B1%;u+Em3@hXr7(7%Vc!eqh1BV&|1A`_6%QG-AfUrLU1B3tM^Q_{M^B5Tz_*fVi zW<veW!@$4*!h1LWXO(9Z<YHi8;ACK6kb=_bll9rfMTHp{81$ebH4F?4AnzTST+c4e zs4}^nU71mD@@#fx#^%ZU*_An4p$5L1%+Ddu%FDpO@CB^0bFwyvGGq5-e-34?UIqpR z0R{#JA;!rK>{49)3=9mQV3UDxCxT=c7#P$TCokZT;<R93U;sHlfAW4#8IapV7#J8V zz;awp3=9mS3=9m85bk0I1_m((1_o~kcLM_hgE#{NLm-5^9~7tz3=DA)?hghA21y16 zhHMDejuGPPQZRS&KTZy&dN7mAk&%HxhJk^h9l{M@WMGhGU|^U6<|@=OGBC(NqM6}8 z6o8xy5=yxAHFt6nmjegL$rCPq&7Hi8OMyiJBzlfZf%Cz|uenSN3=Afd&vQ$|dFCJ< z3rKFV6}L<%Nb7>DUvoh*1F{xGvoSC*fc&}<8YhYj3=AOSxfvjC0nwoNg*xXyC>B8O z0oe@FuL80HY$1rJhr(+{;kBah+Ce;s)9QF2?gtsr4HAYM*NejIN8wF`^FU6tK;hY< z@SIS1i&1zRP<Z=Mct1cqE>P%!Y_MaTe1TgE;$l5M1-Q5)SRBp`0CPoPx<FA13OZ1b zO>W}TWtNdMoV<xo6HMRZ(`5deZ#bEYAHp}|*A#e_Z|DwE%)r0^ickH?`}t(Riu<67 zc0uW9{1DAT0uar10uX+dfF_HKoZ+^~?*%kKjFXe41vOY@<P7gHO!g2|7m$%N{06lE zlmj9`7J$_8Po6Ib)*v(aG=!l&`MaP7NWB%bkOQfYg{gO)>@NgXA27LE2&^myNm&9& z8Axvil3*fCuo_7)2_$GCBWKu)B$y2KJ~IOY14x*Kfq{XKfq?;(5*d0b4db9b;F>Hi zrT`9}e?pL8&=LlFf6C-=VGSM`Im2Zj?F<YIT$9g>DS-UBWAb`ou)9xBz71hKp3E)+ zR{I{Vwq9JC6BPUmGIEB0C&!C`1CX0(a*v2Q$PCfR+aWsS!HPhp>q~%5*P6^P3O3zz zvb88UR2*SSKqbgzdl4BM89BosBtZ!@zk>V-@+(-0Vx?gOn8CmR${vy+13)gwoBSGL zd>xXk!sOGUki;S;rU?rCG-xn^(l6&^c>#!Io|q<!Y?oor<k@0ir_PvsTny~gWl*y~ z%6UP`K{jofEHAFXvbfQ3*JN*Tu=*pD%f-R!&mpN7gsHzd`8-6~lgYm!j1QC5C6q<M zVJjnN$Owv41_lO@C899z2v4q;0Gq8kd9?)CYzri15+G$cGIE9iNP?j97F64Sgg|D4 zDiV0G33M1nfFz*yC@?TEs4y@vutS3yl#oGcK|#$R*kPE7q!v^Fs!X<*l!$rSW0;R5 z0WtuDK^B2L4k`)ZhCJ;tY(P@03bhcXmLEC&Mzk8XPQEWGJ^7p@uRv6n;dCU8nv-8k z%ETP1GMs}XsKda(&;m-sQ0IfHDY(ststh+HsntbO3#zo>YW1rOcTDb=lAc^A#VfG0 z-tYvHMuW+-rDQVns|;@-2^vD{IZ!}>0$Cc_A3N&}pCPF=f;JFfYC-iJvdy27)EZBg zmzDv!;1`mh$z*S783X+)!~aNvrVI=Wpxh6tr$DJ0RG7j|m60=Kn7mrrd-6T$8I!Nc zBy7GWQ_RF=#*mYlml_{$Vm3Ke-r2~|#26}IXkrRwnZneV8A3(OU?PTQ#*??oYjRsa zC5#O%C%=@JWwJ2b%&m~cI5|`C>||}F^_$Nt=`v0}s9~|$OhtyR-im>N!H$7}p`L+( z!5CBzGcYiiGcYiK#6gWHbp{3o4M;<Z0Tig9zyhf=12sSx7#J)W7#OS>7#Ki}Mh8$U zgn@wp)FN?ZU|?`#U|;~%2_6g#44_7&Hv<EM4+8^(FQ`4jz`zg$vNo83fgyx}fgzNE zfdSOAj9_43h-6@3hy}G$7#J857#J8585kIn7#J8prE4k!0|Tgd$O1K67#J9G7#J9G z85kJy85kG}7#J8z7#JAJ85kHU7#J9;7#JAp7#J9u85kHqZL)R-28K=s28M111_n_3 zs~_ZTP*V%kzOse79Mq`T#K6F?nSp^}D+2?=HU<WU?F<YIp!Voa1_p+M3=9m17#J9i zFfcG2Wnf@9#=yXEoPmMi1Oo%ZNd^XnQw$6YXBZe5E;2AMTw-8gxXi%7aD{<^;VJ_I z!!-s5h8qkF40jnA816AJFx&?P`vV3BhKCFc438KX7@jaNFuY`7V0gvA!0?)Zf#D4U z1H)Se28MSG3=AI_7#RLAFfjaOU|{$MN>Ypr42+Bn3`~p+49tuS3@nTc3~Y=H4D5^y z3>=IM44jM%3_Oes47{M`E+Yej03!o~AS0;7$-p4Y$iN`N$iPr9#>l`R&d9(Z!N|ZM z32NklT6Uo393umR0wV*1A|nHX5+ehHG9v?n3L~gN%D|w`$iSe%$iSe<$iSe($iSe> z$iSe-$iQI0$iQI8$iQI4$iQIC$iQI2$iQI6$iQIE2x_b{Fjz7&Fo2qv_KXY+&WsEU zE{qKI3~r1J4DO5!3?7UO44#Y(3|@>33_*+x48e>H3?YmR455q+3}K863=xbB43Ufs z3{i{>4AG1X44_Ioj*)>Oo{@neiIIUJnUR4Zg^__Fm63rVosoe7RDWkPGBD&YGBD&a zGBD&ZGB6Y{GB6Y~GBA`dGBA`fGBA`gvM?~zGEPhsn;fRAGqHeYGMll$<axR>6LWYb zAJf$VQU4&6nI4#z2cechD3I!RdSKOJ`e1oK2-OCm_Ccs`5K7NLXJQWT<b4M0lk*Hz zKxWSaiSSIm2BpjeCd(OuO>;8@n^p&*wn3<O5K7GmEEfl%ra`DSGl9w5^aLiqGvWam z9HuKU`JRygqtwJi@yTq)3X|iE!Qytt3XC!!u`*+Y$>$(q>x>l`<t8RdfF1VESYooB z3D4wvtQ->ySSHJvC@?C3)Q6cUOkQUKHshMPz~p%*0*p!^@na?mljTgo;{Qw(7*#-G zW~K_0`ygU@rV5N|AhBhp3X}gq#O|3YFlvCr#LN^X=b3@^wwZzb<Y%TZ`5r`MpP2%q z&csA<t`nec7y|>t1*ypb#$uEG%)uJInJF;pfeZmfft|U)<b4qFG;;+;1CaPN1A)ow z%mpUvS%B4FGgn|V0*SjB3QU%>5STm<A`S|-V;0~rud`5?%x4K!z0E>_(F~;eorS{W zI*6E>r2?Y`NG#4$Ve&hOn45(HqZLSOnx(?zI4iK;bCwE>Hn8C2vyzy64kB)6rNC$h zQd?%FFxk!;q?T&~s9FLQ3DT1jtd%C_@Nn$_RXz+13=d=`nTt(c2T}F|)aPMfU{H{o zd;z44ce0%cJClYyNQs;c*dzx~O950!sZ4gT0h=@fRF#AJm|7rZeGp|8`k-=yfq}tb z@&<^q2L=$43`3Bze-LF4K&3pW#4(zzU<)?w0;tRfxyu-&EYB8f=>Zdn-Ww*9CqR_# z0M&XR51E3L-GeCG0jeuNf@YJ<#Tb1+k-7s^#em98bC8fPNN5G93}#?p*kAz?@&kp` zH(Ld+G*GR~z`zh;wfSS{Ar_|0%FT*)`w(;n4nCSVOGYIF!{*GyR`&Y2x41h*LuHPC zyt#9=b;p!`({$rx!HpX~OnvF6vXSG`iXR$UY@J>%a~zyhN*5hjbji_$qtmTsky5jU zRuGSnYUJw>7Z#;vF)bxOe<v3<my)z|fA8;Jz4ph~4R@@+*Pnm>=lP#^Mmojem#=*I z_ih#6xvTNh{l%k9*8a%sbJ@RGpniMStsBpNe=oms%-7CAGIqVFv%dS!ki7w|X|aBf zJbY*V`ok5vs^F=AsD7m35gtvxQ?lZ}LzLI=zffA;x9Y^St5x6YL>6D&Ui1FutGnlZ z?&+7fq#kwVcZXuF+WJSeM<&=69sqH6ZU1bS<T3w=!F%PlFOe0Kz6(14T9RHNZ&<&? z|NEKt)ow*=vpnMhS$EDd<uY>izyIFa`TVz4OfP5uKhaw_ReJN{JszUWF~Mu{^4_H0 zv6#KHaQ}m2zk8+kn~6LZvpMPeL&<#NdEY(VvzN5b{vw~Z>06@3>pzxxJ*szRNblU( zSCqW`j>YyJg~xf1M^=B{@$vpcwHwELf9RTDl&`;Y#Q9cXo~O9^%hz{O<`+zse{#&? zY;R?=boLpJIa_UKOQ*A%zk7bCBEI->{4+I)KOE<D=bZB0(lPsobKazm*<Zx-Hod;{ zWU>3BHNBB{W}M!+aa%#M{PSZwPxNNburSXTGXJ;w4omh<&hLf7e~<XqOr5=CF?0Id zvf|0QkJav<>8<~$YX0o~ortylkM6u#zN0Yi(Xra;()s4*|1|TO>UVOw_Z*6JH{Q`X zy<l?A6E)jYzSD{(-+y$h;*sH;t(NnpvqjCnmF3Nud}l{}(PLiO-r~=>c}?a!IIkBA z%RW-G`}@G{-JGpz=2w)8^4A6`lrK-2xaWt@De3oBy0V`uzW$t0UH|sx8+P-v=Qee{ z*)VN=!0A(b(yT>!n>xO%d?{bEW?F7o&xZY7b51jSp1tq+B@L6Xl}9&Qt$y-u-3cw` z$xpMo-mC}|Sp3?^)n~TIsoQx^zbkJo@xJeRYl(u(<R$@I>wD8P)T`7)yfbG_5PQBx z>%>e@?3PEXg}J1?n75Sc)9+>V`_2XS|E<0KNdLwCFVCB=eowFZUBGUBvHZ@F`ohUP zvqM;a*6;j!&-wl1FOhkh%y*tNFG!YocFg8*Z|P*|>NhEKwi-{DE>|_b7nb)&_zp|> z4o>-5O~u{I3WfI{^Zg`f{*p0oQ~b`8#d$sFmKR=o#(gIuzc{)6Snp?1^LMIwdmQV7 z3-kVo<S|X3T_9_Iu`ch?{GFcb3Mcnfv8B&F`$Vn2Tl#Tu-kBNFuWih~9mupWPiHcJ zclu7n`@+ZXAFBOmoc+N*Z_&idh1WiQzN6y3)6=|gvg~6u`_sJ(%OBo3^YKmu_s)&i z3m(6Da_sLs>7UzeKb7y{+V!tOy8l~wVFhFAt?JF}xmoP>XJ^^#vp7n$U)Np!Mbu^C z6z!>tCU$fLE&Qmc!nM|AsX*%?_6wOJRlQyzMe`3XH+cWf#`t~CoF~szzTa-T|EK!- z&7JL2*DQOv{^i#|alWM6`)t>ko?V-ree~@{qr2TEcmM7BbhDOi*GZ;LZ(FxM%l-ZT z`iZG?CZ?BczjMKTiT1Od^~W@x&$+ej%udrH<-E-3G;@3YC+EyA&RzQ@UHsUkf|<eP zGXkDmIbq$Rv~v6Dm7>35{S43O7v&U`-Jf_aYiVa?V=~|T$zP4Xxu<oVoog@6S~7j{ zlet$H%}Cu6X|UWWY{H_$Z@z8fxNEWGPw>NY=jT8D@MmRe`Qf_5Z=OHN+5G6~wE8xs zmA8W|HvZeC|6<o{Z{gq#RX>a6)3$A{o8Ha)*}?B_T3(}cV_x>w%y&+Ub>3Wi@?snJ z+;!K^yt#HxzMQ|>ZQj*yJ72|I__*epqOs76im>cUAJ<%4@;K5~|L(Jmo3>xbtm2-# z3{1tY-R}SV)IF!=FLg_1L8z_w{Oa|l>}~bC9R0!sLS^l(-5dB#%*r=^;pH!2YW3H$ z_klOz3QYF4uDf>odwMEd!R0SvyQ1>_@1Ywsw@$MfW;w_Wq1Dw}FLti}c`s4wrOV#l zl12C2tOD!vm1Ea#U#@M<od4N+d*%JaKmTiBZUI{r{m=gO_xsyVmq|d}wC(@z{K)uv zhTwH4|5Y%aofW$xBvj=1^LM#M{IwbL7tf4!dC@c@Doow9(@RR(J3ni3*ptc5Uld#m zS{H5LXS?sz(zt|QOUiRXN74&LU3sbcNvAYY<hs_m7+nyzox&`%_1eAP_2+IHXP=ej z$UfKm*>L~X>%Z1-y?(R!T*Sf$+dJ=X+dX;X#t)3L%X}9W)Rjrj^}T%c^F{Ul|6PmA z78ZQ{U^MG_<>!qDrDf#ihH6ZC;k3E!F6ZmjX~oe+)0YQiu;m-fUlthi<lwdcyuPNb z%TBo0vpRQv(y8aO4zWAm^7&(R%~iLRJiW4umuLQ*ym<M_NNHQ&?Q#;fzH1LJUY_}Q z<HgHY>@2HhO`2OgM<em5`PF>Ww4B<@F{yJ5&xVVpt;zkr$mnux?Z!8kC8BSwHk!O8 z)$L)<&-|$~U$uE3sW>JTT^*Ua>|f_0jfCQJOE>u!-KwjPNIq7^zw*qKb6q``H=q1< zdP&6@pRdZjlDD&B<a!qieRiu(z7>D$jNtj4PY*>e?&t~JllmtoP~*#&NuOh?qf$i9 z&Nx;$_2#ubm6QCX(tXpHpUU~j+<NiErE;y!Cnx<ZvN^SXQ*`RKU$f3w9K5w&Yjdw@ zc-O}aHJ|MDr*f9BHQ=g`*pt@yX7U_0b`!VH=HIna=WJcO+3@q<M{*T8)fW#{#5COT zpZnGDbpPvjU6SABwk6%&ocYN{tH1w3%fB*nZgZu-;Z{afRSP+*?(X$sO*<&O{PC^b zyEZdke8Tjft*P)#%p{i6+N#fNZl5Y^-08XW-YsQgIm^<3G?}esP6>5uH`RYN7Fz81 z<$8pL+}9Ml{m*vIu>7ic{8}>8=a)B6-Pn3g{hp?0{I14_Ulm#39dUgg`-*uz|HjxI zr_QVvwo{4Q)n;;DWZ62N7{^4nN5(gJS8n(@IlLq7q^8MS_i3(^Cm8;6vR`R5Stm}f ze{oZ|T=>kHR;vuF5_T4}KAJ2SAvZ<xe0~0F%c(QZg-aQ4v#6UZ(f@wQrMX;-8&1|u zoq391%D61+=+`2fS$BUKD;2-J{U;`MS@CVJlj^;TTN7UL3UZq>8wcN%*=+DWFQ)iy z@5LQG7pEVs*4pe^JlUjgk)-j)z|?Jjx{hT8{)$Z9woPl|<G>@o_@>@`me_ld`9Zwa z=DA1B>$^W%9DF3KwOLp``^>CQuB%1nUkj5Dl3-o4cd^lJnRwmbOFG}b37@HJzx!D4 zVXtGK%@Ud|!#3r-+^|wx$8z7-Ih&^>PCeaU9PhgBrLny6x}tlIPv1t=zA=m0*mOgN zYvnSYiu4CuT#J=hI#cG|a!gEV=Po;=-L>(mep2g(j=sP3&jKV^HkH2O;;Qw#VJ%!f zv5m*x>5!7$CY!K~W&b)vkEQK+W$ezrfjg&w_3OTzc}<SC$G*rVw*PW+sPTDvMeNR_ zU*)sDE&k*%E9=#N+sjQ0U9MEeFq%syBz3Y_Pg$|OWu<djuJ7Nn_(h8Og)_KCOM{kX zJpZ*hWO6{DLC6);u6pk|^Yolvt;o3JQgSu9z3bb}R{o~S#}{`ja-QYAohQa|?`oCb zi#wT{tB$wGzG(}&UvqNx`Sy~(?uOC6oj?EFy3egMWrK0`+TFa$^XIVS-d$?+_?}Ll z;0)>1+?Sf27ZrZZu2?*OXClkw^GVOHw9nN3|1Q!@)Xw}~vtoNs3QzFF`t)@ybx}d` z&C8lftt`Jh`FQB=x*X?wvkcB(Nx#d$)+*U~K7qZ}@a^tbGM~6MPl$Y#z%f(M&O0{p zhGI&N_&TG{@2(dHqNhTGn_CrMeVgMH{5LRpi%jn4|8K6`PyDj!a)88E@8AAYXTFpD zek$t4H?6n9GwVJ5PWJ5H9CJtGmrB~IXj8ZS=k~2M3btQoP;_g~cF#Wd^5W{{$6j2& z`8_nvYqOf_{5kV{)k4!&&CluyJ6`_2yC$f!^6{n_W!7nZ6={=`XD=?4TwJ9VZIYI) z-*x70RBwJrT6Fg|FS|^cy*zJ%X6niXO7!Z?4V&p3c2EEK;y>E;)gfuC*;9{kUOTtq zjM=r&BNczHUbwZ~=(goj1Fndee+{6rAwRR{S^ht>RGGgXhS|H5o%P-u9QP8tbwu{S zhLRuGidRQSv8|4&li8k@arsK#vCwnY8Ty@9kLMi@eJ1-Xz@zbITR9sK*WA1}O)hzU zHyjmx7AadVv%giYmfSkAUQ+MZ*@XriDTl9!inM(>ba3X5&n8a_^uzDo-FWDR?m7!; zzY|NIG4Cn<l;bab=AywHCTWRDUqio%@SIHYc`sNtgYQLe|H@yFUfbQw%=*RnK1uKL zy@2Phtgfo;v20lAvTj|T+KYoGPn4!<T;I6CxpRrloi|0-zPuM)yF*^(^PO~qdU35) zp@F|`o`1bRciF68+Ow9mcRicU_n0m0)XL?tVVVoK>&B!eu29z0mg7+Ki_!ZWw|mF( z-+y^0>F#K)oPYa+V?g(RbH4im&a=2(4GUTqSp>f6KgDF$yh=gKbpFb=cc*>t{$*xS z4?R}Fn0m8X<TJDE@sB@iV$@DfGVz?YWaqZcduk)u>nl6%hKL;h=<~@+KW|IWksV5@ z6FdaNy=1bZ&CeKEUE8=V^R$NRkrm0Z+DAnKSFd0xY*yl3+R^3hva~~EVMkP##Pu#O zPN$7WbvrtCPYg2gwV5gHwc!3f`+HCR-upYJx;U?m-F(K|jNkFQ-P>00x1aL<;QyJ| zu5VfRX>*~#`hp$x>zsYIbj`MSqIUC;@2<(SbL7o`UZ@Pc?|Hu~Fz<}@j*Vu8$@!0u zo!nWNe(k*W%h~4r()CTVA28+}n!dBs?z!5(_SsLSeEw)WEAE)@wpp|Pc;<C&-(k4_ z=`pJ$yLYo|&CJhzayDPNE|dAGR`t7IyH33O6s)cAKQU;DhW4~p)4Lz)<JO#3+sj<< z{iSdE|IFJOhoknpc&+Q6IWJOZr?c{p&9&{m3faN0HLtI=T-tFa`Nge7@sQm%b6eEQ zR5wkNym<7hz{@gWlTe=Lhgsk5@>L3DyBq&nF=#30lCE{91q^FB)21H%{(kerNbc2@ zOFZJ46lbwGmDDHB&ggd2U!TPx=~2IaEwkn=79*$gayk7MK8L?@m}j2K{^gpOhJ2U) zEw`ViUVM6XFYofxwU*%_-_^5&kC(>YR=#5qd}oLB&X4z=9sA!m`@sprJKI0V<Si1t zbL4yB<Rf>MSnoLb?~$7QORqbx9|`51@yuJpd*?><&cbg6k7sok-rr-q<Ky%q;X7x2 zf5_CEUv$57WPjmgnR3y0rMo(2zj4d^bbN>Ay$8qc9PPa-eY|$_^P<N+#gErLK2|+T z`hRU+)BK&B{X3<;Tg^J}TVrc}^6;G{>kB8R-g)xBD0t2;mhZP@9v_Q3-Me?Dbh@?q zyZ$>B?(xmPpIP5wxn3x2cf|LLmifo&cT}o(dOp`Vy7yeY+m4gVcW9awCGR`j`_<O` zTj1$}`*VtS7KRr+zI(p+zmWOC^gAu}#lmynJd8U&y=bye(d4`bYPV1M?rWcYD5mds z+Pss!TYIE`%jL~^zO&H&*|FbqrT_mB-EsY3>zx)m^NWw~9LX=5{Kl$8Sv`I4mhGFe zmdsge%4j)vl9FrWD!Y~SXP;e5eQFY7dp+p<r779U^7+zVckAh=Ri$}8;p<I`{mnPq z;o7n@i{n-Wo4GwR{PMN`TIzD)&okG#Tr)cCbvrw=X<66A9^Vk@q6DQ-w#!?;3f$bR z2I?HT_{29wrha_2#O(GRy-U*_I@B5kZ13MwyS3XoZ{0Pmlmma4@uj5t)+;ENy#Elb zrKDW)e~s0I=WC0(r~KvElXv;);p*FNn%AxFhSq*@+Wzv>M|Jk}kokWO`c@rEx$5z9 zcGUXUR=Yc9|53~9Qr}@HoVRBE&W-H(M{A4yo*#=n)LSlY{%`Xg7Wo3<e}{Za-elc* zUBPI6lK0M%{({MS&-wlnH9vYFcSruSpv*fF-8(nFe{!s{OZvNQ-k$k8KBoIWjAK81 zr)BkyPN%#_x_4BpcY1y=oXmT-uX=8OQSh3F$L<{I-P$W{{ruR>oowIF&OP31e$aRC zhF^c}<4#`qzFDg?hrK@c7I%T@Rav$V9aHk23OaGz6gV(JNv};nj!{fVVb7^$C$v9B zI4qbJ74EGWI&tZ~m6t>Xm+%~(7L{Eax0+{ZSbBTmmMKx!wuc_eTg!7e;_bCnU*ATt zz5KrP)y({5XDW(5oqlKgzj*WaKl@Kcgnipz|MS?~xs%WP{g(N#wB`S<x_|Y#b>WrI z-*7)pJsO-9n{e%S*7Cz|X5A~&kH4H5{G02{UXQiXXKpY17CPhqhFPrKaiPDZbZquA zExvhNa)$f!6{YP*xvx}xm=~~CUFYZ0DE)|E>Zb4Ps-t_SKCS(oV6yc?*CN;6`;n`! zY9)RX+q%yneSehbnX^}Z9o!R~b=9C=BfkFXm84|3Gl8ocTc@x7+U{x}zPj~jeSkEB z_TS)K1)Y~?Po3S>Y~X)<^<2)=VXLkl{3Lyq?Qj?S)mMqv1h=j~{6;v-Il<5NzG3j` z$QireW;rL`v)Z~j@n4=PyO_-~Gaj*h>&p0aULCyO6}g@9b4Aasf`2tLqm*@a#^ti; z=&m$9SikA{RkuT*`meej>}sr$^3h#vxIJxEZ{yAEE3*>M`EA{3@V()d#f<WpT$?l7 ze;k=v8hfT$Z7b)7f{nLaB1*PKaqH}hUMm__6_qQbQyHIYq_g(n<h-nzO_kS{U1K|~ zA2gdwd)rFi=E(jPzU?>NucjTCv+j?{%+mCvcV;VUnV*%`uQ=QEQ~Ih+L_vF$wT{i2 zZ@v*<`flY!#2oeCxbkVtx#+9U4ote5Rh;-zY-{C)oc*_IBId2sZ4h04#VX<2O&ePu z-RFsU=R>18im$EKZQ8kgrEXhg`;}=2{+M5_i3m6-d}_^Zk<S-GquIK{R_eANoqhG( z^Ve@eqZz&XR;+DVs(z*3AvMz0-z}KCI``hXV{y@If*;$4tvOcx_W0F`xu4sl))!ZQ z`eORJh<ANt@83J|>yFjQ<pd?$^S=*Iw(pM%NVe~{4@|b#=UsI;BP-F~KkeGYeP>kP zJbyXM>eBRMl@C6om>k>N`uWO^?Ju}Y-|7BqmHHm`Yq8Y#X@9(XtG$1J_)>5Bx+wo9 zi|ISv|I?(thy8Kst={}?rQzxu`l}6BTc}^15qd|_=BuynUW4yxtEV3R<9)U2aMS#i zhO1llhbOP<__y#_pZxcvbi>!1K2JNgYNNK=^VJ)zm)eIVKR;2o_L#8E|IJeV*B1pm z)=a;;aq-Nkid#1}U#SqX$iDicv!s0G$IJDPY*!syey!ejEuYw&gX=P{$@WxQc&(Ka z`<Zn{>*Lle=O0$zR~fEWIlszq^%D72hO1MyzPYwcENyDdEUC?2g&U<dcWrg<jXdgi zWk%?n<g7DVdFE<NoAvB<;}%QJkE&lNHGjneFVlZ%hpn&vSm*A)s_^^A-C1kagq&4L z%6cezGNs<MZhG8`V{0bmh88FObj}h^II5i`oERp)uPJgnYjO3ADD5*d*PC%Z%L|yz z^EoekHq+<%0ol#0`73=}Tc@tMbH#}FbH*a6&0aN)w|MReuQXh(^83n+&`Z;=&IsK! zIqQtpPl0{OAonJ1liJ)>I#X(M*IxJD$fK4kj;(q0EUUOaG0w7HJMC`ejNgy1JUiSZ zn#G;)kT*+q!wbf(pA$ZIXK^Pk^}i~1aFUfB@3Lt}XDr`*W!iyFTeIwR?l0XY5@xe% z8&BHb*j&pq@6M^8TXTBNqn@y6#^UL#*0xk$U)gx-&+jWYvYVe@xsiRi|4K!^NrV5c zm95{8UA}5nU)L$M-n*=RMPaW=V6y%BzLgv2Kfn7U)9Cf4`Qp7<Z=PRMGW}NkTg&uY z@pC=XZ^hp=O}`btw>1rj{HHKKA(;Dn*q$ZF_C~5-*<rqWp8u|$)2oYbUEN_`K3D4d zv$tW7@BKM`WykghrKaz6-yHOx967zZ``8M@)kjW)N~_mbs}4<?6r~^WYfrt@X0LA> zqw*u{Cd^L?_GW!{lxM4*L3VGH>X~~huZcgC30U2<^Z3<Y2W`65TO+qi74P>v+s4{` zH7nua)vUjX7w=|m-S8uGE8~Vszq6PVJ$d~#f=?@)xol>dHua6tR;!48zPSc#Dk9d( zrOAdShi%;bNyOx}(P|4`P)cc++T2yYJ+L?O=zizkNL7n9$JPk#TYPMdQ0T`mrdthG zA6c7q_~+-VS-l%lx^Hnr?22E@IW09yIq|3F*1!!(8veDxr!&s1-I<k~@U3cV+Kl4a zQk%P~J$gM4R|ogX-d~>p&J}Fyq;@Cm@any*d27wFbAs<fA9Kc8Ejo7D)N<jmV7;~V z>F&l`4OXYT&C1<SGxt_V#K!1csWVkEy^%-jK<WDB$#t36Qo{;oU!4)U=V{g%t$%8! zX;UB6nWjzsz-pQ{^@Xr$+SCex-CI|-s#jz#lk&fw(ktb^vt+rH|AIFSQvOYUc1YPT zZ9VFeaP8^xqf#r6Ex#7G=$QJcoo>DRBfra;*6(|L&p7MO-v5nK+T6d4J7+{yxLSnv z-gj-3+U&I__HE6+bIMuT2`2-$PTjCYJO1FB)0Nj29E+P>zGCBbG3l!n>%VH7-pgK| zwddT=?NaNDe{U=b=Dz+xZRN)KzwZYo+w<pzCEN4YuRc~M_cyfnuZ`a0-O1Nd?e*ub zJytjGOlY!wz5n&qji;Id??0Zd|Nq*dy{-GCzKg}KKDPJh_f>`YOVh9J*#5-X^qub7 z*yf9CPVY^<d}YV>KS`$V*6s-Ht==rO^_{`*8BzQ*F2DUPady`kt-Qri`ZKl%td)5d zzw(;2*qxPOjNJ1>UNd*!3tioE^lG-@tf*f_c9Cnjrp2td#y>4Dw0?D4D*wux8~%uH zm5M05#cwdH^mlR|Z}95Yo1d?;9hk$L#hm!=ZPppBd%{_B>%%L(*YaIwo%-5fRuo&Z zoL|W5c2{vwvYsfl*(-Ct)Ml?wo1`{-RR#4%s!D%y?g3@|nr)zhY9*+ka$n1sCLbcr zS6sB!ZANsRrrYLs^&DsR7H0Vx)VJR9h$v{jr4jL?;Z{b(7f_M%XJwS}nvilyx8Tze zXC_BxJx+KTwe{nMoawW;Ps@kSX3&lcp3Sj&SA5^aHMg5~+D5GveWnvSo27VtaB|qk zcfqg!>^@bTmAgalhexiKj?4zR#Vb>#o?R?4O`G~d$TV&0B|g)%sr4#>$zh)TD-Bnt z++BAd<63IiN$IOILc3bC&S+f=&Dxy!EjH`<p*hR1JUejb`;}*hY^s^vf=}C=`Ky`r zIq_imRj~tyqOVvbTzr4!T=UWT;Ajr*QclBJrQ(V6c!HyOyq~YuZA_KEQWH_PTWYh{ z#}=u{ZC|%ZS?{}BuIv`fy?f*3`bEe3Ue!hSMjqYm$s6+QzgzEKvmf13)ww@rO1<wr z_e*fU$NawYp|Ah^OwEc>zPb3A>80kZH_s#29jlu+J-+ken$vZ0J;BNL+rw6EoPXPV z)yDbO{Hr$3->tuL<NWIXfy`5%^4~wA|4B|{{i?$Ju<N=-vftCpR}|*^wM%_}mKXB4 z{@xzzD?7~pZ;<*fwrBCNy{)0<$tI@r8!NA@x+W{OJ}fy*GdJ)xr}wwjUz?WBjIz|R zUV2S>8vos{i&uggD-GS(@}G%XAI7;kKln9wG2hD2gLllY{yMm)E81XI>1xC8v8K{u zYl2ocw$8r#>+qtzpu(y{YO~kN7OBl%LHl_ZudHv4RLu<sMU~Z7tC;EUK^DpduWpab zUtzfVhVAxbaB+1u>x|aBBGWX{{K=p)YroWHuMbVP3?hDX-m=_~abc~5uP*P5<HlFp zK0nXCB9(a3Z>!*jFAY(`I@asVgr-GX8_X)58QP_`^`b#`=PijDwY^csXLh>h>YS<d zcgvMI^V=cU=FI#5!9B~w#6Ksjy2TP9vBI~-_4d`MgpbNuXS9|s&N`#zd3`Q8i)A)P hJ-;7nb>&vUUGe|+@tno;;?HRPe}BK8alfo{B>+q{$nF3D delta 12192 zcmeA=$aG>0(*z5pSIcjuGa!Kpj1Z0<D}?@LJ6Vv?ZsH6BBQ-mS$TKGhz04g#r};qW zXQ2>!Srmj;3!MDXK1}i@GXsMFNQ47KFfbI<Lg*6{6BQ;;WK?JLn7orwlU4Ke%jLH= zKWF^K$jG?)5pxM6<AKTHtjdWmm)}bN^8f$;9SjT%A6OU|eyB4rXmBzxScow&C`e-B z4N?pY3*;CW98@59feHfygDL~V3v~#VV_;waVUVLiSbk!nuw*wQ1H&6;28NkXPxCM^ zFo5vR&FpOQjHa9n3=AMF38hmQ7#P?Y7#JoqFfcGdd;?Z4#K6Fy165NoIhtLWQF(Gb zyE3OP0|NsW0|Udg$>-Un85<`bXIExyp8T3!nXz>;KZi1BI|Bm)9|Hr!Kaf0ECj$cm zKLY~;2jk=m>{5)~ldCzDxq2BG7z7y@7=jrlf8dbfG-qI70NExp`8|g;r!@lugD}XO zV4ed51A_<y1A`uj$GVV#fkAXKKc_tBItB&?F$M+(JCHc%UIqpRaRvqkZ!qs00|SEu z0|P?@m}kStzyR`V(&YCXG8~Ky3{ngX3^`yadqxHZX$A&{5-`t?k%2*mfq|hB#FMFF zWMGhGU|{$U1t2GZLPsEZn%(3{Tn-!{0f(e%c9U;$DX?4sG5&EWa85{?W(NvIlga<N zrQtkt5RU~UH#v)2CKRMKAZ?l*C>TLDf@n4d28P893=A8gL9W2SzyLCyn*rhu5Dkh@ zsB`}Ff*ixZzyPutq+c0i1=vCmPZx#P2;xDkm*auB5u~UUBn;Qmj>79i;dP_%df_~f zqriRxn*!olqsTd+@D`%*)}iqBqVT?fcwC_H0-0&UIEhCJ&a(yaAfAciQ-BNmfrJHM zT0pS}3aQDr_;i`)2&hfw;@1SzX8fAW0ZD3;lc4-QeobcUB(=%Ap!{c0T1Wt*-cA6b zJ`2j92IU_T&=i;>ptcPf3!n@YGC7}L1|)bANiY;7$TCMj?at))0vaI3x5?6i8mw~! z)c6@EdkCt7G{``UDUd0VFb&$1=L>>0SWP|+VYp8IE~o)gAAqDj7N$OCvcC{meg5QX zAr1XG0&0y&$`YWto0)-u0VK=<&846u#c;YrZE~0}*h6Vhw}a9L=VW;S8E~kr6V?Pd zy<_riVXzgmCbNrxU9tvb7PLU%1t|w9+dny81gz}(<ZcM#@#O6g^&gSc3r;>SAOi}B z|BRCbMAbn$IVW3-f^`Z{P8S7RAP34!Pzyvs8bHdlChr&3u$UvDW{o5Z%KsoP%!eSK zfyyC}7=wDTnj@IOz`!-RUs3@Sis3K;P$4?GT1*CHbo%6cF%6zM0%~#4fZ(1SFAP== z3mH&~M1+i-xF$<fiCXDoZ*j1;MyR3Olleu!+WKJv3=9nL5SlyrytoF7Rk_;Y$-l+H z9$q_HT>|Xk?MUhcVIg{Ga=ipt*}2K9CBXLFhbfz!FABEjBTN8XM4lG_`-XY4z9d+m z*yLzQu<?4J;9+230Qo{<@_tDfk=QCVGbBNIP~kcGy`)4&Y?Ycjk_4zg0+p(ux&mYu z$OKUMg2I_$UX_|Jl3Ha31_n@71*#=MYC(k+vf4N#wJMYIrDO!6OVpB)1l1?cmXcw) zSfExqxnD}!6I5Wr_4nkcRUs+WW?*1wfu<0UU82Y-yC+9&B9dC2$@0=N0!Bq@(~t!9 zCVNZEu>31hTQ=EVTG|s-J;3cUDpK2qq|^WuI1CI7AU}grGN|%^tNmA`b_7YS;pFoW zyDlOL8cqH!Eff8(NbLrapfR+9hM5Yg(cz{V6{+1tQftD%zyQj-py~pYLP3QbTrH@e z>yz=AyiaBZFUWZy1t6Mfa<`21WItIBOwrBjWUHA(Oc`=A^HSsEO<)W|Bg@Ig3eKXY zmQWcp6DY&b%y9Aw1x;=<DBsx7eDWOySypok1_p-BzZJ3=Cl@LmoNTMSWb+$kB}PVu z$rm&&H@m6Iu+@W9*f20KfZ9wTKZE=Ns{5@#wLJp^gBk+^gE|8Pg9ZZw11O{o85kIh z7#J8#85kHq>OlHIYC%mmdr<Yrz`)?hz`)?bz`)?jz`)?fz`y`%#(6L>FnEDl0}Kod zpjJ@;0|P@K14BJS5V+03z!1W~z!1j3z!1*Bz!1&Az!1a0zyQkc@eB+Mpn@`yfq@~J zfq?<kG|FILV8~=(V8~)%V8~`*V8~@)V8~-&U?^f>U?^o^U?^i?V5neVV5kAL92giF zni&`vS{WD^K+Paf<ENW}fdSOsF=wb}U;wpkKrI?jJ7xp4vfs?Wz_5jZfnh5H0|Tf! z+0MYgu%Cf};Q#{z!yyI+hQkaD3`ZCk7>+V9FdSoGU^vdez;J?rf#DPb1H*Yx1Au{n z;UWVA!zBg=hRX~L3|ByHGX@5R+YAg0cNiEL?lLei++$#1xL?n}!0>>9f#DGY1H*F$ z28I_53=A(B7#LnLFfhDkU|@K|z`*d1fq~%{0|Ucv1_p*dphg_11q4k-jEoEnOpFW+ zEQ|~ctc(l{Y>W&H?2HTyT#O71+>8tiJd6wse2feX{EQ3?0*nj{f{Y9dLW~RyB8&_S zqKpg-^<s<+4C0^$7^v9=YHTqwFvu}7Fvv49FeorGFeoxIFeouHFsLvxFsL#zFsLyy zFsL&!FlaF{FlaL}Fz7HcFz7KdFz7QfFc>g0Fc>m2Fc>j1FqkkhFqkqjFqkniFqktk zFo0Towu}r6j*JWpPK*o;E{qHeu8a)z3~r1J4DO5!3?7UO44^tRkdc8Qh>?LIn2~`Y zgpq+EjFEvMoRNVcf{}qCl97QSijjdKhLM3GmXU!WfsuhBk&%HRiIIUJnUR4Zg^__F zjgf&NosoedgOPzDi;;mLn~{MbhmnCHmyv-XpOJw9)CvIw9t2O!<(gckr^8fGGI@!f z8Hl<Ap?XZDChO_TfJA)s!4fSHY7d0^0-<yaz;Ynfbp~M7b08vDAQX=wSpJWR)Z{or zuzU?fB*#>0@;Qje8;HmqQ>n>zMqo8DMqph&W>S;aK}60#ME00TO_nnTtFbW#tI;u+ zn%oBwSpyM~G11}!wXGo@WMC*LnH*xG#Rn=vk%W3owD>?JC6W-2q15DiCIWn*)-RIq z9}_J;P@@}3sK!ugvY)8{AE;1763#Kznk;Y24yug6*1j>6n!L|cfDcqlAt}3Ks>P=W z<1>I&#u!OW)-w~}Q$iK?G1HoCXT}4Hgfm7`ljoTU@PTSDn4*G`$$QMS_*7whh*>tq zQj_`21^7TE7fhz0WU`LA)?_(zuvu%2r6$*z3-E!;G$a*Q%(eJ5VSI>LbIiek%wwT7 z`5#1YjfEB;s0Rj9S5Pu}i-i^+r~`&1^u|JqPY=e27zGLpJ4=Dd_bk9}h_Te-1C^&R zMFl03L4mN&Qed*5C0K=wwH6<!q(xEzN)U2Z0+aVaRM=Q)@qx-~Bo&|p(q|>W2P&SC zgx6SU@tMK+5chx*&Oa*wK2RYJlPM^f3`zuf)&hK>ave#y$69MLpEcNBpvb>xEx-pV z^^sKkvDTVg2T|dpFE!cEMnDNvuOL*wSPTp&plR`e)Z_pIvB~eOdBh4z5Yh*r>GFp3 zWC0r`6sZT$w8<e0(y0WhaA5k7O?UxKuL5$D8_-P90JWtU7#J2PgG`uw&IZ-)259>F zp)vUbn$84hguc)N>$I~))p<f6;*tP^$qBZou08>(G#MBeZWw}eDuJp+h+0tEhp`wK zc0gnMgwf;+XeO)xRn4IK#~5V7WH~!jyB9!H!2y%W4tA(^FMy_m1EwIIN}dSo7?4A3 z0W@`NFq^yq&4dZi6f(mcWCEWziV2gq*lTgcff`8+3=A_YHh;9=$uhBklWAHtl*x+B zoQh9$lfx>Oi3QU2)2bO5Dj3hs()D9C6me^ptP^6%b`WL}>QwMo;P7s6eIVc=!t__H z;jmr5qwuwZQah%f+xgd1VdkU>`7G~WZ`p2pxw4&0qOBx$@3Qk(?|ke3{Wh^e=T_9m z1oxSFy1wOGR_`g7&fIl%-lu!D5_dn!yV!2O)tN2hc{$|y9m8jRHTA`_96tQ~Q{M5_ zwS4a5ZM-XrOg?exy6J5&Jo=-fE%xb7#=F0Lo_{`eASS@zw%d=|Uv(#WK5jg{IoP3m z@6>O4YokBu&M&W<$Q#V?tHtNmvz=4wUf3DW6@UIW+xY9*-3fN<*A^MtE#mEX{#{14 zbe`LbcRD}6_cewIx(e>u{2<S0Y5o0%iz~#>1V0T~GexZ9R>kJu)8_T)*$2md`J7U@ z?vG8`dL4~6o&M$Gne3Lo|L%PibD{9t>j{>eC3F7HLc`uK`1GgNw)<T!e_?_`Rn^q* z3;ZT)<$HhO<uAz8>yLlypM>2+S8r0=zV7(<v(1~{U&#E$H+LB_72E$lJv$ZMpvzxm zcgfwqA2$WXUZ^XoYM%cuGWjpZj%pH!_w@(&JiqV%fB$4%vVND%=69*B?DcDJ@poJ+ zkvabHZrO6>C4b)Q1@}#eY5Q+f9C$?0<MIg|uQm@!CBF$1PQ-*ne98BaX>sXZ<D%>) zqBOCG!_p{MOtA5UfV1n7Oi4vSX3pF4`*){T@V}Mq-Tl1ox83~f<-6bawYS?Y*SPx3 zchf1?qTKyICO*AyEBpJ@%bN9p64ylPkG}9JiCkXWWwiQq@!eC;B3va;&A;;Rzwg_O zo2hq~&iTLZYMFxlZqc8cCceHA=zdLE+c$fi`?nC^sppnguZ|3ry^?e~R#I2))ZI_+ zal*pSckSRyHCitoUuxn$Njg5IEB~sNpWXc@T+@zAK6NZAVs-C~373kvxo-OtkM|!| zmhG)qb+6LDaSO~pk$*k9Xvy~n>&o`3x>p_lee3HqnU{NC1?;{zNqO(&$8W2=`@YD< zR0ZFRiQ}t&bbNKwo`h?xo-0mvpKY1-ZY|f79XG$<c`<#b;jixNoUV$`)A)*#bDtcO zdvxsQB<b%e=JU)L&!3%t*!Kg6`NHGAUj)rR3g%6!_r9}avihUQ^zTKB*FQgY<6!U3 z`O?}CkL^3vTYOu^Ci}Z$-k$WGAMZa``_VT01C#m1A15pFJ__YcQs3bj|6J{NkMv&& z^JAiUXQG!sh>SkHbK~}c$9qoqR!@+AFJu01$I6PlgGzaaOz*g?FA#3Oqhen;`QK4r zvt+4xTle)yCmWmBKl965vwp|M^97GzT(xEURCi_W`QGibr2nhtHTCc0tbd@k>x}Q6 z`~CmjCOhU`>b;ZVeCGxG4#T>K$KLFfJb!jqv-I!4ygAQz7M2%3u07uS-`f1(l$RCP z9@gG*dA+l<ykPR1=W4z?POd9je10G6`CAbudv{Ki&K5WSrkFRU`_2yG`m_f-E5*$J zO}@jDUm#p}&bQ>ve(9aX*QDcD&Pw@d(S2U-6;q|Tf^S!~)ziQEy0Lbf_t;$ze{v#f z+tRKR6JIw;_)hJZv2S{O_O`1bwi#tFCm-5>w{m(+t%>d&%L^9iYuBClc--ams*X9u zu@m%GX$cx8KR)XlEUvOp#d<bp>W>rkcRu;3Tuh$7f~)AxE(Ol3FK31ed9GL&Df+~! ztVS)k=7iy^XSR3lmVEwK{MKhVzug11KhtKv2+w=e`T4YCpV{_v_ZJq=y>r-iSKsV6 zzIk72??@cqY54!yu~}Og&flt=ApKl2@6PufAKP|*JYOt)XNSOf-Iz1JTc*wa5t=v2 zf2U`C{WG=GJ1(-nJF?kK?!mE|S<<g%^WLoAS$MAK@x2Wk=g&r;=zU)xobz1mPs{8V z?s<>wcX}?n-<m#G@2T4DBfbC3%-xRkR(45epGmSYuhug^mwac3e!=6n50BZO^8LVW z{&36gk82kH-q~qhFnP~W-(RfeH#zf4N;&7vF0?j(rj@rwCil(_`J%@%&yM}=mHw%3 z`{~~PJr=R@{}`DCnU`)(E6iuF-#UwPO-!ilvHbG~tu}2*oxD=VE~_zd<r{-ojee)M zSDegm8Rce96*!#1qo`$dtkzNeh(M<zU&k$ugMkXXhO?xdMUJE%*)cgS$>hk6-fW&i zNoS6K`>UVNuHLs(ou6l4Wy-UA_y69_KWF*<&Qp6;&rjE1JP%LjejjhZ_U+>Kr^@x+ zS1$$abLZTj^5Nuk^^=Lgn(T4YRMyW+DqbVE;qOBI8NZLV%=4f0O2%sMm8Z&&n#2Eo z{Ta6H!r8xz`sNxm6yN@TJ1p(<f!69`>D^L!+|yqcvK-Ex_;lvk%J<I~Tkn;ArvH0S z^mBddw`bzNz1jPD`dxdA`kT@7XY7A-aN*C>a`(2^TW~)&<(z)};^b3r7EK757nmkK zWy!9R&NtoJQMq9=W3Ns6<$FquO{eH+VXyU-?Wa>O{aLlCYvVQjse6?Yp2j@C{V&6K zQcJDvooUwTn%0LR%4ZwhQ9hxxbKUi@{~Dh!pVy8S*$~wBdEfuouZFjp_iH)lpHz~} ztGHvBCi3_2y~S7SPi=4uJ6Eu;Xz{P(v*P#0-YjJ7PT6rw`IUTrUG0K5QyFKU+wky1 z@AIqn2WvKUyq~pv@lx0Oh1;`Z7w2RiI3;Oje{bQx)o0$<xZUgoX?(Z+iv7u&O_TCv z-~J8!JNc~t^n{k~eRG61c(lEaUu7r9!}fdXS(Y_(9{%}Y_SN6C{!9IYO{pM_r-EPY zKlbO<=2O$ybF`S-?_QslxJ{(i{_M2Z(o^TkZSZKzzO(3`<TG>owQJJWeC16|XInET z`}5=SSMyWro_m~mvS@Gm?V|5asm~=|zYW}WDB_o5>Uz^_?=`K>6LKb>b~|-@cX6}) z>iT&<Q@$MMFaGfNL;S1x`Tt&|)X#}^mDk(w^i)-<xYX)>p*LNRWz7#N+qm)9^{KH! z8;WM{$^H}d*ZXXKSOJ@O?yB2GuVYfRnZ-_ieH;5~z0v;9cB!kG&88+UejW3@?(6j# z_kTBTI?W_@^21BxSL;pp?-vPQyr_OU`<giq?<R!(jr`nhuDM$&VIq(HF6Y0c&%*aD ztABH<VX9G%%c}iB|Fu4!RNA**wrzFB#q(dc#n?yRe9aVgZo|)wv444=ncrW#MsR~i zTfS^S{o<b~m+km!epkO*%<<}v@6BZmu0}QAEAHra?OQDKYHeVd?}1a2_m-Nk>MvSS zzk8D|V|U7rgUYY+P3tWJw&^A;<&mCn@$;*E^Zi9^_3!dr|F7eXP&piKzIy-RKeyJN zDwA4$e|1jyfm1up?B@mixB5K0KIodsH7lv|>x=g82K#;2uj(`J_c-2+OTOE$x%*zk z`^41WJxl#HZ|AT1zsAT}_?X$#>%Z4nd~Hsxkka_o@VwOMSJ{q&lgDRE=Y`0h|CI9O z@SlsiuO9C{p;m8~XR}TC-|CWL`FsC&-$^&yZ@#;~cmIxGzPakh8hh&Ol5TqJxb^<( zaf=D9b=Nedcl`?dx!!P%Xu$gkE9Y-LWv&+_zg1x7kDE6tuisg1_ey0`ru_X2zc&0@ zvGByQTAAtJreAUAbyce~3*Gbnk4x>9@RV24JN}mbUu&18zv+Vfd6)X@)~UyOlI|_~ zrnGo|?~@-tmc342;GboxGr4m<|NeZv1D7YywKfwBSpT%x>i&t`KbaYOPXADzty(cV z<->BtuU=KFr`4-2=UAp7S+7$)QP6d@`r5*tq}AQJyIkJ8ZriojHM&=L;b*_p8E@{M z*E-ztt~OdyE%#S?>WmpF6Pcg>I$r-^;x>y7GiEeTJ!SB-EkC4<(=F^=Y2BB?uZ9P; z*rzVsv0g5y?WB{~$<nRQuecY+$M+q7vxvW&DeTk+;{_W%{~mp2et%hx=K&?jb*0;X zX}r7Lujzc!2b7~fvwsb&DidGGqVcP#s8?sw!z%IB{gX8I#z}hdY<kHPBk)T;P`<zZ ze~RFJ-hFHA<d(PFhqfIL+EmnbwtwQUiYcqlEV!citIu6#lSkX?ya4%ri4@iQR#Cen zYwx^zU9NdJw^L`*L#h5%{ipLjo1aQ!0@+z=accXb`O9w={jNy`WrKpb%YJFR+btik z%_bpO@@DOV?+w2+-d&d0axU~2J6Z6zenox%qq+hmuZxT7HQu$qp0aR<y7u#1e=0Wr z(s-v`uH{@9?>lvF@|tg3j6}YFZPjS)HhZXbGh!i6xR}wl%$moWJ{GOp>0CQ!Z_1a- zXruev?=7}VtNG1+%AnZlet4VU+kdf=RadMTmsVe7mv|YK8lkMc{p9X{v#+=p+W%<T zv}@zT@cJohc_LJ<_t!1_r}bHz@1<*XID3wka&^<%c`N@(ea?=a8Y{NJqiy|u@4s80 zncFRY^QmE~@tedC*00Xby8o+tlQt-`zfCsma=m~3W>NZ7Ba!bZOL?RxzT<rrpK2F* z<on(}kdK>B1>BiD)i|e4CP@D1-v^ed8t+ajN!IO<so%Wxg>_f;rc8PEx-FiyBHyQO z*9Hg9hpW|B+&>0a$4GWnZz^gl|GMzk?6dhg$9(jDZBk8`$a8;>%ip!nwtv&SEw&-3 zjo0J%Vo-+uykJu{v)IWGcjvF%fB4V6`YCTsrk#7RM(5Xd?S!W>v*o3)>_7MC;Mr5N zj<uC*-j?0qQNK>!cGW+*&)WM#w_T6;$`K>l^=;9P^|1?b=5PF!m-@Tc)NaL@3oRR+ zF0}u=B&8go*uu)XePi{{waVQe?i_oicqcz*UwX$q?lAsJhtJ)&l&{#NyqQ>cwSsr; zC5~;kp2?d%IBVFocG^P;^V?rKkB4<17iGCUfA99XC2QTzIv)!wuGjym<G$hQW4FWe zj%>bKY5#Mc=FL-2wAW5Mlq|#h*Jbj>tn2@lZ@cx)<HU`uyHm55a-5Sp^6BUb&;F*5 zPtCq{{PwB8^tdpA`AhMoIp;ig%vR%!wwE>E>L<JV!6&P&KULQn&DO1NG<YSmW=-=p z{=U%fr}l(De9w^bWpl-wl<F_{7S-FODM!BOSD)|yK1BYi<;-6{H+&EN8n|<E=X}|M z-^*K<Uv&@lH+%b7x{do|PjHN2;K#|nza<u4<oX);adM~qK8KL9RsHeIC$4NS_`P~x zc<rAc2eDs|Pxq|8yWFl$Qvp(@T$>+q|4Yjni;bT)9=G1^d9A{D`^O_%-ws_$5pwf0 zvar1>y<YU!1G72u57);}&h5MXW9m_{*hR<JUs=0!>qF<C;cc7e>6mHVIhK~uul8}C z-0X#ME1&=Q|LX?N9G{1;_a8m{arS2IrULeQcwg)M&NTs@8Q=IPE*2B|D;zofrfBQr z)vtH?EH7$x+45?apX;2C)I`HY^$HIKI{!uJgs3c%5L)W8<&u$33QJJZ(T<LPuUuT_ z-~P3(H~Gcwk9X$T#_wDIKL7j8VwpdG<h&)VtJ3dH*)N|r|L^~-Oz*&5x94v)wrE;f ze^e&PY5wdCcJq&nd6R_iEV*m`OmY&>CcC^ZqIV=_?=UPcPF{NF#^kDkymLBvcNFvX ze7*A{dMD?%Vqv+*YO})r%$@u`!)E&Il$P0FLi0BD@3>h1=$J*h*}uM7*Nc;Nt*SpK zw=dcf@3nmII*CbJ?XPe>S$TTG$+wEHZuCE^i|vuEkDoZz^!@!`r&1kzmU(s0m{xS| z?AwoBpX$5oGXp37&i725zD(oTjlCu^m%{rlo!S2EO8a!t$o;2(ZOWK<^;DBjU}&bG z@xgza^G-FDX!I3&@7v%LTJ`(g8SYCL1@Fg;ELp7M(LT#2`hCFVTvx|=$);_~>Mz~g zUK;#1`_r$FX_ueW_r5HDx_|zi=&kEt-kNi~*Ys5H-igxBlk@J(-}&*}>*G6rnimM` zJX5QgHCy9}+P&kxMJ=<xY}#FT?dID%FAm?4kltZfUX(2R=-4&(g1mF4cXn{^_;{`8 zasETK8;5;=h?`%$QeAMZP~ZII;X6z83n$ke=&hR|y|`H5dD^)L$E2PdtB*U|``OC; z-SayY`->mPSLmO!nsL_mhl2UV&v%ZzFPyA$XNmgGlj~;mZ@%_>r(sx8a^ACJzotn4 z4$qsT`TgOYm~QF!jCp^a@8H~4EL?Zow`9)jieF4~N{#wwmrR+Rqip_5{f@-`g5-Jo z1)is!eR3@BQ153R^LM-NRNOCkEdNw(NBy0Hk?rLL!o0=8`47}?p7gEinC%naQha&+ z(_;}QdVi{zU%P$hM)l6Zf6tEXtuQ=yYxh*?`%l#>X3qZLoVTbsJwN678sm2{lh|i& zJ^zz$g~*9_Q)Zlet9X<z!z!XjHh$vNtvRK&Pd6#J1uu2=$-dkDb>pm6`vd1+nsn%8 znZwfcG4;OO>t#+YmWZGDb@!rwi(D^haVLd@Mji3#2*2hVIe}00X3YD5vbWVH`-NY= z*uXE&8o6D^qy3dnjgI=Ig}bhcvR3x_TF<yD>wZ4d%=cdXs=QU7S1qfO-E%o-R?UyA zGiR>q|MyUBUy22PP|@mh*}MGm9;?;1%zh)9_oYMnF?-&b`gO+(^R8XJbK~>Q!fOSO z_nqwh&T9To{tk<H?}IgmE$_JS7Ygq`;QPtW{N?vMDYE_#?tEFj!;o!<VR&Kk-ebLg zCC$&}-`OGF^JvXpxx7D1@37dJKjh0>#C+$7`VP-s(;u$6Y?rs`>75s|cNmrxCoj7b zF?rhKJ8vTISUBI=@p|XSZL&p=-#=HgIOc1S%X-dg)@fgxL%wy%%Pr?Jt$h&Jzxioz z4txF58JurqLS>J)f6t1|SU4r_Hn+F#hOJZNrfmAsuubIVtspPWw@ghR<F+QTZjAou z@OWc|LYsuykqK4n10Q$Z6j-d-w&R{#&pLL+BN=ALe#bc5?At%{=es@c&wMfXb9>Ls zpJ&qRKL0+uXXefC$NJxPJ=z~^e!RPUu8`~FDYCV0BDVG1SEm29{8#ykS;k7%s{F>J z-&J;9t9I6=-n*!&UV7?Ou;;b!cE()=E-fztOJ82iSln@@BDdX0MC2;J=4`1A`@Fq4 zxXOBeUp=n5_}TR-p$DS&hm~a==qj9>?|+|H`Sf>_HRXCiX1r^v7aQ$9lA>A|`+n`K z`F#8D2bZ}W;4+-^y}ns~g+24X5AvtZ@kDs?m`By{_N>`AGeSN;ye#ZMm*JfCG9mS1 ze_qv|N@HK6(ze=m%|DqRU)86c<&Cgo(`(NU`F7w$*39<OO+xog!&m0V?%x`J^G}0l z@`Cz3o_|?CSjz`*Ge}T1jQMW;O25fJ>_cG2d;M4XZTIKb>)u-PZ8LLyn9yO~Z7cHg zCp<hoRhKiuu<i5W>MQo_|9&W@dNYR!9S)TX|9|tt>fmpS&Spi5ZHVF7{dV6rr@zGy zuYcFF)(08=xZ~IJ!})TdVa(aJ!8boOh(2Ca|Htv~^8@eC?BvT0yU(?z++1@v!<z3& zsoDpBy$>r>yzzkhR2XZI<<1{o^_5%B@wUHO-{$XTU%P$Qd-wmL^}K&RR{p+_X1eBR zRqFOl-3L~cYech${hqL?`{1kl0o&{nj?USW{U_|N_XG3vxPG(KEBn5N#ok=XsNMPc zwthf8^Ph9pQ*(JD^w`#|E53Vv)qc*p_s37YvGxlSI{bZp(0`*J%}ak@O#2!uw;_qA z{(YPEmHSNfB_21gHB3G7;qmXQ_u2M;DoqV%StGz4zT}tm*X@VZwLjLr{laXp$!h(P zs@l1mp0llyVE+49?d$rc`!%ySxgGFI`f+XfmHUkIb>83Z&Ho?p_xZv1e}ZqG1DSYt z^K64nx890}y!T4|#@)6?;_&W&j$hx3AADe!`Yp9yRlD<HJOAqZ_&J~+_gUYtjz(^y zb$1w)S0BCCGWF`IH)ox~gbs)EugLeWeS7xQ8_$*XF*CnfY)Xpx(*J%{e)-Cu;i+M{ z*#~<wHcOpR00lwx)$MEjyl?s@-2JXyZ5Q!g`&1s=Yx{L?#5Noh>7MX3<#mb-Z;SnH z?`tcIO(xXud-s_2`^G&_E(@}MymNe_Q^lOxs7vS19I<}rp~z_vvrsg#M`+?kCEL(l zQ|C^!+U#cZEaLHw{>bB7H+Wudv->#1R5UUw;g7TRt<{mq5keCUvn{7c9~C%b<$LRh zXGPlFX>;_FRO9B)5lUW}%*XP0if*>K-J;0o_ln8as<iSVuht7S?LB_zQ~yFWQP%6z ze@6)RpVWW((awM|*6-5F8jF=3H=iGVx@~3j!*5k*-_}LV?m2tLU!ccIKP*O}ZzFfC z?2Fn>=}kR#GWYln32wDtmA`kQ&X>otJD6V;znwdIuWL?;-?F-*o6@tdZl7p9^T$Wt z@9TaFea#K=pZ5JN&)V-R^6QoBHtop|U-8%VYwi($wYooYANGAV{+esn;8V+Te1Bfb z`}=Qx-SA<Ie6f7J<aO)#+S!^4i{;}_e);#Ue0BbFC1LxWfA&@0TJ6*MWudp6=ex(v zQ{{wo9(`Z1`1m_Xj$N}}tTjEUX&IRuJE4bvww!oQCdXN;N*#%=NcAl@E?J*kQ-9m{ z>@7K#O**|g!S9l#ZpuZ^Uh?>*tbXqn;~UI3E4!B^o)^FQQ7_K3L+7=pS>M7LrQ6)E zznGhH?XG{uwQp0t?^}Lm-jjd#r`){k6?UomZo)%HiJO;G{9hN$HmX)~UmuX>FB@sN z?(^g7tJ{~ayA*E5yXIHRrk9|sk`)}!t9-it`m^V+w5QG$+~CA>=h!j3ujvQ!@2z}u zreW%lg0=lC?1k%oN1r;!6=BF$^=ST;`K<e`--m69tlbPM8oufU*Yo}P=M655+P=Oz zSbcRq`}{RW$`9+WjBmC7wR6)?M(tw`|1F-H8f>x>PuROD=H?-g!B=fzh0)vk4Zpe{ zUY8F^`&^u&Y8dl4@ax<5*w5vsrm?J%IQ;Y48fSw|x6U7|s&3u{%HI#I^h54Hd%jCH z^)%D8Zo&O$s^$mZfA;*BeIP{tod@?{-Trf@;AZy4w68b++{svOG<&|X_A%H0JA7+r z&tGk`Acr;Lt6-{l!&SFaVNF-l@6@-Moqp-G#{8Ogw5Y+emUxqo*N@p*oSl6&o_Bsv zUC!-vw*S%j;q|<KzAc}+mi6@?kC|uBm;PUxBO8%_@@>XuDZOJ=|Fu$IGl!iyx%=SS zSMf~sKO9p_H(UY{J7(vG@6+F#8NtoNywy`^)%m+|z`4*Ta<x4z16ir?*c^I5~x zBlRD??!L19=gwp5Q*Zu}i8N%ZQ>>5wtNS6kK75;Lf~sLn^+j2OO}Dlu?k#Qw`{l?1 z(U<#z{=WTi(yQkF?}lGy_SfHQZ~keyDT(JxzdFdMhgYsIKAW}ir{$(e5_MaGYG=<^ zKK;Aw@$ugv$y3r(--0Td_`fTD?S625U;WxQuNp*?E5iS8{<Zs|`KtDdX<OrkH%yY) zSGn_7^@Htk%ii2-5KVf}wB`Qte{nzN>TB=T13Bxv_^bEL_ltA4MCx8o-n&2M=09+` z|0eK1!=HzVslFR7HlNC=>szC;PTqdizO(B>>(-w+cJb;>_X}yJH*Rd)7C5u_{ww{U zwEEA*y}PF}`byOQeBJ-$keKs_>Gi*M^^4y*{%MlGyUd|td3V-_h2Jtg?Tv-sS1fLF z$ghjj-qffdseLJUY4lCWmB!gF=EtRu*PgLj*XldV>`UkIH7ieCVtOop>zco5wlv2i zL)<0O<Qenpv;Vtq-geLV*qW~)cQ&nBTi`x-?{SI$JMx193u<@vi+-H(XOi4|X5kA@ zRpy@CnZH3vDQ!Og^xMnw+Hbrz-Tpf>*T~G+-qGOIo`}Lmi(`5v<>5i07M4zK_I&y5 z4?FI?eHERr!u@y4=b!(WU)}!0IP=%ZRC$|4`+D!3Jkp<Up|-o;{nhOi^K{<dmUJ_I z*D`UhVD1r6*~Ha*eRaNaozch1@%#1_b^kJxc35WD^f>;j?5o?*!YKTA&o8rJ2RHkD zlV1K^Yro9yONWpAnkO3{+jUO8BXz#EW<!t+azS*s`rWoQC0-Zu^#2v#H}vN`9a5!k zmFl{xU(U-<@>`XW-Thfv+oo~{mI<ma?EAfL3)kZ~pT9F)7tNpH@t(zX7SHY8`jxJ? c7JHR7DScMla^gpA!o`2*_y1>{_N;s&0GEp{-2eap diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o index b525d2a8c5bc7964216fd6097718956532508354..d72364e71dd2e80fd7eb757f5984fc1a1b132294 100644 GIT binary patch literal 32056 zcmb<-^>JfjWMqH=Mg}_u1P><4z_6nV!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_3B?Qy6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA%l6F#|(~lhfe|6R^uOmEe~TMv`{~o8Q%9u>>sW zco^a_C>aV5@Boj_%buNox}6eS4ZpP>*b53ue$N-joiaf2*XfkgnN@JysRYb<5B7ei ziwcWJCxq?Ud2}C0%A@nRN9QZBv`cq&f@|x4SANg$KAqpeLLQyY6&{_(eLCOybbbPh zcy`|PY<}Btyt#pa0h~}=I(N4)Ffh1uZth@UU^w2~0~Pn^1}pLCY@Wivz~Ir{43hTf zY@Wlwz|ajA>ujC?Vs|fKU|;}8Y4;2U1_sL$b;>ViF~B0UdooCx@d89KEK=%gJi4<3 zx~ns~i*vezOS-#3CV|}tVRoL-cFy2m?_9#a&4rc0m4DkFmbE1yS7cXo?*_TNdo#$< z-IGDCh3Er2MjPyA{`FvAxOCL8GIWE*yP;0wZ_WAt|G!Una)oEND}!gZFNa5`vx7&c zw}(gaY={T%gN^g(JPHoCXC9rmzyjShDlA}z3p{9Fcr+j3fTyfjNIav)Z+BLLtKr+$ zw~qWCZ=n(A*m(>QhsO=SAw^(!c0%i0$338g;KJ|u^#56(&gWn|JvyBWz|Q&S)A<N2 z(wSXx+_?s<vU4#gK#n(q0_6Yy|NoDHV~U~MIic6%f9nAke$N-3-Jozf4h{;4p4Fg8 zJ>Cq8FpwUPZcsXD21OMj-dBKP7c2&i_3kzBm|g;oY5xA7;OuS-G8B@)!ST8qWL@`W zP^5NG2HDZw4N5j(mqVDHC$zzF#J?Ww25>a9{Ig*#&*$HEz%!Yp-G}kV{|6r3*#+Iz z72U-(-IGDygBSo#g4)g%{OiGfKIX#8!1UT3V*Lc7wJ^UH0cnAR79)rW#@%4kySpJC zERpG+4DvK6{epY|OTYYmBA|5Cn_bbc8<cDq_*>>NFfbqzRr3~5rs?bkMP@gc)7iWM z6rSA&;9<Cffq~(*GT2rG(LEWY0AwFXIn2I#XLNsccZ1}i0Rmzo0)&5?cSeT~DCvX5 zySqX5Se__d>eF3afN&u^*@IG#M<;5s{|8I<u+;7XPl;GlJ;MFRoE#ZJ<!e`q#WtMf zU$95x8&I+Umw^9#daE1^-x_|~1uD;cI{$+`Wy4q+?9myc65!G89MJ6@(Z#~i(V_xM zQ69Y^Dgm$p&W5>E3#5$0@Ec5L7ej}OiU&v)2V51C1OJqxubB+rcE0dvJOZ*h8dMv^ z9-e^6uO5wWDi|3UAa3f;O6Ya{-`NC8b%-3?1d8k9O`y~bO7WemK<+u-1j<XGT-4bF zGWmEDD5XH;K^f|J6DTc#<sn5kYB7EUr3i582A9T;z3z;zt^ap|OyT!D;n@8Dp9{bL zi%uRDkK~uI;=`k}8I(oA1;aB~CE(EuP8cqoyFrnE9Gvt(rnq#j2Bm}J&7c$sa!ePv zsO)G4CAZ_vCqQaI=@Xo#I-Adc>w+uLx}f>TKaWly6%PKsr%VhCy&jC6-50<LjzG1w z9^mh|#{{bRQ&a?edUI4FU?~C=IT0X2fPWj<t_~MaoMs1fgELO|W>8>uPX+~HcQ?p5 zaO`(CgP7Xjl8k>nxV8Zo5gjfnfj*tzVWnV4iwY>jJ-V|qx_5(E-J3yS-#r-=;#iCW zDdAt=43dQy*P=2LRDgj@gw&yqoyR@8SA&AJ8ytY$o40^MWilw#uowsmWB&EvFa_5( zAdf<P>(Mz!#ek840p{x#l>$)W0@ZqCdAvoXkdcAGr}Mi<?-a1X;BW#5X6GCg5C>tC z2E-<^!$cEd6Ubl?2IVPiA>TPi1(e1ir9LQkbmNFnaNL4QeUKuQC~Z-BzyPk=vA7p( zH7qe;iM@xA*aL+L$QfYe9T<@cN#qb?y1PM1+ww$-QTJqU^1jdsPHV7|mcP&I@Bja= zMUFRvQaC7i9|y~WSU%mGK`Fzd+ed}NqnpvA+Y`C@0LotI<>)t9ISOw+blZR<i@^h2 z5`hXF)D{GyJOZ^3p~a9>j^WAHxBMP2JDosHLXcZJvnq}|)qpZGv|WK#s_bI|1uAmm zusFxHH;>V^^_$~<kO8jzo_`&i|NR3wo56+O?}cabd!&*DQY#$y=|n17CWGP*rJ)Ei z$fa{NxEKWIQjmkXy>qm^Gipk@s|&h|OB_4@IBK5p?7Y?;T;s!>9N=nrz;P!?891^a zrGQJfb4Is!PUm0Ev&iYkgLyT`8OX|=bF`f^dIK0+4}jgx?{UGS^Sw{!Gq7Pkou7QV z!L1>WZYGazFXY$(IT1Z}ur=-=wGSwEur%%_OhBqH0$e+fJ9eJ)==|)_`PZ@YtZ(lU zTMl+m9)0f8c?j&F)&u-4b3yUYyZ3?v0|Qvhqj#@|4}yIo5yAeDhG1u8A=nz(P_|E} zj*3t3+6*?3E$=-#@40k-a_RgDF}L%uM>mU#NADgD1+Xig`E>pQ%lLGnDfQ`m?$LP< zChgI96l9<Wk}A}O?MqNB!^(5Vu;2iX&d;9v)EF2TxEL51Kou{vvjL99f(9E7=29`w z|HlwA$C@QrL5&?J&)zyF!*5_$dGuCm7=AOnWOx$HZ8=cl=-GUX8KKFeyV-+<fuXxQ zfQ5kpRQ-bu@#x*n!NS1c(Ri4F6;xT@gSa&g>_{jZ(h`Tb7?Gbmn%_u(T;BQDbDtrG z;~n|8x9%@tU`Xq1KEMj9$QG|)22s0Lure_00%azj&gVXz|G<v*?5$&jdgEC016BqG zCXe3LFF>Vk@8%O=XTA66EKw1FDTev^STh3~Sn=)%CI$wN-pwz-ifvRtsySe)S)oRI zbT<ot13-cWRFAN5cr^cD=Wn0L4AROAiWHAzmPQZ86aOE0_SUf@bb*S(?ru;~1&Ry) z);wkg2G8bW;5HH@s*W`)urV+&zU*LOVDRj%<Adsjc!n926uOa|&kvObh0#Go7-0z& z0jNrdN0~jkZB#tEOH`m<Yd$83h+c>jm|n6%oFD?#39{obUOPmgDnaRk5u83485kfQ zozDdJju=!0#0nOV-rWwMB-6W@gAJOIJbUZJ;fg>Ji00?!V-hbngBtX`bvh{On9##U z7b*>M_i?=L)`zO}=x)9NYEyKBsy&FiO(5<zfU1DFn-#me4dIHgy4wgjgh8o|`DHW2 zbOoqJkV}u^b*Um$B_uh3yzJ9mqauKm`jwz6KuHuF2NLi&n9K-{17)ZRh+mko`K9@o z3bJEi-tp=D_mUCfWJ{<aASa*1>trjaN`#X^=?<O&t)bGO&Mnxz9dP$*gWTJE%m&#h zpv=McG8-h*Tjz$*i;}UJ_*+dtDw>bEzZUjvKIZ$H*R%PU^GjujAQ!rU3@@cXGQD+D zPy-=B!-Oqpdh4X&ia_B4iUTAMH6N3C32Nwi_STue)qxz1Bb-fN7kc*Asi7#vkuB8W zieUD_qrLf<#%oQ_-a0$D5|EWxlWFrYdt~o}(jgPPFnF!z*?i0sSsr8#J1iU;4}-F) zM<=Lcmq0X*zy<t-3BjQHc7HRx{00}ApkxRyDI07!7)v>iHJ@N(U|@dD4q<>oc>}0` zZaln!4P0+TLkxtN*NVryE*6f?gAE7&HT+~MW3gcc8C}2*H5$YK8La>+&KnO$up=7{ zu7Dusx07bR1P9c75CdfX1c><z95~GH#AAMg4F?m*(Fb6Lf*2q}EkG4X<KY!3hC<xi zjo&<Gka-!LP)C9oAoET@92vlg>_~`tz4*;z0huSj1vL-E0GY=Cao-Ot=7C0;A&n2L z@y`Y_ZwJgg5Cddh0mQroT$t{gh~Ir+^AfnB?gKGE=3Ri8=fRC^9;|)T`Ojm&1p@;E zH@JxecBNx?+v^erhR)^*?4Y5dw#T3m&Cccy2=6w8*8t(2E&(a&y9^fWt^lX2W>9ML z=-vGSVlODM?NR}?F2PxaBia$t2m&WNIDzaQ&@dgUd-zL1?up<4S=Pp03i3#E0EG7+ zY<05-g!dlep6_76ZU=CZX|@1Sy}Khom22Z+1r7!V(%j>O8a|%A+k`<*=-ti0$-uCy zgaukzd-U!;0kPr*$O@1+q;$aMWn}*@#xTFV64Z9uEy2gYunRP_1CAGu-rW|Uc39(K z2ADV=^Fi|^A&@q3=YP+Ao8Wf92Ae#Pn!&*+&0x>Q<J?tX2RQIUJqRmYJ$iS8+p~>_ zHTW4A3@_~j`O?+!0Nf!lpz$hjk>%N2#|$ljG1}1lEw+$4h6Sdg8$7hr4IZz7G&ZCm zDqyWl&&K2RbqoyMyFq<X59ZyVVG3}|ZYQYG>$n3lC<kfxwjAJZxdUkyu|X{W_a*nm zF)%QIhwlteI_`*NU|?|E3DO4+UYG9O0-zQ(^KK4S1_s0b2*qGEjyph_k<^3g0Z{N{ zuz-3w5Q}{v!Q<1r7!*9aco-NMc7o(x4G;Kq{)hGdJe!ZPBdQgTZt&POq#^9lEyCf^ zIT;l0-7Xv)oy{JQU<R24H{|8SfB*kO`VipY2K6IA(>0(n3^Y--?;zgDHb;r<<INEw zpf)-<zGr~yoW{crA`A?>SRh#zJOD{VgdT!4g<uit*}J`wP;`NVz2!iOx@T`4ESf#K zn?ch(-Q66}mQQJpXY(<(m)`&X|M%>zgEibed$%_eYkY-gZ=E1C8DJRC-?|r+P@0bk zy?pTxYz0yW#RA+0bAY;pzx5s@Npc_zTfhct*{@(@U}(1Ch=Uu(`EoYIFd3*)&))5= zM7o#1r4i(!-a1*RUMy}t3R2O0Ozx!##2R6QQgk<KLEH@Mw}4U`vYVOUZWev{{O|w& zp3TR&U$Q~;Xh2Q%Y&_mh$nmfO0+jW(gIw2JrwP@L;r`MP&*o!VFDpRS_14KFl%cx4 zw8*o!4%QpMaCd2xXY(<|m&PDNnvaRU4)yG<)5d8OD2c&(Na#j!m5O>cAJcvL;?Mv8 z;M9KDv-z0vYZlMuWBRDs6drKhEFAw2bb@ov%cFn(|DP}cl>Zz<f&)A|zksvgAB-&M z*}J{7j)B3kdyfjJ2=ip#qk^prgJuf;mf4U<fOX(KyIWL1sm-ywM+KDLx@9<!%c#zq zV2uYKGxf4WgVcb^GEe3f6;NU3(JjL0**QgpL6m`^+l7(Cv9m>mLlo5i*`op)H}LG; zqVfWi=Rw5`*c_w*5K=9^0qQ6-x2RkI)rC-tHIOVefLeTk736>|DgvSm4B(OyW-+`7 zLGv*rhs8n48CZGYc&tSwK$L-j*|T?#N&sj8p?8alg($R2_Ux^LRRAD`1)>ZLOdy31 zz=mv5i9k{a%l9CK6JQD@pe9zp6*eE^czFuc_(3U*x=D#yd9dy9G6{PCgK9N!RfQ3= z3!ni!11W$>idi9OHX+qwP)!C(QVB>FlN7VCLI~aBW*ZJB{?<p3V1bRBz~TWMvzYPF zTL&9H0V%{Av#=o)kU~&e0Ve^>v;rDT`2bFobzIO80_nhOG&hn$Jc*{ajt5C0UN`W* z%z?N8R`PlFZto={b-@Nl(8C&1Zs1N`2GG={ffUIkr7qZ*3#k_W0A)4i7L^a6ZUZ^x zh6*%@(JgMa;b7!%Wd|AGTc-+D1dCa4>cUnWz(yfJ3h~A)Y$O7t5O2(CyqpAa18iUg zqyum2f(@>K6ykLQteF8)h}R9WFZm#DfQ{jKHXiRMB6T5G?~ags3LD@<4{k^T1NC~b z7hesa<jvfoQUU7AK;xOD1ZD({LQ*aEfLiQ;WHCv_7i<g*-Qs2&4le#yGe~`H3UwVQ zDmK6>rVenRZ&8^6uSR<7%#ak~ja+jig?N%n^DzrVYXxLGYC>o{0;&~X8bVyDMVy(4 zCKbp)ysm_`u0RS=ZAPh(K@Ba?s10)W#k02#HZBU%jmM9@b+8dqkV3rfu|e`7Uia9d zh8if&z!d~I&Ki$^q6{><2%Tn&bBqUfhC&^~K&wLpKx1{CpFKgtD0Yk}!zkU&J3tAI zxp@PK>U2>_@Hp<Gk^vgn@Yn%rXn`gdJvv=f3c9;NW0)Sy-8aB0eN-wuj<=|QrWC=7 zJ6lvh6PlovA_AVh+al~h{Y}XHh-c@q?i`f}kKPiM0FUD=D&n9%+YSi^1_qen93Gv| z!TAu<y6R^0?DpgE=xmM<0}Y~dCy0TDRNMqSI-4^<BE7qRfZWh{*g=eeVHe0CkIs8w zt<dRPkH#Y)KSw*pK^KxiM{pqXS0SJ<0kvvDqo19BJ@(t9hKWz_UXb&<o54e;%;0Gz zP!ME*f~Ek|^%c-?kO%pzH$+9k12l^Y>1(@mm#8FofLgMkIOuj!i2zM_CwO%BsDMWK zK{6nhb~i_WI<?Hr0U)ZgMMVLm{CJBBX!U^{sHd_AWI8Ckd^(qamn?v$=|R)BNS1kY z9(C#7qXO!hdh~8l`2ljzaTgU1kO4lODJlXUy)`Nvh=BI!c4hDYFWYMt5C?~}1c>T( z1%<Q%gemUP*{lv?_U;A^EPFH_{s9VfkZWLpj#$<No$qZt0t#KwXmgxnJaP<x`XBKA zzcW14u?_ZEqV)egyW3aPfn3=9TpW}Z+80824<NjWbs)k1nRN^duR$GYJVQDkL4ny@ z2W!52bT`ic_n#L)`_H9mp3TQt5xLE?yZt!CE~w21AUvqe8z46C01I~C00mTM^92yq zyW0a4myL&ah%+$kf`%8k=LXK2NR57v?&b?%H{5`^!5?GrMFZTcGXR+g8hq(4;Q)=m zfGz6W47RpAghRlib27+X9^Eb+pm+nh_+{~bScegLz8$`13{)z3?hitW3uq)F2HyBv zi@@b^J*=1K*xh!$4m8#|Ljn};ZRf$hZ=N6l9-b)xIkI<ig#>DksU9|O>)74)8?5^V zME7Ti?h6p!YY6WIgm)VpynT<sg53u|7IZd)iVlz7-3p+#U+?Ax65t^|(Acf3;Q??d z;MrRbO977EZSwUXr%FhIohn`rPDKI`9zTS~0pb0JSjG+(>}HSztNa17vv>Cdh-DA3 zSk`=;1(aMM!RFa`Oc|uVcejNkbXe4<6E;V`y8u#bDM*5y3>q_qj!MFo(Lonh$3l}x zJ*;1dWo%LxG?v_359<(mbT?Npfrfb+m|zpQpry~Az4b@~L5Nh;P{Se0%-{M9+)AqF zgjPd_|G_I=z$FA|@DViZh%}h!)4dqfo$Fo=>f9l#g^oRf+UrQlJ-c0WKqUzzcv*Bj zyM1&xJUWp>)~9zdC}e$lSA#+p+`--nD$l{Ah|hgG-$CND`8aq25E{h2>p;cxeo(2l z4>Tya3sfxb6a@{Gf@UWeke0Z3bT=dX?9uDO!3iqJBRqD1RD)W7AZ`L`O_y=p1w7`# z0IJJCs~$a|&gjlj3F!9VfO?>t!K1SolsaCvLUIRe)X$^4*#R6A9`Kl`fdn(s_#VPl z(3nsG^=NwQVZC1D(8V4Tpsr8%YEVZASuOsUfaEGfOdw?_pWelw4CT|i8kC{%#Dp+X zVju9B0DAx&6QDxD@X}6DlLa;LbiRN27ZSFx-Ys%?VGY~OB&Kpbq*Siw+3ll;k;*rN zQn?4#RQ?^7ygZwa^CH5QjO5)N0!rSKL20tvg+s8j8B~P5JPb*DlF%&f(cR1ePMreq z)VU3!0@mS!OhSM%2fQ5M1m!Jo(gNql?$w~~kq7f?Q18g_|30`{uo6dTCIl58VC6o& zi@}9q1$ceobC`cUdRK$WL!aK&pz;us;y_BkMdEvS-tVo4budvfGc?;+g8D4Y$7K)! z=i9rFzQqHq*XhxD7}VMe0JU>HKy71Cdl=j{MrugIR$cD_x0b;H3=SiYZf4I;7L{&i z4#!Rx6%Is71~=~@#o$XLNJPQLo(QL763Ym1I);`J@N^8$VvtJLr*|``jPSr(Mj)qS zF+_BbpN>H}8j_AdPC$eRXxVP(yO$?^fF?rfVIxQ$-OU@o>3Rn|U2{XqW!O*;w&art zQqp`}nIiY%s#ZMrgGwV%<>b>@qk>wkpmmYJEq74&sJBGL1Juo^03{rs&OP7`7O2@? zft*%cL3IzPxz+8;(b)`Yg?aSu2DiH!55wDC=*@HZT76g}<FIG*aXCtS-W|dr=-3$o zTEFYU!3j#gh**N8+m}k;L9;c-RVlH?16r_wJq#|`aQhg;li-#VwEG8L?@|kI>_W3% za|O6{-2iI1f?C&|lM|#sa}c1Z%?v4UqxJx3ysz=FhZM9?>(O}+y5<FDHi!mwx0~O1 zfEPyo^Vo09z`y`%6TypKXM=8U3()FI7ZnGO&PT9*Pe=0)&?52PVhxYR!w(q2jYClB z>A0gq7~GA9MddCKe<z3lX9Cco9uMS|3b6i_$9@x3tB*A=U}9ik^64#3@af&%!34Jg zTvvXF6=4u%%!Zes1-XS6*m_iHCb;y9doXE4zXlWxaEuln=BSSHK%P#=7AhX#MWdTR zn<_jS4;wJUUG2ET!HFNdejnQJ-v#3D1QFN+2I?YE_<-iWU=d`6>LADNw)No70(g|S zyKOnBCEwW$8te7x1#gM)>0NvS;tWvM1_vH|!rZaDZ8x&+%?RDlY~i?L#VK6|q&arS z?zZE|TJ~enqT%m@rsXoSmeW|Ythk(orsY1emg`uwXhd|PX?cyT<uMj5EAA{u)AAiz z%X=(ZG!l=YX<=>vb<UvS{TqvxjKx>cwD2QqVQ&EU(m+v!2;`?v(6mS+YZ1nx<%Rke zG%f1LTI8{4$yg)A2#HFhQ9^KO1L~8IhoNg67#OR97#J%A7^QjGIVLbNFo4!R$v}<# z0HU4v1f2LJocI)+_%s~(44T<`SbJIenERQS?(u1Wg(bjBKx>K^7#JczdKefOZi7n| zB)v|229A6dj(iTy>^*F~tbHu~%xz4}M;Sq422MyuIr4FUMw1vA7(n}dK*oIpm28d# z&AQEm!z_@Wz<yz1U;wQ^V}P6G$fx1XcYv`O>KvwOkRKJm{uMyDLkHv+1_p*+&=L^1 zUa*@%esbsAz>MOlLKf@}@nB$J08Mp+`~(^bLbwBN7JB$J;R+uY1_p*BP<Mew-w2z< zbPt=W3>X*~ctGLHz`(E+<S-{b0Y^RwM?QsS<~}B-i6Ewc6CZ~wpMndF4e|%5-w9HF z4zxi4GfZ6g0#JfvBP%w`85kHqUGN^L{r(^W9r*;BnV395i2x+G0xB1UkPCsy9l;|9 zn!*BU!Di+ks6K3Rpovvn`b?NXG7JpZ^ns>NL9*y_puwUXsJ<qU0@Uy=gM}$b-4r6# z?SZNb#Wc?o*}Nx2r~}0%4=7zTFfhCYr6+J^2IY50P+l--X6=FG_B;?5nl-@b!GJlL z5mgM7&p?X`LC&fJ*$puZl$Ic7gn|kZXli19$^_#%@+mNY+%W~}jt`(tAVjYdp8~2o zm~}W&Ep_BGU|NAH1WMBnpk}dv`~*p#j(iI4d>fdWS^AjUn3%qROa>KQkbDk0TR{Yr zmKhir$`E?M1stf{bLU&Y3@ZDe<yIUcs5nEEe_%sEEujdg8Fe5tAbvpj|1ZqPu6zni zc`yzGXg~M_sJ<Vd9xlYa5W6AeraRvSMwATIhqYjE<-5Sh9L9kyuQ~EvU}U<5O$M9? z1=v8b#=sB^q9A$D7nILH=~4$O2XZF^B>lSZC4kF^Tv&S3U|?VffvN+o@&>7M0!b=> z!n>b|sTA&A29TMc7BI*v&<bvlT1P$!n3?cA0ZLDxr9B{ZX`nm{2~W&45yXU=06-dW zU>`;X1{Q{o|FKCkgPYKpGRzFn#DpZ3&&<Fe1X2%jBm)CD4nfkO#n~Y72B<hVEI`|F zq3Q)JL8=%S!2V`nU^vADF=qi(9PCd91_o0Wh`0eCL_N4{W?*38go;0aii68v1_p*p zQ1drHD|T=>#K6EX8!8T(Q3ja=E=L&{7*e3(383Lg1_lP0xGq%u08|`i&Nrw#1;jw6 zK+8Y|28R7manQsWNCu{!ofYE#4QT3TLEX;)n%rVQnDY;6Zva#rTvmeiDnrFVlMNvA zVd4{@;su~ef`NenToy7gFhoMdL1X+N^)PWIsJMY7NEBMuf%djR-LD`G5eJuB3=9lg zpyCTaW4{ax4B#?}fq~%<)cq4c37&z00bIU-+7(do1)30Zz-0&n1A`V+TtOQm4lWlM z7#Kc7-LpUkA`Z^?3=9mQvK^Fu7Jzy%4AA_@z`!5`4bKUn-Z}#V1GxRbz`!sM>P`lB zh&kXk3IhYfGpM}~Q3eKB`==5r4&y_;#~=a~2Tc)z^uWaLLfr}46OAms3@RP~D(4s& z7=%HR3=9laP;t<-97qV<4rE|paE6M*>Pc{$4%GI5i9^c{m^oLW{sIj(fXo56+ZY%a zoERAx1V9347~~&p;s<c355Xax$b{W|TO8sb_hPg66b|!2J1VfLf6jp2-fcL{0R=lY zb2xFh6SNB*n|e^4k4+qOKnON*&|WBP;`4C0a}f@ImEaIRghN~dhrgDxU=KIY&OvPM z1QpBJ#I13-AJqBAral*kJ3*^GLHXAJywQ_^0o*ocU|_I^rdLo+0&>G2&|uyF|NmFw z5MKd`U{LwOz`y`1Qef)0Ac=$88!++XNaCQr0ZjZBk~nCP2qyjpN!%G!u0h=cYm1}1 z6V}#77Z(P#^Puho6>%{0RglDy%?H&lATNW=F+#G}6iGd@crKDSayV2Vi6e&tXq5`g zeB^Lgh@>7l95y3~BfHZL<Ur_{4M+*hU%60m5QQ9%>p%j~a6lG+h(mlow7mzCMpn-S zEeAp3$l)oCB#!J(Wh8OraMQ*iJ_SkK8Y%pDBZ;dciJwCf2kk?Gg~LN6ab)%R(0T~u ze&qP7MiNJkuWlr9<oMc-B#s<kXOP5^!(kF=&;U#L??e(;M)KD~Byr^M=LYpXpynW} zH$oCeR^N?7d>4|qB9gsdk;IYBQ3194q4pxHH%1ayLNX^ANgUan`8dSSA&DcK{{TrG z+58}AI|CGt$muW{NgO%+bCAT5(_sk?@uNuM$m!%Fk~nfYd4eR4oK6Hm1vE6=kkg48 zk~p$EtC7S(O>S6vUWG&a1d=$iIUkV3k;8`(TJD3w4Ou-Gk~nhs2;mTqL=p$>w}ZJe z7fBp+8Ujqb5{Gyz4)KXN#OLA=Ux`EfD3Um6j2q^j`$*!*;qU=T9MtrMssD#0j;vk< z+Rp)nC$jnONaChQ`63aAcqtC?79?>yBy*-Ai6fhT5=k61W&m?P3qPpV0rf2z7?9N) zB8h|A05J77NaD!q<B-IW&Cf&<M^@j1B#x|pI+8fD`khd5P<I+suR*(u3}>O@pm0W3 z{|SdUC$v$7u3i>N9N9e@NaD!myCaDshfffaII{Y5BynW(OOeEp)%V~KUyUS=Z0|NC zab$CD;1K_WB#!K!zewW9<_HQR(h0J9RU~m_^_EED$m(5?#F5n}BZ(vXD-TH=S^WeY z;;WFvk?q}zB#vy(NhEP(_1BTak=4J$A<ip=2p?qkOCX6Oo1>2;j%=?Lk~p&Z7#!kd zNaD!mv>=Hio3jvy_yHtwWcQy&5=S=YK9V@Hy|0kOk=65qI-Jn@4q03chj;=G@p2sE z6OqJ`-7^PC9NFFtNaD!qk06O7tG|aNj;#JYk~p$@PG|uIDrb<@iy(<3t5-u3M^<l+ zB#x{;5J?<aeLRvlvif=);;WFvk<B@XB#vy(ZzOSK^@7lGH;{jk)rTR8Bdae&5=U0Q z4M`kX{Y4~kWcA$8aXOH_$m$i5#F5oUBZ(ucFGLbYR=*la99jKABynW*zmdd|)eAz$ z2SM&cR_~1@j;uZ%NgP@IIV5r9eEJ<p99exFbes`nFS7b}BynW*^O3}n+cO7|#F5Q; zjwFt3&VM9vWOEdu<Cy5~@k0_vHYWpzcr%hXvia+f#2t~^MLUtik<CAWB#zvUx{V}` zto|<!aZM>i`EQ0~uMLtovN>@`;>hj!J{;nUki?Pwdjd%u*}vD2#F6c_hK?75!XH^Y z6o+^{k~ng_cOi)*n==zh963DKAc-TZzltP|9BxmL#F5o=Lnrys-EV><j%<!Ik~p$C zc{s!;;1J)9L;MMnII_Lpk;IYhRgy)d6J&809O4x?#Frq6Bip+jNgUbydpN}Z;1Jh@ z4y2=pvpWv)S{&m0aEQM`5=VCbUnFs4_bbaI!VP)cAR9>>IenHPi6fgc2T2^+oF_=) z$mYC95=S;iL;>L*WN{-Tapdyc7D*ggeKe9dvidY6ab)!^NaD!qXCR3qt3QGyjvUU{ zk;IYJ|3wl<4j)(0;0v_<h8#WtNaD!mlp%>Do3jr|9NC<cNaD!myu%^R0~+*!x(7KN z#F502%`rt1M^^8EB#x{;4oMtYeG!s4vib=~;>hY}BZ(uc--#rSto{g+II{XjNaD!q zzafbut5*UI0z$(FIo?f?#F5oUBZ(u&*J31b<oH^LB#vy(6(n(Fb7Vl1=TP?`o1=~- zj%<z(4)H7`apZ6)MG{9gXEKsFvif;Q;>havAc-TZzkno;to|L6II{YmNaD!q#Z?jI z2C{l3BynW*4oKq2>O+vkk<~XNi6h7RG$e6k^?Q)Sk>iU6blxhK_~J(rM>fY4NgUan zS|oAgc<(?GM>c0I4)N1S;>h7}4M`l?oG(b?$m;(ii6g6*1x*G({fn$#8%Z2leJ~F3 zLL_lyb83*pk<FQfB#x|pDUvv{`ol=#$m$;>i6g6Lgw6LeFfbsi=Rp!jR<DI5j;!7k zNgP>yFp@a3`a~pgWc58r;>haPA&Dca7Xl5kL*qpssa@@aBo10~02`mIMG{9&Z!JjT zT1e&`LJ~)|mk*=}YA<MA7R>x=Byn9Nb5<jXBfIAlk~p$^ej$m2m@xC1K!fs7dy&Ph zk;IYR8Hz)^2Z#7<Byr^S`8p(VWb@DC5LX8cf<xVj>@OoEab$CXaflzsA^sSLxELtV zvDmAKB#vxvGm<!R|ELd199jJbBynW*|B%Fy)l2Fj%5&s?nHQ2cvN@qh;>hNtAc-T# zOF5D_vig}g#P{G3zl|i0+~4E^Wh!WRB8vwji6gr|5lI}`J-tZc$mZNa5(hZ}md+VL znF?wzvN_5)#NBX+ry_|X+dBn^_+2D%WPiOz5=VBAIB2p8>P}?yQ;@`w{WSqe9NGLu zNaD!mA4C#IHva<-aZAu79MnC?=DQ<_Bb%RtB#vzUd?ayX^#^f?KSmNqHb)3Fc?oq7 zvbZLaIC8%5M-oSNe-x59vU?hEh_68sN4EDTk~ng_n1Lo`q3%R3pQDh(k=>JpB#!K! zP9$+;dw1XvX9Q`6+KX&HKax0z3CkBIAP!VLviapm;vgnW{Y(%Csvg9IiSGw-u!uiF z5=S=Q6x3LRsz(mDBqVX<aGQuEj$HoFL=s1?zjh*tgPZ_!=RG8GWcMh5EQ7iSS-mTg zII{XFNaD!u*@z^LY|eWmab)#UpvEf}dySFAK}~y@`(u#Ak>j@lN!$jhoiG(i9N9g4 zki?PAxs5~oKMrv%P@rIOzbBG7vinPs#F6cth$N2e{@qC8$nO7%B#vy34ruZl>K<hA zcpT!BaEKqpA^ro0xHf2!5EgsmaEMRDA$|mhI1?yPu$T{N4L~+Eg668{f(Fo_>OgWJ zF%Z526^Ab80j<aeW6&{=Aa&4X8elPydLHPyE9mqrTzoCm9O$$RT>J@C96AgS7e5A_ z7l#f{!o}I321AE`;NrbdaZs88xeMeL5Y~dugF>6_aP{Az;?U+BTznmLUJzQ%!o^de z^JmcF6E1EI6-Rdu$PFMI10ta7+(7Put=k3NLIIKjiGeU|-R>8VAhLSsIxyJ!V31l6 zhOI}gfvz(IiGeU|{qhMkaoBoE&{+W>D?n;N7`Fc11;jzJ7q<R=0-88%{reg;aoGBI z&|&Au=EK&%KS5IuTmKF^%p6%gZ2h|oXz>J+dtmF|LF@mK)x*}mhoGs4t$zm{ZjP)T zw*GwvntIs!chK%&Wc9H1@1VoQk;P%_-$6H#AdAD+zsEq+HOSi_3|s#WI*$TbJ#76u zEFXZ>f-r3Ty96{pfy6)<w*EZ^O&qrVeF~a5Z2h|kG#!D=0AbkrchEUR$nF7ePz3EW zL{ksjZ_$D#4%=_B22C8c--01CJ2SqxptLxniXk^OH@Tn^ERva;ni`*1nwwgbnH*nS zk`iB>nVy%L5}#X|Q<7Pb15s3#k&+W%oSc!GQks(*Us9BqSDct!l9`_eR-Kp=U!0R) z&Y)M6nv<wkl3Gy$vY<G%1Z)9VZ)RR<QAuWEPJB^nR%$Xt0&ZSGQGRl2aWO)5YFTPt z3C!q%#G=I9)RNR9gnUk7aY=kxVoqr)c16Vn`2}FrDKG}u5y=^;$=UHmiFxU%@%d@- znRzLx74cA&*c24!WG1JA6o7&&7h+;!PG))@LrH!?PEJ`a#E#0m#N5nelu!Yg29^Xd z;uDLC5-a1A^K%Oli&EoL3riDo81(Y;OH%dR{X%t%OA?c_5sD#xPfpCqNrH)kBMqz~ z1r&W?7OrS3N-fT;N(C#yVmDl_xFokEK0hxfGcOeyZK)aYX`p~cXf4Vt&df`XPfbaO zn1{>C%)FBL#FP}UMqFw@@_NN3MJ0(z40^?txnLTcT#E8j5=#;pz#0qUO%e^#(o&Nx z<BLm^;w>3cD^in7OH$)a5KLnP)5sF0%`(wEHO(+N39QWmp$)+_Mlg*muxc|$XhSfK z5lka<tlG>F+7L`*1k=b2t2R@FHU!hy6suYjxLQ*L(*(gZHo>aR7@-ZpG(j+pjj?Jo zLTE!Ujg7$33r<q-e2b+VNCV}};?xpQ+BJv=B{xH?mKY)|K`>1W$*_b0*%by@Ei*t^ zhG3e&)uN<1Ls)YIR1{N$8<`kjRVs=(9i<SiCbWKl@EAY`48oXTIvuo^j)4JmGYyyz zBS2%IAT}GcJqcoiFtphPVuEoJQX3L103|+nLKp_n@n6s~ZBRV~YgfYBf76&CZUV_c zbU;WCsJ+nc1Vj*vT@#rgYeeA+pbUjV2m`jC3S>X1ibl7;j}c-&L^XnJfZC4`fv}*> zEC`2zfk6)9{sMIO!}LFZ){`&|F#BPANgVDkD1xYf?Vkp@AGA~-rXLo5ouI=(AWBil S1km6H==N!-{UCQ^^FILH)mCNz literal 31656 zcmb<-^>JfjWMqH=Mg}_u1P><4z@SlyU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~p|9R&;w6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA&xXkb$AY$?5Qf3E1VM2*|HSl6M4~-_>IA2Q27# z7~(M~843^Z0FTbgo}GWXof2FPzqKCN3kphp&lks?GC=Xy>6Fu%RdC#?1k8C4_I{^} z3X4Z4gzedRbRS5{qw}~&=PR(ZOLujGYwLeke$Vedo!`Mi9-Yn=9-YU1I^X$pegcbl zcHZ@De%o-oxq*QJoKRdkcegMwFt~JX?qFbGINsa?75C@{EAi-Tp2EPu;L+U-lJ@9q zp2NVv&<z&rY@PsOcQ0UIU;syH_Y4LG2Fnw5$}eXzz#_DJGDw>70z@$^QtE3wy0Zhi zt24TbbGm~|y1PLpf!zmTcAn67&fs6~T*AN2g_XgTf7>1w)*_HAvMaiGgIwOd8RY2h z$spH4^no3t4R$mCday5CI%-%My20YzP^a;?=KTNv-={md!n50z!L!?!!=uyL!K2gL z!y|b%#Dn+2#(8ue1&7-+kIq|Qf$ka=7BIsF9<(n!nvZb6Q&ub_o>AksJ1fD}@NMf` zM}CjD(1>&FJcfwF<A&dmBCtC<q4llf9#BGX;rD#{|Ey2vbFiHroz4Yd=lt{Od;}Kh z%&s`@Tmx3wxfm26$D2U`^8f$;|Hr^F#nA1X(ChKP^?(b%=Zns6P&ge22L(jWYEYye zZw5seNRLN1D4jHeq6!i3D?qUe7K6rm_ZoOiF9F9ifB#Q#cDDr?3Q6GLc-;-Mu6r{m zQoARE?C9<WB^$8IA<WJb+Tb|iUk`QzIGS1h*|3)9^KU!gnatAe!}#O>1CQ?Pg6`^y z?&6y6$sq4R3;-uVZRZO9^<Y0Ab75s*dhHIeege^2m|u&4v_L|O5yS-JZm{Xy-4G9! z$aGHzc^Z^{K|X+`U;aK3P&(?(u4vc|N;VAqE%O){7!Zl7c?&4hbasOxvm4CmY~BD0 z&+Y^8Fx<hw!0=ibY%7B3o(xg|vJa#jW?#KCy1%-+LGsW50WlE)!oSTsqr(T3^g-g? z-5`4`Pn0h8>8>t7xDcM~L8-^16E)fYgC%=dYIlLBM69VE;r?Szj*Ou4wX4N~uLxAA zVk`fGJsRJDk_ET~{O8kK<zV>M@Y^m>dFIpkAM7a`#?oMq&KQ*dk8bCHZtsXL7LJY< z6;O)u=nYW`fE92y%%xf&WgLdzU^=@PI$TsdK&m+4s+b)3ryPCFWcar8g-7ENkk!$k z+93Au1Vn!IXna$_$iM(`Q+HNEuj~KLCQzzF<lrVyTpw=&rEXA)?_33P&+#TuUIOK! z&L)t_$D2SY1tJg1P{*4<X$dS3DY{XM@gpcjfJ-;HG<NKDXLN1-zY}B%zvl_Z=Kud( z`2AmW@~C(uzl0SZ9-YmgEDA0dp1~>sk6v)XaOvC)iu~i?qz5v^rE@hX9UN~4rAUxt zy1+$cM>8n79dAAXQUgk#;4IbId<I+>T!GdF%|HHmbo!`p@b^7sVqoa?VC?L^09J4W zs;%_^f5$y0P|csBBH+`TqY?p25unJ401*QG+rV~pxPam`JD?k!ak@8y0<(KEC<wc| zLB@e&zq=X4)CQMi{OiHB4Y-Kta8U{L>HH2W1v^?)Kq2nYot@FW8^r3~3<~@1$)FI& zVjM^b|N3T-EX24Lm6@Od3}hmt4t4B2?$Ny(6s+Cg0PNnp1r#ciL7|4lKu{R-uLp-I zxV8a#6yjTt&N(Uuj0_AgU$>|ffD#v|)+5W~Eh>eK3=BS<-#vP#fDHzR6F4wC=cs@< z2%9t@Hjy1Bnh2Xf27@ptPhkuB&N(WeG!7~CLAj$FM}&go7F6nk6rn_Ei^>BAaMg~* zy<n?hi2+OOJ%q#_C`>@k04wjnh*U@-hZxh{4NBUUCrXUECxessg-&o<gO#-WeO`b6 z|9>rVycv|jLCO0#SRTak>D~-V86Mp}DjXi&j2_*d$jt{(_Cha5zro5;c=Ms#1{_%o z9^jG)RN$btAQ0sdsC@`6hMaN?Pqx10_juXq1Zon3+|rp<aoni}l#!wB3bayX9}_50 zksF7_Ij+5VjIOQU9QT6^aOL;>>)8D7AIRAZF8qEkJd@uel`N22;kZvHQpqwI6n7{M zMUX)*ovXpcAUKzT9MtWdqwSqhQ_@{s&|O^O*!jm%^NeTbweH{=ALir$SHlC2J3-38 zkp(FQT)Lezy1jEc|7xB^PCp*Zt3l2{R_>gm?VQmYz}R{K>~4OK3m%>CeLA0k4fE;z z<kJmq4S94kd31Xr#}3Ge=&^&XaR;e=K(T|RaW`QCQhgEN+Iifu^PETLXOGUmj-6+H zdzaX9u!HjGbC=FTU<b7x;BT1=iih64JM0-4z+xV~dk=Ua*a`6nc0~$;{UIH}-jD%h z`*iB4`1G#LU<29m-lOxLOXnw-&Yuu-I}dwwv#5CV?$J;HyW*Ko=P$5~PbZpEpU&qV zo%dkU9*svq26`Z=LT%W-1jRC}Ja-HW4)Eyw?72^sfq{XGfq?;3@j^Qr;8-kZu;E}X z74!Um3?Xx@`2h>4vE$^~TgPPh4eTn9-f9iQZ-$o)PlCBE2TB}0n~yOgG<kG43$QRS zbazXzFff3sf3P7Qy}K_kGcb5G9zMYWs;uup+!_aVB$N$li9=kB$WI>4ZzMo2@BHhz z&j7>mj{MtO#fumi(mI<vSV0xp;sRz6wYz|ofngUYGx>Br_v!oxcC2S_9V65m$C_8L zGB7ZC^sasZDs_7|PhbT(>%B*(2_&N<dHGoL35eR=5ljpW9=)44fYsKhaCmf<s0hG} zW`!E<(cOH58595ym_hXj3x`MZ4|e|cHjwvwc|nolk<8NQ!Fb~T1JB+%c7!faQP|xL zDyl$n!QYz9%)sE;d<@)1f<)D^<`=9C42&<!Sr`~Rd+Ye1dLf=+1|@}Vg!B2KvY;T6 zL<A9*KoNkdgm{$MqZ{P4Zn)Q)j|n287vcn_mtR1R>#Y-kY6V#$jn@)Us7jCSW)DVC z@B}b2FhD#yg$e8(F{lcN6)YaTyE#B<rFZiMR%k}@?5z`rD*{Czs-K&WNxa+$YR~u9 zX`?7(LJJxls4U3U@_1dX2UQ7*R8Whe8&v5*T&)FhwLVk@#MP|WU2OnYgw554$iWLr zYs@c8AciYI^?@8Ji`SuwP?eCh0P?U;w~Y!?%2$Fa0Oe0`_)EaUznc*p{>o4l5T7t( z^GWkD6=b)9J@c{+qTK?j9pqL;yl%CGszkWe11a5FL1jTbTChVq;0{#*+0=Z@8rcn? z9KrT76(rMJ=ZesalAD<LTlGLHnvc1?7WQmD=JT4@v-z0QOIe5@7rKEAFGWByy>(Jh z10i9;ge^>Z>!jg|Kmh^@dxU?QkIB5821%2~aAhDj;|O1q*V&%Eb!sR|v1WzlW9rDR zhS}`X`R{d(XK$S?iV0YgW%DsRWD`IMj|q|YU#odGAM-#~0CF`uECd=4gL0)uC#WEo zK(vLx<@tmO6M{kY>;6V~c?~WkL750%GB((7FqU#2Yo5Tyz`*>P9l`(w@CHzU+<3Tx z4OAfCi-wp8F|Qeqd0i|Vod+8Z{%iQjRK{Y%3NqS)9cna)0Ww+vRDL%e2352koiL-p z6%NGwR?^IW05c!N0GU4lV*UwsWTzvU-;T%p1{)40kfS>|ppFJHK!!4aDvrj(1suqR zLfqSl-#liJc?O(N^FR!cc_$!_l;FfPuN%L4Y#{S)z{~?NK;~IM+;;$rdA&rK*T4mJ zABX`mZwAD?3@&8%!P+mK|2+1aGcYi4gPSnmROQ*-W(*o+>}>X62aV;lDHnmLK5ejI zw*xpbnn6jxqj&cPh~c0VuuB5e!~-W_j%Y_nO9UKOAOhJwYgGFjyW66R7#KR61vo(V zv;~9hY36|NydgXW2+tbg6lbtt_Ya6uK7gp+-3p+}t?}>;b_RxBDtMd%a?S)~_kiXB zkp17gEgock@9rHO3=F$USfG`qNAK<l5GxkI#39)g)3*~)%wLG=U(eocm&-uyp4}IC z85nkfhE~Ag?$NuO0n`v`JiG%Yj>mk^d_@SP4chtNb04S&4)FtQu*U<b85)ez4E1b0 z9$pT1zz;sC2VwP^NAGTMd$RHH13m@@!%I6szIQb|0Cz|XXuJqqgn0JWF+<Blj5aKP zi!G$MXMw5c1`n-tgU3rC)x9)C1+10l*?9bPH3LKUZcty)gLyY-m;l_g+6iiOI_`iB zib2|)EeH5p?m+4QHmC*QzTCbz1_lQ3@R{LB#~rZ@3=EDtLHfYK>(agZ1`7j&5A*H| zEDQ{W{}GD8Y8-cfG$X0^=-mwto(zyCXoJ@W5<EV=i$TG&i-&=MVJAr5)$o8%=YLrL z%d`0yJEENT=mw7+LmHwU-69+wos&TZce`+KbT%{agM%4l65NoN6aW4H4{5`LgB#S2 z2ThlN$|%r8(LRu8aYwc}N@O2z77ziok-_nu0ICBT5C0HmVAuufiGT`iA|vz=qyYts zP|x1&X9-0YIM`bbl&E|5*1@9Lqq`Y2-O}C70d0ho=6E(AV|(fS|Nno_-a1&z*|T^1 zd18&P@a(M<geC(F<M~_nf)YyeF`<_){(-GP>L6Hvn?nvzm+-gVgCt1~gkhi_VRv@{ z8v{eL4M!Z@FwU2=A%@97m3sDWzeuEe`CCA>xMy#jEL1NRHy?$#S?;9?#2R6QQgk<K zLEH@MH+Xb6qqvy~?q<=K&;S1a@7a8e`z0Ghj|S9K&&K1I2{|5CK!CE|c983O>olR- zG2CAo;@Nym>tzMVy52f@gfdjOmlk>U*1;O&=<a4Ljq+?hrufnrWJvQd@z<fAh)#${ zcQcw%pd<$CgrFP6RVwP)d`$P{i$DMWgNM5hdo~|ae$C?9d`urDn<4_Pn}y^5flhGF zd3p5D|Nj#vfbySXNN|8>=NE7m`~@%5Vdbr7@Aj+J3=EFldsIM0m?!fd6>Mb~G*j@m z%!Wh)tOM=Y-J$|=fMa)$3MjpG%Wxo<QJpuz8V^2Z>Sc)rsR5N`p3E&Opu)_fTZGZG zbBf9i5e9~C7e)@p&K8veBA`CV9+d)C5WPiZfd~TwxWEFNgVY-*)#3%9zA|%*$_!9l z2(|bDlEp8e7SDiMd;;BKcoBl;V@M8*gOoF{^1|_0iwcJ*0|T>X?;aHia36V#$_Ei> zmF(GD2de-;3N=I-7??l`SAY%Kq9P!QPzcNSAcY<<g%6+#4d4o!k8!*_1#0)86h_xc ziCKBDq3|*ZdjNxKHE>mh5woBQ1r)OhNC8Yz%nCts9H|x?Kx0+|$zqaX7FGzMTik5J z!NlMC2ofx?aSK>HfMXUj9(wCw!xtchcw-hegaJ|rN-N+bfSFc6qZuE-iL#Ch8bTl) zc#Y;pQivzf^w#kpDa7jr-j_KLH^53h&))4f$w*zW@d@;>hLjtiRuA^n^#hcLm|Ijn zfEw=5>`PMWf{lBSYViT6#XFEJCMk8PK!X_F;$|BT2L2Xyknz2BT2Ms<V-_}`1yYDN zW?|I|NFm;s)qOb$;s)3l2S^9r)CC*o04c=l23Ru#q!6zgWMA?@+yEOO^K3kRn~2nf zT)jI&@~I&-B+-K#lE6Si-q;hE2Pk<nx2QOP`ZDAsFe5T87JypJfn+gB3CskC#mzPx zT>PzOkowvbst6Pn8L)~e037IBR081DNN=4Pl0v+ZYmTH4PjYEKW`Ss}fNV!i2#rTT zwc<-dh$~gm%mf#**lI=Cz!=CtyslJ7G7;70UgQe7`IyE_(5MG;_r<ff4mJh}vIdVI zd+V%`tikIZ8zhBz-D8UyYM?j+R}kPhYdivqGSKWEbeb#9F&^Bz4Rs6yt<Detjh%IV z_5=-+*f63DlXN#XfD#&Wa|MX%bWut0IPRj70qU1|>;U!WK$CkOoh~W`-QA$UL=Wcf z1z?pvDit2bTU0<(dSJz!Eh?Z1MbJtO0ngrT4z{3KEy(<YXXml*9F+)<-V&7nkK-&V z;-F!T9iVX*nBg2AozKDf5YnvcX7lX!<M8Ng77zoC_H;{#freDv1Ux#M6+j}ryAOce z(0KTVC<6m*zy_?f6S647qwxsH&(V%?(1l#!(Gu8vUkE5nK&@KPXk_PKkNu!-KFAZ$ z$nfdi3vzyUGk7eM89dJe3W5w!&=g?0z5*H!@*scphNwt*fMzivoqU(>5|spx&K4C= zPtl{>MI{0>;hf;n*`op)X$Q%GT-x2N0P55-H%ox1&K4B~P?Q~SQ31{V%Yk|-dqAdx z!po;~33$l>Xqp@}ZHi=>N9R$O?ma4?uBk`w7L^|$_Z)Xo;Q$%n)0v_o;L%&7!hr~A zk8W265Ad?N<`bZB?d-k)qPkr{A$<eF6!++Cz7Jyd?gowYdNdy1AjZJ33*;J)&U@fM zM=UFX&bKxm0fjDT_&3fm9yta;{SSEm-w~b?VVw`;!5&ML{=a8;`<H4^T4<gx4oVB{ zZy~%H5Z>KtkYNAQY6gbappG=2A)Sw)!0fGqHQznDn`eOg&kLaa=h8IK=3}gg+~(Qc zE?k3bGY^CZwfPUkW)`qu_XKgU$_^0KyITMhmyL%(DFzl^;GP>eYa%uJJ-VAOfZcEd z<_3R^!52`Iue<vPDA|JsU%E>;KqD|<i#j)ht?drs5b)@n404x8w+ja--aujXviLu& z!w5_N;0404HCvy-9YN5n8zcu{i3|SLa7cL!>*YCiw=36x#ySHeK;hml4fcJrhXi<d z#scK+-pvLQIJ;`?<`4}H5bf$UAXEDF!GhftAX7S<3qVxw?iZkTR`2Er3Ge_DsLXIR zJOEC0NF#TS-R<#U_Z)y27!Ee68C1l0cDMUOcpD&c_7Kb5!Ghf@K(=%?F91=!yCXnd zhThE`5X(TLuW-wnkFg-7iN@o}ApO0&AAmdw8vBGbJv@4MTYw^|@$d<VDIiJc&?9WE z8g%t?EJiv&pG4<x;Rg*Eqf7@oFo9-CJ(ys#r0tNXK^pBrB$b954pC<Q)+6A0y^a%F zyczxnFDC&P1E3K`P%9g0#L%aEF{sbhy&BYmLsknNU<7sfkd%9NyXb(53rO&?=y-Pf z=x}&+B8RL`?_yBM`t+^_g)F%Hz7rag;A!}GkoasqhBV>k*}EQ82JZ(IUHd>If4e|s z-%e4`Xy^`5vkhq-iAQ%c!p|PPE*zYY(s~C-HApRpTYy@f!OCoq=RtLrgiq&xk4}h7 zx^q+#x;;3ce&}ZKfFuyZOFKaoB6xuFxert=w8D9r#s(UofRFrnbT@N=BT4`sQQnYP zKpNviI2syJ$)K)lZyl`X3mPD0*az|eJgzuF{s%_{ILx|NgW9Pc%&S4oRKx!WwO}QV z(0~H@5v<$;nqVtH6$8`*umsy#16u318kA}wF$7Ws_A4Y%JbUY4<9E=w@#xG^;h=W} zd30U{d&Q+Y1sX{&KzSD&NubP#h$PUQr2s6NU@Kld5o;5`E;sx}!*m5oQr#t>^&pVt zi12g;F5<vW##+ijQyJKwu=KSVl6qhrbmV-AH4pD5F%Rn_<zao#ZXbP&JPa)zvE^ZS z&hczM#)~K>!68gq=IssvW!}l4^0?cDL$I?MR6rx*6cnPJ?_O^D1s(&3bv!+~n=8PX ztpT3du0wi%upTE$2?5P)$3RM&kI7QxUR;HZ=N?cbf{H9qy9=YR@$B6WD&1g<oWboj zP&=`=L?r^$ss^>BLDey+tp{G~*1HGXx(1g6;B*0Qx^;r9+-_Hn&Sp?e49d!&y0`H# zyzWJBqP+xdGx0=>O3|f=@qyNfz1T_@MA|{D;vqlbfQkS}!T}Wv=m`fLa-O|)6406u zY!3-V05o-iGA+asa7IRNq<~@`sofxjNMN932wv#`8oq)~qCpMg=xjzdtno0Y#RDmb zq2|G&629ae){Fp61jr*S0egz9TnB2uLHva~HDTBeZp1*lSJ3qxRq%#AIKVx+n;qD| zjTTVi1hrl}Cv!-F#`r-~hXPXIMq>x4o!5BygCw-k2%6JFF&b<Is5{&I#sj?Y@1Mth zV+IBWP;&$togUrJ2HoBkpw)#gDh?i<k6>-tj^-brMbN#)8Xk>@A25QOlAub_aYu(R zxSI+K+g%|3P7ncFn+;wB;DNjd0M>u;*l&Vr^|9s!ObiT6KE1^WKE1m;nBZ1`YtZko z)*(b0v*9IZ{cPa{wjNcQ2`;_j9!wh1Zvck_j6e$y&=Lrg@Ms3@1b|FeV+$1z@S@1g zpiKiFjfV}G;jVVv;o!s%UY`!_;_U+QcY+A)0RwdqD11QkORxyCLJcLy?zZ>fb~-5i zdv>=y2Q{KQn?ZxPKE2>2|31BoL8~NDGA?XF+OfOsH?r=}2;ETijyqPI(q%xJ!*=X$ zW3L5uRG=3A$D&2U-v>>LFtQfzT5y*R<VJ)om$T5c$RleJ$D&0eq7zMvHnJ9FELv9F zS&pW~99fG#7A+cy$I!GmBWtn7q9tSTRWvRB$XeX7Xj$>}37VE@WG%s1w7gLNf~F-M zSxY<?Eg5Ts7$H%KGzteU5<uMn@-TD_0Rv-I5Cda{0HZVyJI4e@1_lcT1_l|Zksm;` z6Q6(+pM(>if)k&HBcDMtTMuh5OCNJT6Vp9D4Y05TSP5wL6$1l91V|491H)}_se+`} ziO;~1&%%+<p_#pht(UcrrJuQtiTNlaNX)<q$tXuY4p5_<fq?<Ep9N&xM^H|7Bxu%c zCLCsg`~>z30|NtSA1?#kEJr>KcfJFR%~0nsRfGJf0QRo{!W}vwzc4T`^n#XH!1aRN z4DypZ-v(wBPZhFYcZdfA0|RI(8RRF>Pz%BxaI?_ErwLd1xG*p<9D%wEG`dCDET((d zTm@P&&I1Zx1_p+uAcr~e2{`geIPxhpGxsquO$0FoocK6g`4n7WY>+=doo|rxy{w>q z7)IQ<@CBd*$pThvmNPIgfO@|@Q2YHs20HQyG&3=If)W8p4m8FM5{?295V;VT+!3fg zY;vFpNRSq6X8wWd!zKrsX2hk>gc&5mz<{m~G&}+teFn*<g9y|xjE039NL>Zgj3!KV zWytClK-Gm}s`ErvcY+9Yp!fon+90Feg3=5)!-Dd(BPhKaG_&?Va&#Vu3r+suI5%KU zW<(VO<s}<XK44&Ar~}yzF$<JlAZCPu3JYk8VSdU4<2mvvFo4`q0oD5f)PINQb>dS% zbqBK!C#t26d<IM_P=!G0_6XE07LcDH`O=Y3!JTgdQ!`5+a~l)W7m&%I;t7(MLF)p4 zK=qU%^neR7P}%0rw}2T`zCp{TI7U#Bg(%~|hJac-HlQ>Q@;}H7h#wIC{|oc6E1v>W z9*n~P+S6PD)fWL8$bh&PVmG90bmzOkh>~fFv1Wc(z6*@Z797~}lOx{+My6tHGT?mn z1!{jVC?P=dk}oJPfzl;tgcc+Wawh{M{krfafXjefSbEc7U|;|ZX@b;&RvLrUIe{b< zK;hlb#8e9RE(6HS6gH4b1_scoVUSu!J_(qa@U(8jz`)Q0RhI_JmyqzpOcOy&s0jdK zG)~fok%57Q;p2a73Yfu7WlR}n2590ylFDahU=U(pVt8N%5d?=1C>)^T380oH0|Ns% zJV4t@q2eE);$XisFfg2Af|%0)%0LVZ3}C-9Fff?1K*SCBAm)S1U<L+;e^7Hk>k>gS z;BpqUHx()lTK)qP2bV<*3=FfO;-E=GkT|$ZWME)Ofr^8s#gN5yq2e1rBZCakGL3<O z;TzPQ3}PVF2=V<;@c=aOKT!8CKoi%3ihqELgUdw*28Pd2dlNvDM+^)M;Bt_Gfng6+ z`~y@RT<(ERTY!p#`e7g!!Nen>;-JA+kT|$pV_;xVf{HJY0*OM)D9|1)sQVQ_!>9}l z4B+yIfq`KQR9pa*xEUB2z-0*o1H(tC`xP`H=77r!Q2PNYzCa5iUI!`z7#JAjq2dZU z5OHuGXJB9ejoO3KPXjdlfb$*$0|PrNB;F^01~(WO7{KiT1_p+CQ1>vfL(BoUIY8|x zsQD041_oGrrV=U+<3qj2zzG!xO{szOz{D>>!w0m77g>B6R2($@0TLGm5ey6rRZwxz zG!{q*+^%C_U~q<t!|FY78;ya1fd?iI&C@V*u0s6<S_%g;2iy*0U|?`!WMB{g37}z+ zf3S%kz@a__hj=0rcJpm<h=bgV&E8Wu%m=OD$EN-{19p42;V=i3jIf!*iNl?sUDMdq zgYp43anOMl*u<r9xMv;?@kKcNRf0qO5Dsw-9R8Zcf<4?EaEOD7Q*8dS#^HX@5E3@^ zxj5W;7MhO?z#9`87{G091_lOuXnF<J7@(s54`_t*|Ns9hafq(~MKGv*VPIeY6*VyR zTad&-gE%np<4EGp3=9k~@momZMo8jski?yk#9?h~ba%qq&gkO8pf(-UouDEPX0Hm8 zII{VmdIaQUkU54(_L?H8M;6aT5=RaPSi2Zx4stkvM#Es{BZtF6By*6%0W>=RQ;+OU zH;}>5F&L0mn7?wN;vfn+9@l{cpy7Zl{t$=werVeYB#o?|3tA3>#F4{O8c7`4oyth! z$l<1qLwpL7IB1Ls=C9pI;%Z3oat=ux)b@g@e~2WGtUe!F4}si|9ADK);>hvUjU<j7 zU)zzyk>l$Ok~nfWOacu8U<v=7Na9LJ{(6WcjvW5npne9_9Ax!INaD!qyK#u`LK0U% zviB>JII=k^pf*0#US##gNaBh}<|HGDBbzfHhxj=pab)u!Ac-TJ9|Ub@fZ`E39VR1* zBZq$uk~nfYEWsgu6iFO8om@l`M@}bCki?PGi6E$ehK3t*I#ELsM|Nj5k~pX?fTibE zIK)pNi6fiy0ZAM=d>EnSJ}BIf)pH?<BZrR=4)I7NaYv+h&qWdk^=V=5uf!qVibH%N z4)M7-#8=`FKZ+!7iR8}vNaD!h@Bv9&8%g~?BynW*D$sroC_ItPcSjNj^|4{$kcdOP z6o+^Vk~rx2E|@vfki?PAKZzs`s?%ZWS@=P<2&fOqz<{jY5J}t)$-g#8;>hY_k;IYB z&p;AKR^Njpj;ww<k~p&ZoltR5*BMl=!NzsZLd8MhjI90>4slLsqX=ES43apqd(@G{ zk<E8Q5=Rc7KqPTw_323B$mW+Ki6g7;!6CjHNgUbUZAjwC=G?#`{s~DO**$-e#F5Pr z5JaRCWc4aY;>haFk;IYJJ0Xc9t4~A{NA_0^k~p&ZJ{;mJki?Pg-HarTY|b$xab)#Z zki?PIzs4cXC4>kcWcQ0Ai6fh%jU<k2uNjg!vib-d;zdZ}$mTR4i6fgc9f$ZfBynW- zA4C#IHs=bGII_L>k;IYJvw%9B(E1KpTpEXXC=T%)9O7+A;>hlqh$N0|?-C?&Wc53c z#F5osLJ~(-{}@ReS^Zxmab)$}(1HuS{87arZjU66Y>qFIII_KYIK*cni6fh{5lI}` zoYzR=$m$uP<7nvacS90KR-cF@j%@xiBynW*2a&{))&E8kM^-Ng9d|=_k2jJyvid|M zab)vnBZ(uc--slRto}8UII?<n=(rxbdxDX~k@HtMk~p&Y_mISq)w6>pi?HM)c_eY< zc8DXAII=nENaD!$)+31{o3ju}9NC<6NaD!mfEMF`N<{Q<V3q`lLfRY1=IbGegXS+_ z?GsBRab)v7ki?POKha3y$m(lxh_6HvH$`ga>_HMoHs>CaIC49j4>}GD@-MQu5|TKw ze?5@Ik^LKnB#vzFZXDuQafts%5=V|NE@?#gBbzUYB#s=OI!NNk>O+ylk;5$oNgP>y zHxBVFNaD!m97YmHHs>7<aRKOLJ}CT=)m!5bPeBq#wznKf9NC;jIK+?O5dVQgTtyZU z{>b*4BZ(v18;3)@28Z}c9OB1ui2ubQZU-GmM-R^|BynW-*CL4{yMHl~IC6jgHIg`T z`uv6@j%<#MJR;nX%}GHLM>Z!PNgUanDLBM8A&DcG=X;UFk<Gb{B#x~98Im}%dKS>& z1+?5oRxg1hj;!7VNgO$x!;!?1)z>14BZtpXByr^Mxqu{&Y|b|%ab$DsK!Yq$_amF* zi6o9}P96^N9wc$(aF~uHj%?0WBynW*2av>()!#!BM^^s{NgP?d0BEob>MvyV(n#XS z>MfDPk=45(i6g5|LJ~(-Uxp-(tbP%aIC8viMG{9=e;Y|0Ilh#Y5%~o<zVwj9k<AG~ z5=S;?4w5*sIm?m6k<B@SL;MwzIC40AMG{9gM;J7*jwN2?ki?PI+aQS}n;(EAj;uZp zNgP>yC6YL@`sqmG$m$m%i6g5&fFzEr{tA*fvU+CFd7M!HBFDQJk~p$@8zgb$_-a8C zM~<(4BynVOwjzlmoAVb*9N8QW(4aZgoyg|s;t=;n5=Rb)FeGtgb4rlJk=55Di6g6@ zizJS$el?Ofvii$7#6Kd5Bb)OFNgUZ6DRo5tLRPPeB#x}!8A%*jeKL|bvie3Oab)#9 zNaD!qS0RZbtKW(wj;#JNk~p&Zhe+bc>Ulte-OzYPR<DO7j;wwXlDHmHyZR84IB2N= zteyWCNgO%7u|U@)fZ8D-CQQ8(hy!&Gvb}vs;`&JT{zei9EnR?_qYWAahnj=zo+2c1 zWcO4diGz%UnbU+rd^eIfvOBNh5a$66E<@detX>*P9Jzh2ha`@y-XDkfawKtNe{Dh% zM>gj&4smx-AYyT6G7j-+NaD!$E<_SXw)X{+ICB5!Gm<#6`cPd&eneKEj3kb%z79zo zxnH&(NgUanT}a}{=A1+lM~;^}NaD!q|KSi<1Z5H|;pu=Rj@+-z$05E0NgUbz$B@L4 z-SY`a9NBy)Q09TU2jpZ}I?qEAM>f9{NgO#}v?7Tk+q)cx_$eIXZ;-^1-6;*4OoF-- zSzI4U965f&k;IYRpM)fi?9RzZ;>hMdLlQ?0A5qXG8Pq+<=F1|9BZrR$k~p%xUP$7| z_Rc~QN4EDIk~p%xSCPb#?R|<Qj%+UrXfhJ&eq?)%afsI-i6h(Fg(Qw_{(2;FWb;2E zi6g5Q0Zpbt-GeM{g+n|ZNgUby%}C<N;r0wk9J%}#1z85Q7rDHWMG{92PhBK&5EGVP z{6QS3Imqs*!y!HiNgO%d?m!Yp4(G#2;vgo>-WMPa7JC&yjZ3IFvN_sF;vlUsb9|A+ zk=@^mB#vy(Y$S1Ha~>dxBdccs1v=C{$m&&*#F6bSK@vxfmx)N?$mX0!5=U153rQSV zy)dW|40R8vYXS=g8zgaL_eUU!gU*MAsV_wmM>c;Bk~p$CyK#u$$05!I3KXb2k<Hgc z5=VA_D3Um`y@g2P$nKwwB#vzUO(bz-b9g|L+)(!*i`(N6FTx?d6o>c?9OB%d#Xwl> zwZkD^h(mk{4)I4g#38K>$Yw;)ob+5!0~P8HkQ_)1gs(uwq04r_E3!f2pu?U(>Y&Rp z;Nm>c^;OVmSh)CFs5#JS8Mycps5o>O9xi?iI{ytFhJ=f=K@Elu^T5S>q2kc)DO_9= zI^PLxp2NkzK*gcWF}U~===>kFdW4HdLFdCjX$j<SP#A!)0aP5_Js>q890MYt>)Al+ zVe4~2w<Cb0Kw=;aTc7&{B#5jYy50-64j7~sgkkHBYoO~1L1G{bTc>;iO&qo^5_Gx) z$O@2J5QeR1cL8yb?1imo2b~0ttRA+WeGQs=*m`!*q1?#oVe8qSps9zgX9pb$j;tQG zo?QmCNCL?{u=VVqL%NaG!`8Ehps9zgXRkpMhplH{f+h}I&wd6?9JZbvbWH@Zy|DG{ zp!KfE;;{AXHPCbo@-_&=*0Y07c}7+bTh9(!pN}jKTh9(UCIeX<ww@hy_!Y7^Y&|>Z zyd7k5*m`ymXgUJ91%zSyBy7;cVf!RNN1`H|1KTG7I^`Z&9JWt_Au~HOzPO;YIHQUo zH#Il8pb{*SnVOm!pI4fjT9la_UtE$BU!0kqmzolvTbfgnS&#!!RF;vF6JMO1k(yGP zlNw)Al$ckXm|T*Xp9fZ*m=j-|lV8rDSCpEQs8^C&Q3A4{IJE?90a$NlUTRTEW@1i! zQEFCdGDHGyUO`cQa%yogLUn3cYF-J<=z_$e#N5=9)FOm@PGWIMd|6^nX)1O_#Rd5V zVAUxw2G|kF8L7$H@kNPw>8bJgY4MqPDXA6lP?gve6z60nr-BrKf-4tdVq#8adLBbb zenC!7SuVtm%Dlwf%w&{M0htDt1To?hi;5B}<CF7q3lfV`<5LSu6LT2!^72bk_1yhJ zb&E?9ld}<uA%0Iz%*jcDiGw2ztRe*zeP9-@Xe&xB&a6rWE5TwnT&}nzw<JD4FDElE z6&h`+8S!bLfJSI7$}G;zOOH=YNr#w+%gW5WlK8}w6tG5IYC!UO#U({0iAfB4#g(~W z8k}5;@>3E^5*ff63*t=@4bsw5lP%+mOOxU)8B!}!lS@ld<4q7uV+7O45~j^E(L6QH zFgXdV%>tnf!8ArNjV!QgGe>AcFpUvRBXg|U%n;fTOk)Jo$PBACQ-n4I)7TWNS`&m? z1k>0At6F2YT2lnm1i>^m#;VN-p$)+_K`@Ptz|jj%Qt*6>r5s2D<;>#L5>VPThzBJ% zL#*~0BJ49H!(;|zs|~Q)V1Tdz!8C!ZMM-Feu(kxK+$0w_GBLoaN)#hFKpQ$37#QTB z?Jk%kwEBl}89@8GKw{A19xe`Q>w-AXpzTBu8-$_FDG(EklaShmU;!wx0JK>Yv_BX+ z?h0CF4XR&Y?L}C-ZW<E<=#W2fdkU%*Olg1`?Vx>nU><^iR-bTziA<0+7jOY6V@E!O z0oxA+vLB=u-TppCi2V@N2r>a`KSBh;0)-WX1tH}i?k@oO4I%;|Vfs&?1uV>dh$;pK uNgVFyD1@qjwmU%X2Q9US>4$}1C+M&as8VD~1Jua|?N^7|4{|p){{sLW-WLx5 diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c index 39a36f6..d6a160c 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -67,7 +67,7 @@ IKI_DLLESPEC extern void execute_37(char*, char *); IKI_DLLESPEC extern void execute_38(char*, char *); IKI_DLLESPEC extern void execute_39(char*, char *); IKI_DLLESPEC extern void execute_40(char*, char *); -IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); IKI_DLLESPEC extern void execute_43(char*, char *); IKI_DLLESPEC extern void execute_44(char*, char *); IKI_DLLESPEC extern void execute_45(char*, char *); @@ -79,16 +79,17 @@ IKI_DLLESPEC extern void execute_50(char*, char *); IKI_DLLESPEC extern void execute_51(char*, char *); IKI_DLLESPEC extern void execute_52(char*, char *); IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -funcp funcTab[27] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; -const int NumRelocateId= 27; +funcp funcTab[28] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 28; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 27); - iki_vhdl_file_variable_register(dp + 14376); - iki_vhdl_file_variable_register(dp + 14432); + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 28); + iki_vhdl_file_variable_register(dp + 14656); + iki_vhdl_file_variable_register(dp + 14712); /*Populate the transaction function pointer field in the whole net structure */ diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o index fe67fb75df5711ff214bf141ee491e1ed7139cc2..752640816f42f3e991b3352775c7e734b65ec377 100644 GIT binary patch delta 240 zcmbQBdqH=C24lcPO$7}Z1_lO?Zczph)oTi(UVsRX-rWwC3=A-Kh2_S=>5PmrlLeVx zOjckL*!+`;or&?mW=2+i7RJMqC$ft(Ufe9m8N$S9I5~~GiYv7uHMz7THQv-@@_lZ- z%>q0>Sa@%M%w=F;U}Rumu-N=pU^OG7#pabl?Tm~MCL4;FbFScnC|EGLP{f>xfqC*O z5j!Rw=E;IgVv|HQ7!4+CirO;<OwJUwXY`o7Qq-RF12aTJ#N>~n=A0g!5Z=4VhGOQN OD+D3Dg_A!D$pZl3FgsZQ delta 202 zcmcbhJ3)7X2BW}4O$7~U1_lO?Zczph)oTi(UVsRX-rX7&3=A-Kg2l$d>5Pohn+2J^ zGcn%S%*o2n!gy@6A!i5^qtWCx?yAY$Ji41rd498O_7Gga$SAP+rBFK~<BiFMBIcY8 zd>|7U7&<0z6ftM|!8G}mh#iv(^JGCLvB?gi8jKo~GezwgJtnUdwP)m*{8H4O^93_R ehr?t;F>_80P6+Su<U%oX&I~~aZ{cJ^VR-;7F)-r* diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg index 23a1881fd093e1a304fd812ed5b5e194ca4b6f41..de150cbd13fc0ad4c12fcf53bc906944052ece76 100644 GIT binary patch delta 4406 zcmbPHc%x*3NNmpC$LU}G|Np;(fq_ASm4QJ(lYyavlYv1%oPptoBqp9A&A_lio`Jzb z6@pi&GB9wcF)%P_La;mo0|N;AGcYjtPb?IdoX5z(z{kSCFq4%540sqA7(jUM#)a~X zf?Ny?44e!M3{p@!eey*{aZzCg1_nK-NDTu60|x^G!;#5~Owx=hlO>sy8TBUHGAT1Q zPflb~=4^$U_iFM+CV5s~1_p*NAeECpFtJR&z@)&~J^3S(GFLAH1A_nq1A`Fb<bRA( zT>T6T41x>{3^HIY=R}YU0|SHF<crMGoE8iW3?LWiPflc!VPRlk5Se_IS)S90fq_Ak zfq}shtY|R<1A`a?1A{l1w}F9yL7ahsArQ>l4+={L28K8=?*{_|gCqk3LpGRa#|ZIk zDTp_D0SgCXJ&48W$jHDT!@$7M4(0_gGBC(8FfdF3@f2$r85rcifycn`9|}MY1PLWv z`kKoySy5AXvIMIRhX?}$!-R`pb0_<-DzGSA`kFhrfK`F>!Nsq+ObiSRCX)-<q~Sbs z5RU~UH~9{$j3r3xf~#M1K`{cd8bq@(Fff2Tx)B-|icsUZ86XY<(X5jfvI=v8>;maf z0T~Lm0>slp;WeZ1T2XlIARfdq3hWRUgAC{f3B!%+Md9_M@Fv1}AV*oC@N7|dPAI&^ zD7+0Qy!|M=A1FM#$s0MOAr8L40dX+MVn>iL*ufxP0Ej073Stls6pf(R14Y|p6;54d z89BqrA)K0Ex`9)Z`ES1A<TX(K4NgsgSNVqSAjJ#}44~-KpPa}k16FLn1<@1(r8~GF znzumt51@P=ZcP>$Im2y}Cvt0m7$+z1<<?-8ku$u*F!>d?x`2$F;WwxSpiCGEvH+xx zf3hPFScA;uTnIyZ@=P8Lka{adhz5}QSeSa($sZxg0w&Ayf|bP}DN6t;1L@5`5=?{% zRwD@}fdnmN<P3X}1e2lOXJ%kv011O~Bp(9<1GqftsWgm(IEaC3@<9OwaPTbPg#^zT zUa<G4O#aEM!6PGQxD2G7fq{W*a-pCC$e%kV2l9dad3tg!gz<RtN<OgK_i(j}Lei5B zxLHB!|4wG)2ZtXw(_}q<b&!zg<Vb$726<2vfepVX1U6i2@<x8J;ii-C@`D4#5vBxG zT1<Y(Cu1WcXBdPeD1qixkQYH-1uIdkG>iZ<7#KiVLlR^F$OU<mdj-J8*CEL&OwJX6 zq?H{4nxL>xgN70)A#zSW$OVxU5Y%Lm?K13{Y%2(M>Ws;mf?%_jLCpdw=LIPT*|cTy zK|u|c#f^r$CclNKKQdWT2(11bl6pay`kRvrg}}x>nLHQ5_%QjTkn-dPE>@6}7(p@0 zz`y{qLlov4;mL}^U_GjneTBhBTOcWu04d9nkuwZH5(E{lpc(}v1d26KZ2=E8feyn6 zkOb5o1qKEN6$S<dc4$b0k}*gvD5Mz#I}9_C)PhPomB|l<C0L&J80Jsb6p@}RA;N3$ zw8yXkNtG(pM3AkZK;UO!V1Sz#(Q4Q_xlu%Va)Ai1Kvb9EbR><MlY2#ESPoSg&Y65u zM0)ZG5nh8sRfe09ROm7=FtmV@6x6Yx8U}8(ewE>l$%dlRlNCgH1$NdOo<P!QFxggA zMnJ#H@CK5g;p9wF8JnH;hR=`$jiA*v$p4_Q164uDR(wWMYdra&s0_%eUr2%`li!NU zNa$A?{znotWnf?cWmC4v2RTJRDTiUQubB7b2C*5FE5s8vSBMugahWmXWag#D$D5c< z{x9ilWN2ax6)-e0g|bXxYRn9wB4#iVLo?&a;ZmC17ElReL(9qCQnE}IhMU()Wid|X zmN`55tjzk&g|fPgn-|E1u+>{JFfiCLFfi0JFfbT{DnkYa26F}m29P+Y{i4pmz@PzX z!!Q^!Fff2Z2Bgl6fq?<kBCup&V6bLjV6bOkU~m960~i<>KurQy1_lN<1_lODN$tVF zz~BjL6fiI__%JXq_=1`Q3=9lGAZvpe7#Knr7#Kns7#PAC7#JcL7#JcM7#LzfEd>S! zh6Dx%hC~Jih9m|C22h!o%D}(?%C%XbwgUqLLk<H2LoNdYLp}ooLjeN=LkR-|LpcKj zLj?l^Llpx9LmdMHLo)*d1E@jN&cMLX$-uzS&A`9_YWnnpybWsQfP7*LbvdYJ-^9Sc zu$h5@VJiaz!!`y6hV2Xt44|gqP6h^sgA5D|hd?ba1_p+s3=9m%7#J9iGcYimU|?W4 z$-uyHih+UQ3<CqhMFs|jOAHJQml+rst}rk#TxDQjxW>T1aD#z?;VuIM!#xHDhWnt# z*8>IyhKCFc438KX7@kaSR1>a$%fP_!j)8&U0|NuY9|i`7zYGiv|3D=bBLf2?BLf2y zBLf37BLf2qBLf2)BLf3FBLf2mBLf2`BLf2uBLf33sBy{2z#zcLz#zy7YThw02s1J; zh%hoRh%quSh%+)UNH8)mNP=2dpf(k#CB?|Vpuot$pvcHj&!EJ}z@W^?z@Wm&z@Wy+ zz@W~^z@Wj%z@W*<z@Wp(z@W>>z@W#-z+k}0z+lM8z+lA4z+lYCz+l42z+lG6z+leE zz+l11z+lP9zyNC6*)uXQI5RRZxG*v>xG^#?xHB>^crY?Bcrr3Dcrh|C1Tiu&1T!)) zgfKENgfhl6FoZEOFhnpiFhnvkFhnsjFhnylFn}u0I7SABct!?>Bt`~?WJU&t6h;Py zR7M7dbVddSP(7N>$iR@p$iR@x$iR@t$iPs*$iPs{$iPs-$iPs_$iPs}$iPrL`JuY= zWCaZtI9{PEFxf#vX7XEg?#byIx|3xzIKXlXG<4wX8%S&cO@z1y5*uXB1Wg&Zo*hVX zACTA@S_u6KNbDI%><dWjcx{f!0@^BYa~-r1`YVvxMgo%$Xd~=@fh4D(1JlDgIZ{U( zZq@|2ILqV{2=*jhm~xiM7D#LjLjgvn$&I?wa07m*3rud%6_|WNmj^DkLRVpu9)kNo zS7EXR5??}3VR8WyKR{1m@(LtA$iEZxBql%5<C)k1iHZYy3X>)D5k~&dQ<xlp#IG<C znCzf0Fu4OsEJI&m@&P3N0)2(aKj3`U$v5@oCmR?b%oH$Cn4E#c_b^bHya0*cV4yJh z1`>aVfx=_~Lxg?}LqwQ;Fi@D>fFze-s4#g4f-iUi)U{(^V7LIK*(X0VM1<)KLxss2 zMhKHZ3G0EOz~lrZF%Bbz$up4n71{!m1B?VFUqBW!QkcwPj4<bgw!q{AppZfm(=Zg6 zoMDVeGz!KFlQ+QSStmyt%TIm*=d(<nV5~4%!31IE31fxH5eWVy6NSkW5ZuWxj1(rH zK;m1NC`>X%=q@l(m~4T>2Sxn`6N$+MNMa966eh1g;!Bt+On!jiGj5pNX(~Ti!VGRI z*A7sH&A`C$KxVR)nG!5na{d7I;20Pf6ecs8iBIl8XqbFokAq1=e)1}WVlD?zqX<;) zt4w}`Bs>GuvH^9QwI)lMBaE)l2bIqZ3=ESWnu$-&Fh{uMfdND&!*FsJl7<JMniN#V zPYyH}pL_#J!v#?N3Ua*h<X=b{4wyj9xiR^mx%gxc3xo|jKn)8}&0;z^%L3t~9iTcI zBs|&BLY&cOvY>`As8mzj0jl3Y6&92RHPIkOLr7n^$`zn`k%56>1C&Nr=?7B@00LTd AxBvhE delta 3905 zcmcanGNW*UNbJkyx6;4-|Nnmn0|Ubc76yhN>I@7ToD2*WVhjull9+gd6a&KoIR*v? z6$oCS!oa|w%E0hK9fIW;7#Kj<hk=2?XJVnaWH%!N!y9G>hMBAkV8Fw`zyQKKH!hTC z6y#)JU;trBD4jC-BBQvd5Ca2)4pgLqfq{X8fq~)3WJM-vM&-$pOv;S9lWm!l85<`j zGAU0^U}9kfnfD4L&)Lerz`)DE!0-jcV{D&%mPwhhbMi+f<;fqISXc!Z7#M^mJ2Fdi z^)fIp2r@7*1T#!t$1KHZ4w7JCV33)7ky)D4nt_2q800N5&w+t~L4<*UK@ZGZ$iToL z%D}*20p_h^U|<kqU|_HV@mTjVFffQu{>UuP`Hg{rL4tvSAp#`MX~W3C0P<iGm}kq# zz#zrIz>ovtO<usl!B_%far!YbFvu`4Ff@XAGF6NW46+Oi4F913<Q`D?2_#Rmo2<j? zzyT6)NSbChxr9}L^Fq=zJ0=DO2II*GS*2MffQ0w3DnJ+ySQT_ZA|QDysEHtxiWwLf z>Y;%QGAtl%njIH740PGVc@-EK7(m8zGcYj7P7Y*~2HOFWR|eU^2{IAH(?#JmqVSqg zcF*h!Pv72x_iL85S8Hwv#8#A5~7#69^Tt2|g0$UW8|aX8Nbg|`rew+@B37lro? zg=aHaky9FOrY#E39);%z;t7CV#lXM-iYHJMO)lZoWu7CTHhBrBCYZj!smUCWq&E2v zl&`_1DPWzX<_-#a1_lODZ0Jwk$SDI>+`t9Vv<6Dw;DTso;f9DCa6|Yp+?oP&1k|=c z4FTnx5Rf4t!IMaWp&&t)IRa{TCU<gcfMh`_5~Kj6B^)FR669wD2|;pT1W1r|j)0mB z<77S_b&zK5$(B4|%~nY2qCx6FvaXXS@@Rk<0h9Ma7%`JyLe%FYsgDP#2U*sLB$zPy zBCibd=@PZcA9x`?l;MNW9(-UQc0d(_k{I`7L2en4MYAR^<OAEdX7X7GWB=rz5XSY% zs{CN7$CCpgjE|FR`87cP`Oi3c6~8*j1)QKjXJB9e87e&4kRNQQ@MJ~-uui$jx)6re z<VXRqx2=)Xi%;GwAS0k&tmcR$C;>7AWO6u?pxk6fL9of`lQ{)7z|or^2=QDGl-?nz zDG*hnRtnVxO4D#pHcl240=uVwvMq!$cXFnXhJaPM+F~U2{IEb-JNY0)+4jkAA&f(l zC56H6KZm4V7^eRI<U(QP$qn4Bps@MKG<lw|I?o&dHD+c=QsADPD4+oHk{C<?T&NlH z%YX#+kOU<rM~cW~#8#=9AqmPu3qE#e5(gzWJ_ZH`aN!?YrRI*L7F5K53Q3q+P%yyN z&Z|=MMN+Fg`L2iz%e*SJxXBkqq$f*=@)|^!s3jw*P-kFZC}Dx5Wstu?r90fTiv?<> zlQl)9Cl`qF3iRZtRUv8Ap4=-c!_t$ZHgR&JsPyC$qPzx1MQYQKROmsgERZKa=@L|y z!;St|q_%AGO;PE|3SzthMn!7dkTe=hwiS~R_*bNM1WC|va;BIJi&2r<#mTW^(z2jJ z9@(%PNJ@<-9~6@T8G08<&}8ykF-WN)ApU>{<Q`DWf#}I+#8r4f4444ZW?hMCOd_TX zIhlE>@$n`whM|$=<g-%FqNbKm88Z_o!_drdvXiu?t{IeXY-r9<T$&r7n4HW|T#{du z8ef!JT$)n?lQy?tU|`rhRXU4tGPmr($=79<Y;KcNVq|2PyiUb(^8<Mqwn&f)8wLi3 zT2TGOz`$U_z`y{iZ$WjDDgy(98Uq6ZDDpHI7#Iu~7#Iv07#NHg7#K{U>OlHIYV8;p z80<l{5(5K+BLf42%j7^cVO}o=1_p0XTZ4gtAz*T$ns8_s0|P@i0|P@e0|P@00|P@G z0|P@m0|P?>0|P@M0|P@c0|P?}0|P?_0|P@Q0|P@A0|P@g0|P@Y0|P@I0|P@50|P@T z0|P@D0|Nu7@lgY=lkciYTY_8-Y8!xB1fX`n1_lO(jUfLsFfeRkU|`tFz`y`1nzu7B zFzjbwU^u|Qz;K9xf#L9ELv?Y^Qw$6Y=NT9nE=&$o7p}j?z`$^ufq~%;0|Ucd1_p+E z3=9nS85kHIFfcGYVqjo+&cML%f`NhIB?AM)D+UIJ*9;5{Zx|RD-Z3yR{9<5W_|3q; z@P~nc;UB0*hsHM}BLf2yBLf2qBLf2~BLf2)BLf3FBLf2$BLf3BBLf2{vG6f6Fz_=n z)H4V$GB5}-GB5}+GBAiRGBAiTGBAiSGBAjPnkS454AP+H2qOc793umRJR<{x0wV*1 zA|nHX5+ehH3L^u9DkB4f8Y2UPIwJ#v79#_LHX{Rr4kH7D9wP&TJ|hEz0V4y0AtM8W z5hDYG2_pl8DI)`e86yLOIU@su4P!k61E?M3$jHFp#K^$l!pOkj%E-Xr#>l|n&d9*v z!N|Z6z{tQ5$jHDD#K^!9%*enH!pOi7#>l`B&d9(J!N|Z6$;iME#mK-A!^pr8%gDfx zz{tRm$jHEu#K^#q%*eoy!pOjo#>l{s&d9)!!N|an#mK;r&B(xz!^psp%fZON0IH>` zCM#%)PZrSBVJaw@Y^P}kqRJrDItX>nOlp#r3`kr~3oIQ5q52@yF$nb!LY*^{njD}F zR-LB}Rvo7=HTeKU<Q_!ioW9g#10Ap$KOL|dI|Hf73m_u<AR_Awq$UgKg4O8hg4M_w zN=<Hnh|GhC^chM`{s0l-(*vvdXDBr}K@Y5Jo1WI>6?!}%XTQ_an*0F5T&F2D*+E}m zvV=ZZCQe^#asY&RPg`p827Q6a9T4t0eXYp{AWT0UsmTfk0+WA0xON6wlMM{O+V|;5 zO`c#NFgXLlU1y**c>#o}rz<tdP+;;62v5#XYqEeLSj#+JsmTR~0+T%;+&)9C$qf)D zpPtm@2ZjQZcR;xR47DbIfH3onv?gm9fwkA^Nlgwg0()?ok=EoH5K%E>t;rW4Oh03- z$sERDwQa^)lN}(;ea2doE1*mrsmTH+0+TmDxOyg9lV3oXpuoFeDll2W1gw3YiPq!@ z2on_aA4~)$Pk?awOtmJTfG|N(kYFk>$rP-m&Qxo%1%&y|RBLhpgb4~+2Qz`mD<IrB zGp)%FAWTrmZZH#=EMW%Le$Gs5a)23+SV0LWJ45gZP)7k&Do9N(GE?G3mO3z5&|H4< z0W=K{Ks^Xhk4bj&BQqrwvtEE|8U_Xi0lCRi=BQE{plX|efnkC2<RCPu22lTwfq~(N z#^f$EsRU5H2r8!ZCLcnRI-w77e}KW{U*<}p$nHJ?D&H9x7;a2nXdyn?!vfXAJ3u8r z0|Ubeqsdtos2W!oL(DudS<q5^@(whO3qaKhsLVB)d<#wE0#Ho?lA7FTDLz@l64k~9 jW)NdHm`(PwM741OsK94nV3;xaqow%d8J0Xe$Q}Uz$(0#n diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem index 3f1952309329c20eac3a74309a530a40f92526e6..7bdecb7802fffa93eea75ef3fee51d33126e4473 100644 GIT binary patch delta 2775 zcmaE<_+C+o0S&y!g9sde(h0>I4Z~R{I`B{KV7*aq&;XIG=Yr4`jBjINb7I^@=Y5S& zQV__{d}_|kBI59^D))G)V}NLf$I?|>GnhhKBh2neS1<j#?q;ktr@fL;2#2CerE}9F zFA)t74+S{`|A0E}C;=OVue}_cocAVFoaENJZ(e?GZ}IW!w|Bq3`>Hl?anJdg#_9Fv z?w_&!o}WME6@T2O2B{mOK2^_6y`JV=O+LBKc8j>}G$W0gTTC^MM-JuP5wF`n!*E0A z-ny?6`%M<{2{n0^pSWJKe_NZO|0jbwho?QE4v)lr>i+R9jZ3`!qWJy|tv1KY?%Pf> zYfX<42%g&O$)6Q3Q}TaVtLE<{CEo?!cFCd->P0R!dG?>$XK^pp=69!|8e?a$!B5$1 zb61|@;WIp!Jd>5v+3wuEO}f?7W*F!C>^i*f>+74*UArgQ9jUDjc{QPb^Xj=bW>2`k zeect5)6fQEPj0ol3-A4^y$w?H?f16TCaz9*W;3Ns67#wB{<cP_v+AZ>+xOUe-%0LM z-R;aPbgF)H*XL)i=RA+{O8qtGnZV;cy63g$?}}b|`P;KI758r~v%VO)_WO$Gif<LX z*TgPo;p;eFJdGiAl9<mg&Heo|+9sYqyyE?0=^O63zY|||TwTm4>lfF1zTtesd55&? zZ}+YHb@rL@1LJ}aqX}hmXYbf%qjmB_;V+&aJa;ZhUf>r$BhOav6lC!7&+DnbCdob& z+?{{!mF2_0^ToF+O*ejjQ(Mt}(PkRUlOIAp|2+5nFQ}V8v*PXK&>fL)zb}^1mvcN; zaH7=Ybg7-F``PI;+c&Mx75Di4<8IHF>(ghs`S6;l)n4iQ{6~B0rg-BOnx;bgFaI&S zmb7->{zJ|E_oe1+yXKYqO#4NB)`FO=ak=vy`U}r2D3tx0P`Y^4#;?zP7YKh`bYA>c zf6R}9myPXVdT|?4azCAYapSXv`!m~`kB8QEKDuu6<VWrSrKt4%MQkB?I_7LAR>tJb zVMxlUNh*3ZLrslw){I$mxH^+3PnMbV(jcMXy4pAIUpvm{Y&say*Za-ng2=90X%_WY zruG<eh}`C=44Z$ty=}z;%O0=UmmEL!n_g-42{-GVaI*K@Y|ea{#KlJ|U%M^io*lAE z^!>D}(@Kl1`+b-69PQpzeebCI^RE8dKL<bGdCwGfu63*ZvCdSTr^#|+O)Ddq%jVXy z9ARGU@sg>bx#9T168(ajs;ZnlpIed>{7+7pRX^{HufIqB62t4q&m9j=kw}=UR4FfY z{kL;Q;M}DB8!OjF#zyBqub(l0{_N;;_66_m?7aEs@7Ace&Cx&8E^y7d?U)+&{Fm*F z!)jmMH@qnMEzsL)+0A5O#cQtqX_j-O(7GO(LlZyD`|6QB@z)ybAD=e}9I?n>W1z@z zlK;H)nmIQ1^$}?oq<JfL7B+uRne^JR%1q;LxNqFi?W_W4vN?NGGdy-K?Tk$@{{PuJ z?QD#>!=<m2pXZ-izjz~8+RNp!^TO-)Z=e5N!rq?u%<I0y-=2T3U*!09^I>@XjoatH zJI(v~heztX;o)z>YDydSU$uGpB)BEzZ{;8J>)Xua4l(@wyXat4{X4IpvyLf$NDnxk z9;I>k+WfTZpKICjp7MCaCcjN^-E)dthvBtX-R6r?%x7PJTfflN{NB_Bt?St5GtO@m zJl#-j(?6qn<-0#ml}|3b{9+%^+vtp1TXT<9-mf>l$(-=o#b`}B*Q+!0BSas+&bxj- z^2Fuy%Xz2$S#0g`;zfE$f8xv8_2<?9K4JMi^UtUJ@_ys>O#h4C>08V%<dnIu&cEl~ zl0W?yD|Dx&%00QopLX@v`pJd6UVpVndGY-G#R~mdOXq+4eL}r%^Y;1iW_5PlHA>0$ znf?jKZ<^=X?Pqd)_PNr;X4QF<`CHUK%qgD#<k!zoj!WnFFWk$ed%ASFZR6D1PbXjN z)c^WfzGZSo<S)-^CjU2&pI=w>u>artEo+O;-zBz<vdi;!zW&F{aq0a3MGyD?I(5bW zmaxVD?k6Af;?vEuwjO(~aH8su{gxGZ^DD3aPWhLZymI5=s=Dc=HJjoq=RH1^l6(L5 zZd>CErwb45J)XY&PWSf5d;FF3?9AVr?yGyg@tkr0-uhdw)8n?kzPeVXZr!rR{h7B` z%k4dSVy@JLnC<^0H?Pe2Iq}H_qg_{OnYs(v4X&qf9B|fPOt~=cz~b|2=XW3SKb$A? zzFpz*4sOOfoO4oTwy1wtR2;AT$K=hikB%HheZd?LwjPK)=2*>i-sbx4suMQ-wQMzP zHEevd7`N-yiE~AY*PmaYaF2CPYU~o*M)ChPQKc8pUiN>W@!6!G<zrOpjmky;ULWg= zkzTm^&i8LmDnCeq;0Yz>yx2dgJ)89O7AJhXr@MOBiQh86e^38h?>o;v#y(%l{`^75 znx+{m=WkIjc--%4-+I2{o`URy^f})nja+t`d$kwc_kZ=+qMloGL;dG3?+X8g#Xb1- zy{!MVty$``x(PDnpMNnvnf&^HV8XBYPk()uY&ekSwJ+t)*WY%JzpFRQJg@WjTzBvR z>)$_g7p?pMUHZR1bMfBIU;eLsB=X^`-QRe_f7cs#%5VPiKX=;y^f-@q`I3w5^QZHD zxNHAbC%sd}<J@!Wn#MoptLFdbn_15@r~KaM2<LXEUB5qm=9p3XVDo`B@;&t&2R<!p z{I!1Z#E*U^jkEpB&KcJS|2nU&WNP8_`|gXUyVvGDVS64~K1<GBDc)hXaHCDzH{~tU zFUTEf{`r2vU*@-Wg@5cVUA@aNdZiinTkoZ(uRe3FxfHrfWX<&L+*M0zbBzPmmhFtw zG_TiQB^#3)F8w0hYwNM7(ybvndb+EAU30tO!k!bFWo{O_#_Q^;uM0w~qD7M8IyO7$ zXd6a{tnRJaeRD;oddjIolP1Q9EZU)ve6*F-I3h-%^=NCg(!IS-x^Bi|izU0$Lz9g& zrro~!Rw41@8i}Y$k=}<i^>kNUtNL0L9r}9p*`S^EK?lPnbhmCS-MXaos<v)&(ZU@v zf!|kMyXLlX&#taBrn4M5i??OvO2+A}I#iXcoFRJZb9aHp3Nf=LuAsn-{Bta;vyC0A zR|n}{zioW6V)f3bi1k<2n9jZ%w|<3F^5)nTd22-N!tUyYOMNc>+`ZZLvgVAqrFZni z6=JjlIKwB!Pp@y_nEqoy)gjqS%co@tusrJ9dw9jVYwjhPztZ$fwXXB6yK?J0b7<P` z>(7rFw1@2At9`YB?-=t-Pnndc;7v^@-Ch2Cn0Vjild$98&PD&KHnhJt2)z3xGr2%M za*-R~Q*JNql%KzX_a*hT^N7~>NYuWRIPJ2TN3iyzL|v?vnO$Ff{SRU18;tGB39o%7 zcRg9;XL0e7^i4)3!}YxpRl56J+YU->WV!#!MNzTuoYcX7ccGFqW}KX&M>01Br)geX zw&<jSj+IjE=8FwaHzr@Zl>Bq%Bfjv<mn1I=@*mu><x6L9Mq=@v@>>-LcN*=~w@p)> zyK|!RmE4#97t*qhT>d*H#<0C!>HUR|H61Qa(<)>ZmZ`~YJYr}#%S-E(Mah%q$1W=K zO~f|KGJkaNi44nB`*wL@vV4-cX8uQE+3Ew!a)18I(Chk_9@cLA&@jQbeU<512bW_O zd%E*&uGDZz+s-=6r+7t3YViV4Va+(dagEV}Z1En>bEn?vW~<w<&$#r~rO`-5Z|a<> lcP}K~<hM?oWhudQo@t2^TPCxjyll@Z=^eg5>?0DgG5`xXf9L=J delta 2769 zcmaE__)<}c0SyG?Km;_P^p1j!hT*Ie9r!1Au->RIsD;Q@azW?{#<wxi87ZEU>(1p0 z-M#vC)4PhfVd;(&mR$BsQ+u6z{PYTDqt$PE^tir#S#n;D-RkAG-zu|jiYseroj$bh z=K_9Zj&pV|nswGFHI*Ni)@$0p-?Wd*j-%<#?7H~5zs|1=emVKe*Cpw{|1A0SfAy>N z|Lem_{}r#*xGMkWJd;;KsKHD5y<sbxbgQ%?x9;v+v^>aa>D*lm@gmzcNL^pLH{M4+ z!X<uxQBSN?ARFhwCDuo>7skgfmQXL8As1j#D=P4xb@SdIR!iS)Ed8=GFGq0kf=uPp zZyFA%iX9P2O}=zEO1yd9eG~K4*fUEEOK$qbvs$#&Z%MfHc$40<-Cv$tnEg^wsN+hj zZm&#VVcabI<#R}ypHtww^!$s{Ouf%cG*i2qc=y@oo6#M+AK4u&wbrcE_`Z4d)Em;l z`|_&0#fw)lxK9%E+2y?N?DgDy#dlrS@!MltHIp9Q5=l_bJh((X_3o#As)g@Or>=2h zlop(Lbyw|6#^?2w{XsMB_FFjG$4~qD?N{c~58}?pUYmu<1Vx^GzvJiJG6B_R$9S(X zls-#i>{8U7@kLj|F8j3o!_vgrPFAZ+jouY{M4NZ+EN(VGVs*WX;pikWpI`D3?u~yh z6r8zsQNVd(_bKHG?g@vKCtR)6Jil6a--V*@pE-O2ST3zA7QQ}BxL&0H&Q%+xN|tx` zI6mBqXo#C2=CkXE+tPhWyUi27pN))l_ph3B`P}Nxt?BCz@9ufFQAOeS^n`8q+rLYm zEAQyMZV|b2_SLHSF7?9aFZf&Zr&*p)e{;0@P+hm?&i_K42RA%1N%Goc_|nt*T=)8* zQ*~z-Ji8K6)Bhv)%+Y6lA35iR)c^4P8=1W>WOIabDD%%hvi3W~O@D3dI8=FM?!Rs8 zH-7yrc7d}#@HzJ@_w{v4ihiC_Umv@*BKOnU6*nGRxE-@Cdi!c&W72i5A3u2iNO`62 z=3)y;TxGzjvBD{F7DG~2Ra)7*DQanqvu4bm%iS5gdGnh|4+9b!uB(0X{=VZ}#wLf* z`o`8@CIO<WqS9|%73~k?5P8jUdyUm{e%3h0q8C%cmd<_BfAuP>f4CLz<j&r{*@gL1 zd5ez}zHVF2Jy&EEtNgR8vq}rBzn@+5<>=(1iaT46Pdh#T?-_Nwb6@omj>gRDJ8rSj zXK7AUqSB0R)r6}z-5u^2_D%6)u;8eW(fPvknVY*l`0`x#IZmJFl&oyMdFW8jm19!H zb#E%}BsDWW)11V=xL}`skI+-iYtm<D>(&=*YuH7{M8?JG$L%Y5c`4{)^Vh0C^X=Wb z>)&quw&&KQzOTCt+gv~OCro^K?Vyx#v4~_r$*gNlA6|=nnp9BvM4)d*{~7nFt|#fS z2hS@z2|V7j@n3!82FFK-KeHLDpXZ-8YeFVZU3_NF1KXKLs%E6|djBgG4&Rt7%JMkM zF;Pk?FlXf>fg8P__orStQ_5@+YhC$I?Y|h;=7ecww_aAhmax{Bu-?yb=5=4-ZO@<V z8Z5uxe0Vf>@#CLzAB^YuFZv*3oMpXGiK*KE(e61FT$9h(_y524tp43M(UJ@I^rhGQ zoVViMl?tA^w$hqqJB_k_W>$UvX2bMYfbpdN8OAd?*X>23j{XVAF}r0NQu+6<iB}18 z?B`pG;s0j4EA$`GP<kLYeenfDu9@uezFxKJtJXXG6!VJz{?W5A({!0WZ_(XjY_H|w z@BS3t99<*(=GMvQyjwo+X7m12A1JF<vc>q+>I+-`9bYn6Cc$3$|IPLM-;LKX`99y( z|M=zf18<WbeNZ)+^#8ZU9<x(Md_}r!TVLJZ@AK>BIq~)3na@9K>@h!c$?x~y2bt-1 zG1mLD-u$uruvhZ7XDyR>eQ}A+em1vbpZPT^O6FzStn@#ym%rrkPhNvd=k_n!Bc*z} zzH+%;qj>+!@5>K(SpV-UlJ}Zc78K9oXIJp}r-?-7bNj%!=1EKEUQyrhfAW)GTh=@O z+?OKXbEf#eW9O%ZU-!8@nIkgoj_IoBA(6jTUpGAGKj(ApS8?rQ*~YkQ*4Kru3l@q! zUeSGCtorKp_dCuzi_ic3ZPohwg=en!B(MMVOY-{L`kK<y?dMmUe2|{A_|vJ|JojfR zek{$NA2_?~nf=r^kBT!-JuA-q^V-=~-Qh5s-dUCnjlWpl{ZXp?H>K?0y1VaP+20<k z&Tg#_ov`xm74;4ORxf@lW_NI%y!b^&`*)4<>)D?f8Zy0*sDC9q<9}^_-H9DC&jn9_ z3Zx4hJ1Rd~3!JFmQ(-Uk=<k8ZXRfb=BkB|Pd|D*ET>e17v6nndU)UZ59`F3b-y`=* zSmR&bo<}NWPc8Z#<Qk{fv)tM9BY4M|JwFtL#NOZBbgFyLg=y6;6JC9bpHz8q>*xIs z7S7c+nDBi{<gLv2$~#}|+1{ur^@4xfJ6D7Ed+I0hecJ1(b>L|F<hsrE|Idc~|MZ>j z`{!u8#_3jHK1b&_-tMb0U-#ZwLGpTgV`lxd!l~8uw|+T!#oTl+cw4uB<8LE_$1|7S ztqD^suu7e`G=4+2{o}Jc?77bTJa_5eA_>+%5%a&r8-A<joAKJv`}h64oBzLx{LVaY zowH9~tzUVy_v9zft#caF=ULB+|G`pU{3miHgZ<+@?VES`wUk`HKiOc$>wNARKbyYw zGt4+=67Y|aOIprF&|=3`%X5E@ZIqPIJ7|=_;PdzHiKn}l=lx(aSh{+lF3Y>)8mtok z45#ET`osNH(dI`T*VFSF{AV<_-v73JdRE<Pkvl7F-fdr(=pFd9ZF}fl?U(Z&q+Jev zyI@y+@ak<@S|7eK?{BPH8<d~(OL}V2&p)$n-MsrPYQ=)c&?dLwql-fB-v6jIwK!EV zti?k8$S$F+sar4J;Ed|sW#-hjhU@t(fu~d3&pi0Fs$gn(_~LCH`Cpx`i1kKjuXekf z9m-sP-us26y3PlUExT&=t+^Hb?{VX&a<izZS!>(;|J|<7sxIHZ$o0*YTW!T#YwQ;3 zt@!q?Z0W9zjRvMsajeN@uNzC^Bf^)iJ+|$-UTFBWg!bptx9yesqsMyIH9xudB6nGK zU8#CR&#&8SdoP8p&GWr*>0X%cRH?HIp5*6GvwQnKG`n}|)2UmRZdy6TXKfhoDpiB) z-Xe!GABV9CJgni<Qm>EUtM&S5dtSKs%~O}cuXcO9CvUG<9Jt$ZM?m&O{?Hk@ar3zk z%h*4(`J#E)>J!_f$rjtTEOEZ+Wwy3pvF!H;h2FF72`w*Q{dL28{+l{AxfWY|Yfp66 z7@oLWrE}44i(~nlNWIf}&NofP%O$mfRxO%aYUporO5h^j?gI12SH5idS?^pst3HE) zOHsu{efG^0@`n>{IbTcs*Hv}OXJPQH$#2Ahp9HOP;pzP;TyiHTN#K`MyJnXMd-LLh z3OZIwv0DS%Q#UTYHYxe$%p^Yb(~~4G21d6_c*_@Z>7IS_ey&+q!5W@--hLK>>v$(R zPRTv#e<3a7%Cgs*8<Tii?=O7(F`=`5hR(Me&bdB!k~bzNm`n)?DSUB)?RZCr{Yss@ zGLa*)hN+oLXWj5?@5}8ttFr&<ycIWO&2m3~cP|e5GJmG*wI#iL*;XQJGp&?tjy-UG zd(7#sUz)PJ`DQ1Mr7fpU%y5=#1hp+Tbv2xe4N$Yt-djF(p27RsC2u48uIS#Jee=!* lxx`ic+KCxAC7F!vSFUK>(zroZ^vkOK56d3>mpO0N006tjfTREb diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc index 6734ebc71e56c039cad59904a595b943da6a223c..2da91ee684f38f374d41fff03fd520f97ea12966 100644 GIT binary patch literal 1572 zcmb1PV*rB+#=SEvFWe3hX+0{HdNd_8BKAPfsw0y(bZ~8S)8SOhQOjguHEc1^3f)!m zGH59m*HpdOT_G!jmU>Uui(T~n{`(50;AXD-zxQfKzxRADx3}<5V|kHJr{%_wKNEg+ zi)r7mPrY-9bx))6{a4L5k~rB5YHl4<uHZcW;YZI^;|kX2AD*na%Dtn>+rl!v^u2Po z<>ys>6@Lo%@3VjONqDvY*18`XAn2d}+u!Y94`ZUJdS?9{^13!w`JX@8C;$Dxz^8Yk zf@gUFQ?>p_TZ_i@4`OkL7B|k_-y~hZ&8{C^%f9}>!{=u2Vz)>fQnhK^Uchx;|K?Bb z9gW-;yK}xu#U13e*?Fk+eS}V;eBb(86)fi;O7N|Zv~4!FXlHM{s$IdP|Il_%`%-)T zjqg3{l_P$2C%nw(@{X6(shzsvOW?sv?%dB`Br|)<H|yuvU2<pemgm%|?MZx@%;qg$ z9PumS;HBeI)9lJNeA(E(wEu(m?D<~|o0j&AOtV|I;Y;9wOYWTB^1M2=TQ+=oSf6@* zx*gkwFM@|JxifjoTk6!FNqD)q<?4UYHUIJ-{@8UknK}Q#!Ruyn`;rVBvMt)BODy(e zKkqzTU7Ocu@qbyoeBbXF`ND6x`{nMu|NN`t^7}RG{>?b_s-G#We#(YliyNPw*VU=N zclgrs@3x;0?swbpMe^XK=OWYWj3a*OB--BRy7qZ(;>+!gOXJ_OKPx`Dh~Im^pw8c8 z8@|jwcqyOHd%vj8U%kYa<;>puqa%Lt)@`Xg8Q-?yPb$ypc(o0GQu$8Di*5Lm%6mFK zE8^d#_OJiG)NT6t;;&^3`}$iI9QqGAW%i_f6^T2@UGZtxRqhJ@>jl5su1epizI<ag zION;S<PQGc-jlqe;<iQeZRul{bMBk0dr*<Gr^Wn(+t=u@`>XhV+u#22V%t&1I|r02 ze)L^cuHf~5@E~^e@(*0|R{ooJ_4%s9Q(wK0u3c$$=RjS`SFv>uUNrW)f7$qb!}+g* z`IqYM{@;53uzT&g{)!*f`|rsWeste&S9$082haJ>^`)l2i4VV${nNxIah~oR!}Yp* zp6;sN@o3jq&EJ2!K8miX{d;TsF9o%KsT)(~+q7)nZMM%c_hRy%#>F>|-8L38-eH#= zbKrIHm7jZ6*VN{e?u*w?c$+LP{_Cscn%bOm`$9g?J!JX&oZ-H8wb@7PLVjO)==!_% zt#@rr`0op{YiiT0Z=SnuS6qAa#_r^eyRrg*pEzoo{8svTW1+#;8HaC~ySab2Z~R<c z_K!vGlia*RrgIM8ym4;+VaE3lnc@zM+U(7LzWxE@->I?NKTh~4a?MU9F@9mKd(Zi- zTIGm+a~^D7<-Vb&vhVz=d51qeW!<;>7tb_(^B?P8y;hFr`xtqZIbz?N`&+)Q+P6PZ zC;r@r$g9B-`{vwzR8ynW`t<b6w{^8Vr>7tPk>gQ+d_#@p!SvAngr7xC=jC33d7stI z`Z=cQyZ=~oP5jWO)Zf?k+lT*%x;oYCQ|R>nzWd&N>e}=2)7qL<r^_oYZ8~lH=g8l_ z{^>hD+U}IT|3Fc1{YUQU`uZjEcOQOYk}vp~^S~{)#_Rv?kA{2Sf9kL+|2aXfe5Zf? z?wao*_bxrptMTpMy(h@N{AW{K;bY6%N1vw5Td}*Q>tAeKX8*;f-Y<8ZF2D4Jd){=9 z{jqY3u6~&LE^6_+BkwFuUYxT#-}uYZPdvIipBuTFXHQ=|ak7l<j?d~g)#lIt|NihZ z{&;M;=RMuavp>wMx+eM~|JhExxgY=4>^psU_qrFAcXdCxefJAG@3~&J{L<`))9a?U zCtDxidrPWF{jf}b$tCG~+l%LX$tqIc`=hbf`sL3zwsXEneR7<hfBD^F>p5T8_FSL) z<&b;cmCtj&NENB?6?k7zbbEsJ&Z!kmlcRs_`2B)UeY@b^H&4PYB>Uy{M#q#}?96?s zJ$?I^NvDI&556m?bjsgR^F-~2tRDXh-s#m}+D>oxf6BY};j!>9ZKu7f4nGyHI`H)W z(T)Ev*O@-K=d&|j^m~E%)~bVh@BK}?^Dn7zW>9^`#)mh59br9R+pU+B)R<P5p`|$O eMQ*=bd4`GNwOhr4#U=NSl-1V$W9Ghdh8qBLs9)0n literal 1537 zcmey#$^ZrxjC*HTZnzyH(sp#31^1DgXV*z;+z~kQj_ZitB!@Fn7g<CVJC+u_ytLGN zs#a*gijtK<OTDM-#qJ7uDKxiy-+f{GD7Ldt?tZ?j?7hqC|KC!z!-WM!J`%ylT95JD z&Y%0yu)R8cuJn$E@DDql|Fn%cbkN;Se(q<(mgye^=FRzD!*soXOI_T?zFoV5@wm9{ z{^sC$%KbHQHvfLi*uK2tW9M`J*X>B?|CROS5%x8xXwBE_1?PTeJh-^|pNy_e^Y;%A zEYI`Xe>QA&FDU4&v}iM~U>E<e;{EgKAEe?AH=mw&|A6V8-*=ujYukN$DAe~rR_1W` z>bieCafgd5s?L7)-qDtAvGd(e*_cDRGIu}J{AlgxuHfL$|5d}}|4`%|zfJtXOV@>_ z?c28D%hu+l>km$^lYOb)vUEP@nqTT0UhQuP{Vx;tS32Tr{J~ZK<--0p-<a?IRsP_r z{}N$;jU&F!KeVczbM-&bHNWx`uGVv}{`YtNpURN`jB9=!-|))5G4#LXnqT?}SNFGt z{$~sO8y)fW{^3>sADCGk*zXkayPQe=g=*vX4@`aYzQ2C*K`ig#&XSVj=Y^-2_gnt^ zHRE=0Oil5e{&%vU--$e*{P@p{==7JhJl^jmb@uuvzTDgLbh)UGeeA(Yx22}tYkL3Z z-=%2&Y4?t8_#$@j(ruA2+w={uA`e_j=Jf8*j;OkG;8ODY>Uz7E8~MHa1$AtXZTMo* zy!5!Gj;&tePe<O<{AC;dENFeo{*eD)&8KEX9ea<2pN?Fo`HLd%Z)UpoeN9`t{*PLz z3fAofKNdazUck&>|K;ZkReARhVtx;Qq@GXzz^C`%sP;VlhYweu%dYr6>oeQD{qOGl zK6(5Zul(<Vs?(ND?fF*6KXA>{{6Fpa^HYaaZ7Obm7L7Tqz2ke|^Xmny+Y3Idd;YzE zlmFfCnh*2(6=M!f{Pe!sE==y+1F?CBezMp7lX|yNU%c~tx4ON2@wW#vKF9a%tg1LO zIlg~qRmqu``Nw6_j_<KO`c(e-&!dvhS^qwH;s2Z?zW0IddCSJ{HtAgcJI{;A{e5>m za_(2dlznYi)6H$quU;QlaIb0K)`s%(KYQL<%BP=MpZN9e?9cPU=kMM1V9mZ=f%0d+ zPTTP7RM)=1@7f7pr&`u7{!|=M`}EDE`~E+9{9ZDvf9ZUse0=)fny<YNMbp1_?p=NE z6?b$*?Sp5to?rcLdVTKI=b`3vocB$C>ib+M_UVRSyHwwYp1*%U_x@GWm=Cgd4+-AC z7`DH?dH<ifpSJS9Kg@Wa!IA%vwbtQeY1)QgZBy(VYMq+;vuq7@?$3R&_>}vGn)0rG zt@(#O-IcUkRl|0=Jo~5C`^`;Hch}a0?B`GTnb*BuYk%w0-JEu-YIshU3)htN>mS)r zV}8tis{G+kcMa`!9dU2lP*dL0uO)xz(_KNksuPDl-4)z-^O3cp&VAmGfos?EpDvFz z{r@leadhZ(t<Kka|7P!a`KYVn?osQS<LB}}&Pf$#|IvE(yWR~Kfg6A3-FUWLJa^mf zxbOL`@)3Jd-bcr5IIlbJ`)>QYiG|1hZL2tRZ(GF~yKNRJ{Ly!k|3}@i6U)DG-0c2_ zblr6~<i(!9;q6Yp#U5+p`uSU3(U-li9x>@g?{VDToZghs;->rV{;NrM4Qm$dR=Z{0 zd2#06)jYnDa~8<&zRt4NL~L>QWC`9IKLx*TOaHgOf7*ZVjlabv-rpOYCh+lX<)-fP zBmSiy|81N9xx{=*xy`${z<|47Z#*$ODDInA{U}a+`j3UR>mF6#o&V0@<<=u=+mn(u zJ0=v}zGKhHJUMz^MNX0T3w58o%j|ad-?ufr-+VIn=Y{9Kd7S%FilXg4RZfmRR%hPH zYdqh)^KFN3l4$icm9P!deUh@H1IlL{DSIQ|ZT?2GJGgqqyA2j;{1G-u{1G+@{1Gx6 z*mdsNimkuNY!<(nU1#2+uaobW{fU<kzP9g4?Up_31t0g6OMa?L-}8BjRnJOakG(=! y5kc3dL|wnK|NM-FliA+#NG#9LaV|fb8~;(L_M61z6VG2C-;!(mpTXPua3KJzH$ln( diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx index 4430090..ff0d55c 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 1860796047234000762 , + crc : 6953294578613318290 , ccp_crc : 0 , cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , buildDate : "May 22 2024" , diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti index 4628fee46f9074ed5be74eeadc7f308157bce7c1..3d3509f93c1257ce4df5d8e228c20146e09325af 100644 GIT binary patch delta 25 hcmaFI_Kt0W6syatoV$-V%Ku`VoXjM#*^tSW5df1>3Gn~` delta 27 jcmaFI_Kt0W6szXzm&<Q$l>f!Z$T)dDllW#sCR;`Tr_~B# diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type index 6346d3fbb19c1f6c28f635a68462969c5d28a149..c81c7985a884b3ed3397168fe6c70f3ef17f4081 100644 GIT binary patch delta 45 ycmdmBxxsQn4j<#c&AEKtoQ!gle~PCw%1>S>Vb7=xCe<fDl(1*i-mECu&kO)}y$;_1 delta 77 zcmdmBxxsQn4j<$H&AEKtoQ$%Qe~PCw%1us`kXK}6U|?WkU|;~z+zb%F!@$7s|NsC0 ZAfD3XL<xIFwaE`9>>0H-D@yh=0|5P(5^?|l diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg index f22e04bd8701eaa080c0afab0d143f5f92634744..4270cf904bf3c253018f41ccec247d4b2b0dce78 100644 GIT binary patch literal 92408 zcma#Z%*o8FP>2Z5%vFeRadKBMFl5NN`#9Z!fq~%%GXukq3`RKiXJBB^;ATJpj4<BK zuel&L2s7OLn#;q$z`z8OgNpM(*&uO#C>x|!8i@^Jg7kvKK^VjasVCJO8IVCxdu5T> za!71>B(?$)TM>z^gv3@xVyhsrRgu_gNNjZ^wg!|9@`omr4fY=r8_b8g85UL`v7zD@ z<apFZ@|O-08^i>~Dac<S3}U0lFQK?V4?}9i3&<^`gfqy^urv%x3%VeW-TayhVh19z zOOe<!q3jYC28O*zY(rKC22eP(FfcGI<wUSGxgcziU2BopJE3d|1_p+sP&Ozn-$i2o zfwDn*r9t@>ny%ELY>@Sg3}16WGzc?;2q-RagfNihSr`}?D$ak+{a4SxAmId)S1^RI z7#P@K3<d^<3}*<3kwL)_6s{nQOCA)LAdE{M<Sr1#B@c2J2;-6m1uY2Uk_U;yFvQiU z?q_FUU;tr|8f@|$3=9k)j7uIAogj=$9u!R=j7uIAT_B8I9ua>aKZ3#$<i-P7;s;dj zo%ov%j;|TeSVxW@T=F0@K^T`jC~iO)mpmwLKp2-i$lV}}OCIEJ5XL1BiW?BdB@c=l z5XL1BiW?BdB@c=l5XL1BiW?BdE{}*GA*B3c5DbbX1_tE(CCR|RAYfAkj-M47*yUmQ z?*sw)4g&Hou*ie_05gAvAEy1FFvy1pGi>mMFp%93DraE&9YBo)22}rn^uy#YVA0Qu z6h1Go=m(XxF#Q(b0v6SL0VMqou*iezQ<(k<0hsO=MAF|81d~qz#|MZ9a{q!&bzuGk zxcgJ!<r{W+MErx&*nN;e3=9k}d>{<u@B`_Gx$gtqd@HbhAl1SQ3=9F#@^?iPNCf16 zE4cl*<Ux5CgmKA(@-7JDk_Y8o5XL1B%DW(pOCFSWK^T`jDDQ$WE_qPi1z}wBpl}6Y zT=JlB1z}wBpmYzyxa2|U8iaAlgVHSs<B|uZI}pYt4@%b{j7uJru0a@=JSg3QFfMse zxdXzu<U!&vj4geMFfcHHFh~tHc~J%i1`x(2FUG*Y0K&NB#TghFKp2-isEq@{xa2|Q zCJ5t_mttUG0AXD6pf)QA<B|up2|*Z_Jg9F0!nou?^#Tavk_WZhKp2-is4Wb_xa2{7 z6%fWH59+^wFfMse+Zlv$$wNvS1_qEHVe$VV8zRccfGB@($%D!s5XL1BDtkZ}mprKK z0byM7pt1*qamj<q9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBLB@ZflKp2-isO$k@ zT=Jl@2ZV9SgUTKd#w8Cbdq5bMJgDpeVO;W{vIm55$%D!s5XL1BN_QZPOCD7AfG{q3 zP}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMNAdE{MRQ7-{E_skR z3}efmpt1*qL29tcgUTKd#w8Cbdq5bMJgDpeVO;W{vIm55$%D!s5XL1BDQO^e5X}7r z(GXGO@&}Ygu*rkU9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBLB@ZflKp2-isO$k@ zT=Jl@2ZV9SgUTKd#w8Cbdq5bMJgDpeVO;W{vIm55$%D!s5XL1BDtkZ}mprKK0byM7 zpt1*qamj<q9uUSQ4=Q^=7?(Uq9EP!_FHqS7!XP!+<UwT*2;-6ml|3MgOCD7AfG{q3 zP}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~HIuVO;W{vIm55$%D!s5XL1BDtkZ}mprKK z0byM7pt1*qamj<q9uUSZZw>E%f&6&j@H8;rAO}|dSi}2Yxa2`)4+!Iu2bDb_j7uI= z_JA-hc~IE{!nou?We*7Bk_VMNAdE{MRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;# zFfMse*#pA3<UwT*2;-6ml|3MgOCD7AfG{q3P}u{*xa2`)4+!Iu2bDb_j7uJrE<qTV zJgDpeVO;W{vIm55$%D!s5XL1BDtkZ}mprKK0byM7pt1*qamj<q9uUSQ4=Q^=7?(Uq z9EP#wPf*zd!XP!+<UwT*2;-6ml|3MgOCD7AfG{q3P}u{*xa2`)4+!Iuhm<ssJ~pg= zv50|)GBO~_A5a>=CJ!omKp2-isO$k@T=Jl@2ZV9SgUTKd#w8Cbdq5bMJgDpeVO;W{ zvIm55$%D!s5XL1BDtkZ}mprKK0byM7pt1*qamj<q9uUSQ4=Q^=7?(V#>;Yk1@}RN@ zgmKA(${rBLB@ZflKp2-isO$k@T=Jl@2ZV9SgT!GNTlxc)Js=EHgH0Y(_JA-hc~IE{ z!nou?We*7Bk_VMNAdE{MRQ7-{E_qPd1H!oELHQPhamj<q9uUSQ4=Q^=7?(V#>;Yk1 z@}RN@gmKA(${rBLB@ZflKp2-iXg(8!amj<`zCjq5JZL@~gmKA(=Ey-9mpo|R9E5Sn zgXYpf7?->j0|NsH<B|u>Q-d%rdC=T72;-6m&HI8dE_po$1_ltuC9luGzyQLy<P8`Y z7(f`8Jg7km!nov(7#J8p7?->;0|NsH<B~UFU|;}YT=J$23=ANQOWurufdPbZ$(u7U zFn};Fc?$*x1`x(2Z^^*G0K&NBtr!>>Kp2<2H3I_!2;-8sVPIeYVO;XI3=9k)j7#2* zfq?;pamm{=Fff2HE_u)z91zAO51Oh6VO;W{sbCPsB@dda2Vq?DE({C|AdE}im4Sf) zgmKBcF)%QIFfMs_1_lNY#wG8;z`y{)xa2(<7#Khpm%JAP0|N--lJ{m{U;trU@}Q|Y z5XL1BnyLd~T=Jl)R1n4`51Q%(VO;W{sY(#WB@deF1YunAps7p{#w8D$iUwg^@*xZi z3?Ph4K9qrh0fceMhcPfPfG{rka0Uhj5XL1R!N9-(!nouk85kHq7?(U~suYBA$%BRx zK^T`jsHqLYxa4CQ7#KhpmwX%p0|N--l8<L#U;trU@(BzK3?Ph4K9PZe0fceMCowQE zfG{rkWCjKX5XL2+!oa`)!nov985kHq7?*q+0|NsH<C0HjU|;}YT=Jl$3LuP2K9hlg z0fceMXE87^fG{q3&{P%(<B|sr^?)!gdC*cC5XL2+$H2e<!nou?Ybrn(mwW*O0|N-- zk}qUnU;trU@<j{`3?Ph4zL<f50fceMmoP9efG{q3(E2tI#wB0Iz`y{)xa7+j7#Khp zmwW|iT?TZH8C(CMl7WE%gmLMwVqjnZVO;Xn3=9k)j7z?Tfq?;pamm**Fff2HF8MkJ z1_ltuC120LzyQLy<Qo_m7(f`8d?Nz`0|?`iZ(?9z0AXD6%?u0-AdE{Mv?dXRamlwb zFff2HF8MYF1_ltuCEw1#zyQLy<U1G`7(f`8d?y0~0|?`i?_ywJ0AXD6-3$y2AdE}C zhk=0sgmKCDGB7ZJFfRE%1_lNY#wFj+z`y{)xa21=Fff2HF8PTJ3=ANQOMVgq0|N-- zlAp}LzyQLy<fkw&Fn};F`Kb&H3?Ph4ei{P<0|?`ipU%L*0K&NBXD~1@fG{q3(3)Bh z#w9<Cfq?;pammkSU|;}YT=H`m7#Khpm;77?1_ltuB|ndWfdPbZ$<JqCU;trU@(UOk z7(f`8{6Yo>1`x(2zlec>0fceMFJ@q10AXD6OBfg!Kp2<&QU(SF5XL3HjDdjxgmK9) zXJB9eVO;Vn7#J8p7?=D?1_lNY#wEXsfq?;pamlY{U|;}YT=Hue7#Khpm;71=1_ltu zCBKe=fdPbZ$**T%U;trU@*5Z!7(f`8{6+=_1`x(2zlni?0fceMZ)RX%0AXD6TNoG^ zKp2<&Rt5$J5XL3Hje&sygmKAlXJB9eVO;V%7#J8p7?=D`1_lNY#wEXtfq?;pamnvy zU|;}YT=IJu7#Khpmpo`4KM3QJ-^akf0K&NB_cJgsfG{rk0}KodAdE}?AOiyf2;-7J z#K6D+!nouQGcYiKFfREc3=9k)j7$C~Xb%FO_SrE81_lturT;hs0|N--l0U(~zyQLy z<WDj%Fn};F`BMxG3?Ph4{xkyv0|?`iKf}Pl0K&NB&oVGDfG{rka|{d&AdE}?JOcv* z2;-8!z`(!&!nouwGB7ZJFfRE^3=9k)j7$D90|NsH<C4F^z`y{)xa6-gFff2HF8ON= z3=ANQOa3|o0|N--lE1;gzyQLy<Zptu$lytTw-^{0Kp2<)+YAg0AdE}?4g&)N2;-8! z%fP?@!now`F)%QIFfMt}ns5-tCI5hdfdPbZ$v<RZU;trU@{br87(f`8{9^_N1`x(2 z|Ac{o0fceMKV@KG0AXD6&lngOKp2<&a|Q+m5XL3{0<>NpPyD`QU|;}YT>4)zFff2H zF8S9C3=ANQOa2W50|N--l7Gv<zyQLy<liwcFn};F`S%PA3?Ph4{sRL80|?`i|H#0= z0K&NBKQS;cfG{rk&kPI<AdE}?3j+fK2;-9f%D}(?!nowWF)%QIFfRG;3=9k)j7$Cp zXb&o$`2WekzyQLy^#5XDU;trU^1m4v7(f`8{2vAe1`x(2|CfP*0fceM|6^ca0AXD6 z{}~t<Kp2-i18DOcBcAzxMg|5(Jn~G83=ANQ%Y0@=1_ltuCC|dhzyQLy<XIUR7(f`8 zJR2he0|?`iXJ=$!0AXD69E=PMAdE|%laYY|gmKApF)}cKFfMs+Mg|5D#wE|g$iM)? zxa4^m85lqqmpmUM0|N--lILe+U;trU@&b$u3?Ph4UXYQ20fceM3o$Y<fG{q3VMYc9 z5XL1h!pOh?!nou`L1_<!k@hDcuTK$UWMBYcT>8Zs85lqqm%Icc0|N--l9yy;U;trU z@=}Zp3?Ph4UYe1C0fceM%P=x9fG{q3Sw;p15XL1h$H>3{!now+85tNr7?->PBLf2n z<C0foWMBYcT=Ghc3=ANQOJ13gfdPbZ$*V9jFn};Fc~wRR1`x(2ug1v00K&NB)fpKW zKp2<21|tIl2;-91WMp6fVO;WBj0_AQj7wgdk%0k(amnj2GBAKJE_q!>1_ltuC9lWG zzyQLy<n<XD7(f`8ya6Kv0|?`i2Q5GaVO;V?j0_AQj7#2_k%0k(amkx7GBAKJE_qW% z1_ltuC2z*azyQLy<jolw7(f`8yagiz0|?`iw`62s0AXD6R*Vb`AdE}invsD4gmKB+ zFfuTJFfMspMg|5D#wBmZ$iM)?xa92_85lqqm%IZb0|N--l6PceU;trU@=lBl3?Ph4 z-kFhs0fceMyD%~^fG{q3S4IW~5XL3%#>l_`!now!85tNr7?->UBLf2n<C6DeWMBYc zT=HIw3=ANQOWvE2fdPbZ$@?%eFn};Fd0$2b1`x(2@5ji%0K&NB{TUe;Kp2;N03!nf z2;-6uWMp6fVO;V-j0_AQj7vV4k%0k(amj};GBAKJF8NSK1_ltuB_GDfzyQLy<ii;m z7(f`8d;}u{0|?`ik7Q(E0AXD6QH%@>AdE{snvsD4gmKBoFfuTJFfRF6Mg|5D#w8!e z$iM)?xa8v*85lqqmwW;v0|N--l22r0U;trU@=1&g3?Ph4KADk$0fceMr!X=wfG{rk zR7M5{5XL2+#>l_`!nowq85tNr7?*qoBLf2n<C4#0WMBYcT=H3r3=ANQOFo;CfdPbZ z$>%UKFn};FdC-AJAdE{skCA}^gmKB|GcquMFfRE5Mg|5D#wB0K$iM)?xa5l%85lqq zmwYiJ0|N--k}qLoU;trU@}-On3?Ph4zKoH90fceMmoqXjfG{rk3PuJ75XL26$;iL} z!nowC7#SEq7?*rCBLf2n<C3poWMBYcT=KPy3=ANQOTLbgfdPbZ$=5S7Fn};F`36P? z1`x(2-^j?o0K&NBn;01wKp2;NGa~~72;-7(VPs$cVO;X9j0_AQj7z?ak%0k(amlwc zGBAKJF8K~d1_ltuCEv-&zyQLy<hvLd7(f`8d^aNl0|?`i?_p$M0AXD6y^IVDAdE}C zkCA}^gmKCDGcquMFfRECj0_AQj7xqZBLf2n<C34m$iM)?xa21@GBAKJF8L{p3=ANQ zOMWUN0|N--lAp%NzyQLy<fk(-Fn};F`5BB13?Ph4ekLOW0|?`ipT)?)0K&NBXEQP| zfG{rkIgAVpAdE|XE+Yd22;-8U$H>3{!now;GcquMFfRE8j0_AQj7xqYBLf2n<C0&* z$iM)?xa1czGBAKJF8L*l3=ANQOMWRM0|N--l3&KizyQLy<d-utFn};F`4x-|3?Ph4 zekCIV0|?`iU&YA40K&NBS2Hp&fG{rkHH-`lAdE|XEh7U12;-7p$H>3{!nowuGcquM zFfREGj0_AQj7xqaBLf2n<C5RR$iM)?xa2o8GBAKJF8M8t3=ANQOMWXO0|N--lHbP2 zzyQLy<hL_2Fn};F`5lZ53?Ph4ekUUX0|?`i-^Iwl0K&NBcQZ0DfG{rkJ&X(tAdE|X zFCzm32;-99$H>3{!nox3GcquMFfRE6j0_AQj7$C?BLf2n<B~tb$iM)?xa1ErGBAKJ zF8L#j3=ANQOa3S$0|N--l0U}CzyQLy<c~8lFn};F`4fx`3?Ph4{v;y<0|?`iKgGzv z0K&NBPct$wfG{rkGmH!jAdE}?EF%L02;-7J$H>3{!nowmGcquMFfREEj0_AQj7$C^ zBLf2n<C4F`$iM)?xa2Q0GBAKJF8M2r3=ANQOa3Y&0|N--lE22tzyQLy<gYU_Fn};F z`5TN33?Ph4{w5;>0|?`izs1PF0K&NBZ!<D5fG{rkJB$nrAdE}?E+Yd22;-8!$H>3{ z!now`GcquMFfREAj0_AQj7$C@BLf2n<C1^G$iM)?xa1!*GBAKJF8L>n3=ANQOa3V% z0|N--l7Gg?zyQLy<exJ#Fn};F`4@}~3?Ph4{v{&=0|?`if5pha0K&NBUo$c=fG{rk zH;fDnAdE}?Eh7U12;-7}$H>3{!now$GcquMFfREIj0_AQj7$C_BLf2n<C6cx$iM)? zxa2=GGBAKJF8MEv3=ANQOa3b(0|N--lK;lYzyQLy<i9gAFn};F`5%l73?Ph4{wE^? z0|?`i|Ha6_0K&NBe={;LfG{rkKa30vAdE}?FCzm32;-9f$H>3{!noxBGcquMFfMuU z0oqJ>=Kq-(7#Q)$GchqRfG{renVA?EKp2-i3ljqa2;-7xWny3eVO;WTObiSlj7y%K ziGcxxamjNqF))BIE_qHS1_ltuCC|mgzyQLy<hhv`7(f`8JP#8C0|?`i=VfAG0AXD6 zd`t`sAdE|%pNWA1gmK9WFflNIFfMsPCI$u&#w9Pr#J~W;xa5VI7#Khpm%IoQ0|N-- zk{1P~JrKq<|Ift0AcjX?oQZ(}gmIZK!NkA-!noumnHU&A7?->h69WSX<C2$VVqgGa zT=FtZ3=ANQOJ0_VfdPbZ$;&Y@Fn};Fd3h!V1`x(2ufW8>0K&NB6`2?qKp2<25)%Uh z2;-7hW@2CfVO;VmObiSlj7wgXiGcxxamlMOF))BIE_rn(1_ltuC9lE6zyQLy<TaTX z7(f`8ycQD!0|?`i*Jfg10AXD6I!p`<AdE|1mx+M^gmKC1F)=WJFfMt0CI$u&#wBmS z#J~W;xa19)7#Khpm%I@Z0|N--k~d~zU;trU@+M3S3?Ph4-js=f0fceMn=vskfG{q3 zb0!7`5XL2M!NkA-!nouunHU&A7?->i69WSX<C3>#VqgGaT=F(d3=ANQOWu}=fdPbZ z$=fk8Fn};Fd3z=X1`x(2@4&>s0K&NB9hn#yKp2<26B7di2;-7>W@2CfVO;VqObiSl zj7#2?iGcxxaml+eF))BIE_rt*1_ltuCGWw+zyQLy<UN@f7(f`8ycZJ#0|?`i_hw>X z0AXD6K1>V@AdE}imx+M^gmKCHF)=WJFfMt2CI$u&#w8!X#J~W;xa0$w7#KhpmwXTt z0|N--k`HELU;trU@*zwN3?Ph4K9q@p0fceMhcPiQfG{rka3%%@5XL1R!NkA-!nouk znHU&A7?*q$69WSX<C2eNVqgGaT=FqY3=ANQOFou~fdPbZ$;UA<Fn};F`FJJ<1`x(2 zpTNYx0K&NB6PXwoKp2;N5)%Uh2;-7ZW@2CfVO;VlObiSlj7vV1iGcxxamlAKF))BI zF8OpO1_ltuC7;2>zyQLy<TIHV7(f`8d=?V}0|?`i&t_s^0AXD6IZO-;AdE{smx+M^ zgmKB|F)=WJFfRFgCI$u&#wB0C#J~W;xa13&7#KhpmwXWu0|N--k}qarU;trU@+C|R z3?Ph4zLbf90fceMmoYIgfG{rkawY}_5XL26!NkA-!nousnHU&A7?*q%69WSX<C3pt zVqgGaT=F$c3=ANQOTLzgfdPbZ$=5M4Fn};F`FbV>1`x(2-@wGc0K&NB8<`jwKp2;N z6B7di2;-7(W@2CfVO;VpObiSlj7z?iiGcxxamlwaF))BIF8OvQ1_ltuCEvlszyQLy z<U5%d7(f`8d>0b~0|?`i?`C3P0AXD6JxmM?AdE}Cmx+M^gmKCDF)=WJFfRFiCI$u& z#w9<2iGcxxami0)VqgGaT=J8c7#Khpm;7WV1_ltuB|n9UfdPbZ$xmfsU;trU^3#|Y z7(f`8{B$M;1`x(2KZA*Z0fceM&tzg?0AXD6vzQndKp2<&Y$gT<5XL1xhlzm!gmKBw zWny3eVO;X_m>3v97?=EfCI$u&#wEXiiGcxxamg=aVqgGaT=I*U7#Khpm;7QT1_ltu zCBKA;fdPbZ$uDJMU;trU^2?YQ7(f`8{BkA+1`x(2zk-Q@0fceMuVi9i0AXD6tC$!V zKp2<&Y9<B-5XL3HhKYdzgmKBQWny3eVO;X-m>3v97?=EdCI$u&#wEXjiGcxxamjCF zVqgGaT=JWk7#Khpm;7cX1_ltuCBKD<fdPbZ$!}$1U;trU^4pjg7(f`8{B|Y=1`x(2 zzk`W^0fceM?_^?N0AXD6yO<alKp2<&ZYBl>5XL3Hhlzm!gmKC5Wny3eVO;Y2m>3v9 z7?=EhCI$u&#wCA%iGcxxamgQKVqgGaT=IvQ7#Khpm;7NS1_ltuC4Yp8fdPbZ$sc86 zU;trU^2eAM7(f`8{Bb4*1`x(2e}ajD0fceMpJZZS0AXD6r<fQRKp2<&X(k2+5XL2c zhKYdzgmKBAWny3eVO;X(m>3v97?=EcCI$u&#wCA&iGcxxamim~VqgGaT=JKg7#Khp zm;7ZW1_ltuC4Ys9fdPbZ$zNq+U;trU^4FLc7(f`8{B<S<1`x(2e}jpE0fceM-(+H7 z0AXD6x0o0hKp2<&Z6*c=5XL2chlzm!gmKB=Wny3eVO;X}m>3v97?=EgCI$u&#wGuN ziGcxxamhbqVqgGaT=I{Y7#Khpm;7TU1_ltuCI5tpfdPbZ$v<UcU;trU^3RwU7(f`8 z{BtG-1`x(2|AL8u0fceMzhq)y0AXD6ub3DZKp2<&YbFK;5XL3{hKYdzgmKBgWny3e zVO;X>m>3v97?=EeCI$u&#wGuOiGcxxamjyVVqgGaT=Jio7#Khpm;7fY1_ltuCI5wq zfdPbZ$$w>HU;trU^52*k7(f`8{C6e>1`x(2|AUEv0fceM|72od0AXD6znB;pKp2<& zZzcu?5XL3{hlzm!gmKCLWny3eVO;Y6m>3v97?=EiCI$u&#wE`HI-H*w&-_0#0|O%- zc_wBC1`x(&J~J}|0|?`iXJKYw0AXD6tjr7yAdE|%jhTS~gmKBUGcz!NFfMrxW(Ecj z#wE|m%)kJ`xa7H*85lqqmpnH!0|N--lILM&U;trU^1RFp3?Ph4o{yP<0fceM^D{Fr zfG{q30cHjU5XL1h$jrb1!novxm>C#A7?->-GXnz%<B}I)W?%qeT=Jrzv<Jet=Kq-) z7{u_%i!(DYfG{reC72l)Kp2<2Br^j82;-8MVrF0fVO;Xk%nS@5j7wgInSlX>ammXv zGcbTKE_pd-1_ltuB`?p+zyQLy<Q1417(f`8ydpCL0|?`iS7K&h0AXD6%FGN5AdE|1 zg_(f?gmKBMGBYrMFfMsDW(Ecj#wD-L%)kJ`xa2jM85lqqm%Ju30|N--lGkEpU;trU z^4iP{3?Ph4UWb{10fceM>oPMifG{q3J!S?55XL31&&<F8!nouOm>C#A7?->uGXnz% z<B~UGW?%qeT=K@u3=ANQOWuT;fdPbZ$(u4WFn};Fc{64P1`x(2Z_doX0K&NBEtnY? zKp2<2B{Ks92;-8sVrF0fVO;Xo%nS@5j7#2znSlX>amm{<GcbTKE_pj<1_ltuC2!Bn zzyQLy<Q<q97(f`8ydyIM0|?`icVcE>0AXD6&ddx9AdE}ig_(f?gmKBcGBYrMFfMsF zW(Ecj#wG90%)kJ`xa2*U85lqqm%Jx40|N--lJ{a}U;trU^4`o03?Ph4-iMii0fceM z`!X{yfG{q3KV}975XL3%&&<F8!nouEm>C#A7?*q?GXnz%<B|_zW?%qeT=K!p3=ANQ zOFo2|fdPbZ$%isCFn};F`7mY%1`x(2AI{9c0K&NBBbXT&Kp2;NBr^j82;-8EVrF0f zVO;Xj%nS@5j7vU-nSlX>ammLrGcbTKF8MfS1_ltuB_GeszyQLy<P(?~7(f`8d?GUg z0|?`iPhw_Z0AXD6$;=E4AdE{sg_(f?gmKBIGBYrMFfREtW(Ecj#wDN5%)kJ`xa2dK z85lqqmwYBO0|N--lFwphU;trU^4ZJ`3?Ph4K8Kls0fceM=Q1-efG{rkJZ1(45XL2+ z&&<F8!nouMm>C#A7?*q@GXnz%<B~68W?%qeT=K=t3=ANQOTL7efdPbZ$(J%SFn};F z`7&k(1`x(2U(U?H0K&NBE0`G=Kp2;NB{Ks92;-8kVrF0fVO;Xn%nS@5j7z?TnSlX> zamm**GcbTKF8MlU1_ltuC120XzyQLy<Qte77(f`8d?Pah0|?`iZ(?R(0AXD6&CCo8 zAdE}Cg_(f?gmKBYGBYrMFfREvW(Ecj#wFj*%)kJ`xa2#S85lqqmwYEP0|N--lJ8<> zU;trU^4-h~3?Ph4zK5BC0fceM_cAjufG{rkK4u065XL3n&&<F8!nouoFf%ZKFfRFt z%nS@5j7xqJGXnz%<C34u%)kJ`xa6lWGcbTKF8Qg<3=ANQOMV(N0|N--lAq4ZzyQLy z<YzE5Fn};F`I*cN3?Ph4eikzW0|?`ipUup`0K&NB=P)xcfG{rkxy%d<AdE|X9y0?2 z2;-8U&&<F8!nouYFf%ZKFfRFp%nS@5j7xqIGXnz%<C0&@%)kJ`xa5~GGcbTKF8QU* z3=ANQOMV$M0|N--l3&iuzyQLy<X12=Fn};F`IXEJ3?Ph4eibtV0|?`iU(L+G0K&NB z*Dy0MfG{rkwag3*AdE|X9Ww(12;-7p&&<F8!nou&Ff%ZKFfRFx%nS@5j7xqKGXnz% z<C5RZ%)kJ`xa7AmGcbTKF8Qs@3=ANQOMV+O0|N--lHbnEzyQLy<aaPLFn};F`JK!R z3?Ph4eit(X0|?`i-_6Xx0K&NB_b@XsfG{rkz03>@AdE|XA2S032;-99&&<F8!nouQ zFf%ZKFfRFn%nS@5j7$CyGXnz%<B~tj%)kJ`xa5y8GcbTKF8QO(3=ANQOa2%$0|N-- zl0VMOzyQLy<WDd&Fn};F`IF2H3?Ph4{uDC<0|?`iKh4a*0K&NB&oDDEfG{rkv&;+( zAdE}?95Vw02;-7J&&<F8!nouwFf%ZKFfRFv%nS@5j7$C!GXnz%<C4G3%)kJ`xa6-e zGcbTKF8Qm>3=ANQOa2-&0|N--lE2Q(zyQLy<Zm!DFn};F`J2oP3?Ph4{uVO>0|?`i zzs=0R0K&NB?=UkkfG{rkyUYv>AdE}?9y0?22;-8!&&<F8!nougFf%ZKFfRFr%nS@5 zj7$CzGXnz%<C1^O%)kJ`xa6NOGcbTKF8Qa-3=ANQOa2)%0|N--l7G(3zyQLy<X<o| zFn};F`IpQL3?Ph4{uMI=0|?`if6dIm0K&NB-!L;UfG{rkx6BL-AdE}?9Ww(12;-7} z&&<F8!nou=Ff%ZKFfRFz%nS@5j7$C#GXnz%<C6c(%)kJ`xa7YuGcbTKF8Qy_3=ANQ zOa2=(0|N--lK;-kzyQLy<bN<TFn};F`Jc=T3?Ph4{ueU?0|?`i|IN(60K&NB|1dK! zfG{rkzsw8_AdE}?A2S032;-9f&&<F8!nouaSQr>U7?(UF3j+fP<C14$VPF7ZT=L8; z3=ANQOP+;=fdPbZ$+NOBFn};Fc{UaX1`x(2&(6ZY0K&NBIanANKp2-iCkq1u2;-9H zVqstaVO;XuEDQ`Fj7y$}g@FNtamn+tFff2HE_pr{1_ltuCC|^ozyQLy<ONt57(f`8 zydVn$0|?`i7h+*x0AXD6!Ym97AdE|1goS|tgmKA>vM?}!F|PT476t|}kQyBF;w%gd zAdJg=2^Izh5XL1h$-=+@!now6SQr>U7?->>3j+fP<C2$QVPF7ZT=KFk3=ANQOJ0tJ zfdPbZ$;-1aFn};Fc?A{*1`x(2ugJo{0K&NBl~@=UKp2<2G7AF(2;-7hVPRkZVO;X6 zEDQ`Fj7wgPg@FNtamlN*Fff2HE_n?W1_ltuC9lcCzyQLy<h58B7(f`8yfzC10|?`i z*I{8`0AXD6x-1L~AdE|1kA;B&gmKC1voJ7#FfMrm76t|o#wBma!oUE+xa5sk7#Khp zm%K3x0|N--k~d*tU;trU@}?{d3?Ph4-i(ET0fceMo3k)5fG{q33l;_j5XL2M$-=+@ z!nowESQr>U7?->?3j+fP<C3>wVPF7ZT=KRo3=ANQOWux!fdPbZ$=kCqFn};Fc?T8- z1`x(2@5sWy0K&NBomdzcKp2<2GYbO)2;-7>VPRkZVO;XAEDQ`Fj7#2)g@FNtaml;0 zFff2HE_n|Y1_ltuCGW|?zyQLy<h@uJ7(f`8yf+I20|?`i_hDgR0AXD6zAOw3AdE}i zkA;B&gmKCHvoJ7#FfRE376t|o#w8!f!oUE+xa5Oa7#KhpmwYe_0|N--k`G~FU;trU z@}VpY3?Ph4K8%Hd0fceMhqEv+fG{rk2o?qg5XL1R$-=+@!now4SQr>U7?*rB3j+fP z<C2eIVPF7ZT=KCj3=ANQOFoW;fdPbZ$;Y!WFn};F`2-dQ1`x(2pUA?%0K&NBlUNuS zKp2;NG7AF(2;-7ZVPRkZVO;X5EDQ`Fj7vU^g@FNtamlB%Fff2HF8K@=1_ltuC7;Q{ zzyQLy<g-{97(f`8d^QUM0|?`i&tYL;0AXD6xhxC}AdE{skA;B&gmKB|voJ7#FfRE5 z76t|o#wB0K!oUE+xa5mi7#KhpmwYh`0|N--l4pPdaisQpMG{1ik--{t^$_@qI$ZKh z3=H5S>~P6Lm$x(El81JGamuqYFff2HF8yo_3=ANQOP-y9fdPbZ$#XC;Fn};Fc}@lf z1`x(2&&9yN0K&NBxfvK3Kp2-i4+8@O2;-9HWnf?cVO;Wj3=9k)j7y%Mfq?;pamfoX zFff2HE_p!)1_ltuB`?IlzyQLy<b@d+7(f`8ya)pW0|?`i2c=sO#w9Ptz`y{)xa2|N zFdT6HYwo{#1_tExEy2LR0Ky<O*yJS{7#Khpm%J1M0|N--l9y&+U;trU@-hqz3?Ph4 zUY3D@0fceM%P}x8fG{q3c?Jds5XL31z`(!&!nouW85kHq7?->f0|NsH<C0fqU|;}Y zT=FUm3=ANQOJ0?MfdPbZ$*VCiFn};Fd36Q`1`x(2uff2;0K&NBH5nKfKp2<276StV z2;-91W?*0dVO;V$3=9k)j7wgZfq?;pamnj3Fff2HE_r<h1_ltuC2zpMzyQLy<P8}Z z7(f`8yb%Kf0|?`iH)dd90AXD6CJYP=AdE}il!1W(gmKB6F)%QIFfMs>1_lNY#wBmT zz`y{)xa2Jv7#Khpm%J4N0|N--lDB4HU;trU@-_?%3?Ph4-j;!Z0fceM+c7XOfG{q3 zdj<vu5XL3%z`(!&!noue85kHq7?->g0|NsH<C1q~U|;}YT=Fgq3=ANQOWu`%fdPbZ z$-6NyFn};Fd3Oc|1`x(2@4>*p0K&NBJsB7nKp2<27Xt$W2;-9XW?*0dVO;V)3=9k) zj7#2^fq?;pamo8JFff2HE_r_j1_ltuB_9B4_c1WwY<~qZFff2HF8x6a3=ANQOFo!^ zfdPbZ$%im7Fn};F`A`N11`x(2AI8AI0K&NB!x<PDKp2;N1Oo#D2;-8EWME(bVO;W2 z3=9k)j7vV6fq?;pammLpFff2HF8Npn1_ltuB_GGYzyQLy<l`9_7(f`8d;$Xl0|?`i zPh?<V0AXD6psoT4<C0HiU|;}YT=FRl3=ANQOFor>fdPbZ$)_<eFn};F`E&*b1`x(2 zpTWSu0K&NBGZ`2dKp2;N76StV2;-8^W?*0dVO;V#3=9k)j7vV3fq?;pamnW~Fff2H zF8O>01_ltuC11e6zyQLy<O>-X7(f`8d=Uc!0|?`iFJ@q10AXD6B@7G<AdE}Cl!1W( zgmKB2F)%QIFfRFW1_lNY#wB0Dz`y{)xa2Dt7#KhpmwXii0|N--lCNf9U;trU@-++$ z3?Ph4zLtT30fceM*D)|KfG{rkdIkmt5XL3nz`(!&!nouc85kHq7?*q#0|NsH<C1S? zU|;}YT=Fdp3=ANQOTLwXfdPbZ$+s~uFn};F`E~{d1`x(2-@(AZ0K&NBI~f=lKp2;N z7Xt$W2;-9PW?*0dVO;V(3=9k)j7z?kfq?;pamn{FFff2HF8O{21_ltuB|m|IfdPbZ z$xmcpU;trU@{<@C7(f`8{A30O1`x(2KZSvT0fceMPi0_W0AXD6(-;^SKp2<&bOr_n z5XL1xgMonogmKBwWME(bVO;XF7#J8p7?=EP1_lNY#w9<8fq?;pammkRU|;}YT=Mf6 z7#Khpm;8JN1_ltuCBJ}yfdPbZ$uDGJU;trU@{1T47(f`8{9*<M1`x(2zl4E-0fceM zFJ)k00AXD6%NQ6KKp2<&as~zl5XL3Hf`NengmKBQWME(bVO;X77#J8p7?=EN1_lNY z#wEXofq?;pamlY`U|;}YT=MG}7#Khpm;8DL1_ltuCBK1zfdPbZ$!}y}U;trU@|zeK z7(f`8{ALCQ1`x(2zlDK;0fceMZ)IR$0AXD6+ZY%aKp2<&b_NCp5XL3HgMonogmKC5 zWME(bVO;XN7#J8p7?=ER1_lNY#wEXpfq?;pamnvxU|;}YT=M%E7#Khpm;8PP1_ltu zC4Yc{fdPbZ$sc53U;trU@`o507(f`8{9y(L1`x(2e}sX70fceMA7x-*0AXD6#~2tG zKp2<&aRvqk5XL2cf`NengmKBAWME(bVO;X37#J8p7?=EM1_lNY#wCA-fq?;pamk-$ zU|;}YT=M4_7#Khpm;8AK1_ltuC4Yf|fdPbZ$zNn(U;trU@|PGG7(f`8{AC6P1`x(2 ze}#d80fceMUu9rm0AXD6*BBTWKp2<&bp{3o5XL2cgMonogmKB=WME(bVO;XJ7#J8p z7?=EQ1_lNY#wCA;fq?;pamn9hU|;}YT=MrA7#Khpm;8MO1_ltuCI5hdfdPbZ$v<RZ zU;trU@{br87(f`8{9^_N1`x(2|Ac{o0fceMKV@KG0AXD6&lngOKp2<&a|Q+m5XL3{ zf`NengmKBgWME(bVO;XB7#J8p7?=EO1_lNY#wGuTfq?;paml}BU|;}YT=MT27#Khp zm;8GM1_ltuCI5kefdPbZ$$w;EU;trU@}C$O7(f`8{AUIR1`x(2|Am2p0fceMe`R1` z0AXD6-xwGeKp2<&cLoLq5XL3{gMonogmKCLWME(bVO;XR7#J8p7?=ES1_lNY#wGuU zfq?;pamoK>U|;}YT=M@I7#Khpm;8SQ1_ltuB@dq5XT&}J%gD&U0K&NRGchtSfG{q3 zW<~}E5XL3X!pOh?!novF85tNr7?(U7BLf2n<C14*WMBYcT=E=@3=ANQOP-UFfdPbZ z$#XF>Fn};Fd2U7q1`x(2&%?;T0K&NBc^MfPKp2-iA0q<;2;-9HXJlXiVO;V8j0_AQ zj7wgSk%0k(amfoYGBAKJE_q=_1_ltuB`?CrzyQLy<V6`77(f`8yci<`0|?`i7YC(n z5C+Y2V4MGEWMGiMBQMFwzyQLy%$H(hU;trU^3se93?Ph4UWSo@0fceM%Q7-BfG{q3 zIYtHs5XL1h&&a?4!nouW7#SEq7?->vBLf2n<C0fmWMBYcT=L3{3=ANQOJ0SMfdPbZ z$*VFlFn};Fc{N4`1`x(2ug=K80K&NBH5eHfKp2<2CL;p_2;-91Vq{<dVO;Xsj0_AQ zj7wgJk%0k(amnj4GBAKJE_pph1_ltuC9luOzyQLy<P8`Z7(f`8JZJ$J2;-7BVq{<d zVO;XYj0_AQj7#2xk%0k(amkx9GBAKJE_pLX1_ltuC2!8izyQLy<SiH(7(f`8yd@(8 z0|?`iw_;>q0AXD6){G1cAdE}ihLM2*gmKB+GBPlLFfMsJMg|5D#wBmh$iM)?xa1ue z85lqqm%Jk*0|N--l6PWcU;trU^3IG53?Ph4-i48Y0fceMyD~B`fG{q3H%0~q5XL3% z&d9(3!nou;7#SEq7?->!BLf2n<C6DcWMBYcT=L$G3=ANQOWuc(fdPbZ$@?-gFn};F zc|S%51`x(2@6X7<0K&NB0~i??Kp2;NAR_|<2;-6uVq{<dVO;XTj0_AQj7vU*k%0k( zamj}=GBAKJF8MG<1_ltuB_GbnzyQLy<Rcgv7(f`8d?X_S0|?`ik78tC0AXD6(TofX zAdE{shLM2*gmKBoGBPlLFfRExMg|5D#w8!m$iM)?xa1QU85lqqmwX~40|N--l22k} zU;trU^2v-03?Ph4K82Bi0fceMr!q1yfG{rkG)4vn5XL2+&d9(3!nou!7#SEq7?*q| zBLf2n<C4!}WMBYcT=LnB3=ANQOFoB@fdPbZ$>%aMFn};F`8-Aj1`x(2pU=p^0K&NB z3m6#~Kp2;NAtM6=2;-73Vq{<dVO;XXj0_AQj7z?Rk%0k(amkl5GBAKJF8MM>1_ltu zC11|SzyQLy<SQ5%7(f`8d?h0T0|?`iuVQ3i0AXD6)r<@bAdE}ChLM2*gmKB&GBPlL zFfREzMg|5D#wB0R$iM)?xa1oc85lqqmwY250|N--l5b*UU;trU^39A43?Ph4zJ-y2 z0fceMw=yy?fG{rkHbw>p5XL3n&d9(3!nou+7#SEq7?*q}BLf2n<C5=UWMBYcT=LzF z3=ANQOTLGZfdPbZ$@elcFn};F`94Ml1`x(2-_OXv0K&NBConQFfG{rkiHr;kAdE|X z5+efx2;-8U%*en1!now8FfuTJFfRG2j0_AQj7xqRBLf2n<C34w$iM)?xa4OrGBAKJ zF8P^^3=ANQOMVt30|N--lAq1UzyQLy<mWIlFn};F`MHb?3?Ph4ejXzO0|?`ipU=p^ z0K&NB7cep~fG{rkg^UagAdE|X5hDWw2;-7p%*en1!nov@FfuTJFfRF}j0_AQj7xqQ zBLf2n<C0&_$iM)?xa3zbGBAKJF8P&=3=ANQOMVq20|N--l3&fpzyQLy<kv7VFn};F z`L&D;3?Ph4ejOtN0|?`iU(d+E0K&NBH!w0VfG{rkjf@NoAdE|X6C(oy2;-99%*en1 z!nowOFfuTJFfRG6j0_AQj7xqSBLf2n<C5Rb$iM)?xa4;*GBAKJF8Q5|3=ANQOMVw4 z0|N--lHbk9zyQLy<o7T#Fn};F`Mrz`3?Ph4ejg(P0|?`i-_OXv0K&NB4=^$?fG{rk zgNzIeAdE}?5F-Nv2;-7J%*en1!nov*FfuTJFfRF{j0_AQj7$C)BLf2n<B~tl$iM)? zxa3bTGBAKJF8Py;3=ANQOa2ri0|N--l0VJJzyQLy<j*iNFn};F`Lm1+3?Ph4{v0C% z0|?`iKhMa(0K&NBFEBDNfG{rki;N5mAdE}?5+efx2;-8!%*en1!nowGFfuTJFfRG4 zj0_AQj7$C+BLf2n<C4G5$iM)?xa4mzGBAKJF8P~`3=ANQOa2xk0|N--lE2N!zyQLy z<nJ&tFn};F`MZn^3?Ph4{vIO(0|?`izt70P0K&NBA22d7fG{rkhl~siAdE}?5hDWw z2;-7}%*en1!now0FfuTJFfRG0j0_AQj7$C*BLf2n<C1^Q$iM)?xa40jGBAKJF8P;? z3=ANQOa2uj0|N--l7G#}zyQLy<litdFn};F`L~P=3?Ph4{v9I&0|?`if6vIk0K&NB zKQJ;dfG{rkkBkfqAdE}?6C(oy2;-9f%*en1!nowWFfuTJFfRG8j0_AQj7$C-BLf2n z<C6c*$iM)?xa5B@GBAKJF8QB~3=ANQOa2!l0|N--lK;)fzyQLy<o_@-Fn};F`M-<| z3?Ph4{vRU)0|?`i|If(40K&NB!JGG)@XY@+F)%RVk!NCJU;trU<}))fFn};Fc@`!H z1`x(2&&tHW0K&NB*_ap@Kp2-iI}-x~2;-9HU}9hZVO;W@ObiSlj7y%2iGcxxamjNt zF))BIE_og%1_ltuCC|&mzyQLy<oTEw7(f`8JU<fy0|?`i7hqyw0AXD6f=mnyAdE|1 zh>3v#gmK9WGchoLFfMr!CI$u&#w9Px#J~W;xa7r{7#Khpm%KPA?Se3_`F|z`1_?a! zl1vN?AdJg=DJBL65XL1h&BVX}!novRm>3v97?->(69WSX<C2$SVqgGaT=Mcv3=ANQ zOJ0GAfdPbZ$tyB3Fn};Fc_k(W1`x(2ugt{20K&NBRhSqUKp2<2DiZ?(2;-7hV`5+c zVO;X+ObiSlj7wgFiGcxxami~kF))BIE_p2`1_ltuC9loIzyQLy<aL-B7(f`8ye<<1 z0|?`i*JEN}0AXD6`b-QAAdE}ifQf+tgmK9mGBGfKFfMr`CI$u&#wBme#J~W;xa3Wk z7#Khpm%J$x0|N--k~d>wU;trU^5#qo3?Ph4-hzpN0fceMTQV^)fG{q3D<%d85XL2M z&BVX}!novZm>3v97?->)69WSX<C3>yVqgGaT=Moz3=ANQOWuKrfdPbZ$vZMJFn};F zc_$_Y1`x(2@65!&0K&NBU6>dcKp2<2D-#0)2;-7>V`5+cVO;X=ObiSlj7#2wiGcxx zamjl!F))BIE_p8|1_ltuCGX9|zyQLy<b9YJ7(f`8ye|_20|?`i_hVvU0AXD6{!9!E zAdE{sfQf+tgmK9SGBGfKFfREZCI$u&#w8!j#J~W;xa32a7#KhpmwYG_0|N--k`H5I zU;trU^5IMj3?Ph4K7xsX0fceMM=~)mfG{rkC?*C55XL1R&BVX}!novPm>3v97?*r3 z69WSX<C2eKVqgGaT=MZu3=ANQOFn^#fdPbZ$tN-~Fn};F`6MO=1`x(2pUlL-0K&NB zQ<xYSKp2;NDiZ?(2;-7ZV`5+cVO;X*ObiSlj7vU)iGcxxami;gF))BIF8M4b1_ltu zC7;d2zyQLy<a3x97(f`8d@d6M0|?`i&tqa>0AXD6`AiH9AdE}CfQf+tgmK9iGBGfK zFfREbCI$u&#wB0O#J~W;xa3Qi7#KhpmwYJ`0|N--k}qRoU;trU^5skn3?Ph4zJiH? z0fceMS28g$fG{rkDkcU75XL26&BVX}!novXm>3v97?*r469WSX<C3pqVqgGaT=Mly z3=ANQOTK}LfdPbZ$u}}FFn};F`6ea?1`x(2-^|3o0K&NBTbLLaKp2;ND-#0)2;-7( zV`5+cVO;X<ObiSlj7z?QiGcxxamjZwF))BIF8MAd1_ltuCEv}&zyQLy<a?MH7(f`8 zd@mCN0|?`i?_*+M0AXD6{Y(rDAdE|X0uuuR2;-8U$i%<^!nouoF)=WJFfRGYObiSl zj7xqB69WSX<C34s#J~W;xa6lXF))BIF8S$93=ANQOMV6u0|N--lAp=MzyQLy<YzH4 zFn};F`Pobi3?Ph4ehw1@0|?`ipUcF+0K&NB=P@xbfG{rk`AiH9AdE|X0TTlQ2;-7p z$i%<^!nouYF)=WJFfRGUObiSlj7xqA69WSX<C0&>#J~W;xa5~HF))BIF8Sq53=ANQ zOMV3t0|N--l3&ThzyQLy<X15<Fn};F`PEDe3?Ph4ehm`?0|?`iU(3Y60K&NB*D*0L zfG{rk^-K&5AdE|X0}}%S2;-99$i%<^!nou&F)=WJFfRGcObiSlj7xqC69WSX<C5RX z#J~W;xa7AnF))BIF8S?D3=ANQOMV9v0|N--lHbY1zyQLy<aaSKFn};F`Q1zm3?Ph4 zeh(7^0|?`i-^;|n0K&NB_c1XrfG{rk{Y(rDAdE}?022cP2;-7J$i%<^!nouQF)=WJ zFfRGSObiSlj7$Cq69WSX<B~th#J~W;xa5y9F))BIF8Sk33=ANQOa25C0|N--l0V7B zzyQLy<WDg%Fn};F`O{1c3?Ph4{tOcX0|?`iKg-0x0K&NB&oMDDfG{rk^Gpm3AdE}? z0uuuR2;-8!$i%<^!nouwF)=WJFfRGaObiSlj7$Cs69WSX<C4G1#J~W;xa6-fF))BI zF8S+B3=ANQOa2BE0|N--lE2BszyQLy<Zm%CFn};F`P)nk3?Ph4{tgoZ0|?`izstnH z0K&NB?=dkjfG{rk`%DZBAdE}?0TTlQ2;-7}$i%<^!nougF)=WJFfRGWObiSlj7$Cr z69WSX<C1^M#J~W;xa6NPF))BIF8Sw73=ANQOa28D0|N--l7Gp>zyQLy<X<r{Fn};F z`PWPg3?Ph4{tXiY0|?`if6K(c0K&NB-!U;TfG{rk_e=~7AdE}?0}}%S2;-9f$i%<^ z!nou=F)=WJFfRGeObiSlj7$Ct69WSX<C6c%#J~W;xa7YvF))BIF8S|F3=ANQOa2EF z0|N--lK;uXzyQLy<bN?SFn};F`QJ<o3?Ph4{tpua0|?`i|I5U{0K&NB|1mKzfG{rk z|4a-FAdE|%0eV0`E_p^~1_ltuCC|jnzyQLy<e8Zn7(f`8JPR`e0|?`iXJuw!0AXD6 zY|IP{AdE|%otc3FgmKApFf%ZKFfMscW(Ecj#wE|i%)kJ`xa7H+85lqqmpl(M0|N-- zlILY+U;trU@_ft;3?Ph4o}Zb40fceM3otV<fG{q3L1qR95XL1h#LU0|!novxnHd;B z7?->VGXnz%<B}I;W?%qeT=HVf3=ANQOJ1CrfdPzh&HpnqFi3#Z;E<POW?%qeT;@wL zGcbTKE_rEY1_ltuB`?FwzyQLy<Yk!|7(f`8yc{zF0|?`imuF^R0AXD63d{@)AdE|1 zk(q%3gmK9$F*7iLFfMszW(Ecj#wD-9%)kJ`xa3ut85lqqm%JJ?0|N--l2>PDU;trU z@*2zx3?Ph4UXz)D0fceMYcVr0fG{q3ZDs}r5XL31!_2?{!novhnHd;B7?->rGXnz% z<C52BW?%qeT=E9Y3=ANQOWu%~fdPbZ$r~{<Fn};Fd1Gb<1`x(2Z^F#L0K&NBO_>=O zKp2<288ZU|2;-7BXJ%jkVO;VS%nS@5j7#2<nSlX>amiaTGcbTKE_rKa1_ltuC2zyb zzyQLy<ZYQ57(f`8yd5(G0|?`iw`XQx0AXD64$KS;AdE}ik(q%3gmK9`F*7iLFfMs# zW(Ecj#wG8<%)kJ`xa3`#85lqqm%JM@0|N--l6PljU;trU@*d0#3?Ph4-jkVu0fceM zdoeRGfG{q3Z)OGt5XL3%!_2?{!novpnHd;B7?->sGXnz%<C6DhW?%qeT=D_T3=ANQ zOFod9fdPbZ$p<krFn};F`Cw)S1`x(2AHvMQ0K&NBLzx*EKp2;N7&8L{2;-6uXJ%jk zVO;VN%nS@5j7vU}nSlX>amhz9GcbTKF8OF?1_ltuB_G4gzyQLy<YSo`7(f`8d>k_a z0|?`ik7s6J0AXD63Cs)(AdE{sk(q%3gmK9yF*7iLFfRFIW(Ecj#wDM^%)kJ`xa3or z85lqqmwXyC0|N--l22!5U;trU@)^tw3?Ph4K9iY&0fceMXE8G{fG{rkY-R=q5XL2+ z!_2?{!novfnHd;B7?*q=GXnz%<C4#3W?%qeT=E6X3=ANQOTLhqfdPbZ$rmv*Fn};F z`C?`U1`x(2U&7450K&NBOPLuMKp2;N88ZU|2;-73XJ%jkVO;VR%nS@5j7z?fnSlX> zamiOPGcbTKF8OL^1_ltuC11nLzyQLy<ZGE37(f`8d>u0b0|?`iuV-dp0AXD64a^J- zAdE}Ck(q%3gmK9?F*7iLFfRFKW(Ecj#wFjv%)kJ`xa3=z85lqqmwX#D0|N--l5b~b zU;trU@*T_!3?Ph4zLS}O0fceMcQG?CfG{rkZe|7s5XL3n!_2?{!novnnHd;B7?*q> zGXnz%<C5=ZW?%qeT=El`85lqqm;6L#1_ltuB|nLofdPbZ$xmixU;trU@>7@@7(f`8 z{8VNJ1`x(2KaH7z0fceMPiJOe0AXD6Gng3|Kp2<&OlAfK5XL1xi<yA|gmKBwW@caj zVO;Wam>C#A7?=E9W(Ecj#w9<GnSlX>ammkTW?%qeT=EN;85lqqm;6Fz1_ltuCBKN7 zfdPbZ$uDMRU;trU@=KT*7(f`8{8DBH1`x(2zl@oI0fceMFK1?80AXD6E0`G=Kp2<& zN@fNI5XL3HikX1{gmKBQW@cajVO;WSm>C#A7?=E7W(Ecj#wEXwnSlX>amlY|W?%qe zT=E;385lqqm;6R%1_ltuCBKQ8fdPbZ$!}(6U;trU@>`f07(f`8{8nZL1`x(2zm1uJ z0fceMZ)av;0AXD6JD3?5Kp2<&PG$xM5XL3Hi<yA|gmKC5W@cajVO;Wim>C#A7?=EB zW(Ecj#wEXxnSlX>amnvzW?%qeT=EB)85lqqm;6Cy1_ltuC4Y#SfdPbZ$scBBU;trU z@<*5%7(f`8{845G1`x(2e~g)d0fceMA7^G@0AXD6Czu%+Kp2<&NoEEH5XL2cikX1{ zgmKBAW@cajVO;WOm>C#A7?=E6W(Ecj#wCA_nSlX>amk-&W?%qeT=Ex~85lqqm;6O$ z1_ltuC4Y&TfdPbZ$zNt>U;trU@>iG{7(f`8{8eTK1`x(2e~p=e0fceMUuR}u0AXD6 zH<%e1Kp2<&O=boL5XL2ci<yA|gmKB=W@cajVO;Wem>C#A7?=EAW(Ecj#wCA`nSlX> zamn9jW?%qeT=EZ?85lqqm;6I!1_ltuCI5(-fdPbZ$v<XhU;trU@=ur<7(f`8{8MHI z1`x(2|BRV|0fceMKWAoO0AXD6FPIq^Kp2<&OJ)WJ5XL3{ikX1{gmKBgW@cajVO;WW zm>C#A7?=E8W(Ecj#wGubnSlX>aml}DW?%qeT=E~785lqqm;6U&1_ltuCI5+;fdPbZ z$$w^MU;trU@?V%47(f`8{8wfM1`x(2|Bab}0fceMe`jW30AXD6KbRR9Kp2<&Pi6)N z5XL3{i<yA|gmKCLW@cajVO;Wmm>C#A7?=ECW(Ecj#wGucnSlX>amoK@W?%qeT=EPo z3=ANQOP-O1fdPbZ$uqGqFn};Fd1e*{1`x(2&%(mM0K&NBSy>nuKp2-i8w&#i2;-7x zXJKFfVO;VYEDQ`Fj7y%Ag@FNtamjPBFff2HE_rSi1_ltuCC|gczyQLy<at>b7(f`8 zJRb`K0|?`i=VxJH0AXD60xS#+AdE|1kcEK(gmK9Wu`n=zFfMsv76t|o#w9Pp!oUE+ zxa37y7#Khpm%JDY0|N--k{4%TU;twr^ZODk3=ANQOTQ!w0|N--l9ys(U;trU^3p5} z3?Ph4UWSE%0fceM%d#*qfG{q3ITi*65XL1h&%(d}!nouWSQr>U7?->v3j+fP<C0fm zVPF7ZT=L2+3=ANQOJ0SAfdPbZ$*Zz3Fn};Fc{LUW1`x(2ug=220K&NBHCPxJKp2<2 zCJO@t2;-91VqstaVO;XsEDQ`Fj7wgJg@FNtamnklFff2HE_po`1_ltuC9luIzyQLy z<PBID7(f`8ydet%0|?`iH)3I60AXD6#w-jBAdE}igoS|tgmKB6vM?}!FfMsB76t|o z#wBmg!oUE+xa2KZ7#Khpm%Jql0|N--lDA@EU;trU^42U23?Ph4-iC#N0fceM+p;h) zfG{q3I~E285XL2M&%(d}!noueSQr>U7?->w3j+fP<C1q`VPF7ZT=LE=3=ANQOWuWr zfdPbZ$-A;JFn};Fc{dgY1`x(2@6N)&0K&NBJy;kRKp2<2Ckq1u2;-9XVqstaVO;Xw zEDQ`Fj7#2!g@FNtamo9#Fff2HE_pu|1_ltuCGXF|zyQLy<O5h37(f`8d>{)00|?`i z4`N|p0AXD6!7L06AdE{sgoS|tgmKA-vM?}!FfREp76t|o#w8!l!oUE+xa1>P7#Khp zmwY4(0|N--l8<6xU;trU^3f~|3?Ph4K8A&X0fceM$FeXmfG{rkI2Hy55XL1R&%(d} z!nouUSQr>U7?*q^3j+fP<C0HeVPF7ZT=K~*3=ANQOFo5#fdPbZ$)~a~Fn};F`7{;= z1`x(2pU%R-0K&NBGgufHKp2;NCJO@t2;-8^VqstaVO;XrEDQ`Fj7vU;g@FNtamnYh zFff2HF8Mqb1_ltuC7;j2zyQLy<O^6B7(f`8d?5=10|?`iFJfU}0AXD6#ViaAAdE|% z0Sd&B+UEg@5J5%;YtYq0;4A8I$ulu9fRC`lB@bQR&VWlE+Wo~T&&t5S0K&NRvoSC* zfG{q3b_NCp5XL3X!N9-(!nou)85kHq7?(U30|NsH<C5oQU|;}YT=F~&3=ANQOP-g3 zfdPbZ$@4KVFn};Fd42{41`x(2FTlXS0K&NB1sNC^Kp2<25Ca1P2;-6$W?*0dVO;Ve z3=9k)j7wgWfq?;pamj<kVK@MKzZ`P<1*Lls2C2a&FTudT0K&NBB^ekPKp2<26axbT z2;-8MW?*0dVO;Vu3=9k)j7wgYfq?;pammXuFff2HE_rzd1_ltuC9lB1zyQLy<P{kh z7(f`8yb=Qg0|?`iS7u;f0AXD6Dhvz^AdE|1m4Sf)gmKBMF)%QIFfMs@1_lNY#wD-8 zz`y{)xa2h%7#Khpm%J7O0|N--lGkQnU;trU@;VF*3?Ph4UYCJ^0fceM>oG7efG{q3 zeFg>w5XL2Mz`(!&!nouO85kHq7?->e0|NsH<B~UKU|;}YT=FIi3=ANQOWu@$fdPbZ z$(u1SFn};Fd2<E^1`x(2Z^6L80K&NBEg2XXKp2<26$1kU2;-8sW?*0dVO;Vy3=9k) zj7#2@fq?;pamm{;Fff2HE_r(f1_ltuCGWt%zyQLy<Q*9p7(f`8yb}Wh0|?`icV=K< z0AXD6E({C|AdE}im4Sf)gmKBcF)%QIFfMs_1_lNY#wG8;z`y{)xa2(<7#Khpm%JAP z0|N--lJ{m{U;trU@;(d<3?Ph4-j{)a0fceM`!O&ufG{q3e+C8y5XL1R0BZL!FyL%| z1u`%&fG{roK@1EGAdE{sn1O)-gmKA-FfcHHFfRE}1_lNY#w8!dz`y{)xa7kb7#Khp zmwW^R0|N--l8<CyU;trU@=**73?Ph4KAM4n0fceM$1pH3fG{rkSOx|L5XL1R$H2e< z!now)85kHq7?*qk0|NsH<B|t;1wa^=d=di#0|?`iPiA0X0AXD6DGUq@AdE{sm4Sf) zgmKBIF)%QIFfRFY1_lNY#wDM@z`y{)xa2b#7#KhpmwXlj0|N--lFw#fU;trU@;M9) z3?Ph4K9_-k0fceM=P@uafG{rkd<F&v5XL26z`(!&!nouM85kHq7?*qz0|NsH<B~6C zU|;}YT=FFh3=ANQOTLtWfdPbZ$(J!OFn};F`EmvZ1`x(2U%|k@0K&NBD;XFVKp2;N z6$1kU2;-8kW?*0dVO;Vx3=9k)j7z?jfq?;pamm*)Fff2HF8O)}1_ltuCEvinzyQLy z<Qo|n7(f`8d=mo$0|?`iZ)RX%0AXD6kdX#R9|zVyD*$B(1_lP?@dr>J2%9`?`~jCd zZ2SS2JZ$^{mpo|f0fceMgT@{}7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6z zgmKA(#vVWzmpo|f0fceMgT@{}7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6z zgmKA(#vVWzmpo|f0fceMgVHq!<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U;yFt+>$8hZd?kQ!|Aps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl? z2N1?34;p&_VO;W{u?G;wB@Y^V0AXD6ps@!K#w8CMf50UV8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{t`-R6k_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMu6_yeeqRRNtpwg6>FwDAXA^04s-T=KB-2VC;7@dsS;ps@!K#w8CLdjMfv@}RK? z5XL1B8hZd?T=Jl?2N1?34;p&_VO;W{u?G;wC66uq69|MqvOKo%N0!GH{>bv!!XH^4 z6!svD?0#f<P}qYoE_qPcgD@_6P}qYoE_qPcgD@_6P}qYoE_qPcgD@_6Sonj+5Mb$l z0;s}4OaHj!Vd0NU9v1$%<YD2DOCA*VAdE{M6!svDOCA*VAdE{M6!svDOCA*VAdE{M z6!svDOCA*VAdE{MH1-C<xa2`&Zy=0I9yIm_!nou?V{aggOCB`#2Ew@HL1S+qj7uIg z_6EYZ<UwO^AdE{MH1-C<xa2`&Zy=0I9yIm_!nou?V{aggOCFZ~L1SdF^zQ*`P@$!N zT=KB=k4qkw{&C5}(myVFP}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-< z9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT= zK^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgT|gg7?(V#-T+}-@}PVL!nou?;xLRY ze}TrHK^UY4n>?u92Ew@HL1WJ#j7uJtzd?4u%;x}gh|uykE_qn~#w8ES-?-#q`5Tu! zC~t!>E_qPi24P(Cpu7#jxa2{38-#JmgYq^A<B|vEZ4kyK56ashj7uJrw?P<}JScC2 zFfMse-UeY@@}Rs8!nou?c^iar$%FDX2;-6m<!ungB@fEmAdE{Ml(#_`mpmwMgD@_6 zP~HY%T=Jm24Z^tOL3ta5amj=7HVEUA2jy)L#w8ERcOZ;Q9wZLK*zzAJZ-X#M4K{gD z-UeY@^0533G6San1eW}bOCFZLammB-H!gWt{>CK_%G)4}OCFTBK^T`jC~t!>E_qPi v24P(Cpu7#jxa2{38-#JmgYq^AW0(I58X5ve0Vs`u_A!Gv6F>uA3=9ka^lAY7 literal 91568 zcma#Z%*o8FP>2Z5%vFeRadKBMFl2bS{8qXH0|UbaW(I~0sf=*!!@$76&&_}W7-783 zX?7qs2s30(v*Tf4U|<5tLB;u?Y>+rVlnqiVjl>2qL3%;rAPi!I)RStC49Fm;y|PGb zIV83`5?cX@t%$@{LSic;u~m@Rsz_`#B(^$~4RX5%lnwS15*y5ix)T;YAhE&he&qPl zLh_3?5*x$>#U02mAPi!o$0MOIM-Ml0!yjZnDd7onCoFwRFfcIafIO2q%?`wNfwDpI zAA`hhfU-+i7#Nlyu_ag;7(jk+VPIhB;Y6?nxFBqhT~m<Q3!rR}U2C9hP&z$=#C{BA zgY+_i@**t#z}eI6Ku!Q*W)K0z5ugmsz`(%BfRJZlU|`Tlm}d9Co`HeE5u%WhK?t0T zK|D4P0mT7M5C%vd6s91IOCA(=AdE{M<Sr1#B@c2J2;-6m1uF>Sk_U;yFvQgi49M<h zXJB9eVUQYZ@*E5d3?Ph49u$=zj7uIAMIekz9u!p|j9nfPKOjGX!V%=g6<Fd2RJP3s zNCL-~KsHDfD1L?1Kyd@Yxa2`*f-o+5P~3npE_qPgfG{q3kh?(`mpsVdAdE{M6gMD@ zOCA(AAdE{M6gMD@OCA(AAdE{M6gMD@T^<oXg2?$N5ElLjc~Cz7aJ&Q@KL%;o<ze|R zfPlOJ0r>(f@*qFJ%op&*v>y}(`4C|S4N&EdRz85r7?}PYIP}BhJ+SEKMGBt;KZr%h z<qxQwh3Vhmk0}qT6JhckSmXte%#R3w=?@2oH;4!FpTM~qFrNeNzHoT{z%Gx7KTsOF z4>E{>fg!;g!a#OENI%Sd8F2G;!S;bv3xNn|`RWh>;(+p}F5G@x@}Rs4!nou?c@u<j z$%FDH2;-6m<xLRAB@fD*AdE{Mls7>bmpmwMf-o+5P`H9HE_qP6f-o+5P`U<TT=JlF z3&ObMLFp2Namj<y9SGx+2c=sO#w8C*mmrKw9#n3CFfMtJI1DpjNk7623=AL)QiDxi zgn@wpgmKA>GB7ZJFfMs91_lNY#w9P#z`y{)xa1)zoq++|?t;3%A`>FY$bd-yxa2`; zAB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f z$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<QLFo#Famj<y zJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc}Pl!<WX4u{DGzX2l)Y;JSgphFfMse z+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D! zT=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bd5|~^V@p4v zv=71{HQ3}qX&;1f$%E292;-6mrF{^_B@b%%f-o+5Nd^W65XL1h#lXM-!nou?V-O&W zOCFRjK^T`js9g`jxa2|OL?Dby9@HlXVO;W{u?-N$B@Y^70%2V8pm8S<#w8CL3jtwV z^04v;6b2V`a>4D<6*%f&Z1SM82ZV9y2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMN zAdE{MRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3Mg zOCD7AfG{q3P}u{*xa2`)4+!Iu2c<g@#w8Cbdq5bMJgDpeVO;W{vIm55$%D!s5XL1B zDtkZ}mprKK0byM7pt1*qamj<q9uUSQ4-$uAZ21dR_JA-*4K{gD*#pA3<UwT*2;-6m zl|3MgOCD7AfG{q3P}u{*xa2`)4+!Iuhm}8|GytoA4n#skk=y^c<UwT*2;-6ml|3Mg zOCD7AfG{q3P}u{*xa2`)4+!Iu2bDb_j7uI=_JA-hc~IE{!nou?We*7Bk_VMNAdE{M zRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6ml|3MgOCBT+ z!`RXvsO$k@kQ!|Apt1*qamj<q9uUSQ4=Q^=7?(V#>;Yk1@}RN@gmKA(${rBLB@fD% zAdE{MRQ7-{E_qPd1H!oEL1hmJ<B|uJJs^xr9#r;#FfMse*#pA3<UwT*2;-6m&Ch@^ zE_u+L9SGx+2Q`>L7?(U~ZV!ZU$%E$mKp2<2CIbTl2;-6m&8vYhE_u*g8wlf)2hCZ5 zFfMsr1_lNY#wD-Ez`y{)xa9R27#Khpm%IT30|N--k~d^vU;trU@<t2{3?Ph4-k5=b z0fceMn=mjifG{q3Qw9bG5XL2M#=yV;!nowk85kHq7?->S0|NsH<C3>zU|;}YT=G^7 z3=ANQOWvA+fdPbZ$=fh6Fn};Fd0Pes1`x(251K#(VO;X|3=9k)j7uIg)d<44<Uvze zAdE{MG}Q>gxa6G~7#Khpmpo{m7KCxhyD~5^fG{q3HwFd<5XL3%&cMI`!nou;7#J8p z7?->!0|NsH<C6DcU|;}YT=Jl)2oT0451NVqVO;W{sT>f-B@dda0byM7ps5%T#w8D$ ziUDC<@}Q|Q5XL1R#K6D+!novv85kHq7?*qq0|NsH<B|_$U|;}YT=HQI3=ANQOFo=| zfdPbZ$%BUaKp2-is3{D>xa6Z47#KhpmwYq>0|N--k_W9#0AXD6u?!3hAdE{sj)8#z zgmKBoGcYiKFfRE7(0mzm4gy>IDv^PK0fcesPhwzT0AXD6$qWn(AdE{sg@J(qgmKBI zGB7ZJFfMt}R4NGLl22z~U;trU@)-;a3?Ph49yAmK!nowK7#J8p7?(U~svm@L$>%UI zFn};F`CJAD1`x(2pU1$!0K&NBL373+j7z?Nfq?;pamg1lFff2HF8Lw`1_ltuC11?I zzyQLy<VzSB7(f`8d?^D10|?`iFJoX}0AXD6<qQlAAdE}Cf`NengmKANGB7ZJFfREj z1_lNY#wB0Pz`y{)xa4aX7#KhpmwYV)0|N--lCNW6U;trU@}T8HAdE{Mv>pnCamhC_ zFff2HF8L+~1_ltuCEv`zzyQLy<U#ALKp2;ND+2=q2;-7(V_;waVO;X<3=9k)j7z?Q zfq?;pamjZwFff2HF8MA71_ltuCEv}!zyQLy<a<Et&G3}Jy$lQtAdE|Y9|Hpe2;-9P zXJB9eVO;VP7#J8p7?=D+1_lNY#w9<Afq?;pami0+U|;}YT=G*G7#Khpm;6))1_ltu zB|nXUfdPbZ$xmlsU;trU@-rA17(f`8{7eQ01`x(2KZ}8Z0fceM&t_m?0AXD6a~K#H zKp2<&Tm}XP5XL1xkAZ;!gmKBwXJB9eVO;VH7#J8p7?=D)1_lNY#wEXqfq?;pamg=c zU|;}YT=Jkbm>`TxeklV30|?`iU&g?|0K&NBmoqRhfG{rk6$}gvAdE|XB?AKk2;-7p z#lXM-!novDGcYiKFfREu3=9k)j7xqk0|NsH<C0&;z`y{)xa8L}Fff2HF8K`%3=ANQ zOMW8*0|N--lHbI@zyQLy<To=gFn};F`7I0#3?Ph4ek%h50|?`i-^Ree0K&NBw=*y> zfG{rk9SjT%AdE|XCj$cm2;-99#lXM-!novjGcYiKFfRE$3=9k)j7xqm0|NsH<C5RU zz`y{)xa9XUFff2HF8Kot3=ANQOa34O0|N--l0U@2zyQLy<PS42Fn};F`6CPr3?Ph4 z{wM<j0|?`iKgPho0K&NBk25eZfG{rk6ATOtAdE}?Bm)Bj2;-7J#lXM-!nov5GcYiK zFfREs3=9k)j7$D30|NsH<B~tez`y{)xa7|>Fff2HF8K?fy(4(q9~T)I7(f`8{!0uD z3?Ph4{xSmt0|?`izrw)40K&NBuQD(&fG{rkYYYqwAdE}?Is*d(2;-8!!N9-(!novb zGB7ZJFfRF93=9k)j7$DD0|NsH<C4F_z`y{)xa99LFff2HF8O;53=ANQOCGc)7KCxh zKVV>B0AXD64;dI3Kp2<&BL)Tr5XL3{n1O)-gmK9~VPIeYVO;W085kHq7?=Dr1_lNY z#wGupfq?;paml}6U|;}YT=Fj&7#Khpm;5UR1_ltuCI6a%fdPbZ$-iM>U;trU@^2X! z7(f`8{5u8)1`x(2|DJ(?0fceMe_&u>0AXD69~l@JKp2<&Ck6%v5XL3{nSp@;gmKA# zVPIeYVO;WG85kHq7?=Dv1_lNY#wGuqfq?;pamoK+U|;}YT=G8|7#Khpm;5gV1_ltu zCI6d&fdPbZ$^T(sU;trU@_!i^7(f`8{67W;1`x(2|DS<@0fceMGk`XqFhbTKV;lct zWMp6fVO;u|7#SEq7?(UVBLf2n<C14#WMBYcT=J}p3=ANQOP-C9fdPbZ$+I&uFn};F zc@9Pf1`x(2&&kNZ0K&NBxfmH3Kp2-iHzNZB2;-9HVPs$cVO;XOj0_AQj7y%6k%0k( zamn*DGBAKJE_ne)1_ltuB`?UxzyQLy<b@a+7(f`8yf7mJ0|?`i7XhU`5C$zdfv!JC zUVkFW$iM)?xb%xLGBAKJE_rcA1_ltuB`?9qzyQLy<Ruvy7(f`8yc8n?0|?`imu6&O z0AXD6GK>riAdE|1mXU!0gmKBsF)}cKFfMs{Mg|5D#wD-7$iM)?xa1WX85lqqm%I`q z0|N--l2>MAU;trU@+yoB3?Ph4UX_u70fceMgEr`ZFfMs@Mg|5D#wD-8$iM)?xa2h% z85lqqm%J7u0|N--lGkQrU;trU@;ZzR3?Ph4UYC)90fceM>oGDgfG{q3eMSZb5XL2M zz{tP=!nouO85tNr7?->eBLf2n<B~UKWMBYcT=FK23=ANQOWu@`fdPbZ$(u1UFn};F zd2>bv1`x(2Z^6jG0K&NBEg2aYKp2<26(a)!2;-8sW@KOhVO;Vyj0_AQj7#2@k%0k( zamj-=@`Ergd3#0%1`x(2@4(2w0K&NB9T^!IKp2<26C(oy2;-7>W@KOhVO;Vqj0_AQ zj7#2?k%0k(aml+eGBAKJE_ruG1_ltuCGWw=zyQLy<UJV~7(f`8ycZ(_0|?`i_hw{Z z0AXD6K8y?uAdE}imyv-1gmKCHF)}cKFfMt2Mg|5D#w8!X$iM)?xa0#F85lqqmwXT- z0|N--k`HENU;trU@*#{23?Ph4K9rGx0fceMhcPlRfG{rka7G3O5XL1R!N|Y>!nouk z85tNr7?*q$BLf2n<C2eNWMBYcT=FrD3=ANQOFov7fdPbZ$;UA=Fn};F`FKVK1`x(2 zpTNk#0K&NB6B!v8Kp2;N5+efx2;-7ZW@KOhVO;Vlj0_AQj7vV1k%0k(amlAKGBAKJ zF8Opu1_ltuC7;2_zyQLy<TDu=7(f`8d=?`E0|?`i&t_y`0AXD6IgAVpAdE{smyv-1 zgmKB|F)}cKFfRFgMg|5D#wB0C$iM)?xa12N85lqqmwXW;0|N--k}qatU;trU@+FK6 z3?Ph4zLb%H0fceMmoYLhfG{rkaz+LQ5XL26!N|Y>!nous85tNr7?*q%BLf2n<C3pt zWMBYcT=F%H3=ANQOTLzofdPbZ$=5M5Fn};F`FchM1`x(2-@wSg0K&NB8yOiGKp2;N z6C(oy2;-7(W@KOhVO;Vpj0_AQj7z?ik%0k(amlwaGBAKJF8Ovw1_ltuCEvlwzyQLy z<U1J|7(f`8d>11F0|?`i?`C9R0AXD6J&X(tAdE}Cmyv-1gmKCDF)}cKFfRFiMg|5D z#w9<2k%0k(ami0)WMBYcT=J6`85lqqm;7W#1_ltuB|n9cfdPbZ$xmfuU;trU^3xa@ z7(f`8{B%YJ1`x(2KZB8h0fceM&tzm^0AXD6vltl|Kp2<&Y(@qK5XL1xhmnB+gmKBw zWn^FgVO;X_7#SEq7?=EfMg|5D#wEXik%0k(amg=aWMBYcT=I(;85lqqm;7Qz1_ltu zCBKA`fdPbZ$uDJOU;trU^2-<*7(f`8{BlMH1`x(2zk-p00fceMuViFk0AXD6s~8y= zKp2<&YDNYI5XL3HhLM2*gmKBQWn^FgVO;X-7#SEq7?=EdMg|5D#wEXjk%0k(amjCF zWMBYcT=JV385lqqm;7c%1_ltuCBKD{fdPbZ$!}$3U;trU^4l007(f`8{B}kL1`x(2 zzk`v10fceM?_^|P0AXD6yBHZ5Kp2<&Zbk+M5XL3HhmnB+gmKC5Wn^FgVO;Y27#SEq z7?=EhMg|5D#wCA%k%0k(amgQKWMBYcT=It)85lqqm;7Ny1_ltuC4YpGfdPbZ$sc88 zU;trU^2Zn%7(f`8{BcGG1`x(2e}a*L0fceMpJZfU0AXD6rx+O+Kp2<&X+{PH5XL2c zhLM2*gmKBAWn^FgVO;X(7#SEq7?=EcMg|5D#wCA&k%0k(amim~WMBYcT=JI~85lqq zm;7Z$1_ltuC4YsHfdPbZ$zNq;U;trU^4Ay{7(f`8{B=eK1`x(2e}j>M0fceM-(+N9 z0AXD6w-^~1Kp2<&ZAJzL5XL2chmnB+gmKB=Wn^FgVO;X}7#SEq7?=EgMg|5D#wGuN zk%0k(amhbqWMBYcT=I_?85lqqm;7T!1_ltuCI5txfdPbZ$v<UeU;trU^3NC<7(f`8 z{BuSI1`x(2|ALW$0fceMzhq=!0AXD6uNWB^Kp2<&YeohJ5XL3{hLM2*gmKBgWn^Fg zVO;X>7#SEq7?=EeMg|5D#wGuOk%0k(amjyVWMBYcT=Jh785lqqm;7f&1_ltuCI5wy zfdPbZ$$w>JU;trU^4}O47(f`8{C7qM1`x(2|AUc%0fceM|72uf0AXD6zZe-9Kp2<& zZ$<_N5XL3{hmnB+gmKCLWn^FgVO;Y67#SEq7?=EiMg|5D#w8Cv_?HRK{67-|10x=J zCME_35XNObGZO;?2;-7xVPaqaVO;X8ObiSlj7y%4iGcxxamlkYF))BIE_n_n1_ltu zCC|ykzyQLy<hhs_7(f`8JU0^q0|?`i=V4-C0AXD6yi5!XAdE|%kBNZ+gmKC9GchoL zFfMrkCI$u&#w9Pv#J~W;xa5VH7#Khpm%K0&0|N--k{1D`EfB^v|Ift0Ac{v`jER8( zgmIZK&cwg~!noumm>3v97?->x69WSX<C2$RVqgGaT=LRP3=ANQOJ0VFfdPbZ$;&b^ zFn};Fc{wHq1`x(2FVDol0K&NB6_^+pKp2<2A`=4x2;-7hVq#zbVO;XcObiSlj7wgH ziGcxxamlMPF))BIE_pR31_ltuC9lrJzyQLy<TaQW7(f`8ye1O^0|?`i*J5H|0AXD6 z+Dr@#AdE|1hlzm!gmKC1GBGfKFfMsLCI$u&#wD-M#J~W;xa19(7#Khpm%Jep0|N-- zk~d;vU;trU^2SUI3?Ph4-h_#P0fceMn=&ylfG{q3GbRQG5XL2M&cwg~!nouum>3v9 z7?->y69WSX<C3>xVqgGaT=LdT3=ANQOWuZwfdPbZ$=fn9Fn};Fc{?Ts1`x(2Z_mWQ z0K&NB9hevxKp2<2BNGDy2;-7>Vq#zbVO;XgObiSlj7#2yiGcxxaml+fF))BIE_pX5 z1_ltuCGXC}zyQLy<UN=e7(f`8yeAU_0|?`i_hMpT0AXD6-b@S(AdE}ihlzm!gmKCH zGBGfKFfMsNCI$u&#wG91#J~W;xa0$v7#KhpmwX@-0|N--k`H2HU;trU^1)0D3?Ph4 zK7@&Z0fceMhcYoRfG{rkFeU~D5XL1R&cwg~!noukm>3v97?*q`69WSX<C2eJVqgGa zT=LOO3=ANQOFo8)fdPbZ$;UD=Fn};F`8Xy91`x(2AJ4?V0K&NB6POqnKp2;NA`=4x z2;-7ZVq#zbVO;XbObiSlj7vU+iGcxxamlALF))BIF8MSj1_ltuC7;g3zyQLy<TIEU z7(f`8d?phE0|?`i&thU=0AXD6*-Q)!AdE{shlzm!gmKB|GBGfKFfRE#CI$u&#wDN6 z#J~W;xa13%7#KhpmwX`;0|N--k}qOnU;trU^2JOH3?Ph4zJ!T^0fceMmohOhfG{rk zGA0HF5XL26&cwg~!nousm>3v97?*q{69WSX<C3ppVqgGaT=LaS3=ANQOTLDQfdPbZ z$=5P5Fn};F`8p;B1`x(2U(dwA0K&NB8<-dvKp2;NBNGDy2;-7(Vq#zbVO;XfObiSl zj7z?SiGcxxamlwbF))BIF8MYl1_ltuCEw1(zyQLy<U5!c7(f`8d?ynF0|?`i?_y$L z0AXD6-AoJ&AdE}Chlzm!gmKCDGBGfKFfRE%CI$u&#wFj+#J~W;xa21=F))BIF8PT} z3=ANQOMVg)0|N--lAp}PzyQLy<fkw(Fn};F`Ke3{3?Ph4ei{=40|?`ipU%X<0K&NB zXD~4^fG{rknM@1}AdE|X783&l2;-8U&BVX}!now;FflNIFfRGIObiSlj7xqV69WSX z<C34x#J~W;xa1cwF))BIF8PH_3=ANQOMVd(0|N--l3&ckzyQLy<d-lpFn};F`K3$@ z3?Ph4ei;)30|?`iU(Uq90K&NBS1>U!fG{rkl}ro_AdE|X6%zvk2;-7p&BVX}!nowu zFflNIFfRGEObiSlj7xqU69WSX<C0&`#J~W;xa2o5F))BIF8Pg23=ANQOMVj*0|N-- zlHbh4zyQLy<hL*}Fn};F`K?S03?Ph4ej5`50|?`i-_FFq0K&NBcQ7$9fG{rkolFc2 zAdE|X7ZU>m2;-99&BVX}!nox3FflNIFfRGMObiSlj7xqW69WSX<C5Rc#J~W;xa1Eo zF))BIF8PB@3=ANQOa2fO0|N--l0VGEzyQLy<c}~hFn};F`J+q>3?Ph4{umPj0|?`i zKhDI!0K&NBPcShsfG{rklS~W@AdE}?6cYmj2;-7J&BVX}!nowmFflNIFfRGCObiSl zj7$C;69WSX<B~tm#J~W;xa2P|F))BIF8Pa03=ANQOa2lQ0|N--lE2KvzyQLy<gYL> zFn};F`KwF}3?Ph4{u&bl0|?`izs|(K0K&NBZ!j@1fG{rkn@kK0AdE}?783&l2;-8! z&BVX}!now`FflNIFfRGKObiSlj7$C=69WSX<C4G6#J~W;xa1!&F))BIF8PN{3=ANQ zOa2iP0|N--l7Gy^zyQLy<exAxFn};F`KL?_3?Ph4{uvVk0|?`if6m0f0K&NBUobH+ zfG{rkmrM){AdE}?6%zvk2;-7}&BVX}!now$FflNIFfRGGObiSlj7$C<69WSX<C1^R z#J~W;xa2=DF))BIF8Pm43=ANQOa2oR0|N--lK;%azyQLy<i9X6Fn};F`L9e23?Ph4 z{u>hm0|?`i|IWm~0K&NBe=spHfG{rkpG*u4AdE}?7ZU>m2;-9f&BVX}!noxBFflNI zFfRGOObiSlj7$C>69WSX<C6c+#J~W;xa1i?hx0Szng3^IU|_@}&&15Y0K&M;XJ%$# z0AXD6EX)iHAdE|%m6?G7gmKBUF*7iLFfMs^W(Ecj#wE|e%)kJ`xa2vR85lqqmpm6U z0|N--lILb-U;trU@;uB83?Ph4o|l<{0fceM^D#3pfG{q3er5&+5XL1hz|6n^!nouG znHd;B7?->dGXnz%<B}I<W?%qeT=F8Iv<1Sr=Kq-)7)0^Ni!n1WfG{re#hDoxKp2<2 z1TzBz2;-8MWM*IhVO;W3%nS@5j7wgcnSlX>ammXtGcbTKE_qpI1_ltuB`?R!zyQLy z<mH(e7(f`8yaF==0|?`iS7c^j0AXD6O3VxlAdE|1nVEqBgmKBMFf%ZKFfMsjW(Ecj z#wD-D%)kJ`xa8HD85lqqm%Iiu0|N--lGkKrU;trU@><Lc3?Ph4UYnVL0fceM>o7Ag zfG{q3U1kOb5XL31$IQS0!nox1nHd;B7?->OGXnz%<B~UIW?%qeT=GWD3=ANQOWv57 zfdPbZ$(t}UFn};Fc~fQv1`x(2Z^q2P0K&NB&6yb(Kp2<21v3K!2;-8sWM*IhVO;W7 z%nS@5j7#2{nSlX>amm{-GcbTKE_qvK1_ltuC2z;fzyQLy<n5Um7(f`8yaO`>0|?`i zcVuQ@0AXD6PRtApAdE}inVEqBgmKBcFf%ZKFfMslW(Ecj#wG8@%)kJ`xa8fL85lqq zm%Ilv0|N--lJ{h0U;trU@?Ojg3?Ph4-kX_$0fceM`!F*wfG{q3UuFgd5XL3%$IQS0 z!nox9nHd;B7?*qiGXnz%<B|_#W?%qeT=GH83=ANQOFo#HfdPbZ$%imAFn};F`A}vC z1`x(2AI8kU0K&NB!<iWvKp2;N1TzBz2;-8EWM*IhVO;W2%nS@5j7vV6nSlX>ammLp zGcbTKF8Nqy1_ltuB_GGkzyQLy<l~tc7(f`8d;&8A0|?`iPh@6b0AXD6Nz4okAdE{s znVEqBgmKBIFf%ZKFfRF2W(Ecj#wDM|%)kJ`xa8BB85lqqmwW~@0|N--lFwvjU;trU z@>$Fb3?Ph4KAV|=0fceM=P)xcfG{rkTxJFa5XL2+$IQS0!now~nHd;B7?*qjGXnz% z<B~6AW?%qeT=GTC3=ANQOTL(yfdPbZ$(JxQFn};F`BG*E1`x(2U&hS90K&NB%b6J% zKp2;N1v3K!2;-8kWM*IhVO;W6%nS@5j7z?nnSlX>amm*(GcbTKF8Nw!1_ltuC11zP zzyQLy<m;Ik7(f`8d;>EB0|?`iZ)9d*0AXD6P0S1oAdE}CnVEqBgmKBYFf%ZKFfRF4 zW(Ecj#wFjz%)kJ`xa8ZJ85lqqmwX2^0|N--lJ8_@U;trU@?Fdf3?Ph4zMGkW0fceM z_b@XsfG{rkUS<Xc5XL3n$IQS0!nox7nHd;B7?=D6W(Ecj#w9<InSlX>ami0&W?%qe zT=J8d85lqqm;4lF1_ltuB|nv!fdPbZ$xmZuU;trU^3$0a7(f`8{0wFW1`x(2Ka-h( z0fceM&thg^0AXD6vzZwfKp2<&9A*Xv5XL1xmzjY9gmKBwV`g9gVO;X_nHd;B7?=D4 zW(Ecj#wEXynSlX>amg=YW?%qeT=I*V85lqqm;4fD1_ltuCBKxJfdPbZ$uDDOU;trU z^2?bS7(f`8{0e3U1`x(2zml1O0fceMuVQ9k0AXD6tC<-XKp2<&8fFFt5XL3HmYIP8 zgmKBQV`g9gVO;X-nHd;B7?=D8W(Ecj#wEXznSlX>amjCDW?%qeT=JWl85lqqm;4rH z1_ltuCBK!KfdPbZ$!}w3U;trU^4pmi7(f`8{0?RY1`x(2zmu7P0fceM?_y?P0AXD6 zyO|jnKp2<&9%cpx5XL3HmzjY9gmKC5V`g9gVO;Y2nHd;B7?=D3W(Ecj#wCA{nSlX> zamgQIW?%qeT=IvR85lqqm;4cC1_ltuC4ZEefdPbZ$sc28U;trU^2eDO7(f`8{0U|T z1`x(2f0CJj0fceMpJHZU0AXD6r<oZTKp2<&8D<6s5XL2cmYIP8gmKBAV`g9gVO;X( znHd;B7?=D7W(Ecj#wCA|nSlX>amim|W?%qeT=JKh85lqqm;4oG1_ltuC4ZHffdPbZ z$zNk;U;trU^4FOe7(f`8{0(LX1`x(2f0LPk0fceM-(qH90AXD6x0x9jKp2<&9cBgw z5XL2cmzjY9gmKB=V`g9gVO;X}nHd;B7?=D5W(Ecj#wGudnSlX>amhboW?%qeT=I{Z z85lqqm;4iE1_ltuCI6I}fdPbZ$v<OeU;trU^3RzW7(f`8{0n9V1`x(2|B{)30fceM zzhY)!0AXD6ubCMbKp2<&8)gOu5XL3{mYIP8gmKBgV`g9gVO;X>nHd;B7?=D9W(Ecj z#wGuenSlX>amjyTW?%qeT=Jip85lqqm;4uI1_ltuCI6L~fdPbZ$$w*JU;trU^52;m z7(f`8{10XZ1`x(2|C5=40fceM|6*of0AXD6znK{rKp2<&A7%yy5XL3{mzjY9gmKCL zV`g9gVO;Y6nHd;B7?(T)3j+fP<C14&VPF7ZT=GmT3=ANQOP-m9fdPbZ$+NI9Fn};F zc~%w%1`x(2&&I;Q0K&NB*;yDEKp2-i2MYrO2;-9HWMN<cVO;WDEDQ`Fj7y%Ig@FNt zamn+rFff2HE_q%S1_ltuCC|sgzyQLy<oQ_`7(f`8yZ{RW0|?`i7i3{z0AXD6LM#jn zAdE|1n1z7>gmKA>urM%yF|PT476t}UkQyBFVk`^{AdJg=aTW#!5XL1h!NR}*!noum zSr`~V7?->h3j+fP<C2$VVPF7ZT=Ft33=ANQOJ0_RfdPbZ$;+`YFn};Fd3hEF1`x(2 zufW2<0K&NB6<HV<Kp2<25(@(Z2;-7hW?^6eVO;VmEDQ`Fj7wgXg@FNtamlN(Fff2H zE_rnp1_ltuC9lE4zyQLy<TY6s7(f`8ycP=s0|?`i*Jfd00AXD6IxGwfAdE|1mxX}= zgmKC1u`n=zFfMt076t|o#wBmS!oUE+xa1947#Khpm%I@R0|N--k~d~yU;trU@+K?{ z3?Ph4-js!b0fceMo3Su3fG{q3a~1{$5XL2M!NR}*!nouuSr`~V7?->i3j+fP<C3># zVPF7ZT=F(73=ANQOWu}+fdPbZ$=k6oFn};Fd3zQH1`x(2@4&*q0K&NB9a$I{Kp2<2 z6AJ?a2;-7>W?^6eVO;VqEDQ`Fj7#2?g@FNtaml-}Fff2HE_rtr1_ltuCGWw)zyQLy z<ULs!7(f`8ycY`t0|?`i_hw;W0AXD6J}e9jAdE}imxX}=gmKCHu`n=zFfMt276t|o z#w8!X!oUE+xa0#_7#KhpmwXTl0|N--k`HEKU;trU@*yk?3?Ph4K9q%l0fceMhp{j) zfG{rka25sz5XL1R!NR}*!noukSr`~V7?*q$3j+fP<C2eNVPF7ZT=Fq23=ANQOFou` zfdPbZ$;YuUFn};F`FIuv1`x(2pTNSv0K&NB6ImD-Kp2;N5(@(Z2;-7ZW?^6eVO;Vl zEDQ`Fj7vV1g@FNtamlB#Fff2HF8Op81_ltuC7;2<zyQLy<TF_q7(f`8d=?7>0|?`i z&t_p@0AXD6IV=neAdE{smxX}=gmKB|u`n=zFfRFg76t|o#wB0C!oUE+xa1327#Khp zmwXWm0|N--k}qaqU;trU@}Oot2#bLT=>1;|2_Oyw10#bj=xQhM6?M4enHU(rN7&(# zhc0htz$Fju{^FEpWnf?cVO;vz7#J8p7?(Ud0|NsH<C5oKU|;}YT=JX@3=ANQOP-5? zfdPbZ$#XL>Fn};Fc^(D^1`x(2&&$BT0K&NB`4|`&Kp2-iKLY~;2;-6$U|?VXVO;Wp z3=9k)j7wgKfq?;pamfoaFff2HE_qP81YunAq6`cSAdE{MBo4!{`xBAVuQ&q(0|<lE zV3U_%U|;}YT=J3(3=ANQOJ0hBfdPbZ$xAaZFn};Fc^L)<1`x(2FU!Ed0K&NB<ro+k zKp2<2JOcv*2;-7hU|?VXVO;Wx3=9k)j7wgLfq?;pamgz)Fff2HE_oFO1_ltuC9lfB zzyQLy<kc7$7(f`8ygCB|0|?`i*I-~^0AXD6nhXpKAdE|1i-CawgmKAhGcYiKFfMr= z1_lNY#wD-Iz`y{)xa9R17#Khpm%Kg$0|N--k~d&rU;trU@`ely3?Ph4-iU#L0fceM z8#6F4fG{q369xtb5XL2M%D}(?!nowk7#J8p7?->`0|NsH<C3>vU|;}YT=JF-3=ANQ zOWulsfdPbZ$y+lpFn};Fc^d`>1`x(2Z_B{I0K&NB?HCvsKp2<2Jp%&+2;-7>U|?VX zVO;W#3=9k)j7#2$fq?;pamhO~Fff2HE_oLQ1_ltuCGX0>zyQLy<lPt;7(f`8ygLH} z0|?`i_h4XP0AXD6o(v2OAdE}ii-CawgmKAxGcYiKFfMr?1_lNY#wG8|z`y{)xa9p9 z7#Khpm%Kj%0|N--k`G{DU;trU@_`Ht3?Ph4K8S&V0fceM2Qx4*fG{rk5C#SY5XL1R z%D}(?!nowa7#J8p7?*rF0|NsH<C2eHU|;}YT=J0&3=ANQOFoK$fdPbZ$wxCVFn};F z`4|QU1`x(2AIreN0K&NB;}{qiKp2;NJOcv*2;-6mHT6LlmwX}v0|N--l22k_U;trU z^2rPg3?Ph4K81mS0fceMr!p`wfG{rkGzJC+5XL2+&cMI`!nou!7#J8p7?*q|0|NsH z<C4!}U|;}YT=Llr3=ANQOFoBzfdPbZ$>%aKFn};F`8);&1`x(2pU=R+0K&NB3m6y} zKp2;NAp-*g2;-73VqjnZVO;XX3=9k)j7z?Rfq?;pamkl5Fff2HF8MMB1_ltuC11|K zzyQLy<SQ5$7(f`8d?f<|0|?`iuVP?e0AXD6)eH;_AdE}ChJk?rgmKB&GB7ZJFfREz z1_lNY#wB0Rz`y{)xa1oc7#KhpmwY1w0|N--l5b*QU;trU^34nk3?Ph4zJ-B-0fceM zw=ys=fG{rkHU<U;5XL3n&cMI`!nou+7#J8p7?*q}0|NsH<C5=UU|;}YT=Lxv3=ANQ zOTLGJfdPbZ$@elaFn};F`91~)1`x(2-_O9n0K&NBConKDfG{rki3|)3AdE|X5(5JR z2;-8U%)r0^!now8FfcHHFfRG23=9k)j7xqR0|NsH<C34wz`y{)xa4OrFff2HF8P@Z z3=ANQOMVsu0|N--lAq1MzyQLy<mWIjFn};F`MC@X3?Ph4ejWn@0|?`ipU=R+0K&NB z7cej|fG{rkg$xV~AdE|X5d#AQ2;-7p%)r0^!nov@FfcHHFfRF}3=9k)j7xqQ0|NsH z<C0&_z`y{)xa3zbFff2HF8P%V3=ANQOMVpt0|N--l3&fhzyQLy<kv7TFn};F`LzrT z3?Ph4ejNh?0|?`iU(dk60K&NBH!v_TfG{rkjSLJ7AdE|X69WSS2;-99%)r0^!nowO zFfcHHFfRG63=9k)j7xqS0|NsH<C5Rbz`y{)xa4;*Fff2HF8Q4d3=ANQOMVvv0|N-- zlHbk1zyQLy<o7TzFn};F`MnGb3?Ph4ejft^0|?`i-_O9n0K&NB4=^w=fG{rkgA5D| zAdE}?5Ca1P2;-7J%)r0^!nov*FfcHHFfRF{3=9k)j7$C)0|NsH<B~tlz`y{)xa3bT zFff2HF8PxT3=ANQOa2rC0|N--l0VJBzyQLy<j*iLFn};F`LhfR3?Ph4{u~1X0|?`i zKhMCx0K&NBFEB7LfG{rkiwq15AdE}?5(5JR2;-8!%)r0^!nowGFfcHHFfRG43=9k) zj7$C+0|NsH<C4G5z`y{)xa4mzFff2HF8P}b3=ANQOa2xE0|N--lE2NszyQLy<nJ&r zFn};F`MV4Z3?Ph4{vHDZ0|?`izt6zH0K&NBA22X5fG{rkhYSo1AdE}?5d#AQ2;-7} z%)r0^!now0FfcHHFfRG03=9k)j7$C*0|NsH<C1^Qz`y{)xa40jFff2HF8P-X3=ANQ zOa2uD0|N--l7G#>zyQLy<litbFn};F`L_%V3?Ph4{v87Y0|?`if6u_c0K&NBKQJ&b zfG{rkj|>b9AdE}?69WSS2;-9f%)r0^!nowWFfcHHFfRG83=9k)j7$C-0|NsH<C6c* zz`y{)xa5B@Fff2HF8QAf3=ANQOa2!F0|N--lK;)XzyQLy<o_@*Fn};F`M(Sd3?Ph4 z{vQJa0|?`i|Ifg{0K&NB!IS%p3^?cC85tQEKp2;PCPoGZ5XL3X%*en1!novF7#SEq z7?(UNBLf2n<C14%WMBYcT=MLU3=ANQOP+&~fdPbZ$#XI?Fn};Fc`il<1`x(2&&|ld z0K&NBc^DZOKp2-iFCzm32;-9HV`N|eVO;Y3j0_AQj7wgCk%0k(amfoZGBAKJE_oqF z1_ltuB`?g#zyQLy<V6@67(f`8yeK0B0|?`i7XzhT5C$z-!LoiuoRNV6gmLMYU}Rtb zVO;W(j0_AQj7wgMk%0k(amh<FGBAKJE_oS71_ltuB`?d!zyQLy<mDI{7(f`8ygVZV z0|?`iS72md0AXD6ii`{lAdE|1iIIT;gmK9$GcquMFfMr&Mg|5D#wD-H$iM)?xa2_# zlt37lygDNT0|?`i*I;B|0AXD6nv4t#AdE|1i;;l=gmKAhGcquMFfMr=Mg|5D#wD-I z$iM)?xa9R185lqqm%KhB0|N--k~d&vU;trU@`j8I3?Ph4-iVQb0fceM8#6L6fG{q3 z6GjFG5XL2M%E-U~!nowk7#SEq7?->`BLf2n<C3>vWMBYcT=JHT3=ANQOWul+fdPbZ z$y+lrFn};Fc^gIs1`x(2Z_CKQ0K&NB?HCytKp2<2JtG4H2;-7>U}RtbVO;W#j0_AQ zj7#2$k%0k(amhO~GBAKJE_oM51_ltuCGX0}zyQLy<lPt<7(f`8ygMTU0|?`i_h4jT z0AXD6o{S6(AdE}ii;;l=gmKAxGcquMFfMr?Mg|5D#wG8|$iM)?xa9p985lqqm%KkC z0|N--k`G{HU;trU@_~#D3?Ph4K8TTl0fceM2QxA-fG{rk5Jm<D5XL1R%E-U~!nowa z7#SEq7?*rFBLf2n<C2eHWMBYcT=J2O3=ANQOFoK`fdPbZ$wxCXFn};F`4~n91`x(2 zAIr$V0K&NB;}{tjKp2;NJR<`G2;-7ZU}RtbVO;Wwj0_AQj7vU=k%0k(amgn$GBAKJ zF8LHj1_ltuC7;U3zyQLy<kJ`#7(f`8d^#fo0|?`i&tPO=0AXD6nT!k!AdE{si;;l= zgmKAdGcquMFfREVMg|5D#wDN2$iM)?xa9K~85lqqmwY}W0|N--k}qInU;trU@`a2H z3?Ph4zKD^50fceM7c(+2fG{rk5=I6F5XL26%E-U~!nowi7#SEq7?*rGBLf2n<C3pn zWMBYcT=JES3=ANQOTLPcfdPbZ$yYNnFn};F`5HzB1`x(2U(3kA0K&NB>lhgrKp2;N zJtG4H2;-7(U}RtbVO;W!j0_AQj7z?Wk%0k(amhC`GBAKJF8LNl1_ltuCEv=(zyQLy z<l7h-7(f`8d^;lp0|?`i?_gwL0AXD6os0|&AdE}Ci;;l=gmKAtGcquMuo#a1X%8a< z0|?`i?`33Q0AXD6eT)nYAdE}CpOJw9gmKAFU}RtbVO;VP85tNr7?=DcMg|5D#w9<Q zk%0k(ami0%WMBYcT=G*H85lqqm;5wF1_ltuB|n{!fdPbZ$<JV9U;trU@-rD37(f`8 z{47QW1`x(2Kbw((0fceM&tYU>0AXD6a~T;JKp2<&JVpiv5XL1xpOJw9gmK9)U}Rtb zVO;VH85tNr7?=DaMg|5D#wEX)k%0k(amg=XWMBYcT=Gj985lqqm;5qD1_ltuCBK}J zfdPbZ$**8!U;trU@+%n`7(f`8{3=EU1`x(2znYPO0fceMuVG|h0AXD6YZ)0BKp2<& zIz|Qt5XL3Ho{@n8gmKAlU}RtbVO;VX85tNr7?=DeMg|5D#wEX*k%0k(amjCCWMBYc zT=H8P85lqqm;5$H1_ltuCBL1KfdPbZ$?srfU;trU@;ezB7(f`8{4PcY1`x(2znhVP z0fceM?_p$M0AXD6dl?xRKp2<&K1K!x5XL3HpOJw9gmK9qU}RtbVO;VD85tNr7?=DZ zMg|5D#wCB4k%0k(amgQHWMBYcT=GX585lqqm;5nC1_ltuC4ZcefdPbZ$)8|kU;trU z@+TP?7(f`8{3%8T1`x(2f0~hj0fceMpJ8NR0AXD6XBin7Kp2<&IYtHs5XL2co{@n8 zgmKAVU}RtbVO;VT85tNr7?=DdMg|5D#wCB5k%0k(amim{WMBYcT=G{L85lqqm;5zG z1_ltuC4ZfffdPbZ$=_gPU;trU@;4b77(f`8{4GWX1`x(2f18nk0fceM-(h560AXD6 zcNrNNKp2<&Jw^rw5XL2cpOJw9gmK9~U}RtbVO;VL85tNr7?=DbMg|5D#wGulk%0k( zamhbnWMBYcT=GvD85lqqm;5tE1_ltuCI6g}fdPbZ$-iJ^U;trU@-G<~7(f`8{3}KV z1`x(2|C*730fceMzhPux0AXD6Zy6aFKp2<&J4OZu5XL3{o{@n8gmKA#U}RtbVO;Vb z85tNr7?=DfMg|5D#wGumk%0k(amjySWMBYcT=HKT85lqqm;5(I1_ltuCI6j~fdPbZ z$^T$vU;trU@;@0F7(f`8{4YiZ1`x(2|C^D40fceM|6ycc0AXD6e;FAVKp2<&KSl-y z5XL3{pOJw9gmKA(H}5myng3^EU|_@}&&0&Q0K&M;XJ%qx0AXD6EKCdxAdE|%m5G4? zgmKBUF)=WJFfMs^CI$u&#wE|e#J~W;xa2vR7#Khpmpm5}0|N--lILb(U;trU@;poo z3?Ph4o|lP%0fceM^D!|nfG{q3ekKM65XL1hz{J1+!nouGnHU&A7?->d69WSX<B}I< zVqgGaT=F7J3=ANQOJ0<TfdPbZ$%}!~CJ5sgUlC_wU;trU`X!hc7(f`8yd)C?0|?`i zmttaI0AXD6(o75tAdE|1hKYdzgmKBsGBGfKFfMsHCI$u&#w9P$#J~W;xa1X>7#Khp zm%Jhq0|N--l2>A4U;trU^2$sM3?Ph4UWJK)0fceMt1>Y#fG{q3H6{iI5XL31&cwg~ z!nou$m>3v97?->z69WSX<C526VqgGaT=LpX3=ANQOJ0YGfdPbZ$?GyPFn};Fc|9fu z1`x(2ug}E50K&NB4VV}hKp2<2Ark`w2;-7BVq#zbVO;XYObiSlj7#2xiGcxxamkx9 zF))BIE_pL11_ltuC2!8ezyQLy<Sm#O7(f`8yd@I@0|?`iw_;*o0AXD6)=UfxAdE}i zhKYdzgmKB+GBGfKFfMsJCI$u&#wBmh#J~W;xa1v}7#Khpm%Jkr0|N--l6PWaU;trU z^3F^Q3?Ph4-i3*Q0fceMyD~8_fG{q3Hzo!K5XL3%&cwg~!nou;m>3v97?->!69WSX z<C6DcVqgGaT=L#b3=ANQOWucxfdPbZ$@?-fFn};Fc|Rrw1`x(2@6W`*0K&NB1DF^X zKp2;NAQJ-v2;-6uVq#zbVO;XTObiSlj7vU*iGcxxamj}=F))BIF8MGf1_ltuB_Gbj zzyQLy<Rh3E7(f`8d?XVC0|?`ik78nA0AXD6(M${sAdE{shKYdzgmKBoGBGfKFfREx zCI$u&#w8!m#J~W;xa1R<7#KhpmwX}<0|N--l22k{U;trU^2tmL3?Ph4K81;a0fceM zr!p}xfG{rkG$sZH5XL2+&cwg~!nou!m>3v97?*q|69WSX<C4!}VqgGaT=LmW3=ANQ zOFoB*fdPbZ$>%aLFn};F`8*~D1`x(2pU=d=0K&NB3z!%fKp2;NArk`w2;-73Vq#zb zVO;XXObiSlj7z?RiGcxxamkl5F))BIF8MMh1_ltuC11|OzyQLy<SUpM7(f`8d?gbD z0|?`iuVP|g0AXD6)l3WwAdE}ChKYdzgmKB&GBGfKFfREzCI$u&#wB0R#J~W;xa1p{ z7#KhpmwY1=0|N--l5b*SU;trU^36;P3?Ph4zJ-Z_0fceMw=yv>fG{rkHYNrJ5XL3n z&cwg~!nou+m>3v97?*q}69WSX<C5=UVqgGaT=Lya3=ANQOTLGRfdPbZ$@elbFn};F z`93BF1`x(2-_OLr0K&NBConNEfG{rkiA)R(AdE|X5)%Uh2;-8U%*4O|!now8FflNI zFfRG2ObiSlj7xqR69WSX<C34w#J~W;xa4OrF))BIF8P^E3=ANQOMVs;0|N--lAq1Q zzyQLy<mWIkFn};F`MFFC3?Ph4ejXD80|?`ipU=d=0K&NB7cem}fG{rkg-i?#AdE|X z5fcLg2;-7p%*4O|!nov@FflNIFfRF}ObiSlj7xqQ69WSX<C0&_#J~W;xa3zbF))BI zF8P&A3=ANQOMVp-0|N--l3&flzyQLy<kv7UFn};F`L#?83?Ph4ejO770|?`iU(dwA z0K&NBH!v|UfG{rkjZ6#-AdE|X6B7di2;-99%*4O|!nowOFflNIFfRG6ObiSlj7xqS z69WSX<C5Rb#J~W;xa4;*F))BIF8Q5I3=ANQOMVv<0|N--lHbk5zyQLy<o7T!Fn};F z`MpdG3?Ph4ejgJ90|?`i-_OLr0K&NB4=^z>fG{rkgG>wzAdE}?5EBCf2;-7J%*4O| z!nov*FflNIFfRF{ObiSlj7$C)69WSX<B~tl#J~W;xa3bTF))BIF8Py83=ANQOa2rS z0|N--l0VJFzyQLy<j*iMFn};F`Lj$63?Ph4{u~nn0|?`iKhMO#0K&NBFEBAMfG{rk zi%bj*AdE}?5)%Uh2;-8!%*4O|!nowGFflNIFfRG4ObiSlj7$C+69WSX<C4G5#J~W; zxa4mzF))BIF8P~G3=ANQOa2xU0|N--lE2NwzyQLy<nJ&sFn};F`MXRE3?Ph4{vHzp z0|?`izt6<L0K&NBA22a6fG{rkhfE9%AdE}?5fcLg2;-7}%*4O|!now0FflNIFfRG0 zObiSlj7$C*69WSX<C1^Q#J~W;xa40jF))BIF8P;C3=ANQOa2uT0|N--l7G#_zyQLy z<litcFn};F`L|3A3?Ph4{v8to0|?`if6v6g0K&NBKQJ*cfG{rkk4y{<AdE}?6B7di z2;-9f%*4O|!nowWFflNIFfRG8ObiSlj7$C-69WSX<C6c*#J~W;xa5B@F))BIF8QBK z3=ANQOa2!V0|N--lK;)bzyQLy<o_@+Fn};F`M*pI3?Ph4{vQ(q0|?`i|Ift00K&NB z8K4LB<C14&W?%qeT=GoJ3=ANQOP-mTfdPbZ$+IvsFn};Fc~)iy1`x(2&&JHa0K&NB z*_jy_Kp2-i2Qvc$2;-9HWM*IhVO;WD%nS@5j7y%InSlX>amn*AGcbTKE_q&N1_ltu zCC|sqzyQLy<oTHy7(f`8yZ|!;0|?`i7i4B&0AXD6Ld*;dAdE|1n3;hAgmKA>Ff%ZK zFfMsfW(Ecj#w9Pt%)kJ~xaR+v85qPtYH-L)Ff%ZKFfQ{YnHd;B7?->hGXnz%<C2$V zW?%qeT=Fu^3=ANQOJ0_lfdPbZ$;&Y_Fn};Fd3k0A1`x(2ufWW}0K&NB6`2_rKp2<2 z5;Fq>2;-7hW@cajVO;Vm%nS@5j7wgXnSlX>amlMOGcbTKE_rok1_ltuC9lEEzyQLy z<TaTY7(f`8ycRP90|?`i*Jfs50AXD6I?N0VAdE|1mzjY9gmKC1F*7iLFfMt0W(Ecj z#wBmS%)kJ`xa19)85lqqm%I@(0|N--k~d~%U;trU@+Qm-3?Ph4-jtbv0fceMn=vym zfG{q3b7lqx5XL2M!OXw_!nouunHd;B7?->iGXnz%<C3>#W?%qeT=F)|3=ANQOWu~5 zfdPbZ$=fkAFn};Fd3$CC1`x(2@4(E!0K&NB9hn&zKp2<26Egz?2;-7>W@cajVO;Vq z%nS@5j7#2?nSlX>aml+eGcbTKE_rum1_ltuCGWw^zyQLy<UN@g7(f`8ycaVA0|?`i z_hx2b0AXD6KFkaZAdE}imzjY9gmKCHF*7iLFfMt2W(Ecj#w8!X%)kJ`xa0$w85lqq zmwXU20|N--k`HEPU;trU@*&I&3?Ph4K9re(0fceMhcPoSfG{rkaApPu5XL1R!OXw_ z!nouknHd;B7?*q$GXnz%<C2eNW?%qeT=Fr@3=ANQOFovFfdPbZ$;UA>Fn};F`FLgq z1`x(2pTNw(0K&NB6PXzpKp2;N5;Fq>2;-7ZW@cajVO;Vl%nS@5j7vV1nSlX>amlAK zGcbTKF8Oq31_ltuC7;2}zyQLy<TIHW7(f`8d=@hU0|?`i&t_&|0AXD6Im`?UAdE{s zmzjY9gmKB|F*7iLFfRFgW(Ecj#wB0C%)kJ`xa13&85lqqmwXX30|N--k}qavU;trU z@+Hg+3?Ph4zLc4P0fceMmoYOifG{rka%Khw5XL26!OXw_!nousnHd;B7?*q%GXnz% z<C3ptW?%qeT=F%{3=ANQOTLzwfdPbZ$=5M6Fn};F`Fdss1`x(2-@wek0K&NB8<`mx zKp2;N6Egz?2;-7(W@cajVO;Vp%nS@5j7z?inSlX>amlwaGcbTKF8Ow51_ltuCEvl! zzyQLy<U5%e7(f`8d>1nV0|?`i?`CFT0AXD6J<JRYAdE}CmzjY9gmKCDF*7iLFfRFi zW(Ecj#w9<2nSlX>ami0)W?%qeT=J8c85lqqm;7XA1_ltuB|n9kfdPbZ$xmfwU;trU z^3#|Z7(f`8{B&jp1`x(2KZBWp0fceM&tzs`0AXD6vzQqeKp2<&Y-R=q5XL1xhnax^ zgmKBwWoBRiVO;X_m>C#A7?=EfW(Ecj#wEXinSlX>amg=aW?%qeT=I*U85lqqm;7R8 z1_ltuCBKB3fdPbZ$uDJQU;trU^2?YR7(f`8{BmXn1`x(2zk->80fceMuViLm0AXD6 ztC$%WKp2<&YGwuo5XL3HhM9o@gmKBQWoBRiVO;X-m>C#A7?=EdW(Ecj#wEXjnSlX> zamjCFW?%qeT=JWk85lqqm;7dC1_ltuCBKE4fdPbZ$!}$5U;trU^4pjh7(f`8{B~vr z1`x(2zk`{90fceM?__3R0AXD6yO<dmKp2<&Ze|7s5XL3Hhnax^gmKC5WoBRiVO;Y2 zm>C#A7?=EhW(Ecj#wCA%nSlX>amgQKW?%qeT=IvQ85lqqm;7O71_ltuC4YpOfdPbZ z$sc8AU;trU^2eAN7(f`8{BdRm1`x(2e}b8T0fceMpJZlW0AXD6r<fTSKp2<&X=Vln z5XL2chM9o@gmKBAWoBRiVO;X(m>C#A7?=EcW(Ecj#wCA&nSlX>amim~W?%qeT=JKg z85lqqm;7aB1_ltuC4YsPfdPbZ$zNq=U;trU^4FLd7(f`8{B>pq1`x(2e}kEU0fceM z-(+TB0AXD6x0o3iKp2<&ZDs}r5XL2chnax^gmKB=WoBRiVO;X}m>C#A7?=EgW(Ecj z#wGuNnSlX>amhbqW?%qeT=I{Y85lqqm;7U91_ltuCI5t(fdPbZ$v<UgU;trU^3RwV z7(f`8{Bvdo1`x(2|ALu;0fceMzhq`$0AXD6ub3GaKp2<&Yi0%p5XL3{hM9o@gmKBg zWoBRiVO;X>m>C#A7?=EeW(Ecj#wGuOnSlX>amjyVW?%qeT=Jio85lqqm;7gD1_ltu zCI5w)fdPbZ$$w>LU;trU^52*l7(f`8{C8#s1`x(2|AU!<0fceM|72!h0AXD6znB>q zKp2<&Z)OGt5XL3{hnax^gmKCLWoBRiVO;Y6m>C#A7?=EiW(Ecj#wE|d!oUE+xa1jG z7#Khpmpl^-0|N--l4oXNU;trU@+>S23?Ph4o|T1x0fceMv#~HRfG{q3b`}N(5XL3X z!NR}*!nou)Sr`~V7?(U33j+fP<C5oQVPF7ZT=G0D3=ANQOP-g7fdPbZ$@8%=Fn};F zd43iK1`x(2FTldU0K&NB1z8vvKp2<25DNnX2;-6$W?^6eVO;VeEDQ`Fj7wgWg@FNt zamkCZFff2Ij`@9Y76t|o#-(3^g@FNtamh=vFff2HE_o>y1_ltuB`?jwzyQLy<YibG z7(f`8yeta?0|?`imt$dI0AXD6@+=GtAdE|1frWtqgmK9$vM?}!FfMr|76t|o#wD-J z!oUE+xa3t>7#Khpm%J(q0|N--l2>D4U;trU^6D%M3?Ph4UW0{!0fceMYqBsffG{q3 zEfxj_5XL31&BDL{!novhSQr>U7?->*3j+fP<C527VPF7ZT=M!X3=ANQOWuHmfdPbZ z$s4jTFn};Fc_S7E1`x(2Z_L8L0K&NBO;{KhKp2<2DGLJw2;-7BV_{$bVO;X&EDQ`F zj7#2vg@FNtamib<Fff2HE_o{!1_ltuC2!5bzyQLy<ZW0O7(f`8ye$g@0|?`iw_{;o z0AXD6_ACqxAdE}ifrWtqgmK9`vM?}!FfMr~76t|o#wG8}!oUE+xa3_}7#Khpm%J+r z0|N--l6PZaU;trU^6o4Q3?Ph4-h+jK0fceMd$KSvfG{q3FBS#{5XL3%&BDL{!novp zSQr>U7?->+3j+fP<C6DdVPF7ZT=M=b3=ANQOFn>wfdPbZ$p^A9Fn};F`5+bs1`x(2 zAI!qQ0K&NBLs%FXKp2;NC<_Av2;-6uV_{$bVO;XzEDQ`Fj7vU(g@FNtamh!rFff2H zF8L@H1_ltuB_GYgzyQLy<YQPE7(f`8d@KtC0|?`ik7HqA0AXD6@hl7sAdE{sfrWtq zgmK9yvM?}!FfREd76t|o#wDN3!oUE+xa3n<7#KhpmwYM<0|N--l22n{U;trU^64xL z3?Ph4K7)mU0fceMXR<IbfG{rkEEWa^5XL2+&BDL{!novfSQr>U7?*r53j+fP<C4!~ zVPF7ZT=MxW3=ANQOTK`GfdPbZ$rrLPFn};F`63nu1`x(2U(CY50K&NBLCtm$76lQ| z`@3$$fjA5dj10P<tDV4C)Zvn6VqgFtVTVf|y1bnMmpruli&LJJfq?;pap`AcU|;}Y zT=MJ;3=ANQOP+&)fdPbZ$#XI=Fn};Fc`gP91`x(2&&|NV0K&NBc^DWNKp2-iF9QPu z2;-9HV_;waVO;Y33=9k)j7wgCfq?;pamfoZFff2HE_opa1_ltuB`?gtzyQLy<V6@5 z7(f`8JV+dd8L*^JP`U<TkQ!|A;tUK7AdE|1f`NengmKABGB7ZJFfMs11_lNY#w9P! zz`y{)xa4IR7#Khpm%J<k0|N--l9yv(U;trU^70G}3?Ph4UV(vu0fceMD>5)JfG{q3 zB?blt5XL31%)r0^!novB7#J8p7?->%0|NsH<C0fnU|;}YT=MD+3=ANQOJ0M4fdPbZ z$!jt&Fn};Fc`XJ81`x(2ug$=~0K&NBbr={JKp2<2E&~Gt2;-91V_;waVO;Y13=9k) zj7#2tfq?;pamgDpFff2HE_ovc1_ltuC2!2YzyQLy<V_eD7(f`8yeR_%0|?`iH)CL6 z0AXD6<_ruBAdE}if`NengmKARGB7ZJFfMs31_lNY#wBmfz`y{)xa4gZ7#Khpm%J?l z0|N--lDA`EU;trU^7af23?Ph4-hqLE0fceMJ2EgZfG{q3Ck6%v5XL3%%)r0^!novJ z7#J8p7?->&0|NsH<C1q{U|;}YT=MP=3=ANQOWuQlfdPbZ$$K&|Fn};Fc`pVA1`x(2 z@6Eu#0K&NBeHa)RKp2<2F9QPu2;-9XV_;waVO;Y53=9k)j7vU%fq?;pamfcVFff2H zF8Lq^1_ltuB_GVdzyQLy<U<%37(f`8d?*700|?`i4`X0p0AXD6;S3B6AdE{sf`Nen zgmKA7GB7ZJFfREh1_lNY#w8!kz`y{)xa4CP7#KhpmwYS(0|N--k_R=_K^T{OJOcv* z2;-7ZU|?VXVO;Ww3=9k)j7vU=fq?;pamgn$Fff2HF8LG&1_ltuC7;T`zyQLy<kJ`! z7(f`8d^!UI0|?`i&tPC+0AXD6nG6gJAdE{si-CawgmKAdGcYiKFfREV1_lNY#wDN2 zz`y{)xa9K~7#KhpmwY}00|N--k}qIjU;trU@`Vfx3?Ph4zKDT=0fceM7c($0fG{rk z5(Wka5XL26%D}(?!nowi7#J8p7?*rG0|NsH<C3pnU|;}YT=JC+3=ANQOTLPMfdPbZ z$yYNlFn};F`5FcW1`x(2U(3M20K&NB>lhdqKp2;NJp%&+2;-7(U|?VXVO;W!3=9k) zj7z?Wfq?;pamhC`Fff2HE_ujE1EdcG>%YAKWjF@Z@dr>J37b4@`~jCdZ2SS2JZ$^{ zmpo|f0fceMgT@{}7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6zgmKA(#vVWz zmpo|f0fceMgT@{}7?(U~>;Z&v$%DooKp2-iXzT%mamj<m9zYnEJZS6zgmKA(#vVWz zmpmxlf-o+5(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>|FfMtJI1FRU zU!buE5C*BiCJ!2W0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RK?5XL1B8hZd?T=Jl?2N1?34;p&_ zVO;W{u?G;wB@Y^V0AXD6ps@!K#w8CLdjMfv@}RB|2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`i2aP>| zFfMt}*aHaTk_U}FfG{q3(AWbA<B|uBJ%BJSdC=Gc2;-6mjXi)cE_u+{0|?`ihmAjg z`d}K+`Q-zk439ScfJ+`W{(ws!HvWK19yb1fOCB`#0K&NBL1Pafj7uIg_5i}T<UwN( zAdE{MH1+_(xa2`&4<L+79yIm<!nowIh5riz;g2kjE&P$?v4uafJht#hmIsAB2qU{6 zSsoPjAdE{M6!svDOCA*VAdE{M6!svDOCA*VAdE{M6!svDOCA>fpfLtm`j-GzSZL`V zmpm-|ammBNAD28V{Bg;H!XAWi$%DckgmKA(!XAWi$%DckgmKA(!XAWi$%DckgmKA( z!XAWi$%Dq;Kp2-iXzUGyamj<m-ar_aJZS6<gmKA(#@;{}mpo|f4TN#YgT~%K7?(U~ z><xr*$%Dq;Kp2-iXzUGyamj<m-ar_aJZS6<gmKBk(m!Yn4VL~dU`hYD<YDO_mpm-} z<C2G^e_ZmQv=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe z2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4= z@}RU2!nou?X&;1f$%E292;-6m)e9huOCFSOKp2-iNF0W-<sZ=4GYEs!V3P;6%Rm^H zJZS70gmKA(#-2eKmpm+ggWLeKe*&n(g;u}el85DQT=KB|jY}Suzj4Wf@-_(Lk_Y8& z5XL1B%G)4}OCFTBK^T`jC~t!>E_qPi24P(Cpu7#jxa2{38-#JmgYq^A<B|vEZ4kyK z56ashj7uJrw?P<}JScC2FfMse-UeY@@}Rs8!nou?c^iar$%FDX2;-6m<!ungB@fEm zAdE{Ml(#_`mpmwMgD@_6P`(0TT=F1s7{->rKzSR4L29tcgYq^A<B|vEZ4kyK56j;m zJ7DGqfCfy^@;5GdSpLQ(56j=U<YD<6mpmwMgD@_6P~HY%T=Jm24Z^tOL3ta5amj=7 kHVEUA2jy)L#w8ER+aQcxei~@#9~=dsGzbfS326Tf07&ndC;$Ke diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk index b7c8cd7260f0e29ac9f852375b8a9f45a59b33cc..be3b30d5f5646706ec6ef3bde0d3159d937c1be3 100755 GIT binary patch delta 15276 zcmX>wi|NHKrU@EMFQ!h^TE>|m$^Zrl6L0F*e{}$}8DKPn0EExTz`(!)Vitf11_lN+ z`UfXO97ZGSV`E@o@Th^vd-R~vJHUoAFdTr;liL}E1ffbJph_cpCa+>VuK>014I1qO zav1{ygU{rdOaU;h2wNuqV#*Uqx!HK>WYNhF&WD%U2SqMk|L17`>diIGYq{#7R&YQZ z#jrsc!U#YUFMx`3On}J4)Wi4+XyON=A?h^H#2sQF;s#LhdYC~Mpb{2P37B|7EJT9? zn)nAph`0xu_yQw{cmSGsfdxc70#&@8;Q&-30ab!Q!4je&15LaEDqeslegP_8fhMkC z1u>@qO}qdsUeCbLfhKVPEWyAq0Zm-M8e-54H1P#c@daq&1~w4&E6~IzK*cwJ#OoOt z7+^vD0V=TrB!MLUzz$;20W|RhCy4k7H1Pl*i1-CG@dRIp_zfg+ko^n~{2&q!kR(7N z2>~FIf#C(ZI5cs6KokF908xLVA3}q&9V>(hCLbV)^MFMd!1gn|K#~xINPx)?NaFfn z5eV@ENn8se1SWq#9R$jed|(j>F`*AavokO-faD-N28J1Z5c?TG5=<~r1_p)&NE(=t z#8)7RL&^(?<OU>hkgp-43=BJv#6fnz#1Fv4LH5JqA0&AKCc(hK04>l!!VDLX#JRvC z5aI@sI5$KHOg=yo=LL&Eh!;rW{0t1x@MmCP_<$q<Epfp*ejtepfkmJMGzvhz5{3$a zC=MiX5s&~B3m}O@i+hkvJ%a?2gcwK&iWQK=#i3##N&`t;0we&%21w$PP%#i?fg~;k z5`ba{uy{QKIPRsPf*{HRECCUh0SQ2H0Ft;YR18E#Ac@O?1fVzpNn9Q(2BI>M#1%mC z4-y370wf7VkN^}{Ac-qM#XwX8k~p+_00}d6Ac?DhMIgikBym-U5G4L*AW5h}B|y{y zByn|+02Hr464!u=fv62g;+h}<DBgi2t_>9fQ3v`NAZ0Bm5$S+Lp!fum23@Eah`N9z zuD97ybT!u`1)lnR1_qDT10_uVFL*Q`;W!LZ$nf7ZDvyETziLb#0|URj1H*q+5I+MX z_wvF2|NsB12IVm@WPl2Tmlwc%FAyJ82E04~<~xD-puGQb1DJ0G;)C-1%LQP*5r_{e z-RfUX01Ie=1VEYpWdoS61mc5y_ObxXmjdxYdHrPqm@fq4gYx*x05G2m#0TZ=mkwY) z6NnGW(=QFc{9m~Y3>lze^Q8iq{|UtB1!duv0$_nxAOTRZ_mTn3e+1%#GWp97|3E&z z1>%G9_R9xg{v{9}RNTJ20Op?p@j-d~<pD7N5Qq=T+b=hO`MW@TP@aCd0L<S6;){Zk z(8~#6fmI*@P#%8S0Ol_O@j-d_WdWE!3&aQI*_R1m{v;3|lviH{fcae@J}8gAbO7_4 zKzvZvd}#pYSAqDTEcsFa%r64*WkFf!r2tqU3nT!_gD)At{3H+`l=ohK_zMbwC=g$P zfq~)W128`b#0O=ymlwc%FAyJ;#a<o&^PNC^P}X|60nE1o@j+SY<pMC@2*kGq<)xPs zzyewz0Z<lt*#PD%f%u@T^RfWUmjdxYS>|N|n6H&P`G}Hwpsy_h!+%lcTn2_OX?pzf zEudt|;L&UQGnawEqn8yF(;k*TN<=-nS&!vFQak7W2mJC4Accpav`4S)Nw9j;L%EY} zlw}xmCPymEYPIGvFff#Ahk7*IX61m|!JSV_cs#mo%W@bPUTFUR|NlkG|NsAwvBu_3 zUZA{`DWQ0>yGlLR#$pDB4kxF>6DCaFpd!Y_RD#SE4~BD(IXN=$Z*y|!YOz>SvRPKu zhmmP*$>waeIgE_%n>jRunV7bfY?jsHX1BZGz`*e0{rms_J$m<g_%JZMcno3RNMvAm zaUH_`kjB99;xvSvk;TC9Vn2kfkv%!ha5AIX<X?umOcJb<HH}Q<Jy;kRy1N5d7#O-( zI69goSV2Lxn}dac!K3jo1MB1(BddB)8x@qJ48Qqwet(hi_WyrJ{_U;%OBfi^I-3u$ zGBEh`E?&V5qIR!fWnkC^%Kko`&wV=oz4-m^|9{WkI!429FAU!P|9`Cc0V@LolSl9B z7mOf_HlG05*ZJO~vqVMU#XE>%Cc|$pn8At}*g%TidUr=KfmOc%tF}=ADd%``0HU1L z@Y{>4Z~p)H=x!DOdq#qVfq{P;3x`MZ4|e|ciOe9ayz^})R~u{A=P@%dcs3v7fOw_q z4ai9fYzz#HFFRNm7(9FH_zb_jNCpdoT*~ax`QD=&<TibXW&DQUUbwu01i(Q=03dt$ z>07Xu1q{EvkOCV7@&&U;w~dNNw`GY6#|yB6=3{~oH++8$a|6>$R)`x!48Og2@ET&t zVW=gOV@wR|=QDxbC}#NW#T2+U7LVTD4xsqz-ORzp!0;jpV!ycIw-@<fMW`OJ21z#` zlX$tA0c@C#;kOs=aCJ;@4-13T_15VcetV$-bxZwmsAJF~`Q#h0kM#||z4-SE91%Ad zK&k2h0|P^Y4F@xSs|m#628Q2W+<67_FDshE7eS0MH2n5rJ6I8_!<*mK|NrmVe9Q<E zZd1WZz+wBc8DhMG;kOrcuOQ)e6uVR9A$BMletQuDHUMM?$kRUEH7Ww26e|l+p=9{& zg%QLv0*oNfNH8+gLwz%u5gc;LhTmQYfDHkqAZ9e*Tzd_6tqR1oFJ6Lt4YCZBq<lL6 zy<~*A+0yXai_<S5Za#_K%{d^Gdh4tVmA<`L2sa1hXh_n`g~(eQetXe0d4ZW&y*9{w z&BtsYR>y+1fSkzoG8-h*Tjyr@?S<P*a7--#$J7c)Ofm7dnt)U^A9H^#?Ad(G_cgC) z^D*a_$`C;=h=DwC0~uaQfn<8?qzu2k`1%6mMFlp7dQb*ONs_x?ffI<d;kOqTz^Xt2 zf$+c_kaY7gnU`}QNz%md+lv)obs$%x2W%chwdw0Z&)zyU!*4HY;YuM{p&pdsA$h?W zq_($C-SFFs2(T)c#h{p014%X?(|E1v*;{94`0a%$SP95Z^rZRwB{(weApyV(RtHLY zOpt6{qQdc7&9nKKCs@Az#oOo5pkQE!rHaPGpv>vfdC#Nyh(xqwjAN{0oMU|K%SA8$ z|DP}+*rW5a$Npvp1_nqu`(pKTaO@xov<4dv#!}8>%_rCx7?@wPLl{gRy}LJn3ev{I z8`u~aJUZ`1zYKh-{Qp1Hz*dBT(WnMazF;BhUBC`C3&a4Kr2r~s8xKdYL(Tg30_3;} z6JQQ(N0|5f8Hxiz=G9AZK+OX&K;}(=m<Q^bgWWa_&Ad*8d9zW?0~Z`jAP*ma846;6 z47C6i=#7V0a6ldD2r?8Dfb|~xyAcL@V=<5!WMBp-)RiCx$iNd2R|arG4gB~V9)P_F z^L{@?@i5qdEFkj)xS;0MgIFK~86YkM4WWU(y%1p_sO1fdVk`!-fehRMGZ4f8nO6WY zZvhw7fq@9~CL$adU60Ga1a7DcK@5<A7a#_Da6=7b1R3ZU798Nw`PsAcpT~aCU=pa! zZ20X3>(l@L9lP6JmoPANHcwz@VDRj2dt3t2*xUi(-G=ZQ>>%9JB_K6@m%*al72veg z3`$`hy}MsPECwa8U7!XaBtLLOJI1|?n>^oIw%(S3fdOi9=@YQU{G}j^BRD{Ywy~Fj zEe?S2{)4S(_JHu-L+t$y7VLHaCy!<e5Y@Xo0#rXX9#-IBU_iI`+*4Q@pD<y<<ki-K z^`P+}s2iR>1{GY}ghAH!?gkAd>?&blV0fVmN?g6WPe6=)0WuaOj^v$eWMd~xKn~o+ z2tT$$%x|v*6<NC__!t;=wS+J*FuXVmip$>J7N7=C<6#D{1h~}+DnG#C#f@e`xMP@O z2s8%&d+yr=w*=H?lbhUcBU#S^vaRtrcNN$+2Yv<yux~(Rp(n&X28ewc{0t0+mv(}@ z<Z5^T?yDG3k@eE<2`EhJm<_+Z*!<}Ke~;d34a0ASmkdw7xbo=#|CR&%Ew+$KfyMCK zi-{l=-OVRJwajF7TQSc3NB{qOHXg69n|#ngS;YA<M8_RS!OsS2CQh!kRTP-_=>LD0 z?%e{Q#wGJ^4%W%*Y!&MBK!)}120J{1g@FO&aIGi*|NHdr207fPcQMG}yFi`0ogjHv z!vj8@|9v{2y-)%9y!jYAxO{#w?IAcTBdR`+ZV?WT&dDHyyInXqI-5Np0RS=yZph2Y zzIF=y2T{V+aB_{EWP<p^|NoCSM}Yctpg8K?Jp)u2Hy(BnVPMz=>g$3^0dUx@1^K=g z9CnW$poAUsLr6#*f;0<2VRzsGD4@4Df`Uq3=*4ufiV}6t-a1f|x8uQNHG5UgM2Mnh zup+@177#@hp1pN~hTmRjPHwT+V)}P~@&<bazB~6J-ev<eXI4zUXD`dS@jlp!R<Lf- z7ab2Ej%b8LhpgeZ7p0Re9JH9cKqiPzu5{q$(?B(4l7kxOmwVtiZ3mg6EPCQT#E|U} zLo^M)z1Vth@=pg<roMZV6&z(m3+^GigN=cq*@lB@a-gFGlQT$x$mDuQ4n9>BMU$sG zYIwcBi>9xeh2#H$PH@1#Jo*QejUA!6z_as<XXk&<eSc75Yu#P2Z#(NIKXg{MZn_6? z=WIx71$9yKK?=HCR2Hx?FgSMisH^}5l?;dB|9x=`3=F$K!yKJAUufQ&T<)n5$so$W z(Cxy=;n>-t!XXN35$;g|b$>j2x2U`T#XKnWyf_F7o8CH5pXS@0|NlJ+n!e%g<WwgG zCk-Ui4WOo9U<KK-MMXfAfdQP#UwA-F2c?!;bkiq0IE&Or-1-0C@mPyWfG7h4vuE!f zl>kr&sCSEsg(w5V3n_?pP`1;DDl8CXU|<3%d;m^}TT~)I3O|AZrnipW@Y@SEsKN;_ zg%VH`D?kcQfD|?#<9K-rR2lU0)q%P}S8jvDy&D|vDl6_l!d)J00XUgX1t~xdnaK-X zM49~WOupx&;6z+FKL>fgw@%3L+Y1@2rd_)|+1^E=p15$$gO~>Dh%LT_8Ec>f%isD4 z;sH@muj3XZm_dO84rY`<ae$Zx>bBK~LRDf9XK{#1P~S}lst^=&;0Qs9xpyExf_iZu zz-gk6%kbNaUpFC+z;5&&kdEHWI&Q;nFD^n=f}9IB8^yVk!7A%`K&^17LhO#n0x4`h z#``h{;s{XxtL!E?u6rSI?R5(!u0h={bC3dzxc+!^@(veb<GK?RCB1c^F512uXt4uM zITbf2&vhd<t_2{bsTh8H;fih=q;O*7Z)FE3@;X(+Z!Z*XAc7ej*C;vV5y*Rxeo6iJ z>kyULgLx-JC8%$54yq7)Fi!v}Y(A#(auUQ5pw8ADs1EFL9S73U>strva1}#UVt0fo zSY@4z;kOrFP=(kX!85thLzq+II=H0o2gR<^<OdEcDle}?V%QOq89<%)YuC`@B*9ZO zWy1CU{|`Q9>Sc)rm8=b*6vN!2QUPjkbc-;0c1}?tE~9IJ;;6UI$ne{XSZo$CiC>@m z&x6>=Uk~z7Zyl(|`Q$2^vmues#ouZMsUb`azrEOh6%wTzVC88CI8L^x%m9^sjS$n! z48Ogop9WQlJ=`N8D$NbQy~u?s#2%IUAcf7xEFdixN2prVIBGlsD$QRSLY%2(`0a({ z)q03Ku^W0D6mY$D+J@g=e7FKph~1f+APPZaM8}~DQLTp6B%L6I&Bt_Kf_h+}`Ga~; zA2-f1{;(%#<l@_lPN;V54)O+R@2vw3VI)8mVt0@dM4^r0w-@G6h1eaG`4beK&BtsZ z0mlth42m~!i3g6i#v`C;^XYsBaxv7wFArS(|3B0*EZC#*4QS*C)+MrIg!fGLUH<>S zyLksF=`uHOnEc0Ei32pQ;KAH|W3rl$3TK2JsBQZFMb+eBAARSn%b;|{=GpDX;nCR~ zAqMKfbSH>``ZI0<9-Yk@Ad%kPKS0Jc9(E98VAus3-1q3b_hS8(|NlGRzlfN8)W=BC z9^rshmq3l)y&!wKo5AA>%*_mJlO=r3n9g099N??X5dms}GB*cIZuYfcI(TXFUSGXP z#!H~~lPiNqw=c*g0^(qoNPwtrSCC5-AWU(O&SrHGvv)UWEX1Sn@DGrqKobBUM_FC| z|KFwa`-?{xLG=F@w=Pb0@zdmYhC2_`;V_(B<0ly{ei7vL_7!y?o0^}CgZ$jS5W;%^ z;Z3Xq3HHydV_<j<YPEv86%Q_;G>sWALW-D=kOn2Fv9s^O<PU!G^>Z(P%|8w?5o-Pc z2oGxh28j7Pz=GX3K;G(Xz5t?ncYA;Wsqyd*aR!E6P~Sy^o9V2VK$Tb>s9j_Qa!Ysf z1@IW)4MuRcpSjfE6V#b-0*{AFLR4sg+KSx<AR|G&iS7~(P?rL1Q|D%|z1<-k0v?@{ zK@RiicHsa8^Yh6U{MG9JdF~HF3cdd?mYxU2lygS6cL8Mlv<RHF>p^YQ&hy}I@%1`T zw|IsGDD>LSgMHULK?2;dD*(BncXNdVtd%GRiiX~LP#e@6qWd>k_YH{d`p*#U7a-i% z5Z(z0?>0F6`W}M?yAObD=xp8rqI!2LfV#iEn-@rcdyAm{ysP1X7nz_)>8%Gv<h^rX zx60Rp+$tdncB?pOc&@Wq0K((1XJDxJ=xpYIaQ{PWV+X70W{?D@n;#I{CO~X^fNI;H z^PrKr<1C<T{{KbZIZ*v{Oc|uVcejNks6P!_Q`GtG#b!`6_3kc!WHSXxu$w_sM6Qz~ z0>$eWfz0Wx2SvH~Ih5pQaSoFFbV0)mz4f3r@Yl1T_-U?S0`;UCm>`LQzZEnO>e*Y* zVfgLEWrzwyqG+h$5M}0XeFm;3>p2a-z1VQ}|9`{(`#_T=yBH^L3Kim0Joo><Pxs;( zpjnL73nudgDH?q`3rgWGI-u+c2_qIA&u$+b4v$Xc;PL5Q3<@5f-qjB#CkBa&&jf`- z^KtNi?f)0tAVYfBMc7U54^pnrM|YY>uL}pKN2iNQgvSohngY=H6o{MPu>;hF0ZoE} zxEaS?R0=>{AdlV<6%L=y?;f4+UMQXW|Gzs&C7|1b1L~k|29M5WP?WuFg(O-~4<P#t zIKUj37#M82yFK6`Rs#tRP*>Fxq68zvRKRm0^`M5m+L_7ALuL7<p8*Gx1~`}uz`>Mp z1`$k<go_9!q%`Z(yBL&aeR@|f00$F4C}5h83qul5<7rT?hXvEq(_kkf+(St)%{YS; zOkf9rg9((63@_~jg)(@U;ki%eyB9HMK!rZ<%fAqhgPP%|CNB$><Fh^u^7m$><PpsS za&ote9#Znq^X&G~!$=;RLCM3TcQq*YfV+-6L3Iq+QgA$O1{u_RoEH)js;B<{pFA;0 zF?_-)^g!qi0i~76pa|}E;SlU>24&KhhavGOY548M*^^)`9N@em0FUWy5EY=d>*|w} z--j#kNuK)uzk4;PA?U%px?-|ygkt@xlc0KSF*x5=fb;EhP$F9lii+OVpsefDyBd^r zAzlC}0gq3;2Zh_*Q=sUp2eo;HAZ|d!9W?h@f*Nbh$7LYCd~@Ref8XAHpjvc4XtsOb z<mo}u^#SO5$&Gi==oB+3{5lW2beE_Ec=VR2cz7IdQR#pVN+4&i78TH%E05kij$mo< zP{WI|6QH1E_UvR)>2~ID>~vA#K%^FrPOz^ho&5j*r4b}>K|N8X6W}~jd;*+Skn%{h z%L#BE0jCvc9)YJ7aFT?S>ps1kL3zZZcQvS7hXgcgT6qmJsQI`UBot$hL()q9iQ^#G zHX{Pv1DaMqsT`74K-M6904YLVp7;TdH&Ea3>oKqq8^CF22R!X?Lkbd5fA2Cx1;P?& z%qBAOf+lv4D?=Q$cJjeUm3j#b7vU=DJokh0AgHAC>8w#fE$KXaw}Fxfs8w?uWMJn} z<mhx!sQ`@?`*iM60WBl&=&ezyfW)3x6xgk<pz;t@Gk3dkbT)%3Y>(dE;3~TDFuaQP z=)Cu0_Hj^q>iY|4khaDnGZ+{cKuuD}Jkep#=Hqe@zimDW32-+#kiu@H0Phar5OnMe zQQ-hJFE~NT1`*hh<nmJKJGi=3g&5@nHOgrFQE<Q`f)y5bV26YAIhxBSi$}@E&Nv1d zbOX%~!DfhR8R4zu-ABM?R)E_@4WKp&s9n@KIYA0EZU!1<&5#1OR}MfX1w5pn?G?~y z=}X&VlP5-LN(X@raW?4owg9b9a8Ysa=zIi<3dxg`uSTgeO*lIFUz8Nnk|UF)qNSOx zAD(O;t;%F@baGs@ER*2T$+gi+OmB}&UKp*(<aBuQnP^!i<`a`&M{CKIANl`(mxB{O z!%h$ZPKltAbq~-?MEBvz#xWXV8xMgSFYCdLmmi>#qq}W+{p7+J4YALM!BV@Cr8d`3 zULPY{e*hwN99e2Vs5#Qv{DB$V<^ZKM#~m8}J`B*o>0XGI%g9<zW6`qWau!TW6hzB? zWG&Z0S|)qPN{OjMWM3o8KCYi!9V;vL1>&ji$Wrg?C$Ec@6*~x#Vr~F6)}a3VT|fC@ ztgL4rM2a6-ioF5cI79K&il<LtE{uj~kw(@c+%VZaPF73<BBhQjCEqalVXW}v-Z;_v zR|o(9|I9D<E7+s+_fF6<C68WFHv>>gF^v~sV0iKCAgFn^+rg57;YA&UT>)Yj9sK`4 z`Y=eRNAnvFk6u$lkm?+eismC6$PyADiRMH9|L*`T{CVMd=>LCE2N0|zbh2W+xK+IX z$Ua*T^+Myo|NkDH?>g_l=mVM6Yx|y`f#HP_NVM0M8)Ve9gD|7^?4Mi|uPy%_q+-{8 zu(1mJQ$Q0sfA+)F6djzrC*EaqfanIU%>kkYERzygO?UkN-?QWY|2<F~3FT)U{{Mg3 z;s5_NkNp3C=*a*7-ADibw>$R#|Hoth{~ta!*(zaOJt%+}rJ1cg7#SEqE22!+|NmdZ z$iQ%A<NyCl7#SFzZ2bQ}1;pR<|NkCF1_qZc|NsABWMEL)_W!>N69a?G&j0@>FflM( z+4=wf872mXlzspIgGK{c4*dV0!py+Ha`6BEHOveQTMqvJ|A3i+q2>7h|2ixT3|Bx! zAqzu2gUN~i|5I2P7^a-~|G$BSf#J!C|NrN(Fff>${Qn=cDWK)#|NmD&8czQI|AB>p zLFLr{|2(V=3|mh9|8K&|z~FNF|Nj_P28Jc4|Nn1cWnf@A^Z)-ERtAPIXaD~P^@&R^ z{{L^n#=wwr`~QDXDN=Ih|Nkv)3=CiH{{R1j&7Xmx<o^Hv2J8$BC9nSf-@wkmaOKti z|7X}47(!nE|Nn)Zf#J#P|NlV~e<h$bLL3YXx8D8#-@?JbAoBkI{{<Wj47%_C|KGvE zz!32M|Nje<b(55(DmMK84_bZ3;KB}C=f?o**_bdeFl<;mIV(v`N@K(S|DXkkpu_{h zpvlq_1_lO!jgwa;Suwe6n*1!ui|xkd|NlQSO@5W6IypL7k!j7g$#uzAObpv6?@IP! za@jqZEyas%#-9KGe=tmbm83GcDn*VdVDIEvDMqYk_Wu8WZt}$xHKvWMlLeE-Ckv!< zFa;c*oR=y#*&vn0s^#$i|4|@|9r*;BnV3TOI2af}HM9&f1H%a%a-d0bo+Fd<Ql*$2 zK$O_z1*t4dC15674>JS93NTX%w2bS{k^lew5$1b>%m)R*5oQJkfuobz(v;ZVFf%Yz zK$s#d3=9vBPS#6PVzXgkV30UASuahF%Y%V|0hB5Nj!mvhb7R}U!ocw5_~cV*W?XMr z7#J*0|NsAibFy5f^kmg^bvDo}=bbB)gVOcbKqJXJu1;=Cw`1D?N(9#?pGr640<HV9 zx$*!153b4klEo*>X7DriO%_NNWq0Mfz{niNF<CHKgw2uf0wdF{$%4tEYM{`_U}Ip| z^XUKoV1!S6VLoXAtuK8%d0vJR+Zr|ohKR?Lk7cOo-2mx${Qo~_)dYhRpMWEugbQCn zGjkskQyHIxBcFg19|tI|K}(%go=ld?GztS*WW&zD;P>qRfAAVyS5TCcfb3xaO$UM| zx^tfW{|{Q7$>6~!5WvR)76(m-=RW)YAG9bEBp$`b!2n8Opc(awXPcL0HZe{1$jLBz zkpOZ%1E`G+W_UpNvw&7}f&^g65VYD8#1H71{3xfMv2Suxt{!8@<cYcRj0uxB=H@fX zO;*f{VC<Q^kx6XxguF^d#t9&1J#2pqY(EQZ`wDEk3T%4{Y&!~U`w48f32b)>Y&QvP z_Xupa2xNB%0|RU`2yF8QbhC#yg8_8225dJ6Z1V<evj%K)25d71Z1V+duLW#xMMCuC zM+M)c7(nYGkaj18g4v=B0X>sH73wqgOjat2WBO7#xv5Cs6T1Hh6l@@T6RcF6p#r*6 zA0!6C@4@0?46t2HATbcOV`gAb0Oh+0lYbTI!}jBVmb`&vK_iDk4Ezi*f7F4NKr=8f zNHa1pfW%<|1I}j*3=C>eacICWG=U6ZU|=wu{E%6k(P{F`VtGNBUw?o)=nM=D{vf%@ z4~i|MV9^I!Km^h_4P+XV0K<!f$wnp17!@YpEOB>)1?30OVrd2jhF~U$Q($oeb4nak z92Pa8Fa#NzIr$^2IAho3#8Pp_WnlJR5Gi?!iGe|oK@h%^3^d^lQvVVp%g8i&Bb&G> z4>QCrSTwSL#t|487{sCC3DB)D;LOUvz@P*Q+{qi6gbi&#g$_8FL1rSbCsd~-G>3q5 z5(5K65Xe5sBB(4ZJ%h6}0|P_t<i;{_$r(^t1JIIV1_p-PP}i&j>18|*CZ9}xSSHT+ z3&fTbXMwmLmdarvr8HTPMO@MzDhtaa=Rm9385kJ6z_R%)3=D!y5)81E3G+cUNS1Ln zh&0>{RRi0F22%rCUII!iu*?CAiOV2ej1MOpR>)2kV-b@426X`}bCf~-!#FvxQk+p6 zO!`lrSRrm0%L?%cEPtngMxPiM7&2HH7z9A23^f11Tu=hmJ9F~K3USHxP`v`sPy;P# z2dO(cS+P=_@itiH3lJ$O%?7arRvN&<OKoyvrMRRwR2Ej2z+}U~vi(rm1JDggz0l;e z6eP=d3`82<hq~lgJji|q=<WvwhVxLputMZ5G#qY$b-jhk!pb067W=^l@jC~a-~WPi zF$zvzSS8M=F*&hX+|!61;xAa?QwKHQmK~A<UO<Z!sFNA4L*s=3nnuC300RSqH^?-@ zWOk6fm?<L*Y74AvhVG(dC<W<~oCK8>fQAn&7FJB2SS`-D52S|i3YdHbA`>||AZ~`0 z$gt2Bfr`UQa&Uu!fq_9DDsBUH^GZk%GpK;XnRq~D9#jNEX>AUyDQ03coV=@Umq2Po zYI12wYP_ilL%e5*Z~Wu{4)M(s>ZdYpHfTJ{vZ*bBi7{bvVuwCw2WY<p0|P_H=9wMr zj7*|-lNWXBalvZ47YUPZb((WRLzAIkvQ(G30F(#YHtI7uu}fdjq6p#&7=2*!!Y%_& zXeH@UGx=7RIgA%jGg+z|&Wos-9Mx^k2`%d@>L$<XHkSbn!GO#Ib>u-bG<rcyhRKdB z!jpOgxZwG?ak5m89TP+2<ftAyP6N=283qQ1h{+3k%sD%t4)B}&u*aO~+LXysy=nmH C2z4j` delta 14964 zcmaE{i|N2DrU@EM2U;g;E#veMWdH+@i8uA@uiAsz3^1BO0K#WvU|?VYF%v)p0|NsZ zeS;Gs4x^Fvu`w_(c;rCjJ!(+t4PZkV7<NGD$?c3nf>5OqP^A$ylUFgGSAbgh290(B zxr~8<!DaGHrT~~$ge{YQG3AMTX)d%{l{mY#G2{6@cfP;otD55uY_4Hm%T*7xf&=0x zh7HOPMgW?40aTo$0U{4m592GKi7$wPsMA0bSBQp)8$iYDVFqo0N?1T8VB!uj5DgA! z;vWnl;vQ(?3ydJ*0che077+0WRPlO-4N!>$R0#$FONfRHH1PtccmbOD0jPKdnz(=! z#GD2+@dU7VJp)4rn#2aM1OvkaG;sxMh(R;Z#5X|27odp?*g({;Koc*3if;gk*E29M zz=Ar#4x(WPNCHXxfg?ox0Gjv(Z;1E_H1P*M5b+CW;t75b@f%3uAp02}_(LQfAW48k z9H5El1)BH=1CT5O!ws-*1_n^}Vuc8S$p=W{JYW$B@d8O)5F!K-`2eB72I)g2z~m1k zaV@Y2g!lk;5Gd#IL4?5MggP+Az|O$H0Fs077#L<Ci8H|j85kHA)Ilu(X<$Z@Sb?Mg zQZ7IwHz0|Fd<_w0VAz2q4l)ZSegH`v7R?~J6EJa*{m_C7B+hUFCc(hKzy%h85I2y- zxgkPe@&S@KFIWUZyg(A?hX{em4@lymf)!*xSmFnggb-K+N<dYBd?gGO0#O`D;vygc zC>B5xhZgH#83`nDF|Y`jsAo_>k`M=rK?n^baS4bJm^45VmjsJI2n!@}DTol5bU+f9 z28%!l53qPW130nBK*Ydg09XPdE(;cc5D`e?au6XfnSdlN4;FzC8A##^5Fs#GfF!O6 zWrHOupd3(8D}hBIL<5pIv>E_QbRdbVfJGq01SD})h!B{Zfh4X57GYoj3oQVX5Fe{U zB|y{)BykOp02FUP64!)^fv6ow;@ThqC_aEBt^*YVQ77sdATbW|u`WmiiZ9e}_7dI3 zHTeLO#3Tit`gjHgkJbYvO#d%<G#}wO3|92tG%AjP;lFB390LQtyaU63RS-V|B=_>c z|NsC0s|Lj}Fl2yAftMG+d@m3ml>1*E0P~$dd{Cx;xdF_#0`Wna{pA8M-w4D96>Ie` zCx8XCKmwp#|FQwhR|4@tPJdYd=1YP2pj`ek0n8Ty@j;pUWdNAZ1>%D;^-BjZp9#bV zW#*R#VE(UI28Ik!dHGTS%>M-9^Mc~&r2tsq6-WS7-o0c1^B;lupxpiP!#|LZZ-Mxr zT>bI^n12bx2Nkq0FM#={KzvZ<et7`QKLp}~GWE+1VE!%;AC#G2E&%g4f%u}JH1cu+ zSYQ=M0F--QHh}qyKzvXXzAOOqXMy;j%=$6`%%23}gEHyM05HD`#0O>0mkwZl6NnGW zlrIgy{3;M1lowwrfcZrrzAPy9yc7TnWPt=gneQb7n4bjVgEHOA4}U=+5C!6cGTX}s zV15vYugJi_@bUtf?*-z6^47}(V7?QG56V+7H-Pz8AU-HBy<7n18-e(?piJ~~0$4x` zBml}hFB`yoB@iE!XI>V7`BET0D6hOs0Q0qCCm&H#57f0`VE8Y}9LvD)B~6cCz6F#_ z89aJzKgKdJc=WP@BG$w5M~SFMH|wz&NNVT&|A1c}T+bbb(jL9G2f^x1cg0S&QI=th znH;Gst5qAzz`#(d9qQ3+n+0mDd2~K4;qmCUEsJ4bc%k|K|Nj>$|Ns9##u^$sd4cj$ zrX2;7-Bs$jI13pVI-HyiPna-ygNhhe6be^-HJp3Q$&rD7o0CIVi^ZS9&9bUKj7+RW zo3qvCFfy8N=FkvkV&W^>EUU%MZnwjpf#Jpb_y7NU^zJ?2#lZ05F@&8E&%p5FI)q)3 z!ocw2G=%*joq^%Seh7O*#^f}^$&9L#e;MjBJz$xvX=EZVz{0@L-7Uewz|h6Q(b4>X z1r$`fFEBGOcr+e9!7{nV$f_RH5CP>V!*4#F-(O_B{r}&Qe|xKV5d%Y7XLAQD1A|ZR z;sRz6wYz|ofngUY`}=f0_v!ri;`h7%|2=!_7!AL@FnIg_|FPy3tPBiH9=)qyFoG=F zJb{&g!K3rNN2iI(i}w(<OorcHuz=N`fT(rb9l-=vyaBAZMuo$pvqVMU#Q}(VR>N;E zuD<#I-=n+v1~bSr511Jk__wiecr^cD=WlNVd8L<kzRu)oW6k<xW(Ee&=3^WXuT;GO zIq3x}0|VpBaux;#&)zyd!*4H=!NMSyGK1XKZKCqR0Ad%v;kOsAZy^4cMED=s$Isq^ zeJo)3?S(Yh9FQ-VJ-R{8?zRNE`zc68^D#k)8@|7Wxq<2B7m(|E>qHE{y?F2%Vv97? zmdP<DhV@gJz-|;X{PtoBTpNo=?`{rov~9k?%E0g<3S@k5ow(t*7x`dCC?2r^$u=L8 zc)5`QY?ij+w-+98WlS*tih`8&*6A32d!Yq&iF}a~Ji?JZeEJR8!+M6_UNF3#Y+x!{ zuLW_YzTvkQ_g=w#$BO36B@k@}hTmT71S>*uW*bPh`IsRjjAnopfCKVn3B+&(!*4Gd zUqQl178*v-v{%mnO?*lqLwf5J4Zpnz2b%!00^~)XZW|R)>Qsa%P%`}X!t50|1qm>M zQji2A14Dxi2Qz<bHzPQdlnuYV5CIzjN-WH1Ub*%9|9{WsV=55GzNvo+@-xUT=9g^{ z{T84!`V!(=MO4?q99sr5q_@t}@Y{>ka05V&^#G;63W%(g;kOsPFTpNt0K2pU?ot(y zP0h!w4Zqccb1B#ikZajqrh=q;>s$@Ly$FP8UcdnI<_ZR|H<|cb^*}0`kGZ`T_G~`p z^P1PQ`Iys7S%@GP#9QKU0~ub5fMj~>q(D&)7iNNmN_{URAs%}LP8iaL-(K8)0Sgki zk5+(Wn~%x7oCZmV#)jWsYym3+IT}5Pt01aPUT1su)~OkOd(jD3ik|-c>mh2@A?{8D zD+I*`$ZDU?f3I^qd+TfszrAn=%YbY{Pn*0D6YL-+$b;2^QXdmE8<(gEyjJsUKIQ>Y z@b5XucOZk<L19o2%8ZSNL7CB`^PWfZ5s7HW7{^%0ILG+dmz!Vy|36{EgkX=(&mQ|5 zq5TiTZ!dN~2gfR+1Z%M2U@YZ4);xiYfr0roJA?rW;|-vav+-~R8>r;G7yU93WFo}8 zX0Ul83=D?fUSy*hIQfBvsJ8_>)GQDKWR?P`U~N3Czz#Kw4P@2?5P%ri3N{dI9yg|W z^$%d?ffyk3CP2(P0W)ve3$SnD9&SgNxB3~ThnYYg?%;qr62t%*$^a_C8xI$7Kphzf zG8E*#dXN2`2m_<B7|0AV(0~(aAcz4n@C3w_5}Z&28DR!`?C(aH$Bo52HjsIsu`h6p z)Pq<c11%sfJODFr<MaRjp;6q6FmU%%Odo>{Y~X@A5X1nPHv?i`1{c(Ui6HYF!-4}m zIzM}M{`1&x&cMLH4QetPetVJn^#6a)?l$8hkm6<!b_NE|?l$Ej5Y?v*7VLHa$44_L z);)T6Z-AH%iu7HeW+Wt$azs1Ey}bDh7GD!4OhC2}H2MN+L>qp4@%737|Bl^l(M1e# z44usa93YF@g25Iwb3l0B5FTjE#k0H38sZjbuweHOh+95@sNUTQki2q(oq=H&s6~k6 z7Qe~;*0S{uV4s3hVCoZ4d9p1YWKQqy9UKe{yGmFX7+$OeMO5$Z2@s<ez{HV^di@m1 zTc{peh%lcOV*ceaP-A2F1zrY*T`eID3=A)PAr>%znjejacYq~8W1Zm44Gy<%Gz-EV z!yH4P(e>YR-v+oPprU!+WPV%8`j*H4|9dtb4=)GX_Ja@V8&I`$5|mJScb|ZG=m8%C zgW;u}Ag{U_9)SBQ22`HB+y{1Z9kb!L7sii4jlyaT!*7O{3{SoYdHnx>%K`otTS!^W zV)*TaAV@`b^9fMlKY6*W80Y&(U^kqup6uwTEOHpC;|`=iW;6WuV#DOWwu%CBkN^L7 z>E3;Vg@M6`dG`gD$$EAQ_3s{mtlJHCcm_zO^WTeAPyYY+fjHc!cQMG}yFfjyogjHv z!vj8@|9v{2y;uVBdGj%LNZ~04GN-#4Q6qVDi*R^!P6ip=?ZUy)+04KX4gioza6?{B zK5M7IzaJ%BH%|UzCz&w);s5`~n*~4}EKnTv?oI#|l#Pdf2s1G30(Cq+I`2h;!%i3E zj$UxsB|QXr1|D|J4<TW92-4O7g`LAgP~zKu78F$SLNCN0fmD>Jd-m3W+MgT`Coi*C z<$U-6tmr&gk>HCRU}H)uJbUW|4ZpouIhn;li>dCxWCI5UzL*E#!XSeUR1p_Uj&qRZ zG=%8B2-Yq7f&;9ZzXeoDc=p!Gg0kM^9S&NIrzYz=h)w?Kz|FVfK8hhij%u7G_rXzm z8DxmEsK*14Gx=M#L!wmE@Y@U1`;#jjRhjtiPhQ|CBl_VUvI%Sq49zwijFT@qN-!Rt zJl|1t@_$DTzNIK?CW|_0c;%xAf&9S2@&7<4IM`nv{R7Hvj?g^d+4;q@^S|f5Unp^< zcMlv=SF0x{x+q&S-G?}HHYBZrdJFIGf=aa(6;J><cK4_hfGm;WF#NwSj)8$;7ih$x z^X7|{_a?_VD@5)PVPNQXVdQY^Y*9HN0&21CQ7K>r(OXm&h%hjKQ{f9oP|)<&f%+F^ zM44`IZ}NT@1*Zo{roVuiJ_Bm{3ApJez&@%2rIo*T(A+-xfU`*bjXVGUJ05FM;SgnD zVD{|Yqap!r?Qc=}Ai}`#VirU@DATQnD%22VU|<3%TmepoTT}!@85mv^LKLzaetXdd zRS25d0V{j}RcHWG=mApLe2nAeDNvQr%U1{Ll7xVq*1P>WINVh<?n1&{9;^?XNJT*k zx{<<tvZAXf)A>7-EnF3xhzsX*P~i5~2^oHSG3PdVNPtWWyE9qVU7?=1aD4}I5Ts9} zjBXkvy)yB)K7x2a)bQJjf43mP3<?x*Frx&@0f=d!9#s8Rs7mbNJRPDE)QwsLRS1eX za5$sHTpmavs7LhyoF?kH48Ogog6hC-v<*l{Z)P1gs6h@@334vjY!v4TgH_h?7=C-9 z0ab|I5wAc&(|nBgWe&s<pzhJPo8UZl6CBspr*5IdHK<dx9i#v`uHktMl)Yeitnk+4 zY3>U3#Kk=)$Q`|PpdOVSQKtX6`TzgH$4tE}(V$#@0F)(|TU2&{nkd~OjGmoSR3OQu z9+JCp=f4TJK)$V0G5q%8=nb?~2T3Ok{4MMt^Ly*G48Ofta08M~ut!l6#57RvxE!po zzC{Il6j?%4f_ln+V3m*@4vtHdbRqy!*nCX)<s^tBK)oj!s1EFoxCaWs-a1eR>a+WG zh;y+!Vk<<YjN!Kzr=SY4r<0z^jGn@rGp>UxiQAx*p)@(ckwqo*1|)SjLNbz};kOrI zAVtWjWAXz}Q6_;KlfQcsTN11QMMQ6%k>R%&cdwzv3D~sh*C#)9BDN&ZhnQw!`0Ygs zx@nLY=HhQPgH$1=hTmS;UxNf$2CTph00;aQl>kuj$Otjb%<$WbdNHU<?16g&6cD|2 z=7!&1yuAuB5_^2D2PtemW&vr~9E7Sxjflo0pyK|eA;g)ghTmSyyjqVi6kKAVl=smf zLm_?M0;q}DooNJ7s1EAcLKUJ~-3zU1I6(@Vk7>LFb#_2w{q>;!X`EyHVb9(=&<F!3 zR6BNGo(6?|Z=E%0km?G=<=7px2%^x&@Y{>+P=(kXlvxQ<*?i0v5^&v6#h`ctXCrXD zH68&)n@{I6kc*)Xe(7-S|Nl_OuwakIH=vOlSog?=5!5{bcN*-j{QuwG+yH92GdEXE zuJKXg0F4EBFn2GQyv#?1)4`U30n{k}HTklSzVoZgpj67{+3m;S(b+5@2I^9EONfEG zIBo(Soy`g$k>1@0K*lv5{vpc10P8`$(7*csf9LxbHzvFK8YzMr-O%om)@4wecrVDF z?q=|q0CV#R*2yz`&6xbIPQKu)&7lBlpfWd0OlJ18U~;@X+15`lvhfnAUFOQ*(d`Rz z$qA6Xo!u8eRJSY0B{v{UagWaC`ygiTZqWFHN8{lQVhjwsK!f!jo%desy8QpYOXv3& zNtZzM{})l0CLi(B<adOJ56J5qC;#!2jGlfG<n{J1)gV7N&ld;zx&1AKHv_`ETMZKI ze_GAJ@EX*p1@$@-E~2!Y8!tl2k&loDC#W@KcX4uozkIzc#C+izWb=6-JgE79Am+1x z1-mDRgH?8bsNUTIpg?LoTp*6{9i$cCdI?<agBnh|E`S29`2r)TO??A8GFIyE3F>P& zfyb_ALR5fi>hA6zpjZTTHo8kVKs^qyO`V&;_I8JG2zYc(206^5+l2!Z%=0G)1gO>j z_uLnN5_+m&Bb_t4y$c{ihT)K`4Qj-4UI2BK+m&k=7&@B+BtW6pE)Diwvxfw@-)8~x zR_|s530Na_8Yq|`jnvcU!7*YE(a-?aUf-@>12U#xA1vBk0Wzkuxd24<?tTHPHhVWm zNPs&`puWAU;ei({5F<gcRe2ulpm?x@4uDN;4+opnyaU4XhwwH)c=iy>+`)p~D<l}A z7(6<g7eH)_0QFCMH+Mj61NGiPwoShP9t>rH<Yi8f9~zG*gAC~1{Q%@m(6kJwm+J>k zIlC=DvCw$<gv8{Rfr|CEAl<!npxE4W4kcw>J_kuz{GcI*-a1hGcLGR7ce4W%D84<I zz=N60{H^Vf2;eaM_973W0ukR0H5{VM{H;g8H9;Mx;kOsw=l=gU{J#%0XR(WMa%Yeb z-=1^-|NC?=P5{k}tj?I+AF7ztdkz#8E;^u`2MHP$9nWqb9S)C9P^iEH#iw^MC{TQQ zSAzlt-0Rp0jRo+K^t%@(pzvru1|3sfb{3R&*MrK2$+c06^<3!A_2_ls;PmKpQOWSw z0h;Fr&BB1V1s*#<-C@xDB#2vayhQ~xi3Mu5dGv;;NceRA_vn20V%NF<|GRTk61qJ& zpziF3Io0sePLK)U4)t@N&UY{B&ixky6=3OS|NnoP#s=y<fqM+k&rD7X6OHqRgcztF zd-M!An_&cMGGqn?)b3w&=Kp_C=bT|5$i?86q~S@&9iVAi$DJToz7RP3|9|&t4^ZSY zuXdQcF-$T1A;?^4BB=oN-8<jCm<tM#UPvP8tWgp0>0NySl!!oX-w9F#_Q1OrQ^6rw zCv5ob1=ksPpn7!XsBnNoHWOracQeAxl!dHE=hYWtXF;PPDbUb;0m>8L&;=!OMCgJ> zj0JoYJKw#yeg;$qKYy|RG{}A6NgkKe|Nrj?O|%+*n|vTdynfSZw2&pkm7u8aE&<Jv zy?T*!1}Xl*MFrS}SW62Y1_n6>PzIJe1Fp!}Pyhe_ax)~>LH&>RQ<Lk0Wchxa0%eZf zNSPz@<SB6G&_~J~`kviB`WTsGHz;#>V9gxh<XQj<ndW1>kfP(!$;lTZl<R#@p@&I# z2q;fX29-kHE*yfL%_|@!5GYN6lLN@Gmz#cpgBjF#t~v=eq5@n_G{DP=>yWlCsL>n& zQGrMv&~oA!6CZfKP!{4OBZ!mcqN~OkO`dx|jsj&<pUxB&jBM)JyB(DGeLDZY@C13Y z^QcRAiAsV;Z;48T$MF`G4(OPPPv;Vq37{O&yGNx1H0%q`Q7?|20Qt)ml%+w{Nw+Hp zq?YmM-3_i+8V|$k6;NY9{1hkwet)t5#Q*;<_dyCtP)FGQ1fk5j_5^xnb*lr}jh0!h zKxqS-S+V9*P{h12ISGy!P{(@Z<O>lhej*^FQ1T`;hCw+A62qX}g~(H&B;NV%#hDXe zgCq>Uy>L8^nvvEY2ZaoBc83}XO8O8Z!Px=5$^^w0C=bMgjBY+A1&Q{H#~`MGXP19~ z>R3>#p>s0SFpkb<WWyQ{N0`BjD5!y;;O7B(v+>9b1_lOD^A$25bl9``m^{RmoMWK$ zZgd@L87rt#gEUbf=^Y%!;Peh^Ng&1XWb<g**d50~gKnUi8`$Jh6}*}9;`ULn2OL2C z`)&_VlLO)b4k^&M8EA}EKnmO(=>U1I@$d&pXmbQKR{Apb_~ebzn$ksJL!1q|y)8gX z1zc1dJUSnN+K-l}CV!1qXWDRVvRsT5(~+Z-tzx8^z8{$!9;3<>aBOm2j4YGkvB`5| zl$dyrO+FZ-$&_?t@|zf0Cgqcpxns5DrXT(Pf0u(3Kf_KC;nVpYGQ{lxnvYn0WO8t< zhS<f!pk_<kdvLP_6ak*yZO>~aPmI+N6Fv%-`i(60xn}bDSlRjqN5E3-wV>7)RM&q{ zL!`6$12edV1d1KU9UA^V4A7zJwGb`B$Xd8-!R=5KEh{c(!L(FCw8$fC5eI3RoE;}6 z<_?k7MwV5sojf~ER!jsUWsWSRUpx6+oUGVGh<}}trL1cwGseq$u7gPVBTKo1tV8qE zil<LtF06)ViAL5ETst{EURKNlB9)FT6%VpVc=FnK(R!}K|NnpHm-`j$(fNBPXa$i+ zuV|VgD5aRzGcqu|kU9)%qV3kOU|@JL?+};`T7mpx(xLzVqYs00dNjY`@aQ!S1gY); zsQ@i4Lz1unNi076|NjoqVx1S6AOm4aLMJ;Wh+EAEO?UR%f~XfB2mgbLkk0!r)`86G zwdDtC4+4qy+G>N?+YZ5ux^rOiqy%kwaggY(1ONYfG#^pep8}fBkvRxcGwIOeI|(k6 z3Rq1~{Quu`;{X3WP#g*6XI=jPf7#{#|241t|9|kx|Nk9V|Nl3+_W%F0Yybc6xHj3U zU|l^ZSQw?5tsNK{7(h#uO!oi(U&6@1aOL3t|8p1_7@i#b|33!AKlK0q7DfgJmm~lG ze_&)_P&xMhzYP-ugUiYP|2vo%7_OZB|NjUR14GKW|NjM;85meD{Qn;VqA&jczl52A zVavt;|3S0ECD;G|S7BjbICA~}e+L$ZdIpsn|NqCZFfg>-`2W9xg@NJ9jsO3rurM&F z-2DF^wCuj*=Kud^KpJlT|Nnx8fx+a~|NjE43=BtZ{r?YYe5>64|3871fuZI0|No%H zJSBJk|G&b@!0_e4|No#~*OOQO|HrT~FnoFa|37Fly5#Nu|5rf#cmMzMu)8xbg#7&f zzlWWHVaw0||5vaxFqr)M|NjCz1H+MD|NsACXJ82V{r|rTXjbm;|Nki*3=CiX{{P>= z!N9=#@BjZ991IMgRcRY0n-(ccH5~Z=AGGX?!G#^Pz>Wdbr!Zk)VA!#Da#4|*l)-`j z|3NGNKuHCJL2KGe7#J8N4o==wWX0rjX!5%vFQx~FCtDSJF>N_ExvkiWiR1X>L&aW9 zKBp)1m3T2NI5Rn_#EmK9?BqoyMyyxP{{O#s^34)8#s!l~6_`9OPhM9l#s->huDLwf zu2hQY0EiNsTu{ow#Bv2J8N$rKU;$x*Ry^f^m{M*FuKfQGUfu1;C(z8q<jKdu0CxKd zW(I~USN{J`2f5aXPr#8+!jVs*nYoXNDH_BSaN^?t`4P0-O6KZhtuiGxP&w6db#hgi z+~k5X7B<lQ=pGPPiOYk9f#J*5|Nq}IPSz`zntY&4gAEkTGp<j5S7yd`frWwL&aKH> z<!)>;tPBhdPbQa@>#+r}GBCtEoxG~tj%^An1H+7Gli!saah_piV0iHS|Nn@|nicAd z#ghXoq?jx?CNq|busQNwU}P$u%vdU_2I{!@urV+k`1t>SFvtTC&-ubU2b!5-`84@Z zg%TSmS;Tyr{Hj8YZ4XGtr^#ZKa$KMl_)pjv7&JalwyQJ(uW|>Oslv{{;P>_afA9tX zS5SbJfQ)1S&Den^s&l^n{|{Qt$l$>z5WvR)7LQ<OV95RY|37FEAxJ!mkAne}Fbdci z7%IMQK33VpG`T=jVX{X}hS7<5kYgDbz-E9NP0&pqpo|KVfu$hO3P}(@pl0%;ntH~% z$w{?(j0KY?*2*(_Ox{?V&nP=tu`YtKX7WZRvCR|eDj69YK+JmBrVrRA57?d#*d7kp zo(<R@4N!>)vIn*W1GeP?w#5Rrr9uE4APfwUEfWk3upJVx9TCtS5Y7y+Ef26Q4zL{! zupJDr9Sg7>3a}jsunh<fQIlUae3Sao0CE)rd<lOjcsai)LqN^sUyb^VHIucP;+U>v zPVQ>b_k?c7foNc0xCvG&&QJke&JGiQ4;B|=fNkl4iEA)3FeorcFfcSsW@^@l?TWbp zl?IL62{G_9z})`<)J<eyV31~HU;v3HOipYT=Y)EnA#`$Mvpl2D<b}=hT(GSZ!3>j6 zHHS&TVg$4d24wa$kO52r3@73zTeU1>6qx+5#a$B?IxL`WC<6mSFcZWUSg3-60i-!@ z@<#`?*Pt>TQc!R$>SQt~_#1A`!gAbe*OXi6ER{vJq{@jHl=WMqbz35$U;s9ijh z9oxhW)tDI=1i+yI(ucstP+dPkGn@<z4B*7hz`$TLc_W*+45%&ug$69SftKcg^rka2 zFigH!FT&U~`C^+m;|!2u#+@Ki@*LE_4WKfH0o1<(X<%S@0+wZDfjAPD4#5Q&0|Nuk zWI+~jNlmCMEEVqst<h#+U@!s8MzSz42r@}9z|s)JTn2_zkSt>>i1b_vRRh~R1yi#L zYBDVOzJ&(PUZ^-M-Gd8F1_p)`Ak!GHfyoCTa&kZin=n5EELqn<^?w7&F)B=M>=0*k znY^(>+%Sk0;zL-@Oal$_FfcI0vVtN&62tYGV7+~l1v|whmq7IjfR-gQFff1?aD#lX zYqDdfIO7Gd%DW&^5>!WmA_kU^Vc{q}d10rxB&f{|l7*E5Fj;S~YzrI2g$JPfZhE1q zX%<M9aW{xG1l7eL6P7`f1+<u8I0DrR%l>tsRrU-F4CleR9zkVcrAHIU@eB+MZ`mMz zX8<iLfQo^rPas{4Y?BXmi8IPhZtNEKRA+~{4OVPKfQE7y7#NJ$AsOHWv`~OLnE}+s z0J-x4)Sa;M*8yajA*cigC3^G}6A!foR;oe^YlbY4F3EPNtN=88V6iZF^2Tm)#?2r# zj3>e5Z4jCG52hX(NU+f6<be1ERtAGBBL)Tr5vaHfw9Z%w3JL}W21$@O6A!4=f{H*W z`OSqr#Y~gm_ibQ}_YCokpB%s;zWG@HR7S4Uiqz!NlGJz;qs>th&#`P)otDhR=rOr- zhCXKjXmbDq14F^)gEQC}nZDXgJ~dO13sy~?h@Z?f%bXJ$m<$P%oo1N}KzXoDkS>!u zXXy(XBtaYjqgPBmIm>_(8ekqdlbL3l!*~HXlbsN}h@8nyv&}i7g>^;V<U_N~1)-%s zLjlCl9}JVZ=IC+3qUT4!WT!cHOg{=HH_fr*)F^}~a+rK_jyY!r)Jo^coO8{&R)fZK O85kG@87F&9lLG*6a!Hr~ diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log index 75defed..421be48 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -1,4 +1,4 @@ -Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 33467 +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 37683 Design successfully loaded -Design Loading Memory Usage: 20188 KB (Peak: 20752 KB) -Design Loading CPU Usage: 20 ms +Design Loading Memory Usage: 20192 KB (Peak: 20756 KB) +Design Loading CPU Usage: 30 ms diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb index c0f01b6cfefed94d77dd822592bd3968882a2a5c..7c4bb188894fe54a48119104e525a2da50913787 100644 GIT binary patch delta 3672 zcmX?Bu)jo9C`X=|n}J~g0|Ntt!;>m`W{#}8k0**6aFliEh<^A|qqosFnvqd#@=C^X zMxo7$OsvejT+UgU30{r~ehvv-lPCXYk!KW}EYE7nD$c;bz%)6=KzecstHR`ctQ?c) zuqrW1PTtQtkICV{<|sA;Cb6u$kJCX`G5nVXsRl6_6=f$c;3#2~n=Hzy$S612oKwN% zI+p-Qis3(l!$bxK205r&){aHJix;v=7|9wNI)K$MGQibL?&g%T0ShuA1fd!^8*CRY z>}c#=)XynkYiKATC~G7SF@PDNcJf0`83C{XEJ%X9ToP7bK~{ty)Ks>t%%rT$1h!o} zH|^TF0b(8-s;bEoR7B(@#N}lK3~hzwBy5dE1S}y6*+B}K|Nl3Toji%li2E9s0E5Fi z5OH;K7O%SbeJ%k8RhYk-nRJ*y7!)Q<VC@isg8>pu3=9r@j0_A6s&bQcxy5Bb&c#q5 z4porAEs9+MFI2%qZc$6DCh$WPKt0dWymnnPm_T;b<on#B7BFYCG_PF`QnJ1oSqaFG zNDh|(nW`ZVGIeqzzl5aW;=YcB9gF&Hji6D?;BcFPfk8uIax9NZ2*_a!5D^9j1~!L> zAk!X!h{qt}35a+KBA#&xFfckWF$gd?JOCvoFv;jp&%nSS3$hF1B7YEf@_I%wBbXqI zPjW&Em|$RFM5NX!P(2QeAQKzF+GKh4WMN)qfP{BAQetJ`n4HV2!gzo3KVDnL>yvHx zjO1>BTsEJ9fk6Rk90Q}n3y>JdK!wTDd<xuP4>~~j8~HWZL0Y(2R3_gO5EB8V5s<q< zn2QOlNM-VTK21iI$%X<dli%{O^QyrNW?&Fgo6N{BGP#6bVDdUXF}4Q+3=9@(lO6e$ z1=SIXz=o(#UZ8Hk^BfdRj8K7%{2C%4s~H`tK!Fd{z^MT;l2KzalYkzh#$-bQT|rH# z6sY(Bxmpw5EX~P*$~x>IUox=hVS0lNY@r@V7Z=C{P_Da(H7m$Cy~*FjVXPD-SEv|| zkS(L$<O|XkObjfO?+7VQb`ay`*$;9590mpk!^!)E<R{w;D@?v8s={bASy4$wh|L(y zrN)y3gtZxsCo3w+ipDcAFqomqn}OWK4{{TymN`_8ak8U`=;Q<ZlH!oS>0w}Cuz<=j zFffQ&fWl|;ZV`dWVIqc%7U0mfM970ZX9)@r9&ms_LfdlkeRUa$n_%a&S)-}8Mptb; z85TEMc2KntW9&f2u!4+XnJh1+2===DWJi9P$>m}gA>;rKp-W;4ljDVD7#%^%gxH** z=E3693Egg|$${cZBDc5%7_?lVY9V&JfF!s<5=?BaAeqUp#ih|>#dY#NA-TyH#94XW zKuSQVn1Mme4IE_I5(1OiBn%ndCOh&gGBQs-BcU|;jED?!Fu6|-lvQE6!!=n)QW@+y z4~VNh5r%=o*ApDCo*+pk2Ik4XBr#0#2Dz5$7T4q`DTqlvkQCyJW|A-1BwvuEfK~uh z65`<ikYiZya0xIlPj;8a2;RWSn$q%uK~OEQ@C=%KUeuT|XtJV`B5yE4PAnJ{1dPFx zFG$-l27?2DY4Tzju(R19>16VH8PUmmHATg4a|tl8g(CEVeH;olHx%aMN?EX0<gg0| zIadtiT($@_%@JVD5g<<ru|=XuMuI~i5+o_06$6!ogh0&Xb($*TAonn{#X@CZ9*qT? z84J?J#uf*XocvM_oE|t}xpeYJIpN9s<wSTBK+@2(kN^sZ$yenCCQA!xK}xIyaCk6J zR#Q-%oS-a=?5V`b3*;5V?t(%j31J90M3TS`NCJh35L+^uWHQ)O$skD)1}3&tH0f0I zRG$j+DJ106(A1`ZjY<P~R6r{eDhUanOpxouL9SzF%Yw?l!Y6C8p_mMEft59RucG|q zOG=89?~5ukW`k2m4nmVy4k%z4b0()Kc`@dIN|nhD%C?LzCf6xTO|~=Qm$(NiJeb(> z5n8~(kdN+_e2}9cK~{jKwgBvv0*F_Np^^}<6i?PSk`o8n!N^tum4SJs1Y`yC3oe1l z`&BGOK&=+7GN=-W=CaA2Y6jvU2}TD{aaj(P1r?X&U~iRAb{BDAEC&_D%<;?ulmDtZ zFus^<rzQm|XeB@eEdwJPs2XB|BonaLszCN4r-mw!J(eJQv}&PNFgh$@U|@jpAetE% z{{LrSWUGTJfqA12-MBhXG0O?I98|SW*40p({DfJMb76BsZ@X=;!?wwV8upU~_*p0C zX&N#<pS(a*cJe!QUUpFV>+q0k@)xbZ$@fG#CdaVwPR`H}n4F}&kR4Q)I6M%T9H=8c zIYC^Q4OCt_Je+K$<BuY>S5uS?l&>5fPWIOgL6J(36=ehEVk}bY<ptS5sm<Zx<Qux- zlU=oCCkN<RsED;Ad<qT$ECQfdnB1!e^2UBW0|AJ$K+O^bQ3eLFb`)t@P%$J5aUMts zAE-TqRb7Its3^p(_|>hK7vzCB9HMUWH@(2g`g)R#dnd>1m%_boppO!0yaqv&-Sy=s zcNyftl^ie-2e}Rwc-n?R63BfOM7PCZ&*asHrHs!fD;mj8MheqlBi+dg+N^^8h%f^u zw*JWh21dgBK$(nz$rL8bXwg5pP+yj@9~3~0{qW|31E{G5)u(SHCko0q;D#!a&IzD= zHd#TRT@cj2K~C5cC+8X32p@pj1(Rj8m<YCOBG|5pSnQez%A`nkA#_dx*~P-Zz#z1_ z$!G-&lS9Mgjpo9W&zq=Beq=7n#3=zbQ)05Cxs8|v!sp=B;sDA$5|byJD>6z<-fFHZ dEeTh^=m2u0LkJ@S1K6{YlMk2}P1d&%2LM+O*k=F$ delta 3487 zcmdmAa;!j9C`X=|n}J~g0|Ntt!;>m`W{&5}Z%q_6;MnZ+Vc*{P`Su%qqZt`RC$D5I zXB6D5$i&J#If_+}QEYN2t39Lm<ddwL91;u+3``6R43q7Q#U=}|HEy29rpqMueEF?( zkf99!rJ(W*jEXXoZ*r6{%1-v<RAiK$T)?RyaE(g<qKd&`A_D`1?BoTUB358QMh1re zP(i2x9G?EJex4bQ&Kxu6Pn|b&$Hd7FV3kY=m6P9b%0SFyMiP|blCc1*WI+f*&1COu zXm9UmZ)BGf5Eqva7lfF|icmK>k4p?<A{&z66fO;Zu!-y-LFWJe4P+*t<}wn#$|b<y zunt6A0TI`^1Q;eS<W-hcm4({J%%sBv!XQsGfh}{mHaUP>v>v8_rFrdwW-x)Q1Y{To z10<*z7#zeI85kIl%v5J$W>N>m0RzlTG!49H8uXZ$ne>n~c!7N5fMf+fiVl|Mwd+8x zTGx!nRgw@bll6GSWx&RP2_!QmKngVECMWV}2!iAo7#wahFfeGyg92wVqo~;A4Ln>S zNHPoz3~UY$Kz?}$A|8Q=#~|Vfh<M5+z`*Fh#2~=na37S6z$BwXJp%)S49G-?Q~g2Q z$?F-#j9`K+KFJ9wV1j{x5s^+OK=n8<f=p}xYYXGmQ-Z||10=e_LCF-Vl!3zm8pSG- z^BEWz<Y3~A4$nX?1Q{YXIfPGv8|*0u2)~|BgYo+0W4yLVqVkjL`83!;hH<hePhQ6- zCIU)IARmA*7ZX^k@??L0RaTH8%9FDMR74mU9eO|s0cI-$gP7{%KphcA)ye++T8yfb z4~i%Ysv%^-hNw+;6g1!gIgf!6Dp1d-Ap)|R(V>cgfk7Rrfm0o9milCC0X;_b$yoxr ztQsJx$@&7)lLG`5k<HMUoG7Zp{u~qwEV`J^X9HWL3$mFDWHXf8AYu*njqYRv2^j0Q zpeLj5<PLdDs0^o!g$M%+n*qpXP*5;1fHfOTJ})H0vkzn;qr)5q1_p!4uf^pi?-f#< z+^?j<XgIlEPiC^Hu;S!f3NnmFAfA{*JOcxRDVjN^AUE-Y+{CG629;x+{7_hQavz_h zI3!R&VQdbSV_;wqGY5x@`Q!!>2S#&n7+WA@!LG9a1qTl}I3QtcF<DnsM&bt8xolQw zs;$sfTY(Y-I7YN=p=u$<*n*4^1R2A^W(So4mAvOgMZw;-n|x42X7V>t#mV=Cc#%WL z9vnImVvz7~04v)drZ~AkR2JEpj*}Dgl|*iG2{33mLyd=6><p3+2T3roxj<z=H3ldx zx}Zme%jDPM%Dk=!Wn!-25ObYuAmPC1I=N6nS<nq33l1?i^e}Ln{9j6i<u<4Qoh+d= zd4iHMqdVBytdbB<cz}2!49sj^XhwOV8|4LZJPXM2lMhQmjPeGhh{?uM5TkrRJOM3G zNdYPoAzt<eIY1ob0A{uTG%Er?Ue-cNeMkzxiIk;z;i6_R;V_$7fMK$RG$dUHf^3?6 zL5Y<&2w|jH5IA^(CUeTzF$PUOD5A*D#1;&apZr%^8a<AJCp&7Xu-pO#OqLA9HzAOq zU}6gcX#zU{l1swSgCY#%DM+X~$U-!SgPbIw6$RA_@q84>5^<0v%xuw68CZNrPi{~$ zW{d`D6Jm=&lZ*j{406hgnd~gD%o~SLCKd<s?PMu=fyvY5^%>*9$pMr#K|v3*BOcx1 z@smF)DsbK55@29U0GTzpMqV16<P$)CpZroD5|fD_9uou8<edr_i8~qOCozzp*it}7 zAca%P<kJe;j42>5321?uEg-i*LLwbxu{g+LX0{A8Z5imE&6pgZBrlkWrYsX2V3{D( z!BL-ukQd7W2Zp+mz+^5VGqwi;3=9@opdw{*zLMhP_bM{Txifq6I%PSwyP!gZX>y9P z9UG*8nOvYOI!Q@T4CF?(JcQZce3b|GSsuu40j)x)B*bThAmhYA#xb%LL1kd!UxXh1 zMU(HS8F1eNl?)|dwUhZ&EyY26NF7uP76b>WSSiR)jHTf6u@q#pghM>D00Sdi8A1`* zab@VXlz|F97Eq}>SwhVL+3yt~`$a(ZX;ni_VRTr+z`#&FSwUSyum&mybAJuG$u%I8 z6+k9KtMNq)3=EBEx*8`RRX5;<i85L=f>V=NBiKKU@S4H_6ir|cG=j=wQBZjdZl#za zv^Gty*HfOnMO|TXzY@pfcN(&jzp7iPh=H0<ptJ=JC{SI3DFCu;@)30bP!-D#s+b%e z2u$9qE<QP5UswQCh=HwgP!MHc5NpP%I6zBO6qM8<iuph-8Ui}_q(wzRr5({aCMgN> zfYKaH!{n(Nfs=1&%TDIjT*&xr@&PSbkdxV=PM%z-<p&m(o1CvG!Ul2M<ZP`Fu%Hne z#A>*J>?9>YHi+qy8@0nHAJUU#+%x%|w*BM;9W%yflVx?$OxFvXd`n+`@?*U`aBYr= zqfSt^huPPmA2gZENSSf(<WKsg@X*ya5TCqPnID|B93D(wU=TD}!&sMb|70%1QpRVK z8w_QUrFR(WGBF5l)-_qd!o(>KiUCIP$ySy&V&aJ81dd;aS_TFN@yR`wij3lu*IDXH m!+J^#42%x@LG8m3Mrd<JVsfLY(PSMfzR3nwEUXToUKs$P#<YI` diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb index e37c07e3bf2f1c9288fe46d269591a15428700dc..b03e2cc205f4176fbf3c178386de74e123fd07b2 100644 GIT binary patch delta 3128 zcmbPL+FB(llq1i~&A_mLfq{X+;YpP|Ge_3l#~Vf8FmjZ2=!ky!QlmHd0-OKj2qt-s zg-d7Lh)j5+y1Aa|5u?<7E&&FI2O#1hh<F4d9)pM{ldD;@%<gdsFfcfPNLGh>1_lN( z1_lOBkR?nGw?V4za0xIlqRKEj+?{-zC6Mv%WL?(zjCUuWWu4A=dvYq98*|p($D7x& zX)-bjPrku!%=Qapw$Nrlj!R5D&RLlWUXBTV4hdY7C%@-XpZthRhtYB}Kes8P)nr$0 z`^oFLIVSgTD>2$kUeE2t_8Y8qGAEBWj{^_40D}WBh~S$X$Ro`A2jnh@0x9juyu6Z= zyLcQXr}6SlzQN<b_<yn_uP+k=_v8#-A0|fb$=i5+7?~zB^Z7C|PY&esoovO=H+c=8 z!{iQrzRAD%9424m=bP-o@4(1Dxs%_Qkz?|8eqTn;$*Karj9in81$-yp7UY|JPQZa5 z?1~UZ1_p-)1_lPJ$%1^w;?}UhVqk<g5G2oOJ^7%52&47n07)A$gbGlYGB7yQGB7Y$ zPi_!YWVD{#V6HM**NRix2FVyckUv4jfUU8aEXZdB3f9T;LV6;g@B~Md!%t8Ucrq|B z*iTLrGUNeCFbFy1F)%PVPM#;E%jh)ujF2v)^W<MbmaHxy(<kYOO!g6$WptgKC2TqQ zt}w^s)xt)M?vw8cYfiQl;h8KfqQ>Ys*-FH4@_Z4F$;Bc%qTV2F3=9lh@R)PunmoBd zM4r)S@&XZ4R$q|(<PG}LlfQ~6F#1gv6Lp+?SCnINyr>mpz+`Jq$;q2VwHN~@*K^8E z{v)c-7&KW&OpncyTY!N<XmX{Pjk|+8$g3V8!V^Szfe3F9;R90SPzmxL$P!S<Iaq-t zAiAX-VWJF-4%U-(#C_RqK(cm>lP8LcPgdYlnQSG&!)*%@V_@KP21Ur^5D9_F@sheA zn#rMda-f9pWE)u_5O;FFxhN<iCU;2iO!k)Io4iQEVe(8VzR4dX940@P;$w6J$F@6? zwT^JZ-9d&kx`SgIp#tP)Xl%QKW7{1T+q@n~#yCy(k@979o;*v+m(gYN3n^bl*U4tm zzHDw_<0p4Yd+>up$qtt8d_gYZ^+PhkW3qybFQez=JQ-hpa1g>1PXI`*bRd#iaOlG2 z13~iQK}hnTfOi1Na|VHZ6$JJbLIskqf+p+ADl!H^eZ|3@b@y>PSXv`&a+|E(<N!I2 z$!BEEStCHkPo86_I9XFpeeyXuj>!>n&Wur$=g1jNwvp$Ud`(WDH3qE4K}~Y9vb-c? z>||ScJ;u1nIr4gp@snrE8;B)<<QW(kxSJNQ=xuK3ZMSV)$SpK^gS<3j;^Z^(c8p1r zxfN^}lP7y9s4=Eb&R0+u1-S)Oj5+jx(h`G1Cj$dR`eZ>Z(a94ORVRCEaWmiH5|}(+ zQEW1&qCB&ZOeV;`ljkZ4O!illV$7WEps2tODmfWsvcNLB$^w(`D++RL0%bf<0gyHM zf}#RDs9a@`$p$Ogt1LKKMoFJBdoqub89S()Wsu1M%fzY(Otv==oV-s-cJgu+fyuQ7 z>Wp=hU#n<MK5rm6*-u$^vbn0jWL`sc_Ii+OW%9tfYE=a$#~TVwzOO9Dm^XQXp$mHh zST-Ll`&U(9@_$3|$#Xe5CikdFGv-gWGIC;X1gj_jtEg2Im@KNQ#8@!dLRE{s2`p0x zmU*itFu7M%jj?d@7F9j=X0S{VSSD6oU^1_o8e`F96E!{d7O+e)NQSX^a-EtkWAWrQ zYHEzFldUzhCjV8Fn;fbkFj-q&oV^XKvJ|9pGP|b0<Xm+HFg;gYlD!=)R|b}A*A$RI z6etNuOox4w>op}5P@)(qx*a;erj&zBVJx4lpf5G~jXEFKepqyrPiE0DWA6kjssJgP zY^^0QIaNcM>i|qi#pDP2ChT2cC6yp0>Yx$^lt{qomVtqRwY_7ZZ7+m$I0(~MIhjLK zj=dYKuL`7Z@=7g%$<sA;xemdUR88*CwBdaMs<Rx{f=Kh4$rm-XMQfl5*Wm<6HzXC- zfKy?DHX;?~Yl}}V(30gi3eqVgQwO#qTL+XB1-VYb?5IOZll5RFuXP}4@)S%-JyM!% z04vGX6_~tRTbAoIOi9D!HQGAtpq2oGOe0vyX<dQI%sP^cXC{Btm6+_VD$Uq5Sy4}G za<3}y<T_!F$rE%q7|%{l)RUNeSXG{}dGbU(t;xJ<ypuU}1-Q<^jBQ3rFD+nW<@E(7 zSL^a}orfuDK?=rJkP^n$$#rTHVlA9KD;6$p>1(lVakv0e+zLu(?5&_slW7Afo~&;m zF!`?<Cqy~83}|t<2vgoRSw>wAnh4v$%J&<95+RqkE0-gz;o<6V38ub%a-X^eG+}mt z)%zO?1RzqQD-zS;GE7$oG&W($g+N;E1RMO`P++pYz9i$7$%aM}lZ7?Z89OJ}8fi^V z)exBcR)k~nX?<?yt6Tz;CmM-Oey^_zO`qK$y^P(H4Gc`UuEFf@o}6c3#$E>wlpe5x zp2-IcOePx`aWeKy{%2qYEk1g|a=nuS49&Q1z%=(Fl^}g!1$~o07&>s>gemAlDnR<d z3i>C{FjC;U1yj&J`H+#3A*kud-~djl3=9lR;PMrmyqO)C7z7v`R)dru1`!q@hdNkH zRyB4()DHhAPA)Mvk`Zv==N4da@C6a`85kJCU_s01P&e7j#F?>va-WGa7pTAkw}T=k z3-W1AW;GSy0+n!Jp-8Zhr>OvA)8r^qXU68q^Guz&Kt&c<ItDB)Y9_!1N=0CySg=r} znE+$k<Sa91#`ekU%$&JE1q)a@0VFNu0CG+u!a1NK1uUJ2kWNBKgNhTdbP`Bf46HjD zAq^@uIFrGlnGCK=;d0PK1a1!|gIfs6lN-#{Bzr+YauO7DY*Ml?gBTbc?oZxt?mJoC ql5et<g#+Wm$<Y>P7#~g6u=M2y#R#O*Rs>lzd5Yya#wU}Vt-=8tCHDpZ delta 2781 zcmZpznpG+)lq1i~&A_mLfq{X+;YpP|GspAgw>FBtVdU8C^kLuL`1$seFR=Mfj$o4K z_;vZfq+@NOhd0+VJz`|P%O$|zaF1(p9E;fGIu>=aJ6r+`3=SZY)uEn&fkBLcfq@fb zDwD%akkVUR0t}3(GK>zlC*NiXWV}6Dmvuhl?a60Zr!(H1oXY0L{CxSX&Fk1S85xBp z-(WXp`vEdraI+xCC8o*Z+}e}*xH%?!aqBT!PM#<#K6wJS2BX#Fo!pwN)*w44Giu9C zwq)U(EX*S%@Dr@l;Wx+yf4Bq~CL8m3Yce=gGB7Y`f)s-s=<o|93sEVh4HIQxbof1a z8;>vJpUEt|zWjedYU~&p7#tcH7#MUXZ<I9V(?e4Gk8AQmULU6aT$A7P`Y<v~w&C+- zWSrc^=gY`6`39daBlBc6e&5O0`S>O`@;mUeatkmxguqNQ1)0WchGZJsWB~zRM)t{Z z0=|qKlh+9NGICD-CEz=GwE!m*r`6;If+CYc1qCL{OX-SP!L@<>!oc8A%fP^3HTk5a zBBRyhvl6<KI|X?r{}kYxyhTu8a)XdEC~PM$6Vj6a1u8hc9KM76=E=aoU<Wd5vb>Jy zWJX~L9*_bCL5Dn0%9#9@Np13a4t7Sz$=b{imeb@$Vav%4!W@$i3F|SsO#UcrIr)RI zz+@{CEk?J=sUn(;?vwjP3@7)A@Jv1<qBi+}2*>1KB07v-lcPmV8NDY@6t!pcnS4>y zk<oXuu$UvG-(+h}$;o+QT8#da>pA5n+nR7p-Y=%k7%=&{n4Y`|w*Ui!po1AG;LJgU z1&FW&5mwv+43nkBZOkF@3ibpjEF4ThvJj0@4j`|AL>U+%hQQ;}0UY{{Nb=^B=ZX7r zgDn85;&cK9IHS{K0Vx|XC%8JWVUXZ<n!H*<k<keh+``Uq1&j`0=h-n%4wMj|d_YoV z@-GP<Mytu}lD>@AlS3taC%+cwWa4xKo9+fS-3_i8$#gexWV(S&mv)CMV05qrc@N}3 zaFTQfd4tho@^1;r$)}|p80{zXNc%E6OpcQFWptdpLfV(j38X$`@^5JmHfIRaQ^tee z1<ZscML&=!y#7eGxK4f`<IC*^Q^gqoc5VRJxdCu>NX`ua2S&i;vl2S|&zIjy2TN&$ zfRs*NXe2&)y__;@D41txEI#?2oH9okh{wRdF!_P8)Z_=oER)UU)fvMl$IE*$MoeBM zZ!|eho@4S8d41L>kiy9i^&}@7Do9SgBhN88NI{)3W^$E+9%Jm}l?n!oag(_f?O5Z% z>H@W7CTA(iFeXfHS5#w6nY>O>T{{IN14_`%p!CGx(8<8S022c{6eMTN$iSeN0`_Oh z<oDWAT%fWG9LOn?EtT|`1!dB}l4+Ccl{6VaqyihLIAoBS{9jRZvYfIY<EF_5N)jLz z`#n$zB$ENwkTKa?*`5(Znz26si)VtwC)X(nOn#%RH+hAUz+^2Ib*3`z$)}YxC)cRx zO@5*zFnO1XI#W6KWJ6`G$-JuijM<ZIRbALCKpv3E0qeh`EHHVEsug3-<U|uE_DZl! zE?6c<MPPD>ni6B~<eR!$>{VcyJh03k6@kfmdc2cws!1~DO%B!5W3L9w=7VMDs0vJ8 zr^h=vQeATLGgX1fPxRy&YbI-{X-)3a=bU_1U37Apn!w~e`r_=hU|oe^U3=67CjZmt zob0M0%2+sA)<BKD4lG*)mbFnAn4D+8HF=wc7-P}oegh5mda!IUSay%Pz~m{Kl3e>h zg%PNXEuLIyXvW?ER#F01qN5=&`Ie!;<O$pylMS@Ex%R`9mP}?hGGT87D=h^poueTj z&f4Cw(6$#sIvjwhEuFkuOOCw>thNlSRzOo=vX{0l*Fl()vdPxkHoT8O5#+EIM4DGj zZqe2jtbiq+Js{l-4xN)7wS?Inz{#ZoY!ru<z~q10+>Ey-+h|ElR??AUI|9-#IQgEI z+T=bZLFN-&0+ZiqiGkSQ8k9k%8l;i2dNRMV0@q2H3&31x(y0L{nEXy#U~-<WEY~TR zlA6i!x;pIlLB`9}f|M}UPF}97!F3v@pcX00>p%)7$LN5fT$b_7<T@RR$timB&?v75 z$uibYp0B6Fbrz<*e)3g4J*FD&$^5#SllAm@xz5348bBe))WkixS66d#pS~#L`N<b_ zC6E$B6Ue;Da(bY|Aj)`QvX7p`WE}%>Xkusv%g)min4D)I&UkV189j-~^9&@RiJ=87 zE2l3oIm}R!@zP`)eTm5(hU(CC&<d8V(+8ykWv<IG7queAe;ZiIJAF`&5}aIaB*JwC zrmStUw2B#f87T5(+QG`=3<M_Es0dDGHx}W#3RBiTd9{iedpTHH2S^!X$K<~%ij!v; zi*j9qDe3@aLdJ^8I)+-4*Qkn5wl$HOEN#p=xx!Fja)F64W98%xhFX))nFuo8nEcOB zV)73YWy6P{$Z`Ou2nGfQCI?U%<N!)b%nnQp0t^nTL53d!5yl|P9gHXYn7VMo%h3Nl zlV_V6sq#DUfC^)G5HX*DfdO2yg32yN2awmm<y6SzLLsfm31+OK;F1<13-S?IHWVhy z11otM7#%7mzch7btemWC=FC_%xzNm+3sfF})knhAPkw90%~&(}r<pTj?PObXXU4k8 zjpoi=pll3Q7z<bU*PNTNVKS$MGh^dqUkhh8P=4b~00(9QxavrN<|UBRKp5O?NdVV$ z36sxCs7Nz8w19lL7Zeg~QnGO6j1G4vYgzd+-kV%u<;!?~@-eG3lgq96CNHpdV0<|F Oq4gQYN0ZmtgaZJQ2%+Wx diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb index 5034304300ef6217f02a54d8c28453ea8904c7bf..5918b33dedc0f7d7f1a5c1e7ddb72b321df3f073 100644 GIT binary patch delta 10153 zcmeDE#&q>Jlc-RRJTo@~!vY2d1_p;GRr1UnkMBI5C~Cy9aOsR2kqK{9H~PmjGKx)J z&sfbUv{{>ppNW^tIV&^4%Q3;v!If+B<W3fOM&ZfEET)VilQUWDSw$Hb7&s>@8jDRn z%%VP7hm~XUWL@FOtgPyj3s^ZOo3PqU-p9%@xq{V=QF8Kr)+Q#01DoyG44A|o-+7!4 zas<PF8ITDeCZnR<<Q9$+M)}D<IZPSlChKz=2wdY5U~pImBCc`?FsRB;&gT?myAI-C zpPaxi$|yg10jIbOL;xhp!5{!K3gkO+Mg|6uj)UA%LLdbYaR+v&a3Yr|l0GB_j7SQi z*#sCIKyqLLY67wXupC?!rh>_}0$j+pgY{}COg_w|Wd+j9;LyS>z~FG3fq_9o5gHJz z4NA6)7P1N`*%}!_>_sSYaA9CzP@b&LZOW(&4vR-1Uq1qeg)%rSAOav!jIdCi3<?X7 z0;oeFVWB)36c!+12RH#$0Cuh_L;={B_!NNU;Hof90EY!g5wetq%H)kaS{7hogf}%* zp<%(Y*daNCWwFEN9bmU11s6CZ)F%t`nlq|{L*fm{&u_pXp$-lShyX|wBP7(pApueV zbqgdU)WIPE5_W(SPz8{XfG7a_5uXCE99$Kq3E+?bDMFUg(3m`*Psau<j1&@@u#i{? z3W<fFkU&!c4he0LvdMqa<R+`~%QI?&L*yIC@87^7q74oahyX|wBSf^pAp%ms00z)} zq74oakgx-s0LwEtKtcqf0PI(M3czx3RhTA#LPQLt2w6%)2kfbfs}v{i6A)AdOCyDh zE=ZPvfq`WOC}dWELgpLSWL-h=$qTi_Co2g`G8#;_5wu`61gV>BxKVua1VPEkcLX^m ztAq+qJ|ZZ=Xgv9@pc<peWELSMR#S*7Ny*7BLZXZtCPxV=>Virih=Uj$*trE5xL7uT zRDntt5SM|$frDFsfytqPfq`MeWPb@wrVR{}FS=>*Zh|UfU|<m2G`UbrgmKekd0{Qa zO_M)LC<|^z$bz+Qp8Q@^iuWs*00RS~!wd!nhRqC<6}&{mk<@`*!RW9ABsuwlus9P$ zi4G1W2n)a(|Nm#O*vv5bpsw`f{a!p$p!CD&Aju}c!01rLz`(E-s+@Bx$f=WSL<J`I ziO4f;1^GpJ@;gaho^4PSApcA*5L2BjAgau`ZSqG6rO6wVSb4T1OACstPHs?<X52nG zT1%OqiERf$l5+>h0>&L6|8j%;%jl5Iz`(F$vSEe@<BrJ-)g>nX^WkLNG1*W?f)6AQ z&W213j07|u5K}ZnvIgwuSTM=J;D9iPZ6}gbc7mO<6UiyH3=9lACm#?)vYL^BkrSqn zKT(Ah6wx~;ZxDB4+&}rVxV}1a0x<_Cko{0A96($K1_ujJ0-1b3LVNN-3DL<9+@yIA zLREmm@*vm|2f=CMASi9H9s<cu?w6FF{6SHkhm9MYCO|GbH2Hv-_+)=+Hm)NeB_MnR ztoz91dJ#RQBk;)LJqnV8_+IQNSjo}Jg(_N%M?n!H%;?a=z`$?}NzE~kn#sk|5|jU_ z$WLA+t<1)D93(yYg|rp23y#BGZ~`O^a{mdCR>l*PPpFzPo`59>-jh&ikcyLF6(=V@ zRMg`K`NrXa00V;q$U`S58_LK{-Xdeq0TO3mbf}$tP)2z2c`*(V21d3s2s6NW<P69J zs7kRjU_-$|5JMAShMt+cP+eAl8Jw&yLX8l+2v&X(90(UD?~>KzxCE7&d`8X?<ZH%D z;K08O5}q6-kK*miaBp7$NrOCf1#I9Iu(z+ky?qrb4N`Fxtl}!j(E=dvA-sK+Ve&<P zaptLv0+W>$oRGu!8ptA$-)|rc0{i_2$QYR4Z-7k(3!(Y_2FUOHV6WeWnjm%;tokn4 z>vtz>D{6AwgGx=dRf2f^9@y*mLBf+yE1`J(KFCGjH1_}`4f5Cnuz?T2UVi}h`a`HR zNX0|2iiaRKqj~)y!s}C%QM~>LWD&^gPY?!yz5WDb49x3Kz$Sx*(7gTx;q{kL6U1JE zRlfv#{pI92Dw-Uxpi-09sY1N|3hedQAmPb2YF2EZm||d@T(2eyN`_#MzX3^ty!8fb z+#9gR-@rZo7Ag%=@fNJ&Ey%@a9)HU)Suq+C=d9`|9)Ab(_y>eRV2^(Q83Xh92e8Rt zAvBMFn7mM3k@3gm!|M7dwbf>DVe|uPr~`=0z~Hb2BnmeE2grCXkbj}vLKQ`D>GlIu zAaa4rOi*F>WAZ``6Rt0yCIg83bMk!+DenJpF2m%Rwzk~=kofluB)MM0_`fFSYZ`IC zf^!)r7e-5SJ%sZoU(_^`cmS#QK&8?jsCz-7`3L0Z$@8=Yz?I3L$<bP>f`1Y6U}yiG zJW<PFa=ke_<6n?!#=juPLn{`LbN_;TsRZ^V*hk=skL@3tDgPKI2bk+~FoMfk&VOK& z{(=0>3i3DOWE&k5MX(tlF1SX5l%Wia3=Evma+YDTVW7xlNnL@-A8h5(VxNI=a)XYn zAgH|rRStGM<K%i{Gmd>=-&-(FUf?1#`HrsQ<N_<z$@h)eC!6ZY3b8RGtN`m_o_s;i zgkvv65A)<eW7)}}dJ2;_SQ|3#pFCSnnTdgAvW7mwkaB&y$@leCVLI4BIs`e;4CO#} z2nSdRCz=w@$qTI=IQB#A<(wR7ECVfLd7ui#c)$TL*HB<GzoIlF&*UfrRY6{;B&fXv ziVoh%6AU2H#S2o+$UFJ2ffP3=wJ?Hx$2<9<tsW$(L4x2GKO-9-nkjsf0}TyevBd{6 zXtJG=z~nkjWpGM^7{!M$3Y`2v{R>E}2_TFU69DTLF$TvPH#m+V)zf4_W8um7{W)2u zG72!TOwKh{L=HwlaAe&yMufAGiL4NtFv4W8cZ89{RTvbmlY>makp&A$k;#Tu@{`p} z72vU6Vu})B;viju5@-fXAR8<JRw9X}L=ri|Bta1dsTgFS>cnKgUY~6ajxQz|#>qO0 zO2W)+vQT+gl*z)QOctbpQFd}cv<x>W2SdVH78K5+;E)3eg1f7XY;qt|CL3BvPfjpY zghiDc$biW<76OxHbCkJ3xgKJK9LNYrtb+t0Mt~bB3Ls;k8B77Bn^6Iz7A<=zFitj9 z5r@W9wk14L7!|?Mblnmq?v%j6rHn8c997E5A*u{gGC9BsC8|`wQKe#yh^iuMl&De% z=@QgHGgt%JU=6SmO*AE%$Wf(<h$<bZIx!uP*C%_~LZV8Caq?UhP*mwc<zZ2!3y&&Y zkOoHG$%+w>vKkW3x}dOwL>0II2X&_y+4MlBOt!Q`i7Gvi0h3+q1ST)H)o1<3CBVQq z+1?IX3WB)c&LAW|8GsCcMv?(opPD^5KS63LNPc2qU@(~67%BmcrFwfsP?-hG28Q5> zdT9@isL6&7Dne|=2vflkWIQ?1$^=v{G8%&dadL!%!sI?<b;f;@=Q)5&J#9xs#G8SY z%y3jd&a~zrK|u>Nb1jhL#{#Ux5>1IEa{O3M{^%&r=r~!>NmUQI@t?s1>K!^lwK{;f z3=9sLJh0xOBje-%2@OWa$rs$Td7YukK-HWxD9D(c87C_UYce`dUf`lE=z@?2``u-7 zz-Bof7I5_h?jE{KHuMw~M^Xk3en{`oW%5E#VMZ5FGMc>KS&+*OY8a;**djN^$qgd9 zjBb-JxT%18b{y_d`N;*Yx|3U6q$lri4Mw)h18kQER2A4F22eK@)D!gp+vNeb%M+?f z%oAi6qvzy87vafsU8Na4!T$1sO2ZPY7qY)V^@G>s4Y69B%pi|4Fqj8S_Hxrl3FIDd zAO}E=aR6}{7#w=xfgAt{<N$CW2SJsA0yzlep2<=k0+aQGr5S@JFK|(0WSRWaLus<! z7A`hckcEts^F4DXKlfCeTp!KL0V>NteeubLUZRr?yk>((3L#x)wort@;OGgReAhsV z2UKB!bTBY5go1)h0!bM-)F9Cl$~gI=uoxp)i8u}=;7|>PgsK;h0Ho>y_14+KKvqwF z=OsPaAcC6*l#8KOhJmdFOM@+g5D+WFAXb8vh~rQKwlWN6r6{;)KpG&30NeZCTN5-c zz!(8bJiL)0afn@Fk>D_1?ISR`+gp-*7pRQ~%KH|PlNY#vM+vy25UMAq`voyEb5HV< znC$DrDF}*k2hivcBe<Vr5e14NekQhPgbvPVkok<!pumPU89+k^(UULwh%iNis{ruW zLG<Jc{t|qk*Z?;lKw}4ZbTURyz8D}e`Fu7f)C>-o&dL7%_N*Wd<K)Bu(a8@2I1z?| zMg?L(&Y8>=ATU`jQAAiQ2H{e0cyJ=*_s2>zF>_Cz;IBJ*exT6gv;cw06GAz8;eKXv zND!DDAD}SV!C#0s4q*;w9LNgBI5Z!}fqfi@@NpcbkMZbajD!0aVul3BlaR1ti$}6O z9&CF&lI@^Qdpt-tYDj<;GQ~4a7D!ZL;so;;<3Tx@8{|dE2v0o7(TwqvCj=@o#)B#X zM&`*6BSoN%$Rwz>Vo4x7C!YuwP(q$`K+Hcl?1U$)BzUq)hU#WuV3_<iB#0eiYwcvg zP+_czD;boy7?~zt4Yg-_$Tj(5nC@hI6;4p%nY<)S0MzP(^iV*<^GuT+!!$r80!-zD z08UV90jsoE;gkRs6yO#M6I&|69h|8kk1(bpHI_humkJI%xE4?{0xM)n1qYt67?Kf? zR0Ni0OhpULRB&jff<rSE6q;fnKZ7$6C^13DS5hHK#KB)^@`4CMm?t_S5#Bu>3HB}@ z+(n?=k`8kE<mgBNWF0VXgLK%da3TlL*GPM4dl2Ns43HPuAO=p3jcR0Mo_s$l5)#{! zrDKdI3kNAOW`kmYorx_66k?Ni#^`{GGjOjGl3H>={$t94xF0kim;>@8xV!^5JeU|5 zC-09@!={Td2jl=y6fx#Zw)2sY0u_=FP2eVFF33u7*fLD+h(#ophq2fbOD?G9;>|<o z;>-hu4`Uuu;|k>OJdm~WaLX7O7{!n@fh~mSWXc1_H4}u#n1>d%c_6Pd=7FO&59Dh| z(D69HN4WAJ!Q$Z0BEZ1NR)FNP0<g;p&|Fpkav2}8%Q(RbnF^o|0rMCO&>T_#c1Qu( zAq5DBKzb3yP+P@{!B!SewvRF5-VM*2#jw07R{~WCs)Ui)knku0Yc4^u7!;BvplHLW z5lTSuAXthp4qWw?PL|suB?+m&#gHT+=9hxaFGVsRRAZEaJyr_#SSiS3STj#4Jd{DB zQsrp&mV@l&fY{4f4z{Ko&6;wsHRWJy%0bp3yu<`{9)sd!uXuUJ`;)Wcl^9=6?u}Ps z{5*L>ypqdbP&k677r;Zv;Gr9EdlKB<VRT?(5MXe)0qTi^Nk)fy1_lN>*pLR3gFlEn zSujD&2qwtllbnzOCKwnP5%aF~P)|89f=mRpzvL!ICK$=f!^{GgYaDPcByY-t$Li%L z9}rVyk_V0Fi7|1?gNIDyC-Wz2Fv8>$>m?>1*u}{RQYkUHA%ko3-9$G9P?^fW;4q(o zfdMw#$-w9UE-pY0P@KFvQAZ1^XcB0q6YdsJD~LlG#)Y^=8SEBiuv?U&ZczriMH%cC zm^{oaAe9ieG$gwzfJ}tC1vcBrz~}(34MA>Eo$QyaqXkt2a*I05a_|rk2b>FWi#pgX z>L9l;s!ukQ5oh952RlU_>=c+Z%qbv+5T~f7dMJR#rJzoMP4_V{LMARiPSKqFF-1=c zstDv1@MIq-6@g1O4mcO$6m76mv_Vc`(guw@iZO9&gWNKCdK##?BFP9-0doyVEyOj~ z(t;E~tv{%1U{h=ij1J%u1mqgs$sf`zSU`$4-%i(LoLo@P35w~-VwrO%vt$ZwKAP#n zIC*oX&}8LoOD0Yeu*K!sV2dX&%vOb~zmY94`MenC<c17EZSdq9$U}?{;IRbI(g3&^ zNF0R0S>AMVMYblRDcFmqATNU0G7^GmjG&2s9*0E?3=9^an40`PM|$!DO=V5cpaKH} zqbXPv+;;&@vB7vCgF%>?(*o?$UpYRYLA1sKvB`0{@=TnTAW=rk$$@LN7%eBC%adRQ z6;hUy4GZNNt-x|tlMRd27_GoAvYKq3s}5op%CUj75A)=CtHfAs!48tomj;beX@Ul% zKn?<n!W{(TfgA(E%$&9$<0ot7r!#TdftZYTV4Li~HrY)+m!}RADU@fl2g}-n-Dp4A zAy0<UezGCR1)$Umae))q1yP04lQ(FnYJ&zcK`sD`!d(F4foun1hj`FzqkrKsrpX)B zIVYcA#y?rNq!Gs6e5^!@5!70m98k(X`A2CZjJ<hcnH1yX2c;5|50^KB>6nVf$!8Ww zO#TX``zjkJ->s0CtX>774^%Zy=C6{N99a#aKUFtQK0jAta(4}cR;g{AJa>-7<h@Wj ztgaE%vYWiFmVfg5y2i=+(VUYX)bUU5s7J6B8yY7U)Jsg>1*L-<8z(yya!y{|z(4s- zW8-9-=@OG$n;^7YbK~UPCW*=0p>#k?<K+3x5|dv->894k$@WtuCQG+L=q+uHlX)jg zO!jRD)0>~QOEFG9*Df(xqO%c1Z{FCspNUB<9~4oOOM3(+bxMG!$qt1AlQ;ETnH-SM zI{8Si0Mi@h$rF2}LBgA#^d4mbDLXi!aZ;zm=BSBK6MH6sO`NPc8En<$eNZ}l3dAWN zpmgU{h?AA3LFnDnAYKZY4x!&phj_JZ285QMi3pAzGa*40I156*nuQ3)=Gh3g%p63p zZ=C~)62G|+`uSW)R5i?l(30~ZQMn09doO@Q^%E#vyAYBj#1?_+&FdFI)6B!g;54(j zY6(*6TC)_9x?GnvGcj?_2PgUY;0!Sz6wZwE!PCd*m+>>s2WQR&U=<6%Di(lMEP$(6 z0LmUr4sSM}TJegJbul<^c(0KLjdrPnhPOa@11t*98!#RyUw|<8WQR32Ok#_{1}~mm zyIP%bG057<`_=?Z{<EHUvh>=5$@S}bC(l@0Fqv;H?_|++ZzprC<7Hd{R;9l_U~>0b z-pM`dA+nqsqCu&GarNYa^)i#IHVA+c1>+j97uJCD^_t0V*UK=j0qJI33l?7s_S#yo z3)X^NuomPkHgKK5J^A4Zb9Dv=2TpDQ1_w(Ju@yvsibp$W#Sa^Yv70<`la4SGXcUBj z(G(`jC}sz4*w}%F75N!Jngc+yte`5u4m1R>2%0c)ILrnrcfrN3J({`ppeB(DsA~cW zPnayD7>or9M-b+;2U}!6IcJj!qdmwmTp-6dEM;I|fJtqL)#3t~1g-<@Ckt%0XS4?m zA8CVz#T?2Yj>zH>U~uRI5g>2YqB*B_^32T&!UsStCk94Sm@Fe_E!g(j$qzT%FxG+^ zcEX^Box^O<x-1Z(z`(#DwAp*h4i+v@*nnLiGP!Vv@nnac|0f6R5}n+zLq%K?l!!pS zVPJFs+2IfZvR)D#Q<9TUZ?j>P1jm%*WLTvu30i6}dBIkZ$-j5;PM)C5%IW}God*Dv CX%+PV delta 10078 zcmccmo2mC3lc-RRJTo@~!vY2d1_p;GRr1Un+7oU~6gA@bb@{-gV{M{`H~PmjGKx-K z&sfbUxLKQtpJ{R)iyou!<hd;NljpE-On$;*#V9&ip4D-(94p7<3|5=T39KBGjZNey zpJr8Ol$iXPwP|w|n=X@>_JmvMAX^yzOEWMqaDteOin5dUa+EO2O*Y~*Wt5$q!D*m< zl}muZVI7FL!X?0<DhE}|%%sBv!XRx-4%a~P*Cub|7G;#1yq;fN1|k3w<zNs1sR4OM zoRNWnK~-)t50{h>NC8CLfgLKmP(Tz(ACdw_xB@A0kTBRfsAhy5RC6?&00VXf@?s#( z$oe$oLB5&%&|Gw~D7T;$SlXe5S%AUeHUk5Lh5|^Ifq{XwLCJQ}LRJAKTO&h=(FjEj zE({C|N|O_~O=Xmz%0a;b!XTTN93FxK;UPF^l)ym)5deu|1dS3nXg~@;dKnm?L8CMo z6f_`VsA*jAAcc4zq(Dj>t^leTAtx#WmIDV3h=rj*UI<-SLwWKm9xV&7Fv7PQDo{VN zEOtoFU|H<2c?Z}@3<xFQ08yLl!)q?122~6S3=jrc%jEDH<n`C!08s-62t)uRiV+}c z-~a(B0O^GXh#ELRK*CVd&;tadKuR300IC@hARswW8L%8UKtL=E1@c1Z!W!z6Z}90@ zfQ1ph)zE<Yk!2w$Ko)`m1WgGzK(r>?@H@(AK^21n1B5}=GC6z&dHpLmK(xRC0uca- zVg!g5I6y!OKziW;q6H2RkTBFV^Z)@VkP?S0fNF*W2uMy;1}p~-5D*JPfxH;Hu!c6s zH<Rz$Do*|`AZP)WMhX}mkSqfO1Ir3fz^niT47w6Mkdnz4&7>zs3rbG57UY~f#a4Xs z6hTQwgURazO(w4u<d~csDm?kGpai4QWHBK%M&rr0LQ0cugg7Rr3u!a1n><-aQ5RG| zL7dCrz{V}Wz{Ro-WG<+{0dYZL#4W(U<j}ytz_4!eLm^GZb(6V;wRtx{RWUFyh;5j> zP*h~{10gl`2LcQX78@8Q3&tx6ZbYa6>)tpyL0pRG3n*+E9cC~vFl?NxC?d+VkzsP9 zr-&qyYOr(u|7XBZB#K3m#m32rA{tVlRKw^X$tJ+S=upMLz_1x=Ip=1u+qMY{Og=6m zKN&<z35!nV7UkvL0#yR?!4{Az#x0YrM2(oXfSj*5c|s5??^YxwTR}=DZx<1mJYPd- z@@W-L#;ubdimLK%L#W~023BJ!Dlj=;oSPfuRYr$o1_p+0lNmEa7`II}Tq!a6zdxrs zk{+;U<G>^Xg9AtlI8!n)FcLKFVXC45x=FE^Cb4Zta^d6!qN+%at7Twd*gpATssu0G zW<~}^POvJ6G||Z$MMWn65I1JrJ6T6UA0@4rfz!%fsL>7}E(3#uIXtcG1*et0;Iy(I zstOd+`$0j(xPS6PAyr6f*$)bCCBXv-HQ>~8VDdv{JswtW0R{#}2aq!lOfHm`<vIja z#(4;A&Y{UWMD!RBP39I>0mtcKs5Ho?!(bJMCr{8&1V`85$&FH)yho5!90991GMQ0L zd@>I+@8l0sLQF@%&S5<YQa0I3N_z4KWqD+090fb$7)Tmq$uW=-lTS-YOqNiSpUfn! z3{E%4q0%4~$H6Mhr1kkho^b%>5U_uaPtKB&V?6;<IeDQphCL@H7fOpyZk2K30BK`j z6gverXNC;aoCE;|2CzA&z^O_=kyU^hobu0uOaxhc9;AWsJly~1Cx4dJ<h=lu2C29J zR&fEv{}&+szX(z`d5<iHyDozLe+eWFGU5`*h{?8c5dW9RLHvIiDh*O`8LVQ39Ms)# z|6iWmP$nThl~I6!k?jgXJvj2Ophx}{aA<s&hlj>Bu&G=M`kbKPaR7zJWP1hC$qp+y zCkrTYae-POphlV4ZID97+pthzy3H_of|-&qqeBk^1H&DJy<&I33h$r<#vMpt+yyC{ ztgDC-7<a*eaStR7^6EX15tG*`LIUHdA|x>GL#06~?t@iGDxn9)eNZGq1LFZgJvcBP zpa;eSaA0I8!vo_H*wkue<iOaVj0lY9P!q+TgA_78hX=-UhRJ<4u)uf$RRwbA3$Vf$ zD1q?;5*RN*$|lcK0S5*&aXQpazNjKRxj~wb>lH{EWW+0w5tHRrA%PL53JHwYP-&2g z*I*T0s!(TxQpV){s-lz6hjK!L;tfJII4Itr2gMt3P~2352gN(EnQzpfW`fg&qB<-n zSXmhu7|g#-{-cgsm~8?VX5XN;Ie@qf3=W$?qF{T!f$Zf1r!-JK_-*n84OPZ(p!$pp zTy8=HZ)uote+IQXK-}*PlLc)hx&FcVlkL~qasP#L879m5Nix2gd{IMUvR;8Q<4Z8B zDwvn?0hlGJr7UqDQdfdf>My9<K;iZa?8oJrh??lv<cFfl!pv;H5vsuM{yq7WmI23q zurZv!LE0F9gIo=*nn3RS4f2{O*lS>~fGbkAKOlo9$7)GWo~5nG!2m9WIsbqRn4F;{ zF!`m1D%hutU|arxj8Fs{0ro!F2yp)T2QmgyBZ>V3>+aGP0F|g{W#PZcSu!#rENuS~ z>cJ-eXP8`QYRb76>;a4a43ia&Wmp*)85lSx=j%vMUZ<zZu^S@CFnOcC?Br`Y3X}8g zRVQCCVV|s`D>Qk5F3)5qU4_Z-^bI-oK@>7g-l#9b#K1CHR8JAv02Yt|f~+93Ar1yR zfOT?$y$Q%TMplsg<UT!x$@Qk{98edrfdzl)fo)*iH`z{K8CnW+K@Ae)n#^b@!o<Zm zd7_2_xEkV`JlQ~1h#M*oYG;9>ftzviX)S$NRB?keF>+6q^^@Xx#RY29K-Cl~=tANO zBns|3FtYK0jG7!{fD%_cAQL918wh|B7tc$$89a=W3l($~z>x(Kg_r@3EIyDq5WkB} zK4_>qxn4mYEuQ!$JNiP(Y!)_tgmQ3D@xz0Pe{!R{3>%vONWtVBBb0a&0EL*KAV>;g z6<Dv}<N{MOSfC1mluWiY1_vrE+Jr#SCc?nNCW59_Wb%AH6Idz`0cjN!MN=XQcbO<i zesZ@7I1(B6PQGa30!kZ<`zBkPDo=JWW95>9>J^g$1t60YBHpAX_nWB-Nkipf@g|KD zZ_*%5jM9^{gJpOgz{6Y`9Og>kFb9c(JHd=>GH6E0Fi!5#)`w*_8IVy-GK`bCwG4Sc z1py?l$$-s*WHyi}#4K?9$sx=WlLP6V+-@!aibQUR&EN*Z<csFQll?;=1uP4jJVFmR zBIJ=nQyvnJ3LrI;vn-@xS&LBt9E=w&z`+QMHbt<YwIw*(823$Xv{VLVGXX1<Y^DNI zC8!EA5-I*w;W4BNlAqjZ1vZ*-@8t7V;244>19ea`07sM-)Ce&xkXM<s5D}#{xz|Qj zNE<2-izscBh|&gWV$_~2U@OG~Dxx8Qs|^lZNJN1|!M#C7HXSshbWkEn2W*ti<cqd; zJfPwoVw4WpC`dekL?K3j<4F%;oR}U+^W+P*;CMpIY<iOqgu*hLK0*&Tp7bZ**LQ#g ziascwgxCzw)EK}6)c_o*H|-RV3p_)xpuashq+yY01PWhJHZwsp%mkD$7<W(3c2E{# zGewg$h1+BbHguu`ilJs;!QT$Bl;P;8%)-E6Za>+}NmU)W|C!DM>VMipO?Los85kTg zcmx=jCO_CBI{BTO)a0jI1SU%f%kVlvRe>r*M{p<}brPJ+FDb?7IJwbXQJ9g<384ZU zW=@lNUFCR~!F3t9Yw0xkfQKlf)8votqM}HO!Rhk<e+CODP+&~172%#d&y|hK1!^3p z3&=Jm7skmuMRY+FkFYYh@8Jqn0CJHlNDZUw<W(+ujIJQ}urso`f#fI4xJXZyapgyL zha1=(ZeVwS6@gs<AwceMLv{zNJJ^^BF1nMixk^vI;Svlp3RFdSOcspL;$#B(k%7V7 zZ*sF6YD1?R9KwDeBS0Ag#ARS`=mCW=IE?%z-*?kw@?)ILBdo<6096L^Pyon7OaY9O zBsCcWCO5h(n+GCf!CH~{V5hUBf<`VtgaZr6J&-<sAlR>gV85d40Qof#=2tehAdm%< zz1*cIR|WHei&F-O89`t(f<R_Sf)#=7gAlM`10*$~SkzbqLG9t;0u5V2Mh+$~c2}CL z>&M0Pifi&kcMm3q8Iw1<3r{}p!8ZAWdk`nIs+44#?BJn1S>BeL@!#Y^kL^;RaT$mK zkfDb#kh?(*nJ{peg+UTZ7${632_+n=02Hp_AT^BPlec<naPI^)4neJ8i*QhqncN@5 zCKrLE7Ksh^EN28*a|9^Pc|dUv=_p4qP8Mts7X%47fJUSk!F^7P2#}flOl*+|6FDP6 z229@KEihTfkDDFTreJhPp1jdpc=85cR<L-1KPPC!0?ZEZ<>UjY0S5$VfP%1g{}3Ut z)&hS{34~U*C?q#Tf!x3t1#0Vn0zS`20#rXCECP*3fYmTXF-|@xt~6Q7Cx{#5ImpmT z)Z~S}B9qH}1SYQy6#~=eLpd3lCJQDAgLwu1(vyGt+Hiwxhv<j_>qzjI1bK`x2Gpbh zH?m;fn!M3Z4HO<2S{(f(Cof3noSfh<iR_tJB+tZxJrfJ^3{*R4=puHqLx=>bkH89< zV!=LQ;so;;V?hy#<h59kLm6Wy&kI##j0IH&svvKJ<CF<Zf(ZpCaJN4J>I$(0uoDs{ zpNlYHOaR5X3KO{6NrWl@r8*=wBqb$+H6?-^fRvIFv8SX&kg5F4Y)J?cIg>yJOtuLU z0A&nrP~`~;>?E)sk|rnmgGas?lR*C91IdGHL{KUsq}3rrktqr65&?uhwq%gylUE1n zAXx|s>ts+^gX?s#1&j=glQ#ybO+GI!GI>D|a>7XlyDS+Ty2&6{K@tsP^5jH+^~oN= z_N<_`8sp@J!J^;<3F1LoTMUexDPZqr1q*--<`zo<IR+e(ll_8qCM!fpGcj>b?hMui zC(08bW$;7^;uZKy@-wrg!F4fkrhyD(OanDYkU}pF?8Y>B=%s<&i5hzNHG;w}4IFkH zu&|pf5@rGlGggoQ<K&595buF_un^-+2f1dlMHtw7Oh{a)&pw3df_*j(q#~445o@|+ z%Ru;V@_}$QP%+L93PnbT+R26C;ASWri0^Q1@~-ekMyAO&5s}K+jmkn8#hC?i9Ag%! zk<AU#4k`7rK!L;u;=>~emqLdS2`+@f$*UraCu_wibMJx|zuBM!4laIk5cY~8vB6Qz znFDgj<Xe#fpa^FLIh=8_VU!$3$(sW*&X|!c7hxE<#zf+S4aBH1Cuc?>r`TLjYM6X9 z3R2X8Xhcy5;uZMwnlUi4<)c}H#K&$8XFkXyjQOD4k5v5RgREoB2WOCcP{I=fc@bRZ zFo6wXP;|J#CBQIQF;SlJ(PYO&CB`?CQxcUJzfEpWRH_Hf9)O31!NV!w#+(DFy}{_f z#2~=na2?bg2a}8r^$ZLQvY;9hWGs_|KN6R@dGSIJW?(=OX7Nc*NC6WJ42+1W*czzU z9T*un1Q@`9EIZjW$w*obW;!@=aKO2s>dr7pc(OnS>tvH8fyp0Ig}}7KN)9GYIq--D zNZ27w5-bc;_I^7=*@83)QSc-v$l;6*;0_t6874ouJz0kh)Use;n4FvJrUhX-Oajez z!kq$|9pr#bdon=EOC|73fzsrMsfvtBlM_-T7?nV-U{soHn5Mx9lYOuqBs(!pT~rxn z8lwZaI}fs5d9qfj4jagH28PK3scu>jCfIh^q#^@&mVpD#h1jkJwp|TmyA)gs<TnNe z2G|@0ryAHnYG4P!G=LnWHW}m~b%cY!gFzq%sZTzerpE>v7GhwSygAK73&I3D2sWw5 z04{tu;9Q7<w7?G10y&6Di(&G?P%$P>EwKGsVEbY6Ap5mI_Va<K)j&>Wbok39F!^G- z*yP(8nrxs(8Uw@RsEi=+R2>6@!+Zt?2JjRbL@{WPj8hM6v>w=KJ+Re!lR-x7O%BY_ z+{~Y;#5nmume6G9thtl>vxPQ)%JN~H3`z?DIhNcGpydE2VBb&f&rz71oGZm>0y1Or z-5hYjVl<h&HCIK@9IOOnDI|fKPv+7x(gY<P1_nk`m@K5F3*&)RGcYhPahii&Xg>Mk zIxR-?$#VHJjOLRa%jFp@K;n}l^L!@n&X<_HH&0#zoYI*bKvQC1f`P$d5d#B*HJbI- zljRGHG(l+xWIaq4Vm*upv!0pL8e|QlHQ0LV$rlP`7_Gt9+knMwCOcNDG1`DU&1f@O zE?;7DLLon+4OoRONX6v7f^;T_hm(1Wqy!z%9O5wfmWGKgD9wNz0+WR}1jd6o#33G} z*#TrEqXXDd2e73MlMPp@gG9>Z86Cm0j$m0wu&g6k))DL+Cy?yswM844Cij;~OfD^L z1ks!M%A^=4_f$$ub|`NI(VK6UOEFHqzgS{2cV#1(UQ*dO`9rnDWZNnTeXXi-a`i%q z$@$d~nxm$1@`m{mlNUm1tJ=oNb@L=9Uxv~-b&Zqd8zd&P);EF%x+m|M!#{Z*65G6? zaq|1^oRfQ+_$OaLVrMosP7WyNocyzze=<{3<7EF?5|d{`X_My0$qlU%lg~oww3f!n zucu2){tu;Rv^Gvwm?kmVunj_=YHOVQZi>X@<aP-Cr@e9V`c8?-Q#-))X1z`+#>x99 zNK8)XY6Q`ne|GI>n*3os>*Q~}0+avu@lO8M`-MrY5EQDDdHMxFY9=4)6PO%OF0fgr z|0omKG|ov7(-uvVVgy@vWirIN+$j)euuX-~^QS_bYcUN%Uz`T<PS$h?%{&9*-8oR& zbS5Gw&do#wSNbeCd(vz~=*~o98_j`){^>c8SV@@+q5sZ>#M-oZ5L$meBsNb#>BI$% z;8_0!r6(_hqz0Wu5c=pMaB`U(w-`)s{=Qg>5tN`NYc7Q(tV2ts7$-Zdl$iWwStE$v z+`GJ)i4$B1gA()RiL0kEPEJ_uHd&#FU2q{NbwkoRxDHzgnmf=04I_cF222)`HDEl5 zas~!&&V`_)&A1Sp@E3xjh;iZM?`zdTBIWXoi@>srz&Uu)<ob0ojEle-Vlha3@~*XN zka%7zFqwNj@8oZ5!I3)IZe76SnvJ}ZXRj-m{C@-QWQp|!jPECRuKx)VWn2L=Ve<M7 z0bo5m8w)1)t>c~CxiOkaY&FOMli4;2OlDgrF_~?XJd?xM$+nyAR5-W=7#u7>#1;?% zDw=GdwKr&F0#t3-OrF14N0<>bc)-AD3X^3NvjH_%m~0q9Bjy62a@!#QG`0!W0v?)E z1Wi~t9A*Qp^8punwrD2Xf|@!ipymuHKw+|sVlWmgFga~ORx#R6ezrx0(H7(!E|7B^ zmNGCfz@!8tw75Vffn&;ca@SUSMqAKGQygfd$)OD5h)f;<28Uh{0rF@Snsbo&V4K<7 z8y5F2w(W({4*NlkB?d-Qm_kO*Dv-mNsu(BtZ#Opr`5Yz%HUPA61T^vjZc&1VUmRwG z)@6YR(6TJS%}2NGU||f|T({erk%?0R6!(l0ll!*YFiL<Tj8Oty?MZ-E225VHR~rDw C_TXgz diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb index cb3c90bfde65719280b6cce7d8f5cd3f2c841b24..24a4499d13828e5a1be3f4a5a371926382f82652 100644 GIT binary patch delta 57 zcmca&b;U|lC`X=|n}J~g0|Ntt!;>m`W{#}8k2i|0WMR&_`*`y~mL^s(!;Nbjgz=w0 GlotRXYZXZV delta 57 zcmca&b;U|lC`X=|n}J~g0|Ntt!;>m`W{&5}Z*3G^$-?}6`K`?dS(;eE3^%TA5XOK0 GP+kBwAr?UZ diff --git a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index 2b9362e..df50f25 100644 --- a/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/proj/project_1/project_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,7 +2,7 @@ 2020.2 May 22 2024 18:54:44 -/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/controlUnit.vhd,1742383073,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, -/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,1742373132,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, -/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/operativeUnit.vhd,1742376828,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/controlUnit.vhd,1742986595,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,1742984386,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/operativeUnit.vhd,1742986345,vhdl,/homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, /homes/a24perei/medcon/tp-ecg-etudiant-a24perei/src/hdl/tb_firUnit.vhd,1742373132,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/project_1/project_1.xpr b/proj/project_1/project_1.xpr index a9628c0..20743aa 100644 --- a/proj/project_1/project_1.xpr +++ b/proj/project_1/project_1.xpr @@ -60,7 +60,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="136"/> + <Option Name="WTXSimLaunchSim" Val="173"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -91,88 +91,79 @@ <FileSets Version="1" Minor="32"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../../src/hdl/controlUnit.vhd"> + <File Path="$PPRDIR/../../src/ip/clk_wiz_0/clk_wiz_0.xci"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/operativeUnit.vhd"> + <File Path="$PPRDIR/../../src/hdl/audio_init.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/firUnit.vhd"> + <File Path="$PPRDIR/../../src/hdl/debounce.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/tb_firUnit.vhd"> - <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> - <File Path="$PPRDIR/../../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <File Path="$PPRDIR/../../src/hdl/TWICtl.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/audio_init.v"> + <File Path="$PPRDIR/../../src/hdl/controlUnit.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/debounce.v"> + <File Path="$PPRDIR/../../src/hdl/operativeUnit.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../../src/hdl/TWICtl.vhd"> + <File Path="$PPRDIR/../../src/hdl/firUnit.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../../src/hdl/fir.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../../src/hdl/i2s_ctl.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../../src/hdl/audioProc.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> + <File Path="$PPRDIR/../../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> <Config> <Option Name="DesignMode" Val="RTL"/> - <Option Name="TopModule" Val="controlUnit"/> + <Option Name="TopModule" Val="audioProc"/> </Config> </FileSet> <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> diff --git a/src-ref/coeficientes_vhdl.txt b/src-ref/coeficientes_vhdl.txt index c5fa44b..73a0183 100644 --- a/src-ref/coeficientes_vhdl.txt +++ b/src-ref/coeficientes_vhdl.txt @@ -1,154 +1,154 @@ + --- Coefficients du filtre Baseline --- -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-2, 12), -to_signed(-2, 12), -to_signed(-2, 12), -to_signed(-3, 12), -to_signed(-3, 12), -to_signed(-3, 12), -to_signed(-4, 12), -to_signed(-4, 12), -to_signed(-5, 12), -to_signed(-5, 12), -to_signed(-6, 12), -to_signed(-6, 12), -to_signed(-7, 12), -to_signed(-7, 12), -to_signed(-8, 12), -to_signed(-8, 12), -to_signed(-9, 12), -to_signed(-10, 12), -to_signed(-10, 12), -to_signed(-11, 12), -to_signed(-11, 12), -to_signed(-12, 12), -to_signed(-13, 12), -to_signed(-13, 12), -to_signed(-14, 12), -to_signed(-14, 12), -to_signed(-15, 12), -to_signed(-15, 12), -to_signed(-16, 12), -to_signed(-16, 12), -to_signed(-17, 12), -to_signed(-17, 12), -to_signed(-18, 12), -to_signed(-18, 12), -to_signed(-18, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(1004, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-19, 12), -to_signed(-18, 12), -to_signed(-18, 12), -to_signed(-18, 12), -to_signed(-17, 12), -to_signed(-17, 12), -to_signed(-16, 12), -to_signed(-16, 12), -to_signed(-15, 12), -to_signed(-15, 12), -to_signed(-14, 12), -to_signed(-14, 12), -to_signed(-13, 12), -to_signed(-13, 12), -to_signed(-12, 12), -to_signed(-11, 12), -to_signed(-11, 12), -to_signed(-10, 12), -to_signed(-10, 12), -to_signed(-9, 12), -to_signed(-8, 12), -to_signed(-8, 12), -to_signed(-7, 12), -to_signed(-7, 12), -to_signed(-6, 12), -to_signed(-6, 12), -to_signed(-5, 12), -to_signed(-5, 12), -to_signed(-4, 12), -to_signed(-4, 12), -to_signed(-3, 12), -to_signed(-3, 12), -to_signed(-3, 12), -to_signed(-2, 12), -to_signed(-2, 12), -to_signed(-2, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(-1, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), -to_signed(0, 12), +to_signed(0.000248934, 12), +to_signed(0.000235534, 12), +to_signed(0.000223576, 12), +to_signed(0.000212292, 12), +to_signed(0.000200809, 12), +to_signed(0.000188151, 12), +to_signed(0.000173245, 12), +to_signed(0.000154933, 12), +to_signed(0.00013198, 12), +to_signed(0.000103086, 12), +to_signed(6.6897e-05, 12), +to_signed(2.20169e-05, 12), +to_signed(-3.2976e-05, 12), +to_signed(-9.95164e-05, 12), +to_signed(-0.000179037, 12), +to_signed(-0.000272952, 12), +to_signed(-0.000382643, 12), +to_signed(-0.000509443, 12), +to_signed(-0.000654618, 12), +to_signed(-0.000819357, 12), +to_signed(-0.00100475, 12), +to_signed(-0.00121178, 12), +to_signed(-0.0014413, 12), +to_signed(-0.00169404, 12), +to_signed(-0.00197056, 12), +to_signed(-0.00227127, 12), +to_signed(-0.00259641, 12), +to_signed(-0.00294604, 12), +to_signed(-0.00332004, 12), +to_signed(-0.00371808, 12), +to_signed(-0.00413964, 12), +to_signed(-0.00458402, 12), +to_signed(-0.00505029, 12), +to_signed(-0.00553735, 12), +to_signed(-0.00604389, 12), +to_signed(-0.00656841, 12), +to_signed(-0.00710922, 12), +to_signed(-0.00766448, 12), +to_signed(-0.00823214, 12), +to_signed(-0.00881004, 12), +to_signed(-0.00939584, 12), +to_signed(-0.0099871, 12), +to_signed(-0.0105813, 12), +to_signed(-0.0111756, 12), +to_signed(-0.0117675, 12), +to_signed(-0.0123541, 12), +to_signed(-0.0129326, 12), +to_signed(-0.0135001, 12), +to_signed(-0.0140537, 12), +to_signed(-0.0145907, 12), +to_signed(-0.0151082, 12), +to_signed(-0.0156035, 12), +to_signed(-0.016074, 12), +to_signed(-0.0165172, 12), +to_signed(-0.0169306, 12), +to_signed(-0.017312, 12), +to_signed(-0.0176592, 12), +to_signed(-0.0179703, 12), +to_signed(-0.0182437, 12), +to_signed(-0.0184776, 12), +to_signed(-0.0186709, 12), +to_signed(-0.0188223, 12), +to_signed(-0.0189311, 12), +to_signed(-0.0189967, 12), +to_signed(0.980725, 12), +to_signed(-0.0189967, 12), +to_signed(-0.0189311, 12), +to_signed(-0.0188223, 12), +to_signed(-0.0186709, 12), +to_signed(-0.0184776, 12), +to_signed(-0.0182437, 12), +to_signed(-0.0179703, 12), +to_signed(-0.0176592, 12), +to_signed(-0.017312, 12), +to_signed(-0.0169306, 12), +to_signed(-0.0165172, 12), +to_signed(-0.016074, 12), +to_signed(-0.0156035, 12), +to_signed(-0.0151082, 12), +to_signed(-0.0145907, 12), +to_signed(-0.0140537, 12), +to_signed(-0.0135001, 12), +to_signed(-0.0129326, 12), +to_signed(-0.0123541, 12), +to_signed(-0.0117675, 12), +to_signed(-0.0111756, 12), +to_signed(-0.0105813, 12), +to_signed(-0.0099871, 12), +to_signed(-0.00939584, 12), +to_signed(-0.00881004, 12), +to_signed(-0.00823214, 12), +to_signed(-0.00766448, 12), +to_signed(-0.00710922, 12), +to_signed(-0.00656841, 12), +to_signed(-0.00604389, 12), +to_signed(-0.00553735, 12), +to_signed(-0.00505029, 12), +to_signed(-0.00458402, 12), +to_signed(-0.00413964, 12), +to_signed(-0.00371808, 12), +to_signed(-0.00332004, 12), +to_signed(-0.00294604, 12), +to_signed(-0.00259641, 12), +to_signed(-0.00227127, 12), +to_signed(-0.00197056, 12), +to_signed(-0.00169404, 12), +to_signed(-0.0014413, 12), +to_signed(-0.00121178, 12), +to_signed(-0.00100475, 12), +to_signed(-0.000819357, 12), +to_signed(-0.000654618, 12), +to_signed(-0.000509443, 12), +to_signed(-0.000382643, 12), +to_signed(-0.000272952, 12), +to_signed(-0.000179037, 12), +to_signed(-9.95164e-05, 12), +to_signed(-3.2976e-05, 12), +to_signed(2.20169e-05, 12), +to_signed(6.6897e-05, 12), +to_signed(0.000103086, 12), +to_signed(0.00013198, 12), +to_signed(0.000154933, 12), +to_signed(0.000173245, 12), +to_signed(0.000188151, 12), +to_signed(0.000200809, 12), +to_signed(0.000212292, 12), +to_signed(0.000223576, 12), +to_signed(0.000235534, 12), +to_signed(0.000248934, 12), --- Coefficients du filtre Pei-Tseng A --- -to_signed(1024, 12), -to_signed(-1554, 12), -to_signed(897, 12), +to_signed(1, 12), +to_signed(-1.518, 12), +to_signed(0.876349, 12), --- Coefficients du filtre Pei-Tseng B --- -to_signed(961, 12), -to_signed(-1554, 12), -to_signed(961, 12), +to_signed(0.938174, 12), +to_signed(-1.518, 12), +to_signed(0.938174, 12), --- Coefficients du filtre Parks-McClellan --- -to_signed(-119, 12), -to_signed(122, 12), -to_signed(149, 12), -to_signed(191, 12), -to_signed(226, 12), -to_signed(239, 12), -to_signed(226, 12), -to_signed(191, 12), -to_signed(149, 12), -to_signed(122, 12), -to_signed(-119, 12), - +to_signed(-0.115972, 12), +to_signed(0.118981, 12), +to_signed(0.145393, 12), +to_signed(0.186638, 12), +to_signed(0.220579, 12), +to_signed(0.233469, 12), +to_signed(0.220579, 12), +to_signed(0.186638, 12), +to_signed(0.145393, 12), +to_signed(0.118981, 12), +to_signed(-0.115972, 12), diff --git a/src-ref/octaveScript.m b/src-ref/octaveScript.m index 0093612..728679c 100644 --- a/src-ref/octaveScript.m +++ b/src-ref/octaveScript.m @@ -18,7 +18,6 @@ pkg load signal; % Suppression de la baseline (High-pass FIR) fBaseLine = fir1(128, 5/Fn, 'high'); % Génération du filtre -fBaseLine = round(fBaseLine * 2^10); % Normalisation et mise à l'échelle y_minus_BL = filter(fBaseLine, [1], T(:,2)); % Application du filtre fprintf(fid, "\n--- 1er Filtre ---\n"); for i = 1:length(y_minus_BL) @@ -37,8 +36,6 @@ y_minus_50Hz_simple = filter(f50Hz, [1], y_minus_BL); % Application du filtre % Élimination du bruit à 50Hz par un coupe-bande plus élaboré (Pei-Tseng) IIR [b, a] = pei_tseng_notch(50 / Fn, 10/Fn); -b = round(b * 2^10); -a = round(a * 2^10); y_minus_50Hz_pei_tseng = filter(b, a, y_minus_BL); fprintf(fid, "\n--- 2eme Filtre ---\n"); for i = 1:length(y_minus_50Hz_pei_tseng) @@ -53,7 +50,6 @@ Fstop = 60; F = [0 Fpass Fstop Fn]/(Fn); A = [1 1 0 0]; fLP = remez(10, F, A); % Génération du filtre -fLP = round(fLP * 2^10); % Normalisation et mise à l'échelle yLP = filter(fLP, [1], y_minus_50Hz_pei_tseng); % Application du filtre fprintf(fid, "\n--- 3eme Filtre ---\n"); for i = 1:length(yLP) @@ -105,4 +101,4 @@ for i = 1:length(fLP) end fclose(fid); -printf("\nThe coefficients were saved in 'coeficientes_vhdl.txt'.\n"); \ No newline at end of file +printf("\nThe coefficients were saved in 'coeficientes_vhdl.txt'.\n"); diff --git a/src-ref/output.txt b/src-ref/output.txt index 21ce3c4..968630c 100644 --- a/src-ref/output.txt +++ b/src-ref/output.txt @@ -1,22 +1,4 @@ --- 1er Filtre --- -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -1023.000000 -1023.000000 -1059.000000 @@ -28,93 +10,7 @@ -2993.000000 -4302.000000 -4455.000000 --4699.000000 --5821.000000 --6097.000000 --7614.000000 --7894.000000 --9218.000000 --9767.000000 --11165.000000 --11579.000000 --13030.000000 --13626.000000 --15066.000000 --16670.000000 --17076.000000 --18706.000000 --19163.000000 --20848.000000 --22376.000000 --22815.000000 --24486.000000 --25107.000000 --26763.000000 --27235.000000 --28672.000000 --29249.000000 --30797.000000 --31295.000000 --32649.000000 --33143.000000 --33465.000000 --34981.000000 --35255.000000 --35672.000000 --35878.000000 --36196.000000 --36506.000000 -1009986.000000 --36510.000000 -227.000000 -65667.000000 -129260.000000 -187725.000000 --24898.000000 -95964.000000 -226027.000000 -155609.000000 --42624.000000 --44390.000000 --44026.000000 --45860.000000 --46468.000000 --46823.000000 --44298.000000 --41741.000000 --34058.000000 --35431.000000 --32899.000000 --30215.000000 --29766.000000 --31178.000000 --31720.000000 --28999.000000 --27477.000000 --27051.000000 --23356.000000 --21751.000000 --21176.000000 - --- 2eme Filtre --- -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -960.061523 -864.547468 -872.452714 @@ -126,93 +22,7 @@ -2846.246141 -4067.323776 -4140.389023 --4406.900503 --5573.617605 --5896.029304 --7421.085495 --7672.679270 --8959.869041 --9461.613461 --10816.884559 --11216.276690 --12680.642116 --13298.928376 --14763.135468 --16322.915784 --16705.625600 --18338.983129 --18818.738886 --20533.539416 --22021.385226 --22451.647252 --24137.255157 --24777.309502 --26451.984462 --26945.407453 --28413.650507 --29013.299221 --30562.876450 --31048.854104 --32396.674904 --32892.561201 --33287.651091 --34850.523827 --35134.899412 --35595.680482 --35863.484079 --36243.422721 --36587.083681 -945504.429824 --134330.197257 --28628.288173 -101243.111443 -200587.752612 -257361.297455 -27909.642727 -120932.736309 -202198.667674 -93999.765719 --98498.703102 --62759.394014 --22913.429312 -2318.223906 -8259.347698 --5958.507345 --30401.698534 --56807.002377 --69767.929793 --76855.118913 --64586.840592 --42372.723257 --20683.370049 --6714.778608 --2460.172874 --6188.641831 --18783.442712 --33987.353762 --41778.182259 --43984.508697 --38936.616240 - --- 3eme Filtre --- -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 -0.000000 114247.321289 -14246.357145 -144702.085156 @@ -223,71 +33,4 @@ -1279887.865637 -1676778.876275 -2019815.453374 --2459984.642114 --3063931.113446 --3605668.175116 --4359910.585321 --4975847.759287 --5901455.796777 --6565906.580186 --7622323.465723 --8629709.882135 --9688511.022544 --10815685.573006 --12166970.780497 --13255531.154205 --14578966.245634 --15929506.296283 --17334591.013759 --18827595.832633 --20285559.871650 --21715089.200852 --23508573.761967 --24912240.319270 --26671912.997716 --28123611.818423 --29722983.682499 --31353008.136208 --32902754.809049 --34472904.986410 --35993833.420082 --37315223.769529 --38956645.652377 --40310388.190944 --41601726.977095 --42877312.450321 --44215259.181000 --45315046.097985 --46432718.247961 --47263601.975037 --165063443.613073 -82635864.183488 -84053126.781316 -107675150.530836 -143014781.783280 -178383412.365290 -239883069.049862 -226339160.255684 -222139075.017194 -260039255.056026 -75733340.776994 -206132419.639837 -174057111.478851 -121765868.649610 -64585776.846645 -10622692.372057 -21639753.788805 --9925315.537044 --46310786.614378 --49416044.621109 --28270924.616315 --42469458.655877 --60124038.423241 --73218879.682026 --77523797.032443 --71686328.428358 --57954422.972234 --41215339.851185 --28781460.064110 --21016584.151478 --21577312.032120 \ No newline at end of file +-2459984.642114 \ No newline at end of file diff --git a/src-ref/values.txt b/src-ref/values.txt new file mode 100644 index 0000000..f776c57 --- /dev/null +++ b/src-ref/values.txt @@ -0,0 +1,294 @@ + +--- 1er Filtre --- +0.000000 +0.254659 +0.240952 +0.237680 +0.250547 +0.277357 +0.315152 +0.296227 +0.303160 +0.335177 +0.340133 +0.285914 +0.221107 +0.143385 +0.049808 +-0.062376 +-0.195714 +-0.352533 +-0.535413 +-0.746148 +-0.989481 +-1.267185 +-1.581678 +-1.935447 +-2.331259 +-2.770357 +-3.253755 +-3.782953 +-4.358871 +-4.981596 +-5.651633 +-6.369350 +-7.133707 +-7.943551 +-8.798338 +-9.696579 +-10.636130 +-11.613952 +-12.626899 +-13.670463 +-14.742323 +-15.838495 +-16.954176 +-18.085784 +-19.228423 +-20.377712 +-21.527787 +-22.672407 +-23.806241 +-24.922858 +-26.016814 +-27.082638 +-28.114382 +-29.106438 +-30.054815 +-30.953362 +-31.796188 +-32.578932 +-33.296745 +-33.944863 +-34.519403 +-35.016868 +-35.434672 +-35.771148 +-36.023550 +986.548367 +-36.268790 +-0.269212 +63.812770 +125.983143 +183.242268 +-24.344727 +93.068352 +220.560238 +152.179738 +-42.094194 +-43.346832 +-43.533527 +-44.656672 +-45.721622 +-45.735285 +-43.703784 +-40.631964 +-33.527439 +-34.394348 +-32.240548 +-30.072455 +-28.895738 +-30.715828 +-30.539912 +-28.374813 +-27.226408 +-26.100386 +-23.003996 +-20.941892 +-20.918707 + +--- 2eme Filtre --- +0.000000 +0.238915 +0.202155 +0.193633 +0.217092 +0.262718 +0.318254 +0.312600 +0.326035 +0.353146 +0.345077 +0.280718 +0.216245 +0.149371 +0.073745 +-0.018563 +-0.135004 +-0.280832 +-0.458772 +-0.668306 +-0.910409 +-1.183157 +-1.486805 +-1.823753 +-2.198505 +-2.615101 +-3.077383 +-3.588673 +-4.150194 +-4.760975 +-5.419678 +-6.124786 +-6.874102 +-7.666469 +-8.502315 +-9.381656 +-10.303874 +-11.267028 +-12.268360 +-13.303083 +-14.368059 +-15.458277 +-16.568241 +-17.694200 +-18.831606 +-19.976808 +-21.124807 +-22.270145 +-23.407892 +-24.531552 +-25.635234 +-26.712791 +-27.757669 +-28.763942 +-29.727542 +-30.642521 +-31.503476 +-32.306499 +-33.046937 +-33.719952 +-34.321316 +-34.846995 +-35.293812 +-35.659556 +-35.941189 +923.370083 +-132.230318 +-29.561827 +97.254806 +194.613010 +250.731585 +27.254335 +117.826502 +197.782890 +92.252396 +-96.864115 +-61.881664 +-23.582825 +1.952415 +7.682389 +-5.447232 +-29.471891 +-54.649883 +-67.907873 +-74.684838 +-63.351745 +-42.257873 +-20.335714 +-7.003274 +-1.944215 +-5.891804 +-18.361907 +-32.487668 +-40.729324 +-42.570347 +-38.346013 + +--- 3eme Filtre --- +0.000000 +-0.027707 +0.004982 +0.036333 +0.071844 +0.113944 +0.162423 +0.222936 +0.276962 +0.326497 +0.381124 +0.386919 +0.419694 +0.437563 +0.434232 +0.407084 +0.355001 +0.291214 +0.202308 +0.084239 +-0.058197 +-0.222804 +-0.422032 +-0.660250 +-0.939864 +-1.262267 +-1.628481 +-2.039989 +-2.499375 +-3.010511 +-3.577163 +-4.203398 +-4.892473 +-5.646155 +-6.464541 +-7.346939 +-8.292083 +-9.298471 +-10.364711 +-11.489804 +-12.672181 +-13.910098 +-15.201229 +-16.541986 +-17.927798 +-19.353210 +-20.812477 +-22.299658 +-23.808534 +-25.333279 +-26.867377 +-28.404332 +-29.937490 +-31.459405 +-32.962188 +-34.437911 +-35.878618 +-37.276302 +-38.623212 +-39.912145 +-41.136025 +-42.288122 +-43.362029 +-44.351564 +-45.250762 +-157.330050 +78.537243 +79.952945 +102.602535 +135.617139 +169.231066 +227.351267 +214.698596 +210.384598 +246.534802 +71.469836 +195.917420 +165.745137 +115.824914 +61.377840 +9.722329 +20.067901 +-10.049809 +-44.573806 +-47.464148 +-26.883722 +-40.218340 +-56.990862 +-69.563066 +-73.864904 +-68.442221 +-55.383611 +-39.674623 +-27.569247 +-20.173520 +-20.402409 diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 3222279..63bf1c9 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -18,6 +18,7 @@ ENTITY controlUnit IS O_initSum : OUT STD_LOGIC; O_loadSum : OUT STD_LOGIC; O_loadOutA : OUT STD_LOGIC; + O_loadOutB : OUT STD_LOGIC; O_loadOutBL : OUT STD_LOGIC; O_loadOutClellan : OUT STD_LOGIC; O_sel : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); @@ -82,9 +83,11 @@ BEGIN IF I_processingDoneB = '1' THEN SR_futurState <= PROCESS_A; O_initAddress <= '1'; + O_initSum <= '1'; ELSE SR_futurState <= PROCESS_B; O_initAddress <= '0'; + O_initSum <= '0'; END IF; WHEN PROCESS_A => @@ -105,6 +108,7 @@ BEGIN O_initAddress <= '0'; IF I_processingDoneClellan = '1' THEN SR_futurState <= OUTPUT; + O_initSum <= '1'; ELSE SR_futurState <= CLELLAN; O_initSum <= '0'; @@ -136,6 +140,8 @@ BEGIN '0'; O_loadOutA <= '1' WHEN SR_presentState = PROCESS_A ELSE '0'; + O_loadOutB <= '1' WHEN SR_presentState = PROCESS_B ELSE + '0'; O_loadOutClellan <= '1' WHEN SR_presentState = OUTPUT ELSE '0'; O_FilteredSampleValid <= '1' WHEN SR_presentState = OUTPUT ELSE diff --git a/src/hdl/firUnit.vhd b/src/hdl/firUnit.vhd index 7ac25d0..311dfa7 100644 --- a/src/hdl/firUnit.vhd +++ b/src/hdl/firUnit.vhd @@ -52,6 +52,7 @@ architecture archi_firUnit of firUnit is O_incrAddress : out std_logic; -- Control signal to increment register read address O_initSum : out std_logic; -- Control signal to initialize the MAC register O_loadSum : out std_logic; -- Control signal to load the MAC register; + O_loadOutB : out std_logic; -- Control signal to load 2A register O_loadOutA : out std_logic; -- Control signal to load Y register O_loadOutBL : out std_logic; O_loadOutClellan : out std_logic; @@ -71,6 +72,7 @@ architecture archi_firUnit of firUnit is I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; I_loadOutA : in std_logic; -- Control signal to load Y register coefficients A + I_loadOutB : in std_logic; I_loadOutBL : in std_logic; I_loadOutClellan : in std_logic; O_processingDoneA : out std_logic; -- Indicate that processing is done @@ -91,6 +93,7 @@ architecture archi_firUnit of firUnit is signal SC_initSum : std_logic; signal SC_loadSum : std_logic; signal SC_loadOutA : std_logic; + signal SC_loadOutB : std_logic; signal SC_loadOutBaseLine: std_logic; signal SC_loadOutLissage : std_logic; signal SC_sel : std_logic_vector(1 downto 0); @@ -112,6 +115,7 @@ begin O_initSum => SC_initSum, O_loadSum => SC_loadSum, O_loadOutA => SC_loadOutA, + O_loadOutB => SC_loadOutB, O_loadOutBL => SC_loadOutBaseLine, O_loadOutClellan => SC_loadOutLissage, O_sel => SC_sel, @@ -129,6 +133,7 @@ begin I_initSum => SC_initSum, I_loadSum => SC_loadSum, I_loadOutA => SC_loadOutA, + I_loadOutB => SC_loadOutB, I_loadOutBL => SC_loadOutBaseLine, I_loadOutClellan => SC_loadOutLissage, O_processingDoneA => SC_processingDoneA, diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 767e423..e417b28 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -15,6 +15,7 @@ ENTITY operativeUnit IS I_initSum : IN STD_LOGIC; I_loadSum : IN STD_LOGIC; I_loadOutA : IN STD_LOGIC; + I_loadOutB : IN STD_LOGIC; I_loadOutBL : IN STD_LOGIC; I_loadOutClellan : IN STD_LOGIC; O_processingDoneA : OUT STD_LOGIC; @@ -27,7 +28,7 @@ ENTITY operativeUnit IS END ENTITY operativeUnit; ARCHITECTURE arch_operativeUnit OF operativeUnit IS - TYPE registerFileA IS ARRAY(0 TO 1) OF signed(11 DOWNTO 0); + TYPE registerFileA IS ARRAY(0 TO 2) OF signed(11 DOWNTO 0); SIGNAL SR_coefRegisterA : registerFileA; SIGNAL SR_2A : registerFileA; @@ -52,7 +53,8 @@ ARCHITECTURE arch_operativeUnit OF operativeUnit IS SIGNAL SR_readAddress : INTEGER RANGE 0 TO 94; BEGIN - SR_coefRegisterA <= (to_signed(1554, 12), + SR_coefRegisterA <= (to_signed(-1024, 12), + to_signed(1554, 12), to_signed(-897, 12) ); @@ -220,7 +222,7 @@ BEGIN IF I_initAddress = '1' THEN SR_readAddress <= 0; ELSIF I_incrAddress = '1' THEN - IF SR_readAddress = 1 THEN + IF SR_readAddress = 2 THEN SR_readAddress <= 0; ELSE SR_readAddress <= SR_readAddress + 1; @@ -349,6 +351,15 @@ BEGIN SR_2(0) <= signed(SC_addResult(21 DOWNTO 10)); END IF; + ELSIF I_loadOutB = '1' THEN + IF SC_addResult(9) = '1' THEN + SR_2A(1 TO 2) <= SR_2A(0 TO 1); + SR_2A(0) <= signed(SC_addResult(21 DOWNTO 10) + 1); + ELSE + SR_2A(1 TO 2) <= SR_2A(0 TO 1); + SR_2A(0) <= signed(SC_addResult(21 DOWNTO 10)); + END IF; + ELSIF I_loadOutA = '1' THEN SR_3(1 TO 10) <= SR_3(0 TO 9); IF SC_addResult(9) = '1' THEN @@ -356,8 +367,7 @@ BEGIN ELSE SR_3(0) <= signed(SC_addResult(21 DOWNTO 10)); END IF; - - SR_2A(1) <= SR_2A(0); + ELSIF I_loadOutClellan = '1' THEN IF SC_addResult(9) = '1' THEN SR_Y <= SC_addResult(21 DOWNTO 10) + 1; -- GitLab