diff --git a/ECGproc/ECGproc.cache/wt/project.wpc b/ECGproc/ECGproc.cache/wt/project.wpc
new file mode 100644
index 0000000000000000000000000000000000000000..6888edec1ac73923cacb7d6dea38f6663dae769b
--- /dev/null
+++ b/ECGproc/ECGproc.cache/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:2
+eof:
diff --git a/ECGproc/ECGproc.hw/ECGproc.lpr b/ECGproc/ECGproc.hw/ECGproc.lpr
new file mode 100644
index 0000000000000000000000000000000000000000..afc0a86cf8f820e635f040c3869b4b647d11ec04
--- /dev/null
+++ b/ECGproc/ECGproc.hw/ECGproc.lpr
@@ -0,0 +1,7 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/ECGproc/ECGproc.xpr b/ECGproc/ECGproc.xpr
new file mode 100644
index 0000000000000000000000000000000000000000..10fb766f10c74f3636a9486eca985d30623a23b6
--- /dev/null
+++ b/ECGproc/ECGproc.xpr
@@ -0,0 +1,241 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                              -->
+<!--                                                                         -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                   -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.   -->
+
+<Project Product="Vivado" Version="7" Minor="67" Path="/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-ecg-etudiant-c24masso/ECGproc/ECGproc.xpr">
+  <DefaultLaunch Dir="$PRUNDIR"/>
+  <Configuration>
+    <Option Name="Id" Val="a75962cbb56a42818fda8f593d764661"/>
+    <Option Name="Part" Val="xc7a100tcsg324-1"/>
+    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+    <Option Name="CompiledLibDirXSim" Val=""/>
+    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
+    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
+    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
+    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
+    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
+    <Option Name="SimulatorInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorInstallDirVCS" Val=""/>
+    <Option Name="SimulatorInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
+    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorVersionXsim" Val="2024.1"/>
+    <Option Name="SimulatorVersionModelSim" Val="2023.2"/>
+    <Option Name="SimulatorVersionQuesta" Val="2023.2"/>
+    <Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
+    <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
+    <Option Name="SimulatorVersionRiviera" Val="2023.04"/>
+    <Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
+    <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
+    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
+    <Option Name="TargetLanguage" Val="VHDL"/>
+    <Option Name="BoardPart" Val=""/>
+    <Option Name="ActiveSimSet" Val="sim_1"/>
+    <Option Name="DefaultLib" Val="xil_defaultlib"/>
+    <Option Name="ProjectType" Val="Default"/>
+    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
+    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
+    <Option Name="IPCachePermission" Val="read"/>
+    <Option Name="IPCachePermission" Val="write"/>
+    <Option Name="EnableCoreContainer" Val="FALSE"/>
+    <Option Name="EnableResourceEstimation" Val="FALSE"/>
+    <Option Name="SimCompileState" Val="TRUE"/>
+    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
+    <Option Name="EnableBDX" Val="FALSE"/>
+    <Option Name="WTXSimLaunchSim" Val="0"/>
+    <Option Name="WTModelSimLaunchSim" Val="0"/>
+    <Option Name="WTQuestaLaunchSim" Val="0"/>
+    <Option Name="WTIesLaunchSim" Val="0"/>
+    <Option Name="WTVcsLaunchSim" Val="0"/>
+    <Option Name="WTRivieraLaunchSim" Val="0"/>
+    <Option Name="WTActivehdlLaunchSim" Val="0"/>
+    <Option Name="WTXSimExportSim" Val="0"/>
+    <Option Name="WTModelSimExportSim" Val="0"/>
+    <Option Name="WTQuestaExportSim" Val="0"/>
+    <Option Name="WTIesExportSim" Val="0"/>
+    <Option Name="WTVcsExportSim" Val="0"/>
+    <Option Name="WTRivieraExportSim" Val="0"/>
+    <Option Name="WTActivehdlExportSim" Val="0"/>
+    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
+    <Option Name="XSimRadix" Val="hex"/>
+    <Option Name="XSimTimeUnit" Val="ns"/>
+    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
+    <Option Name="XSimTraceLimit" Val="65536"/>
+    <Option Name="SimTypes" Val="rtl"/>
+    <Option Name="SimTypes" Val="bfm"/>
+    <Option Name="SimTypes" Val="tlm"/>
+    <Option Name="SimTypes" Val="tlm_dpi"/>
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
+    <Option Name="DcpsUptoDate" Val="TRUE"/>
+    <Option Name="ClassicSocBoot" Val="FALSE"/>
+    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
+  </Configuration>
+  <FileSets Version="1" Minor="32">
+    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../../tp-filtre-etudiant-c24masso/src/hdl/controlUnit.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../../tp-filtre-etudiant-c24masso/src/hdl/operativeUnit.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../../tp-filtre-etudiant-c24masso/src/hdl/firUnit.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../../tp-filtre-etudiant-c24masso/src/hdl/tb_firUnit.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="tb_firUnit"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+      <Filter Type="Constrs"/>
+      <File Path="$PPRDIR/../../tp-filtre-etudiant-c24masso/src/constraints/NexysVideo_Master.xdc">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="ConstrsType" Val="XDC"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="tb_firUnit"/>
+        <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+        <Option Name="TransportPathDelay" Val="0"/>
+        <Option Name="TransportIntDelay" Val="0"/>
+        <Option Name="SelectedSimModel" Val="rtl"/>
+        <Option Name="PamDesignTestbench" Val=""/>
+        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
+        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
+        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
+        <Option Name="SrcSet" Val="sources_1"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+      <Filter Type="Utils"/>
+      <Config>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+  </FileSets>
+  <Simulators>
+    <Simulator Name="XSim">
+      <Option Name="Description" Val="Vivado Simulator"/>
+      <Option Name="CompiledLib" Val="0"/>
+    </Simulator>
+    <Simulator Name="ModelSim">
+      <Option Name="Description" Val="ModelSim Simulator"/>
+    </Simulator>
+    <Simulator Name="Questa">
+      <Option Name="Description" Val="Questa Advanced Simulator"/>
+    </Simulator>
+    <Simulator Name="Xcelium">
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
+    </Simulator>
+    <Simulator Name="VCS">
+      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
+    </Simulator>
+    <Simulator Name="Riviera">
+      <Option Name="Description" Val="Riviera-PRO Simulator"/>
+    </Simulator>
+  </Simulators>
+  <Runs Version="1" Minor="22">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
+          <Desc>Default settings for Implementation.</Desc>
+        </StratHandle>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+  </Runs>
+  <Board/>
+  <DashboardSummary Version="1" Minor="0">
+    <Dashboards>
+      <Dashboard Name="default_dashboard">
+        <Gadgets>
+          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
+          </Gadget>
+          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
+          </Gadget>
+          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
+          </Gadget>
+          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
+          </Gadget>
+          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
+            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
+            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
+          </Gadget>
+          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
+          </Gadget>
+        </Gadgets>
+      </Dashboard>
+      <CurrentDashboard>default_dashboard</CurrentDashboard>
+    </Dashboards>
+  </DashboardSummary>
+</Project>
diff --git a/docs/img/FSM.png b/docs/img/FSM.png
index 7f6db881fff5cdfb9351c0348dfec49ff082516d..fc332366b5173e3d9f02ae1605e465fbe7de4248 100644
Binary files a/docs/img/FSM.png and b/docs/img/FSM.png differ
diff --git a/docs/img/OperativeUnit.png b/docs/img/OperativeUnit.png
index 64a947ab89b5c179f8cda30834baa893918774d1..d3ec3fba12a99606c5d01144c862911ce167e81a 100644
Binary files a/docs/img/OperativeUnit.png and b/docs/img/OperativeUnit.png differ
diff --git a/src-ref/ECG_raw_3filters-inc.pdf b/src-ref/ECG_raw_3filters-inc.pdf
new file mode 100644
index 0000000000000000000000000000000000000000..92937071b1897dbeaac7aa344093e7ed6adf489e
--- /dev/null
+++ b/src-ref/ECG_raw_3filters-inc.pdf
@@ -0,0 +1,20268 @@
+%PDF-1.4
+1 0 obj
+<<
+/Title (Figure 2)
+/Creator (GL2PS 1.4.2, (C) 1999-2020 C. Geuzaine)
+/Producer (Octave)
+/CreationDate (D:20250305134153)
+>>
+endobj
+2 0 obj
+<<
+/Type /Catalog
+/Pages 3 0 R
+>>
+endobj
+3 0 obj
+<<
+/Type /Pages
+/Kids [6 0 R]
+/Count 1
+>>
+endobj
+4 0 obj
+<<
+/Length 5 0 R
+>>
+stream
+/GSa gs
+1 1 1 rg
+0 0 418 314 re
+f
+q
+1 1 1 rg
+0 0 418 314 re
+W
+f
+Q
+q
+0 0 418 314 re
+W
+n
+Q
+/Sh0 sh
+0.5 w
+0.15 0.15 0.15 RG
+54.434288 184.159225 m
+54.434288 187.413803 l
+54.434288 277.429962 m
+54.434288 274.175415 l
+119.336700 184.159225 m
+119.336700 187.413803 l
+119.336700 277.429962 m
+119.336700 274.175415 l
+184.239120 184.159225 m
+184.239120 187.413803 l
+184.239120 277.429962 m
+184.239120 274.175415 l
+249.141541 184.159225 m
+249.141541 187.413803 l
+249.141541 277.429962 m
+249.141541 274.175415 l
+314.043945 184.159225 m
+314.043945 187.413803 l
+314.043945 277.429962 m
+314.043945 274.175415 l
+378.946350 184.159225 m
+378.946350 187.413803 l
+378.946350 277.429962 m
+378.946350 274.175415 l
+54.434288 184.159225 m
+57.674538 184.159225 l
+378.946350 184.159225 m
+375.706116 184.159225 l
+54.434288 199.704361 m
+57.674538 199.704361 l
+378.946350 199.704361 m
+375.706116 199.704361 l
+54.434288 215.249481 m
+57.674538 215.249481 l
+378.946350 215.249481 m
+375.706116 215.249481 l
+54.434288 230.794601 m
+57.674538 230.794601 l
+378.946350 230.794601 m
+375.706116 230.794601 l
+54.434288 246.339722 m
+57.674538 246.339722 l
+378.946350 246.339722 m
+375.706116 246.339722 l
+54.434288 261.884857 m
+57.674538 261.884857 l
+378.946350 261.884857 m
+375.706116 261.884857 l
+54.434288 277.429962 m
+57.674538 277.429962 l
+378.946350 277.429962 m
+375.706116 277.429962 l
+S
+0.5 w
+2 J
+0.15 0.15 0.15 RG
+[16 0] 0 d
+54.434288 184.159225 m
+378.946350 184.159225 l
+54.434288 277.429962 m
+378.946350 277.429962 l
+54.434288 184.159225 m
+54.434288 277.429962 l
+378.946350 184.159225 m
+378.946350 277.429962 l
+S
+0.5 w
+1 j
+0 0.447 0.741 RG
+[] 0 d
+54.466740 209.964142 m
+54.499191 210.275040 l
+54.531643 210.896851 l
+54.564095 210.585938 l
+54.596546 209.342331 l
+54.628998 209.653229 l
+54.661449 209.031433 l
+54.693901 209.031433 l
+54.726353 209.031433 l
+54.758801 209.964142 l
+54.791252 211.518646 l
+54.823704 212.451355 l
+54.856155 211.829559 l
+54.888607 211.518646 l
+54.921059 210.896851 l
+54.953510 210.275040 l
+54.985962 209.964142 l
+55.018414 210.275040 l
+55.050865 210.896851 l
+55.083317 212.762253 l
+55.115768 212.140457 l
+55.148220 212.451355 l
+55.180672 212.762253 l
+55.213123 212.762253 l
+55.245575 211.829559 l
+55.278011 211.518646 l
+55.310463 211.829559 l
+55.342915 211.829559 l
+55.375366 211.829559 l
+55.407818 212.451355 l
+55.440269 212.762253 l
+55.472721 212.451355 l
+55.505173 212.762253 l
+55.537624 213.384064 l
+55.570076 213.073166 l
+55.602528 212.451355 l
+55.634979 211.829559 l
+55.667431 211.829559 l
+55.699883 212.140457 l
+55.732334 214.005875 l
+55.764786 214.316772 l
+55.797237 214.627670 l
+55.829689 215.560379 l
+55.862141 215.249481 l
+55.894592 214.938568 l
+55.927044 213.694962 l
+55.959492 213.073166 l
+55.991943 213.384064 l
+56.024395 213.384064 l
+56.056847 214.316772 l
+56.089298 214.938568 l
+56.121750 215.249481 l
+56.154202 215.871292 l
+56.186653 216.493088 l
+56.219105 215.249481 l
+56.251556 214.938568 l
+56.284008 215.560379 l
+56.316460 215.560379 l
+56.348911 215.871292 l
+56.381363 216.803986 l
+56.413815 217.736694 l
+56.446266 218.669403 l
+56.478718 218.980301 l
+56.511169 218.047607 l
+56.543621 217.736694 l
+56.576073 218.047607 l
+56.608524 217.736694 l
+56.640976 217.736694 l
+56.673424 219.602112 l
+56.705875 219.913010 l
+56.738327 220.845718 l
+56.770779 221.778427 l
+56.803230 221.778427 l
+56.835682 222.400238 l
+56.868134 221.778427 l
+56.900585 221.467529 l
+56.933025 221.467529 l
+56.965477 221.156631 l
+56.997929 223.022034 l
+57.030380 224.887451 l
+57.062832 225.198349 l
+57.095284 225.198349 l
+57.127735 226.131073 l
+57.160183 226.131073 l
+57.192635 224.887451 l
+57.225086 225.198349 l
+57.257538 224.576553 l
+57.289989 224.576553 l
+57.322441 225.198349 l
+57.354893 226.441971 l
+57.387344 227.063766 l
+57.419796 227.063766 l
+57.452248 227.685577 l
+57.484699 227.063766 l
+57.517151 226.441971 l
+57.549603 226.131073 l
+57.582054 225.509262 l
+57.614506 225.198349 l
+57.646957 225.509262 l
+57.679409 224.887451 l
+57.711861 225.509262 l
+57.744312 225.509262 l
+57.776764 225.820160 l
+57.809216 224.265656 l
+57.841667 223.643845 l
+57.874115 222.089340 l
+57.906567 220.845718 l
+57.939018 219.602112 l
+57.971470 219.913010 l
+58.003922 220.534821 l
+58.036373 220.534821 l
+58.068825 219.602112 l
+58.101276 219.602112 l
+58.133728 218.358505 l
+58.166180 217.736694 l
+58.198631 216.803986 l
+58.231083 215.560379 l
+58.263535 214.938568 l
+58.295986 215.249481 l
+58.328438 215.249481 l
+58.360889 215.560379 l
+58.393341 215.871292 l
+58.425793 215.249481 l
+58.458244 215.871292 l
+58.490696 216.182190 l
+58.523148 217.114899 l
+58.555595 217.425797 l
+58.588036 218.047607 l
+58.620487 219.291214 l
+58.652939 220.534821 l
+58.685390 220.845718 l
+58.717842 222.711136 l
+58.750294 222.400238 l
+58.782745 220.845718 l
+58.815197 220.534821 l
+58.847649 219.602112 l
+58.880100 220.223923 l
+58.912552 220.223923 l
+58.945004 220.845718 l
+58.977455 221.156631 l
+59.009907 222.089340 l
+59.042358 222.400238 l
+59.074806 223.332947 l
+59.107258 222.400238 l
+59.139709 221.467529 l
+59.172161 221.156631 l
+59.204613 221.156631 l
+59.237064 221.156631 l
+59.269516 221.467529 l
+59.301968 222.089340 l
+59.334419 222.711136 l
+59.366871 223.022034 l
+59.399323 223.954742 l
+59.431774 223.643845 l
+59.464226 222.400238 l
+59.496677 222.400238 l
+59.529129 222.400238 l
+59.561581 222.400238 l
+59.594032 223.022034 l
+59.626484 223.332947 l
+59.658936 223.022034 l
+59.691387 223.954742 l
+59.723839 223.954742 l
+59.756287 223.954742 l
+59.788738 223.332947 l
+59.821190 221.778427 l
+59.853642 221.467529 l
+59.886093 221.467529 l
+59.918545 223.332947 l
+59.950996 223.954742 l
+59.983448 223.643845 l
+60.015900 224.576553 l
+60.048351 224.265656 l
+60.080803 223.332947 l
+60.113255 223.022034 l
+60.145706 223.022034 l
+60.178158 222.400238 l
+60.210609 222.711136 l
+60.243050 223.022034 l
+60.275513 223.954742 l
+60.307949 223.954742 l
+60.340401 224.576553 l
+60.372852 224.265656 l
+60.405304 223.954742 l
+60.437756 223.643845 l
+60.470207 223.954742 l
+60.502659 223.022034 l
+60.535110 223.022034 l
+60.567562 223.643845 l
+60.600014 224.265656 l
+60.632465 224.265656 l
+60.664917 223.954742 l
+60.697369 223.643845 l
+60.729820 222.711136 l
+60.762272 222.711136 l
+60.794724 222.089340 l
+60.827175 222.400238 l
+60.859627 222.711136 l
+60.892078 223.643845 l
+60.924530 223.643845 l
+60.956978 223.332947 l
+60.989429 223.643845 l
+61.021881 223.332947 l
+61.054333 222.089340 l
+61.086784 222.089340 l
+61.119236 222.089340 l
+61.151688 221.778427 l
+61.184139 222.400238 l
+61.216591 223.022034 l
+61.249043 223.332947 l
+61.281494 222.400238 l
+61.313946 222.711136 l
+61.346397 222.400238 l
+61.378849 221.778427 l
+61.411301 221.467529 l
+61.443752 221.778427 l
+61.476204 220.845718 l
+61.508656 221.467529 l
+61.541107 221.778427 l
+61.573559 222.089340 l
+61.606010 222.711136 l
+61.638458 223.643845 l
+61.670910 222.711136 l
+61.703362 222.089340 l
+61.735813 221.156631 l
+61.768265 221.467529 l
+61.800716 221.156631 l
+61.833168 221.467529 l
+61.865620 222.089340 l
+61.898060 222.089340 l
+61.930523 222.400238 l
+61.962963 222.400238 l
+61.995415 221.156631 l
+62.027866 220.223923 l
+62.060318 219.913010 l
+62.092770 219.602112 l
+62.125221 219.602112 l
+62.157669 219.913010 l
+62.190121 220.845718 l
+62.222572 220.534821 l
+62.255024 221.467529 l
+62.287476 223.022034 l
+62.319927 222.089340 l
+62.352379 221.778427 l
+62.384830 219.913010 l
+62.417282 220.223923 l
+62.449734 219.291214 l
+62.482185 219.913010 l
+62.514637 220.223923 l
+62.547089 220.845718 l
+62.579540 221.467529 l
+62.611992 222.400238 l
+62.644444 221.778427 l
+62.676895 220.845718 l
+62.709347 220.534821 l
+62.741798 220.845718 l
+62.774250 220.534821 l
+62.806702 221.156631 l
+62.839149 222.089340 l
+62.871601 223.643845 l
+62.904053 223.332947 l
+62.936504 223.643845 l
+62.968956 223.022034 l
+63.001408 222.711136 l
+63.033859 222.089340 l
+63.066311 221.778427 l
+63.098763 221.156631 l
+63.131214 222.089340 l
+63.163666 223.954742 l
+63.196117 223.643845 l
+63.228569 223.954742 l
+63.261021 223.954742 l
+63.293472 223.332947 l
+63.325924 223.022034 l
+63.358376 222.711136 l
+63.390827 221.778427 l
+63.423279 221.467529 l
+63.455730 222.711136 l
+63.488182 224.576553 l
+63.520630 225.198349 l
+63.553070 225.198349 l
+63.585533 225.820160 l
+63.617973 225.198349 l
+63.650425 222.711136 l
+63.682877 222.400238 l
+63.715328 222.400238 l
+63.747780 221.156631 l
+63.780231 221.156631 l
+63.812683 221.467529 l
+63.845135 221.156631 l
+63.877586 220.845718 l
+63.910038 220.845718 l
+63.942490 220.534821 l
+63.974941 218.669403 l
+64.007393 219.291214 l
+64.039841 218.980301 l
+64.072296 219.291214 l
+64.104744 219.913010 l
+64.137199 220.223923 l
+64.169647 220.223923 l
+64.202103 221.156631 l
+64.234550 219.913010 l
+64.267006 218.669403 l
+64.299454 218.358505 l
+64.331909 218.047607 l
+64.364357 217.425797 l
+64.396805 218.047607 l
+64.429260 218.047607 l
+64.461708 218.669403 l
+64.494164 218.980301 l
+64.526611 218.980301 l
+64.559067 218.980301 l
+64.591515 218.980301 l
+64.623970 218.358505 l
+64.656418 217.425797 l
+64.688873 216.493088 l
+64.721321 216.803986 l
+64.753777 217.425797 l
+64.786224 218.047607 l
+64.818680 218.669403 l
+64.851128 218.980301 l
+64.883583 218.669403 l
+64.916031 217.425797 l
+64.948486 216.803986 l
+64.980934 217.114899 l
+65.013390 216.493088 l
+65.045837 217.114899 l
+65.078285 218.358505 l
+65.110741 217.114899 l
+65.143188 217.736694 l
+65.175644 218.358505 l
+65.208084 217.736694 l
+65.240547 216.803986 l
+65.272987 215.249481 l
+65.305435 214.627670 l
+65.337891 213.694962 l
+65.370338 213.384064 l
+65.402794 213.694962 l
+65.435242 214.316772 l
+65.467697 214.938568 l
+65.500145 217.114899 l
+65.532600 219.291214 l
+65.565048 222.089340 l
+65.597496 225.198349 l
+65.629951 227.685577 l
+65.662399 231.105515 l
+65.694855 236.079941 l
+65.727303 241.676193 l
+65.759758 248.205139 l
+65.792206 255.355896 l
+65.824661 260.019440 l
+65.857109 260.952148 l
+65.889565 257.843109 l
+65.922012 254.423187 l
+65.954468 250.692352 l
+65.986916 245.407013 l
+66.019371 240.743484 l
+66.051819 236.079941 l
+66.084274 229.550995 l
+66.116722 221.467529 l
+66.149178 215.871292 l
+66.181625 211.518646 l
+66.214081 209.031433 l
+66.246529 209.031433 l
+66.278976 209.653229 l
+66.311432 209.964142 l
+66.343880 211.518646 l
+66.376335 214.005875 l
+66.408783 215.871292 l
+66.441238 217.425797 l
+66.473686 217.736694 l
+66.506142 217.425797 l
+66.538589 216.182190 l
+66.571045 214.938568 l
+66.603493 214.005875 l
+66.635948 213.694962 l
+66.668396 214.627670 l
+66.700851 215.249481 l
+66.733299 215.560379 l
+66.765755 216.803986 l
+66.798203 216.803986 l
+66.830658 216.493088 l
+66.863091 215.249481 l
+66.895561 215.560379 l
+66.927994 214.316772 l
+66.960449 214.005875 l
+66.992897 214.627670 l
+67.025352 214.627670 l
+67.057800 215.249481 l
+67.090256 215.871292 l
+67.122704 215.249481 l
+67.155159 215.560379 l
+67.187607 215.560379 l
+67.220062 214.938568 l
+67.252510 215.249481 l
+67.284966 214.627670 l
+67.317413 215.249481 l
+67.349869 216.182190 l
+67.382317 216.493088 l
+67.414772 216.803986 l
+67.447220 217.736694 l
+67.479668 216.803986 l
+67.512123 215.871292 l
+67.544571 215.249481 l
+67.577026 214.938568 l
+67.609474 214.938568 l
+67.641930 215.560379 l
+67.674377 216.803986 l
+67.706833 216.803986 l
+67.739281 217.114899 l
+67.771736 216.803986 l
+67.804184 215.871292 l
+67.836639 216.493088 l
+67.869087 215.560379 l
+67.901543 215.871292 l
+67.933990 215.560379 l
+67.966446 216.182190 l
+67.998894 216.493088 l
+68.031349 217.425797 l
+68.063797 217.736694 l
+68.096252 218.358505 l
+68.128700 218.358505 l
+68.161148 218.047607 l
+68.193604 217.736694 l
+68.226051 216.803986 l
+68.258507 217.114899 l
+68.290955 217.114899 l
+68.323410 217.736694 l
+68.355858 218.047607 l
+68.388313 218.669403 l
+68.420761 218.980301 l
+68.453201 219.291214 l
+68.485664 219.291214 l
+68.518105 219.602112 l
+68.550568 219.602112 l
+68.583008 219.291214 l
+68.615471 220.223923 l
+68.647911 221.156631 l
+68.680359 221.778427 l
+68.712814 221.778427 l
+68.745262 222.711136 l
+68.777718 222.400238 l
+68.810165 222.400238 l
+68.842621 222.089340 l
+68.875069 221.467529 l
+68.907524 221.467529 l
+68.939972 222.400238 l
+68.972427 223.332947 l
+69.004875 224.576553 l
+69.037331 224.887451 l
+69.069778 225.198349 l
+69.102234 224.576553 l
+69.134682 225.198349 l
+69.167137 225.509262 l
+69.199585 224.887451 l
+69.232040 225.198349 l
+69.264488 226.131073 l
+69.296944 227.685577 l
+69.329391 227.996475 l
+69.361847 228.307388 l
+69.394295 227.996475 l
+69.426743 227.374680 l
+69.459198 226.752869 l
+69.491646 226.441971 l
+69.524101 226.441971 l
+69.556549 226.441971 l
+69.589005 226.441971 l
+69.621452 227.685577 l
+69.653908 227.996475 l
+69.686356 227.996475 l
+69.718811 227.374680 l
+69.751259 225.820160 l
+69.783714 224.576553 l
+69.816162 223.643845 l
+69.848618 223.332947 l
+69.881065 223.022034 l
+69.913521 223.332947 l
+69.945969 222.400238 l
+69.978424 223.332947 l
+70.010872 223.332947 l
+70.043327 222.400238 l
+70.075775 221.156631 l
+70.108223 220.223923 l
+70.140678 219.602112 l
+70.173119 218.669403 l
+70.205582 217.114899 l
+70.238022 216.182190 l
+70.270485 216.803986 l
+70.302925 216.803986 l
+70.335373 216.493088 l
+70.367828 215.871292 l
+70.400276 214.938568 l
+70.432732 214.005875 l
+70.465179 213.694962 l
+70.497635 213.073166 l
+70.530083 213.384064 l
+70.562531 214.627670 l
+70.594986 215.249481 l
+70.627434 214.627670 l
+70.659889 214.938568 l
+70.692337 214.627670 l
+70.724792 213.384064 l
+70.757240 212.762253 l
+70.789696 211.829559 l
+70.822144 211.207748 l
+70.854599 211.829559 l
+70.887047 212.762253 l
+70.919502 212.451355 l
+70.951950 213.694962 l
+70.984406 213.073166 l
+71.016853 213.384064 l
+71.049309 212.140457 l
+71.081757 211.829559 l
+71.114212 211.518646 l
+71.146660 211.518646 l
+71.179115 212.451355 l
+71.211563 212.451355 l
+71.244019 213.073166 l
+71.276466 214.005875 l
+71.308914 213.384064 l
+71.341370 213.384064 l
+71.373817 212.140457 l
+71.406273 211.829559 l
+71.438721 211.829559 l
+71.471176 211.518646 l
+71.503624 211.829559 l
+71.536079 212.140457 l
+71.568527 212.762253 l
+71.600983 211.829559 l
+71.633430 213.384064 l
+71.665886 211.829559 l
+71.698334 212.140457 l
+71.730789 212.140457 l
+71.763237 211.518646 l
+71.795692 211.518646 l
+71.828125 212.451355 l
+71.860596 212.762253 l
+71.893028 212.762253 l
+71.925499 212.762253 l
+71.957932 212.762253 l
+71.990387 212.140457 l
+72.022835 211.829559 l
+72.055290 211.829559 l
+72.087738 211.518646 l
+72.120193 211.829559 l
+72.152641 213.384064 l
+72.185097 213.384064 l
+72.217545 213.694962 l
+72.250000 214.005875 l
+72.282448 214.316772 l
+72.314903 213.694962 l
+72.347351 213.073166 l
+72.379807 212.451355 l
+72.412254 212.140457 l
+72.444710 211.829559 l
+72.477158 212.451355 l
+72.509605 212.762253 l
+72.542061 214.005875 l
+72.574509 214.316772 l
+72.606964 214.627670 l
+72.639412 214.316772 l
+72.671867 214.005875 l
+72.704315 213.384064 l
+72.736771 213.073166 l
+72.769218 212.762253 l
+72.801674 213.384064 l
+72.834122 213.384064 l
+72.866577 213.694962 l
+72.899025 214.316772 l
+72.931480 214.316772 l
+72.963928 213.694962 l
+72.996384 214.005875 l
+73.028831 213.073166 l
+73.061287 212.762253 l
+73.093735 212.451355 l
+73.126190 212.451355 l
+73.158638 213.384064 l
+73.191086 213.694962 l
+73.223541 214.938568 l
+73.255989 214.627670 l
+73.288445 213.384064 l
+73.320892 213.384064 l
+73.353348 213.073166 l
+73.385796 212.140457 l
+73.418251 211.518646 l
+73.450699 212.762253 l
+73.483139 212.762253 l
+73.515602 213.384064 l
+73.548042 214.005875 l
+73.580505 213.694962 l
+73.612946 212.762253 l
+73.645409 212.451355 l
+73.677849 214.005875 l
+73.710297 212.140457 l
+73.742752 211.829559 l
+73.775200 212.762253 l
+73.807655 213.384064 l
+73.840103 213.073166 l
+73.872559 214.316772 l
+73.905006 214.005875 l
+73.937462 212.762253 l
+73.969910 212.140457 l
+74.002365 211.829559 l
+74.034813 211.518646 l
+74.067268 211.518646 l
+74.099716 211.829559 l
+74.132172 212.762253 l
+74.164619 212.762253 l
+74.197075 213.073166 l
+74.229523 214.005875 l
+74.261978 213.073166 l
+74.294426 212.451355 l
+74.326881 212.140457 l
+74.359329 210.896851 l
+74.391777 210.896851 l
+74.424232 212.140457 l
+74.456680 212.451355 l
+74.489136 213.073166 l
+74.521584 213.073166 l
+74.554039 213.073166 l
+74.586487 212.451355 l
+74.618942 211.829559 l
+74.651390 212.140457 l
+74.683846 212.140457 l
+74.716293 212.762253 l
+74.748749 213.073166 l
+74.781197 213.694962 l
+74.813652 214.938568 l
+74.846100 214.627670 l
+74.878555 213.694962 l
+74.911003 212.762253 l
+74.943459 213.384064 l
+74.975906 213.073166 l
+75.008362 212.762253 l
+75.040810 212.762253 l
+75.073257 213.694962 l
+75.105713 214.316772 l
+75.138153 214.627670 l
+75.170616 216.182190 l
+75.203056 214.938568 l
+75.235519 214.938568 l
+75.267960 214.316772 l
+75.300423 214.005875 l
+75.332863 213.694962 l
+75.365311 213.694962 l
+75.397766 214.627670 l
+75.430214 215.560379 l
+75.462669 216.182190 l
+75.495117 216.493088 l
+75.527573 216.182190 l
+75.560020 215.560379 l
+75.592468 215.560379 l
+75.624924 215.249481 l
+75.657372 215.249481 l
+75.689827 215.249481 l
+75.722275 215.249481 l
+75.754730 215.560379 l
+75.787178 216.493088 l
+75.819633 217.114899 l
+75.852081 216.493088 l
+75.884537 214.627670 l
+75.916985 213.694962 l
+75.949440 213.073166 l
+75.981888 211.829559 l
+76.014343 212.140457 l
+76.046791 212.451355 l
+76.079247 212.762253 l
+76.111694 212.451355 l
+76.144150 213.694962 l
+76.176598 213.073166 l
+76.209053 211.518646 l
+76.241501 211.518646 l
+76.273949 210.896851 l
+76.306404 210.275040 l
+76.338852 211.207748 l
+76.371307 211.829559 l
+76.403755 212.140457 l
+76.436211 212.140457 l
+76.468658 213.073166 l
+76.501114 211.829559 l
+76.533562 211.829559 l
+76.566017 211.518646 l
+76.598465 211.207748 l
+76.630920 211.207748 l
+76.663368 211.518646 l
+76.695824 211.207748 l
+76.728271 211.207748 l
+76.760727 211.207748 l
+76.793159 211.207748 l
+76.825630 211.207748 l
+76.858063 210.275040 l
+76.890533 209.964142 l
+76.922966 210.275040 l
+76.955429 209.031433 l
+76.987869 209.653229 l
+77.020325 210.275040 l
+77.052773 211.518646 l
+77.085228 212.451355 l
+77.117676 212.451355 l
+77.150131 211.829559 l
+77.182579 211.518646 l
+77.215034 209.964142 l
+77.247482 209.964142 l
+77.279938 209.031433 l
+77.312386 209.031433 l
+77.344841 210.275040 l
+77.377289 210.585938 l
+77.409744 210.896851 l
+77.442192 210.585938 l
+77.474640 209.342331 l
+77.507095 208.720520 l
+77.539543 208.098724 l
+77.571999 206.544205 l
+77.604446 205.611511 l
+77.636902 206.855118 l
+77.669350 208.720520 l
+77.701805 212.451355 l
+77.734253 216.493088 l
+77.766708 219.913010 l
+77.799156 223.022034 l
+77.831612 225.820160 l
+77.864059 229.240082 l
+77.896515 232.349121 l
+77.928963 239.499863 l
+77.961418 247.272430 l
+77.993866 255.044983 l
+78.026321 258.154022 l
+78.058769 256.288605 l
+78.091225 253.490479 l
+78.123672 249.759644 l
+78.156120 245.407013 l
+78.188576 239.499863 l
+78.221024 234.214523 l
+78.253479 227.374680 l
+78.285927 220.534821 l
+78.318382 213.384064 l
+78.350830 208.098724 l
+78.383286 205.611511 l
+78.415733 206.233307 l
+78.448174 207.476913 l
+78.480637 208.098724 l
+78.513077 208.409622 l
+78.545540 209.964142 l
+78.577980 212.140457 l
+78.610443 211.829559 l
+78.642883 213.384064 l
+78.675331 213.073166 l
+78.707787 212.451355 l
+78.740234 212.762253 l
+78.772690 212.762253 l
+78.805138 211.207748 l
+78.837593 210.896851 l
+78.870041 210.275040 l
+78.902496 210.585938 l
+78.934944 210.896851 l
+78.967400 211.518646 l
+78.999847 212.140457 l
+79.032303 213.384064 l
+79.064751 212.451355 l
+79.097206 212.451355 l
+79.129654 211.829559 l
+79.162109 211.518646 l
+79.194557 211.829559 l
+79.227013 211.518646 l
+79.259460 212.762253 l
+79.291916 213.073166 l
+79.324364 213.694962 l
+79.356812 214.627670 l
+79.389267 213.694962 l
+79.421715 212.451355 l
+79.454170 212.140457 l
+79.486618 211.829559 l
+79.519073 212.140457 l
+79.551521 211.829559 l
+79.583977 213.384064 l
+79.616425 213.694962 l
+79.648880 214.005875 l
+79.681328 214.627670 l
+79.713783 214.627670 l
+79.746231 214.005875 l
+79.778687 213.384064 l
+79.811134 213.694962 l
+79.843590 214.005875 l
+79.876038 214.316772 l
+79.908493 215.560379 l
+79.940941 216.493088 l
+79.973396 216.803986 l
+80.005844 216.803986 l
+80.038292 216.182190 l
+80.070747 215.560379 l
+80.103188 214.627670 l
+80.135651 214.627670 l
+80.168091 214.627670 l
+80.200554 215.871292 l
+80.232994 216.182190 l
+80.265457 216.803986 l
+80.297897 218.047607 l
+80.330345 218.980301 l
+80.362801 219.291214 l
+80.395248 218.358505 l
+80.427704 217.736694 l
+80.460152 218.047607 l
+80.492607 217.736694 l
+80.525055 218.047607 l
+80.557503 218.047607 l
+80.589958 218.980301 l
+80.622406 219.291214 l
+80.654861 219.602112 l
+80.687309 219.291214 l
+80.719765 219.291214 l
+80.752213 219.291214 l
+80.784668 219.291214 l
+80.817116 219.913010 l
+80.849571 220.223923 l
+80.882019 221.467529 l
+80.914474 222.400238 l
+80.946922 223.022034 l
+80.979378 223.332947 l
+81.011826 223.954742 l
+81.044281 223.954742 l
+81.076729 224.576553 l
+81.109184 223.643845 l
+81.141632 223.332947 l
+81.174088 223.954742 l
+81.206535 225.820160 l
+81.238983 226.131073 l
+81.271439 227.063766 l
+81.303886 227.685577 l
+81.336342 227.996475 l
+81.368790 227.063766 l
+81.401245 227.685577 l
+81.433693 227.685577 l
+81.466148 227.374680 l
+81.498596 228.307388 l
+81.531052 229.240082 l
+81.563499 229.861893 l
+81.595955 229.550995 l
+81.628403 230.172791 l
+81.660858 229.240082 l
+81.693298 229.240082 l
+81.725761 227.996475 l
+81.758194 227.685577 l
+81.790665 227.063766 l
+81.823097 227.063766 l
+81.855568 227.996475 l
+81.888000 227.996475 l
+81.920471 228.929184 l
+81.952904 229.550995 l
+81.985367 227.996475 l
+82.017807 227.374680 l
+82.050270 226.131073 l
+82.082710 225.509262 l
+82.115166 224.887451 l
+82.147614 224.576553 l
+82.180069 223.954742 l
+82.212517 223.643845 l
+82.244972 223.332947 l
+82.277420 222.089340 l
+82.309875 221.778427 l
+82.342323 220.534821 l
+82.374779 219.913010 l
+82.407227 219.291214 l
+82.439674 218.980301 l
+82.472130 219.291214 l
+82.504578 218.980301 l
+82.537033 218.980301 l
+82.569481 218.358505 l
+82.601936 218.047607 l
+82.634384 217.736694 l
+82.666840 216.493088 l
+82.699287 215.871292 l
+82.731743 215.249481 l
+82.764191 215.249481 l
+82.796646 215.871292 l
+82.829094 216.182190 l
+82.861549 215.871292 l
+82.893997 216.493088 l
+82.926453 215.871292 l
+82.958900 215.249481 l
+82.991356 214.005875 l
+83.023804 214.005875 l
+83.056259 212.762253 l
+83.088707 213.073166 l
+83.121155 213.694962 l
+83.153610 214.316772 l
+83.186058 214.938568 l
+83.218513 215.560379 l
+83.250961 214.938568 l
+83.283417 214.005875 l
+83.315865 213.694962 l
+83.348305 213.694962 l
+83.380768 213.694962 l
+83.413208 213.694962 l
+83.445671 213.694962 l
+83.478111 214.627670 l
+83.510574 215.560379 l
+83.543015 216.182190 l
+83.575478 215.871292 l
+83.607918 215.560379 l
+83.640381 214.005875 l
+83.672821 214.316772 l
+83.705284 214.316772 l
+83.737724 214.005875 l
+83.770172 213.694962 l
+83.802628 214.005875 l
+83.835075 214.005875 l
+83.867531 215.249481 l
+83.899979 216.182190 l
+83.932434 214.938568 l
+83.964882 214.627670 l
+83.997337 214.005875 l
+84.029785 213.384064 l
+84.062241 213.384064 l
+84.094688 214.316772 l
+84.127144 215.249481 l
+84.159592 215.871292 l
+84.192047 216.182190 l
+84.224495 216.182190 l
+84.256950 214.938568 l
+84.289398 214.627670 l
+84.321854 213.073166 l
+84.354301 213.694962 l
+84.386749 213.694962 l
+84.419205 214.005875 l
+84.451653 215.560379 l
+84.484108 216.182190 l
+84.516556 216.493088 l
+84.549011 216.803986 l
+84.581459 215.249481 l
+84.613914 214.627670 l
+84.646362 213.694962 l
+84.678818 213.073166 l
+84.711266 214.005875 l
+84.743721 214.627670 l
+84.776169 215.560379 l
+84.808624 215.560379 l
+84.841072 215.871292 l
+84.873528 216.182190 l
+84.905975 215.249481 l
+84.938431 214.938568 l
+84.970879 214.005875 l
+85.003319 213.694962 l
+85.035782 213.694962 l
+85.068222 214.316772 l
+85.100685 215.249481 l
+85.133125 215.560379 l
+85.165588 216.182190 l
+85.198029 216.493088 l
+85.230492 215.249481 l
+85.262932 214.316772 l
+85.295395 214.005875 l
+85.327835 213.384064 l
+85.360298 213.694962 l
+85.392738 214.938568 l
+85.425186 214.938568 l
+85.457642 215.249481 l
+85.490089 215.871292 l
+85.522537 216.182190 l
+85.554993 215.560379 l
+85.587440 214.627670 l
+85.619896 214.316772 l
+85.652344 214.005875 l
+85.684799 214.316772 l
+85.717247 214.938568 l
+85.749702 214.938568 l
+85.782150 214.938568 l
+85.814606 214.627670 l
+85.847054 214.627670 l
+85.879509 214.627670 l
+85.911957 214.316772 l
+85.944412 213.694962 l
+85.976860 213.694962 l
+86.009315 214.005875 l
+86.041763 214.627670 l
+86.074219 214.627670 l
+86.106667 214.938568 l
+86.139122 214.938568 l
+86.171570 214.627670 l
+86.204025 213.694962 l
+86.236473 213.694962 l
+86.268921 213.694962 l
+86.301376 213.073166 l
+86.333824 213.384064 l
+86.366280 214.005875 l
+86.398727 214.627670 l
+86.431183 214.938568 l
+86.463631 214.627670 l
+86.496086 214.316772 l
+86.528534 213.694962 l
+86.560989 213.384064 l
+86.593437 213.073166 l
+86.625893 212.762253 l
+86.658333 213.694962 l
+86.690796 214.005875 l
+86.723236 214.316772 l
+86.755699 214.938568 l
+86.788132 215.249481 l
+86.820602 214.627670 l
+86.853035 213.694962 l
+86.885506 213.384064 l
+86.917938 212.451355 l
+86.950401 212.451355 l
+86.982841 212.451355 l
+87.015305 213.073166 l
+87.047745 212.762253 l
+87.080200 213.694962 l
+87.112648 214.627670 l
+87.145103 213.694962 l
+87.177551 212.762253 l
+87.210007 212.762253 l
+87.242455 213.384064 l
+87.274910 213.073166 l
+87.307358 212.762253 l
+87.339813 213.694962 l
+87.372261 214.005875 l
+87.404716 214.316772 l
+87.437164 214.938568 l
+87.469612 214.627670 l
+87.502068 213.694962 l
+87.534515 212.762253 l
+87.566971 212.451355 l
+87.599419 212.762253 l
+87.631874 214.005875 l
+87.664322 214.316772 l
+87.696777 214.627670 l
+87.729225 215.249481 l
+87.761681 214.938568 l
+87.794128 214.627670 l
+87.826584 214.627670 l
+87.859032 214.316772 l
+87.891487 215.249481 l
+87.923935 215.871292 l
+87.956390 216.803986 l
+87.988838 219.291214 l
+88.021294 219.602112 l
+88.053741 220.534821 l
+88.086197 221.467529 l
+88.118645 221.467529 l
+88.151093 220.845718 l
+88.183548 220.845718 l
+88.215996 221.156631 l
+88.248451 220.534821 l
+88.280899 221.467529 l
+88.313339 221.778427 l
+88.345802 222.089340 l
+88.378242 222.711136 l
+88.410706 223.022034 l
+88.443146 223.332947 l
+88.475609 224.265656 l
+88.508049 224.887451 l
+88.540512 224.265656 l
+88.572952 223.643845 l
+88.605415 224.576553 l
+88.637856 225.509262 l
+88.670319 226.441971 l
+88.702759 226.752869 l
+88.735207 226.131073 l
+88.767662 225.820160 l
+88.800110 225.509262 l
+88.832565 226.131073 l
+88.865013 225.820160 l
+88.897469 225.198349 l
+88.929916 225.820160 l
+88.962372 225.820160 l
+88.994820 225.820160 l
+89.027275 225.509262 l
+89.059723 225.198349 l
+89.092178 224.576553 l
+89.124626 223.643845 l
+89.157082 222.400238 l
+89.189529 222.400238 l
+89.221985 221.778427 l
+89.254433 222.089340 l
+89.286888 222.711136 l
+89.319336 223.022034 l
+89.351784 223.332947 l
+89.384239 223.954742 l
+89.416687 223.022034 l
+89.449142 223.022034 l
+89.481590 222.711136 l
+89.514046 222.089340 l
+89.546494 221.156631 l
+89.578949 221.156631 l
+89.611397 221.156631 l
+89.643852 221.778427 l
+89.676300 222.400238 l
+89.708755 222.711136 l
+89.741203 221.778427 l
+89.773659 221.156631 l
+89.806107 220.223923 l
+89.838562 219.913010 l
+89.871010 219.913010 l
+89.903465 220.845718 l
+89.935913 222.089340 l
+89.968353 222.400238 l
+90.000816 222.711136 l
+90.033257 223.022034 l
+90.065720 221.156631 l
+90.098160 220.223923 l
+90.130623 219.602112 l
+90.163063 218.980301 l
+90.195526 220.534821 l
+90.227966 221.467529 l
+90.260429 221.467529 l
+90.292870 221.778427 l
+90.325333 222.400238 l
+90.357773 221.778427 l
+90.390221 221.156631 l
+90.422676 220.534821 l
+90.455124 219.913010 l
+90.487579 219.602112 l
+90.520027 220.223923 l
+90.552475 219.913010 l
+90.584930 220.223923 l
+90.617378 220.845718 l
+90.649834 220.223923 l
+90.682281 220.845718 l
+90.714737 218.669403 l
+90.747185 218.047607 l
+90.779640 216.493088 l
+90.812088 216.493088 l
+90.844543 217.736694 l
+90.876991 219.602112 l
+90.909447 222.400238 l
+90.941895 226.131073 l
+90.974350 229.861893 l
+91.006798 232.660019 l
+91.039253 235.458145 l
+91.071701 239.188965 l
+91.104156 242.919800 l
+91.136604 249.759644 l
+91.169060 257.532227 l
+91.201508 266.237488 l
+91.233955 270.590118 l
+91.266411 268.724701 l
+91.298859 267.481110 l
+91.331314 263.439362 l
+91.363762 258.464905 l
+91.396217 252.246872 l
+91.428665 246.650635 l
+91.461121 239.810776 l
+91.493568 231.727310 l
+91.526024 224.887451 l
+91.558472 218.358505 l
+91.590927 215.871292 l
+91.623367 217.425797 l
+91.655830 217.736694 l
+91.688271 217.736694 l
+91.720734 218.669403 l
+91.753166 218.980301 l
+91.785637 219.913010 l
+91.818069 221.156631 l
+91.850540 222.711136 l
+91.882973 223.332947 l
+91.915436 223.022034 l
+91.947876 223.332947 l
+91.980339 222.711136 l
+92.012779 221.778427 l
+92.045235 220.845718 l
+92.077682 220.534821 l
+92.110138 220.845718 l
+92.142586 221.778427 l
+92.175041 222.089340 l
+92.207489 222.089340 l
+92.239944 222.711136 l
+92.272392 223.332947 l
+92.304848 223.022034 l
+92.337296 222.089340 l
+92.369751 220.845718 l
+92.402199 219.913010 l
+92.434647 220.223923 l
+92.467102 221.156631 l
+92.499550 222.400238 l
+92.532005 222.400238 l
+92.564453 222.400238 l
+92.596909 223.954742 l
+92.629356 222.089340 l
+92.661812 221.467529 l
+92.694260 221.156631 l
+92.726715 220.534821 l
+92.759163 221.467529 l
+92.791618 222.711136 l
+92.824066 223.332947 l
+92.856522 223.643845 l
+92.888969 223.954742 l
+92.921425 224.265656 l
+92.953873 223.332947 l
+92.986328 222.400238 l
+93.018776 222.089340 l
+93.051231 221.778427 l
+93.083679 222.089340 l
+93.116127 223.332947 l
+93.148582 223.954742 l
+93.181030 224.576553 l
+93.213486 224.887451 l
+93.245934 225.509262 l
+93.278374 223.643845 l
+93.310837 222.400238 l
+93.343277 222.089340 l
+93.375740 222.400238 l
+93.408180 222.089340 l
+93.440643 223.332947 l
+93.473083 223.643845 l
+93.505547 223.954742 l
+93.537987 224.887451 l
+93.570450 225.509262 l
+93.602890 225.198349 l
+93.635353 224.576553 l
+93.667793 224.265656 l
+93.700241 224.265656 l
+93.732697 223.643845 l
+93.765144 223.954742 l
+93.797600 225.509262 l
+93.830048 225.820160 l
+93.862503 226.131073 l
+93.894951 225.820160 l
+93.927406 225.820160 l
+93.959854 225.509262 l
+93.992310 226.131073 l
+94.024757 225.198349 l
+94.057213 225.509262 l
+94.089661 226.441971 l
+94.122116 228.307388 l
+94.154564 228.618286 l
+94.187019 229.240082 l
+94.219467 229.240082 l
+94.251923 228.618286 l
+94.284370 228.307388 l
+94.316818 228.929184 l
+94.349274 227.685577 l
+94.381721 229.240082 l
+94.414177 230.794601 l
+94.446625 231.105515 l
+94.479080 231.416412 l
+94.511528 232.038208 l
+94.543983 232.038208 l
+94.576431 232.038208 l
+94.608887 231.727310 l
+94.641335 231.727310 l
+94.673790 230.794601 l
+94.706238 231.727310 l
+94.738693 231.727310 l
+94.771141 232.660019 l
+94.803596 233.592728 l
+94.836044 233.592728 l
+94.868500 233.281830 l
+94.900948 233.281830 l
+94.933388 232.970917 l
+94.965851 232.660019 l
+94.998291 231.416412 l
+95.030754 231.416412 l
+95.063194 231.105515 l
+95.095657 231.416412 l
+95.128098 231.416412 l
+95.160561 232.038208 l
+95.193001 231.416412 l
+95.225464 229.861893 l
+95.257904 228.929184 l
+95.290367 227.063766 l
+95.322807 225.820160 l
+95.355255 225.820160 l
+95.387711 224.576553 l
+95.420158 224.887451 l
+95.452614 225.198349 l
+95.485062 224.576553 l
+95.517509 223.332947 l
+95.549965 222.711136 l
+95.582413 221.156631 l
+95.614868 221.156631 l
+95.647316 219.913010 l
+95.679771 220.223923 l
+95.712219 219.913010 l
+95.744675 219.913010 l
+95.777122 219.291214 l
+95.809578 219.291214 l
+95.842026 217.425797 l
+95.874481 217.114899 l
+95.906929 216.493088 l
+95.939384 216.182190 l
+95.971832 214.938568 l
+96.004288 215.249481 l
+96.036736 215.871292 l
+96.069191 215.871292 l
+96.101639 215.871292 l
+96.134094 217.114899 l
+96.166542 216.182190 l
+96.198990 215.560379 l
+96.231445 214.627670 l
+96.263893 214.005875 l
+96.296349 213.384064 l
+96.328796 214.005875 l
+96.361252 214.316772 l
+96.393700 214.627670 l
+96.426155 214.938568 l
+96.458603 215.871292 l
+96.491058 214.627670 l
+96.523506 214.938568 l
+96.555962 213.694962 l
+96.588409 211.829559 l
+96.620865 212.762253 l
+96.653305 213.073166 l
+96.685768 214.627670 l
+96.718201 215.249481 l
+96.750671 215.560379 l
+96.783104 215.560379 l
+96.815575 214.938568 l
+96.848007 213.384064 l
+96.880478 213.073166 l
+96.912910 212.762253 l
+96.945374 213.384064 l
+96.977814 213.073166 l
+97.010277 213.384064 l
+97.042717 214.005875 l
+97.075172 214.316772 l
+97.107620 214.627670 l
+97.140076 213.694962 l
+97.172523 213.073166 l
+97.204979 211.829559 l
+97.237427 211.829559 l
+97.269882 212.140457 l
+97.302330 212.762253 l
+97.334785 213.694962 l
+97.367233 214.316772 l
+97.399681 214.005875 l
+97.432137 214.627670 l
+97.464584 213.694962 l
+97.497040 213.384064 l
+97.529488 213.073166 l
+97.561943 212.140457 l
+97.594391 211.829559 l
+97.626846 212.762253 l
+97.659294 212.762253 l
+97.691750 214.005875 l
+97.724197 214.316772 l
+97.756653 214.005875 l
+97.789101 213.384064 l
+97.821556 212.451355 l
+97.854004 212.140457 l
+97.886459 211.829559 l
+97.918907 211.829559 l
+97.951363 212.140457 l
+97.983810 214.005875 l
+98.016266 213.694962 l
+98.048714 213.694962 l
+98.081161 214.316772 l
+98.113617 213.073166 l
+98.146065 212.762253 l
+98.178520 212.762253 l
+98.210968 212.762253 l
+98.243423 212.762253 l
+98.275871 213.694962 l
+98.308311 213.073166 l
+98.340775 213.384064 l
+98.373215 213.694962 l
+98.405678 213.384064 l
+98.438118 212.451355 l
+98.470581 211.518646 l
+98.503021 211.518646 l
+98.535484 211.207748 l
+98.567924 211.518646 l
+98.600388 212.762253 l
+98.632828 213.384064 l
+98.665291 213.073166 l
+98.697731 213.384064 l
+98.730179 213.694962 l
+98.762634 212.451355 l
+98.795082 212.451355 l
+98.827538 211.207748 l
+98.859985 210.896851 l
+98.892441 211.207748 l
+98.924889 211.829559 l
+98.957344 212.140457 l
+98.989792 213.073166 l
+99.022247 213.384064 l
+99.054695 213.384064 l
+99.087151 212.451355 l
+99.119598 211.518646 l
+99.152054 211.207748 l
+99.184502 210.585938 l
+99.216957 210.896851 l
+99.249405 212.140457 l
+99.281860 212.140457 l
+99.314308 212.762253 l
+99.346756 213.384064 l
+99.379211 212.762253 l
+99.411659 212.451355 l
+99.444115 211.518646 l
+99.476562 211.518646 l
+99.509018 211.207748 l
+99.541466 210.585938 l
+99.573921 210.896851 l
+99.606369 211.518646 l
+99.638824 211.829559 l
+99.671272 212.451355 l
+99.703728 212.140457 l
+99.736176 211.829559 l
+99.768631 211.207748 l
+99.801079 211.207748 l
+99.833534 210.275040 l
+99.865982 211.207748 l
+99.898438 211.829559 l
+99.930885 212.762253 l
+99.963326 212.762253 l
+99.995789 213.384064 l
+100.028229 213.073166 l
+100.060692 212.140457 l
+100.093132 210.585938 l
+100.125595 210.585938 l
+100.158035 209.342331 l
+100.190498 209.653229 l
+100.222939 211.207748 l
+100.255402 211.829559 l
+100.287842 212.451355 l
+100.320305 212.451355 l
+100.352745 211.829559 l
+100.385193 210.896851 l
+100.417648 210.275040 l
+100.450096 210.585938 l
+100.482544 210.585938 l
+100.514999 211.207748 l
+100.547447 211.518646 l
+100.579903 211.829559 l
+100.612350 212.451355 l
+100.644806 212.762253 l
+100.677254 212.762253 l
+100.709709 211.829559 l
+100.742157 210.585938 l
+100.774612 210.585938 l
+100.807060 210.275040 l
+100.839516 211.207748 l
+100.871964 211.518646 l
+100.904419 211.829559 l
+100.936867 211.518646 l
+100.969322 212.451355 l
+101.001770 211.207748 l
+101.034225 210.585938 l
+101.066673 209.964142 l
+101.099129 209.653229 l
+101.131577 209.653229 l
+101.164032 210.585938 l
+101.196480 211.829559 l
+101.228928 213.384064 l
+101.261383 213.694962 l
+101.293831 212.451355 l
+101.326286 211.207748 l
+101.358734 210.585938 l
+101.391190 209.964142 l
+101.423637 209.653229 l
+101.456093 209.031433 l
+101.488541 209.964142 l
+101.520996 210.896851 l
+101.553444 211.518646 l
+101.585899 212.451355 l
+101.618340 212.762253 l
+101.650803 211.518646 l
+101.683243 210.896851 l
+101.715706 210.585938 l
+101.748138 209.964142 l
+101.780609 209.964142 l
+101.813042 210.896851 l
+101.845512 211.518646 l
+101.877945 211.518646 l
+101.910408 211.829559 l
+101.942848 212.140457 l
+101.975311 211.207748 l
+102.007751 210.585938 l
+102.040207 210.585938 l
+102.072655 210.275040 l
+102.105110 210.896851 l
+102.137558 211.518646 l
+102.170013 211.829559 l
+102.202461 211.829559 l
+102.234917 212.451355 l
+102.267365 212.762253 l
+102.299820 211.207748 l
+102.332268 210.275040 l
+102.364723 209.653229 l
+102.397171 210.896851 l
+102.429619 210.275040 l
+102.462074 210.896851 l
+102.494522 212.140457 l
+102.526978 212.451355 l
+102.559425 212.140457 l
+102.591881 212.451355 l
+102.624329 211.829559 l
+102.656784 210.896851 l
+102.689232 210.585938 l
+102.721687 210.896851 l
+102.754135 211.207748 l
+102.786591 211.518646 l
+102.819038 211.829559 l
+102.851494 211.829559 l
+102.883942 212.762253 l
+102.916397 212.451355 l
+102.948845 211.518646 l
+102.981300 211.829559 l
+103.013748 212.140457 l
+103.046204 211.207748 l
+103.078651 211.829559 l
+103.111099 212.762253 l
+103.143555 213.073166 l
+103.176003 214.316772 l
+103.208458 214.005875 l
+103.240906 213.384064 l
+103.273346 213.073166 l
+103.305809 212.140457 l
+103.338249 212.140457 l
+103.370712 212.140457 l
+103.403152 212.140457 l
+103.435616 212.762253 l
+103.468056 214.005875 l
+103.500519 215.560379 l
+103.532959 215.871292 l
+103.565422 216.182190 l
+103.597862 215.249481 l
+103.630325 215.249481 l
+103.662766 214.316772 l
+103.695213 214.005875 l
+103.727669 214.005875 l
+103.760117 214.005875 l
+103.792572 214.938568 l
+103.825020 215.871292 l
+103.857475 218.047607 l
+103.889923 216.803986 l
+103.922379 215.560379 l
+103.954826 215.249481 l
+103.987282 213.694962 l
+104.019730 212.451355 l
+104.052185 212.762253 l
+104.084633 213.694962 l
+104.117088 213.694962 l
+104.149536 213.384064 l
+104.181992 213.384064 l
+104.214439 212.140457 l
+104.246895 211.518646 l
+104.279343 210.585938 l
+104.311790 209.964142 l
+104.344246 209.653229 l
+104.376694 209.653229 l
+104.409149 210.896851 l
+104.441597 210.896851 l
+104.474052 211.829559 l
+104.506500 212.451355 l
+104.538956 211.829559 l
+104.571404 210.896851 l
+104.603859 210.275040 l
+104.636307 210.275040 l
+104.668762 209.653229 l
+104.701210 209.653229 l
+104.733665 210.275040 l
+104.766113 211.518646 l
+104.798569 211.518646 l
+104.831017 211.518646 l
+104.863472 210.275040 l
+104.895920 210.275040 l
+104.928368 209.964142 l
+104.960815 208.720520 l
+104.993271 208.409622 l
+105.025719 209.031433 l
+105.058174 210.275040 l
+105.090622 210.585938 l
+105.123077 211.207748 l
+105.155525 211.829559 l
+105.187981 210.896851 l
+105.220428 209.964142 l
+105.252876 209.031433 l
+105.285332 208.409622 l
+105.317780 208.720520 l
+105.350227 209.653229 l
+105.382683 209.964142 l
+105.415131 210.275040 l
+105.447586 210.585938 l
+105.480034 212.140457 l
+105.512482 210.275040 l
+105.544937 209.964142 l
+105.577385 209.653229 l
+105.609840 208.409622 l
+105.642288 207.787827 l
+105.674744 208.720520 l
+105.707191 209.342331 l
+105.739647 209.031433 l
+105.772095 209.031433 l
+105.804550 208.720520 l
+105.836998 207.476913 l
+105.869453 207.166016 l
+105.901901 207.476913 l
+105.934357 207.476913 l
+105.966805 209.653229 l
+105.999260 214.005875 l
+106.031708 218.047607 l
+106.064163 221.156631 l
+106.096611 224.887451 l
+106.129066 229.861893 l
+106.161514 232.349121 l
+106.193962 237.634460 l
+106.226418 243.852509 l
+106.258865 251.935974 l
+106.291321 256.910400 l
+106.323769 257.221313 l
+106.356224 257.221313 l
+106.388672 253.801392 l
+106.421127 251.003265 l
+106.453575 244.163406 l
+106.486031 238.878067 l
+106.518478 232.038208 l
+106.550934 223.643845 l
+106.583374 214.627670 l
+106.615829 207.166016 l
+106.648277 204.056992 l
+106.680733 203.746078 l
+106.713181 205.922409 l
+106.745636 206.855118 l
+106.778084 208.409622 l
+106.810539 209.964142 l
+106.842987 210.896851 l
+106.875443 210.896851 l
+106.907890 210.585938 l
+106.940346 211.518646 l
+106.972794 212.140457 l
+107.005249 212.140457 l
+107.037697 213.073166 l
+107.070152 213.384064 l
+107.102600 213.073166 l
+107.135048 212.140457 l
+107.167503 211.518646 l
+107.199951 211.518646 l
+107.232399 211.518646 l
+107.264854 211.207748 l
+107.297302 212.140457 l
+107.329758 212.762253 l
+107.362206 213.384064 l
+107.394653 212.762253 l
+107.427109 213.384064 l
+107.459557 212.451355 l
+107.492012 211.207748 l
+107.524460 211.518646 l
+107.556915 211.207748 l
+107.589363 212.762253 l
+107.621819 212.762253 l
+107.654266 214.005875 l
+107.686722 214.627670 l
+107.719170 214.316772 l
+107.751625 214.316772 l
+107.784073 213.384064 l
+107.816528 212.451355 l
+107.848976 212.762253 l
+107.881432 211.829559 l
+107.913879 212.451355 l
+107.946335 213.384064 l
+107.978783 214.627670 l
+108.011238 215.560379 l
+108.043678 215.871292 l
+108.076134 215.249481 l
+108.108582 213.694962 l
+108.141037 213.384064 l
+108.173485 213.073166 l
+108.205940 211.207748 l
+108.238388 212.762253 l
+108.270844 214.316772 l
+108.303291 214.316772 l
+108.335739 214.938568 l
+108.368195 216.182190 l
+108.400642 215.871292 l
+108.433098 215.560379 l
+108.465546 214.938568 l
+108.498001 214.627670 l
+108.530449 214.316772 l
+108.562904 215.249481 l
+108.595352 214.938568 l
+108.627808 215.249481 l
+108.660255 215.871292 l
+108.692711 216.803986 l
+108.725159 217.114899 l
+108.757614 216.182190 l
+108.790062 216.182190 l
+108.822517 215.249481 l
+108.854958 215.871292 l
+108.887413 215.871292 l
+108.919861 217.114899 l
+108.952316 218.047607 l
+108.984764 218.669403 l
+109.017220 219.291214 l
+109.049667 219.602112 l
+109.082123 219.291214 l
+109.114571 218.980301 l
+109.147026 218.358505 l
+109.179474 219.291214 l
+109.211929 219.602112 l
+109.244377 221.467529 l
+109.276825 222.089340 l
+109.309280 222.400238 l
+109.341728 223.332947 l
+109.374184 223.332947 l
+109.406631 222.711136 l
+109.439087 222.400238 l
+109.471535 222.400238 l
+109.503990 223.022034 l
+109.536438 223.954742 l
+109.568893 224.887451 l
+109.601341 225.820160 l
+109.633797 225.509262 l
+109.666245 226.131073 l
+109.698692 225.509262 l
+109.731140 225.820160 l
+109.763596 226.752869 l
+109.796043 226.441971 l
+109.828499 226.131073 l
+109.860947 227.374680 l
+109.893402 227.685577 l
+109.925850 228.307388 l
+109.958305 227.374680 l
+109.990753 228.618286 l
+110.023209 227.374680 l
+110.055656 227.374680 l
+110.088112 227.063766 l
+110.120560 225.820160 l
+110.153015 225.509262 l
+110.185463 225.820160 l
+110.217911 226.752869 l
+110.250366 225.820160 l
+110.282814 226.131073 l
+110.315269 225.820160 l
+110.347717 226.131073 l
+110.380173 223.332947 l
+110.412621 223.022034 l
+110.445076 222.711136 l
+110.477524 221.778427 l
+110.509972 221.778427 l
+110.542419 222.089340 l
+110.574875 221.778427 l
+110.607323 221.467529 l
+110.639778 220.845718 l
+110.672226 219.913010 l
+110.704681 219.291214 l
+110.737129 218.047607 l
+110.769585 216.803986 l
+110.802032 215.560379 l
+110.834488 215.560379 l
+110.866936 214.938568 l
+110.899391 215.560379 l
+110.931839 215.560379 l
+110.964294 215.249481 l
+110.996742 214.316772 l
+111.029198 213.694962 l
+111.061646 213.384064 l
+111.094101 212.451355 l
+111.126549 212.140457 l
+111.158997 212.140457 l
+111.191452 213.073166 l
+111.223900 213.073166 l
+111.256355 214.005875 l
+111.288803 214.005875 l
+111.321259 213.073166 l
+111.353706 212.762253 l
+111.386154 211.829559 l
+111.418602 211.829559 l
+111.451057 212.451355 l
+111.483505 212.762253 l
+111.515961 212.451355 l
+111.548409 212.140457 l
+111.580864 211.829559 l
+111.613312 211.829559 l
+111.645767 210.585938 l
+111.678215 210.585938 l
+111.710670 210.896851 l
+111.743118 210.585938 l
+111.775574 210.585938 l
+111.808022 211.518646 l
+111.840477 211.829559 l
+111.872925 211.829559 l
+111.905380 212.140457 l
+111.937828 212.451355 l
+111.970284 212.140457 l
+112.002731 211.518646 l
+112.035187 211.207748 l
+112.067635 210.275040 l
+112.100082 210.896851 l
+112.132538 211.518646 l
+112.164986 211.829559 l
+112.197433 213.073166 l
+112.229889 214.005875 l
+112.262337 213.694962 l
+112.294792 212.451355 l
+112.327240 210.896851 l
+112.359688 210.896851 l
+112.392143 210.585938 l
+112.424591 211.829559 l
+112.457047 212.451355 l
+112.489494 214.005875 l
+112.521950 213.073166 l
+112.554398 213.694962 l
+112.586853 213.694962 l
+112.619301 212.762253 l
+112.651756 211.829559 l
+112.684204 211.207748 l
+112.716660 210.896851 l
+112.749107 211.207748 l
+112.781563 212.451355 l
+112.814011 212.762253 l
+112.846466 212.762253 l
+112.878914 213.694962 l
+112.911369 214.627670 l
+112.943817 213.073166 l
+112.976273 212.451355 l
+113.008713 212.140457 l
+113.041168 211.207748 l
+113.073616 211.207748 l
+113.106071 212.140457 l
+113.138519 213.073166 l
+113.170975 213.073166 l
+113.203423 214.005875 l
+113.235878 214.316772 l
+113.268326 213.384064 l
+113.300774 213.073166 l
+113.333229 212.451355 l
+113.365677 212.140457 l
+113.398132 212.140457 l
+113.430580 213.384064 l
+113.463036 213.384064 l
+113.495483 213.384064 l
+113.527939 213.694962 l
+113.560387 214.627670 l
+113.592842 212.762253 l
+113.625290 213.073166 l
+113.657745 212.762253 l
+113.690193 212.140457 l
+113.722649 213.073166 l
+113.755096 213.073166 l
+113.787552 214.316772 l
+113.820000 213.694962 l
+113.852448 214.316772 l
+113.884895 214.316772 l
+113.917351 213.694962 l
+113.949799 212.762253 l
+113.982254 212.762253 l
+114.014702 212.140457 l
+114.047157 211.829559 l
+114.079605 212.762253 l
+114.112061 213.384064 l
+114.144508 213.694962 l
+114.176964 213.694962 l
+114.209412 213.384064 l
+114.241867 213.073166 l
+114.274315 211.518646 l
+114.306763 211.518646 l
+114.339218 210.896851 l
+114.371666 211.518646 l
+114.404121 213.073166 l
+114.436569 213.384064 l
+114.469025 214.627670 l
+114.501472 213.694962 l
+114.533928 213.694962 l
+114.566376 212.762253 l
+114.598831 211.829559 l
+114.631279 210.896851 l
+114.663734 210.585938 l
+114.696175 212.762253 l
+114.728630 212.451355 l
+114.761078 212.762253 l
+114.793533 212.451355 l
+114.825981 213.384064 l
+114.858437 213.073166 l
+114.890884 212.140457 l
+114.923340 211.829559 l
+114.955788 211.207748 l
+114.988243 210.896851 l
+115.020691 211.207748 l
+115.053146 211.518646 l
+115.085594 212.140457 l
+115.118050 212.762253 l
+115.150497 213.384064 l
+115.182953 212.451355 l
+115.215401 211.829559 l
+115.247849 212.140457 l
+115.280304 211.518646 l
+115.312752 210.896851 l
+115.345207 212.451355 l
+115.377655 212.762253 l
+115.410110 213.384064 l
+115.442558 213.694962 l
+115.475014 212.762253 l
+115.507454 212.762253 l
+115.539909 211.829559 l
+115.572357 211.829559 l
+115.604813 211.207748 l
+115.637260 211.207748 l
+115.669716 211.207748 l
+115.702164 211.518646 l
+115.734619 213.694962 l
+115.767067 213.384064 l
+115.799522 214.316772 l
+115.831970 212.762253 l
+115.864426 211.518646 l
+115.896873 211.207748 l
+115.929329 210.896851 l
+115.961777 210.585938 l
+115.994232 211.518646 l
+116.026680 212.140457 l
+116.059135 213.694962 l
+116.091583 213.694962 l
+116.124039 213.694962 l
+116.156487 213.384064 l
+116.188934 212.451355 l
+116.221390 211.829559 l
+116.253838 211.518646 l
+116.286293 210.275040 l
+116.318741 210.585938 l
+116.351189 210.896851 l
+116.383644 211.518646 l
+116.416092 213.073166 l
+116.448540 213.073166 l
+116.480995 211.829559 l
+116.513443 211.829559 l
+116.545898 211.207748 l
+116.578346 210.585938 l
+116.610802 210.585938 l
+116.643250 211.207748 l
+116.675705 212.451355 l
+116.708153 213.073166 l
+116.740608 213.694962 l
+116.773056 213.073166 l
+116.805511 213.073166 l
+116.837959 212.762253 l
+116.870415 212.451355 l
+116.902863 211.518646 l
+116.935318 211.518646 l
+116.967766 212.762253 l
+117.000221 213.073166 l
+117.032669 213.384064 l
+117.065125 214.005875 l
+117.097572 214.316772 l
+117.130020 213.384064 l
+117.162468 213.073166 l
+117.194923 212.762253 l
+117.227371 212.140457 l
+117.259827 212.451355 l
+117.292274 212.140457 l
+117.324730 213.694962 l
+117.357178 215.560379 l
+117.389626 215.871292 l
+117.422081 216.493088 l
+117.454529 217.114899 l
+117.486984 217.425797 l
+117.519432 217.114899 l
+117.551888 217.425797 l
+117.584335 216.803986 l
+117.616791 217.736694 l
+117.649239 218.047607 l
+117.681694 218.669403 l
+117.714142 219.291214 l
+117.746597 219.913010 l
+117.779045 219.291214 l
+117.811501 218.669403 l
+117.843948 218.047607 l
+117.876404 217.736694 l
+117.908852 218.669403 l
+117.941307 219.291214 l
+117.973755 220.845718 l
+118.006203 221.778427 l
+118.038651 223.022034 l
+118.071106 223.332947 l
+118.103554 221.156631 l
+118.136009 220.845718 l
+118.168457 221.467529 l
+118.200912 220.845718 l
+118.233360 221.156631 l
+118.265816 221.467529 l
+118.298264 222.089340 l
+118.330711 223.022034 l
+118.363167 223.643845 l
+118.395615 224.265656 l
+118.428070 224.265656 l
+118.460518 223.332947 l
+118.492973 223.643845 l
+118.525421 222.711136 l
+118.557877 223.022034 l
+118.590324 223.022034 l
+118.622780 223.643845 l
+118.655228 224.265656 l
+118.687683 224.887451 l
+118.720131 225.820160 l
+118.752586 225.198349 l
+118.785034 225.509262 l
+118.817482 225.509262 l
+118.849930 224.887451 l
+118.882385 224.887451 l
+118.914833 225.198349 l
+118.947289 224.887451 l
+118.979736 225.509262 l
+119.012192 226.441971 l
+119.044640 225.509262 l
+119.077095 225.198349 l
+119.109543 225.198349 l
+119.141998 225.198349 l
+119.174446 223.954742 l
+119.206902 223.332947 l
+119.239349 223.954742 l
+119.271797 223.954742 l
+119.304253 223.643845 l
+119.336700 223.643845 l
+119.369156 223.332947 l
+119.401604 222.089340 l
+119.434059 222.089340 l
+119.466507 221.156631 l
+119.498962 220.845718 l
+119.531410 221.156631 l
+119.563866 221.778427 l
+119.596313 222.711136 l
+119.628769 223.022034 l
+119.661209 222.711136 l
+119.693665 222.089340 l
+119.726112 221.778427 l
+119.758568 220.845718 l
+119.791016 220.534821 l
+119.823471 219.602112 l
+119.855919 220.534821 l
+119.888374 221.778427 l
+119.920822 221.467529 l
+119.953278 221.778427 l
+119.985725 221.467529 l
+120.018181 221.156631 l
+120.050629 220.223923 l
+120.083084 219.602112 l
+120.115532 219.913010 l
+120.147987 218.669403 l
+120.180435 219.602112 l
+120.212883 219.602112 l
+120.245338 220.223923 l
+120.277786 220.534821 l
+120.310242 221.467529 l
+120.342690 220.534821 l
+120.375145 219.291214 l
+120.407593 218.980301 l
+120.440048 218.358505 l
+120.472496 218.358505 l
+120.504944 218.669403 l
+120.537392 219.602112 l
+120.569847 220.223923 l
+120.602295 220.534821 l
+120.634750 220.534821 l
+120.667198 219.602112 l
+120.699654 219.291214 l
+120.732101 219.291214 l
+120.764557 218.047607 l
+120.797005 219.291214 l
+120.829460 219.291214 l
+120.861908 219.291214 l
+120.894363 219.602112 l
+120.926811 218.980301 l
+120.959267 219.602112 l
+120.991714 218.980301 l
+121.024170 217.425797 l
+121.056618 217.114899 l
+121.089073 217.736694 l
+121.121521 218.669403 l
+121.153969 220.534821 l
+121.186424 223.332947 l
+121.218872 226.752869 l
+121.251328 229.861893 l
+121.283775 233.281830 l
+121.316223 235.769043 l
+121.348679 239.810776 l
+121.381126 246.339722 l
+121.413574 252.868668 l
+121.446030 259.086731 l
+121.478477 262.195770 l
+121.510933 262.195770 l
+121.543381 261.263031 l
+121.575836 258.464905 l
+121.608284 253.490479 l
+121.640739 247.272430 l
+121.673187 241.987091 l
+121.705643 235.458145 l
+121.738091 227.063766 l
+121.770546 219.602112 l
+121.802994 214.316772 l
+121.835449 212.451355 l
+121.867897 212.762253 l
+121.900352 214.005875 l
+121.932800 216.182190 l
+121.965256 216.803986 l
+121.997704 216.803986 l
+122.030159 217.736694 l
+122.062607 218.980301 l
+122.095055 220.534821 l
+122.127510 220.845718 l
+122.159958 221.467529 l
+122.192406 222.711136 l
+122.224861 222.089340 l
+122.257309 220.845718 l
+122.289764 219.913010 l
+122.322212 219.602112 l
+122.354660 219.291214 l
+122.387115 219.602112 l
+122.419563 219.602112 l
+122.452019 220.534821 l
+122.484467 220.845718 l
+122.516922 221.778427 l
+122.549370 221.778427 l
+122.581825 222.089340 l
+122.614273 221.156631 l
+122.646729 221.156631 l
+122.679176 220.534821 l
+122.711632 220.534821 l
+122.744080 220.534821 l
+122.776535 221.467529 l
+122.808983 222.089340 l
+122.841438 222.711136 l
+122.873886 223.332947 l
+122.906342 222.089340 l
+122.938789 220.845718 l
+122.971245 219.913010 l
+123.003685 219.602112 l
+123.036140 219.602112 l
+123.068588 219.913010 l
+123.101044 221.156631 l
+123.133492 222.400238 l
+123.165947 223.022034 l
+123.198395 223.332947 l
+123.230850 223.022034 l
+123.263298 222.400238 l
+123.295746 221.778427 l
+123.328201 221.467529 l
+123.360649 221.778427 l
+123.393105 222.089340 l
+123.425552 222.711136 l
+123.458008 223.022034 l
+123.490456 223.022034 l
+123.522911 223.332947 l
+123.555359 223.332947 l
+123.587814 221.778427 l
+123.620262 221.778427 l
+123.652718 222.711136 l
+123.685165 221.778427 l
+123.717621 222.089340 l
+123.750069 222.711136 l
+123.782524 223.332947 l
+123.814964 224.265656 l
+123.847420 225.509262 l
+123.879868 224.576553 l
+123.912323 223.954742 l
+123.944771 223.332947 l
+123.977226 223.022034 l
+124.009674 223.332947 l
+124.042130 223.332947 l
+124.074577 223.954742 l
+124.107033 223.954742 l
+124.139481 224.265656 l
+124.171936 225.509262 l
+124.204384 225.820160 l
+124.236832 225.198349 l
+124.269287 225.198349 l
+124.301735 225.509262 l
+124.334190 225.198349 l
+124.366638 226.752869 l
+124.399094 226.441971 l
+124.431541 227.374680 l
+124.463997 227.685577 l
+124.496445 228.307388 l
+124.528900 227.996475 l
+124.561348 227.685577 l
+124.593803 227.685577 l
+124.626251 227.685577 l
+124.658699 227.685577 l
+124.691147 228.618286 l
+124.723602 229.240082 l
+124.756050 230.794601 l
+124.788506 231.416412 l
+124.820953 232.038208 l
+124.853409 231.105515 l
+124.885857 231.105515 l
+124.918312 231.416412 l
+124.950760 231.416412 l
+124.983215 230.483704 l
+125.015663 231.416412 l
+125.048119 232.038208 l
+125.080566 231.727310 l
+125.113022 232.038208 l
+125.145470 231.105515 l
+125.177917 231.727310 l
+125.210373 231.416412 l
+125.242821 230.483704 l
+125.275276 230.172791 l
+125.307724 229.861893 l
+125.340179 230.172791 l
+125.372627 231.105515 l
+125.405083 229.861893 l
+125.437531 230.172791 l
+125.469978 230.172791 l
+125.502426 228.929184 l
+125.534882 227.685577 l
+125.567329 226.441971 l
+125.599785 225.509262 l
+125.632233 224.576553 l
+125.664688 224.887451 l
+125.697136 224.887451 l
+125.729591 224.576553 l
+125.762039 223.643845 l
+125.794495 222.711136 l
+125.826942 220.845718 l
+125.859398 220.534821 l
+125.891846 219.602112 l
+125.924301 218.358505 l
+125.956749 217.736694 l
+125.989204 218.047607 l
+126.021652 218.047607 l
+126.054108 217.736694 l
+126.086555 218.358505 l
+126.119003 218.047607 l
+126.151459 217.114899 l
+126.183907 215.871292 l
+126.216362 214.938568 l
+126.248810 214.316772 l
+126.281265 213.694962 l
+126.313713 214.316772 l
+126.346161 214.627670 l
+126.378609 215.249481 l
+126.411064 215.560379 l
+126.443512 215.560379 l
+126.475967 214.005875 l
+126.508415 213.384064 l
+126.540871 212.451355 l
+126.573318 211.518646 l
+126.605774 212.762253 l
+126.638222 212.762253 l
+126.670677 214.005875 l
+126.703125 214.316772 l
+126.735580 214.316772 l
+126.768028 214.627670 l
+126.800484 214.005875 l
+126.832932 212.140457 l
+126.865387 211.829559 l
+126.897835 210.585938 l
+126.930290 211.518646 l
+126.962738 211.829559 l
+126.995193 213.073166 l
+127.027641 213.384064 l
+127.060089 213.384064 l
+127.092545 213.694962 l
+127.124992 212.762253 l
+127.157440 211.518646 l
+127.189896 211.829559 l
+127.222343 211.829559 l
+127.254799 211.207748 l
+127.287247 211.518646 l
+127.319695 212.140457 l
+127.352150 213.384064 l
+127.384598 214.005875 l
+127.417053 214.005875 l
+127.449501 213.384064 l
+127.481956 212.762253 l
+127.514404 212.140457 l
+127.546860 211.207748 l
+127.579308 210.896851 l
+127.611763 211.829559 l
+127.644211 213.073166 l
+127.676666 213.694962 l
+127.709114 214.005875 l
+127.741570 214.316772 l
+127.774017 213.384064 l
+127.806473 212.451355 l
+127.838921 212.451355 l
+127.871376 212.140457 l
+127.903824 212.762253 l
+127.936279 213.694962 l
+127.968719 214.316772 l
+128.001175 214.316772 l
+128.033630 215.249481 l
+128.066071 214.627670 l
+128.098526 213.073166 l
+128.130981 211.829559 l
+128.163437 212.762253 l
+128.195877 211.207748 l
+128.228333 212.762253 l
+128.260788 212.140457 l
+128.293228 213.073166 l
+128.325684 214.627670 l
+128.358139 215.560379 l
+128.390594 214.005875 l
+128.423035 212.762253 l
+128.455490 212.762253 l
+128.487946 212.762253 l
+128.520401 211.207748 l
+128.552841 212.451355 l
+128.585297 213.073166 l
+128.617752 213.694962 l
+128.650208 213.694962 l
+128.682648 214.627670 l
+128.715103 214.316772 l
+128.747559 213.073166 l
+128.780014 213.073166 l
+128.812454 212.451355 l
+128.844910 212.140457 l
+128.877350 212.140457 l
+128.909805 212.762253 l
+128.942261 212.762253 l
+128.974716 212.451355 l
+129.007156 213.694962 l
+129.039612 212.762253 l
+129.072067 212.451355 l
+129.104523 211.829559 l
+129.136963 210.896851 l
+129.169418 210.896851 l
+129.201874 211.518646 l
+129.234314 212.451355 l
+129.266769 213.073166 l
+129.299225 213.384064 l
+129.331680 213.694962 l
+129.364120 213.694962 l
+129.396576 213.073166 l
+129.429031 212.140457 l
+129.461487 210.585938 l
+129.493927 209.031433 l
+129.526382 210.896851 l
+129.558838 212.140457 l
+129.591293 212.140457 l
+129.623734 212.762253 l
+129.656189 213.073166 l
+129.688629 212.762253 l
+129.721085 212.140457 l
+129.753540 211.518646 l
+129.785995 210.585938 l
+129.818436 210.896851 l
+129.850891 212.140457 l
+129.883347 212.140457 l
+129.915802 212.140457 l
+129.948242 212.762253 l
+129.980698 213.073166 l
+130.013153 212.451355 l
+130.045609 211.518646 l
+130.078049 210.896851 l
+130.110504 210.585938 l
+130.142960 210.275040 l
+130.175400 210.585938 l
+130.207855 212.762253 l
+130.240311 212.451355 l
+130.272766 212.762253 l
+130.305206 213.073166 l
+130.337662 211.829559 l
+130.370117 211.829559 l
+130.402573 211.207748 l
+130.435013 210.585938 l
+130.467468 210.585938 l
+130.499908 211.518646 l
+130.532364 211.207748 l
+130.564819 211.518646 l
+130.597275 211.829559 l
+130.629715 212.451355 l
+130.662170 212.451355 l
+130.694626 211.518646 l
+130.727081 210.275040 l
+130.759521 210.896851 l
+130.791977 210.585938 l
+130.824432 211.518646 l
+130.856888 211.829559 l
+130.889328 211.207748 l
+130.921783 212.451355 l
+130.954239 212.451355 l
+130.986694 212.140457 l
+131.019135 211.207748 l
+131.051590 211.207748 l
+131.084045 210.585938 l
+131.116486 210.275040 l
+131.148941 211.207748 l
+131.181396 211.829559 l
+131.213852 212.140457 l
+131.246292 212.140457 l
+131.278748 212.762253 l
+131.311203 211.518646 l
+131.343643 211.207748 l
+131.376099 210.585938 l
+131.408554 209.964142 l
+131.440994 210.275040 l
+131.473450 211.207748 l
+131.505905 211.518646 l
+131.538361 211.829559 l
+131.570801 212.140457 l
+131.603256 213.073166 l
+131.635712 211.207748 l
+131.668167 210.896851 l
+131.700607 211.829559 l
+131.733063 209.964142 l
+131.765518 210.896851 l
+131.797974 211.207748 l
+131.830414 212.451355 l
+131.862869 211.518646 l
+131.895325 212.140457 l
+131.927780 212.451355 l
+131.960220 210.896851 l
+131.992676 210.275040 l
+132.025131 210.585938 l
+132.057571 209.964142 l
+132.090027 209.653229 l
+132.122482 210.896851 l
+132.154922 212.140457 l
+132.187378 211.829559 l
+132.219833 212.451355 l
+132.252289 212.762253 l
+132.284729 212.140457 l
+132.317184 210.585938 l
+132.349640 210.585938 l
+132.382080 209.653229 l
+132.414536 209.653229 l
+132.446991 210.585938 l
+132.479446 210.896851 l
+132.511887 211.518646 l
+132.544342 212.451355 l
+132.576797 212.140457 l
+132.609253 211.207748 l
+132.641693 210.275040 l
+132.674149 210.585938 l
+132.706604 210.585938 l
+132.739059 211.207748 l
+132.771500 211.207748 l
+132.803955 211.207748 l
+132.836411 211.207748 l
+132.868866 212.140457 l
+132.901306 211.207748 l
+132.933762 210.585938 l
+132.966202 210.585938 l
+132.998657 210.585938 l
+133.031113 209.964142 l
+133.063568 210.585938 l
+133.096008 211.518646 l
+133.128464 212.762253 l
+133.160919 212.762253 l
+133.193375 213.384064 l
+133.225815 213.384064 l
+133.258270 212.451355 l
+133.290726 211.829559 l
+133.323166 211.829559 l
+133.355621 212.140457 l
+133.388077 212.451355 l
+133.420532 212.762253 l
+133.452972 213.073166 l
+133.485428 214.316772 l
+133.517883 215.249481 l
+133.550339 214.938568 l
+133.582779 214.316772 l
+133.615234 214.005875 l
+133.647690 214.005875 l
+133.680145 214.316772 l
+133.712585 215.249481 l
+133.745041 214.627670 l
+133.777481 215.560379 l
+133.809937 215.871292 l
+133.842392 215.871292 l
+133.874847 214.938568 l
+133.907288 214.627670 l
+133.939743 214.316772 l
+133.972198 214.316772 l
+134.004654 213.694962 l
+134.037094 214.005875 l
+134.069550 214.627670 l
+134.102005 215.871292 l
+134.134460 215.249481 l
+134.166901 214.938568 l
+134.199356 213.384064 l
+134.231812 212.451355 l
+134.264252 211.518646 l
+134.296707 211.207748 l
+134.329163 210.275040 l
+134.361618 210.275040 l
+134.394058 210.896851 l
+134.426514 211.207748 l
+134.458969 212.140457 l
+134.491425 212.451355 l
+134.523865 211.518646 l
+134.556320 211.207748 l
+134.588776 210.585938 l
+134.621216 209.653229 l
+134.653671 208.720520 l
+134.686127 209.964142 l
+134.718567 211.207748 l
+134.751022 211.207748 l
+134.783478 210.896851 l
+134.815933 211.829559 l
+134.848373 211.518646 l
+134.880829 210.585938 l
+134.913284 209.342331 l
+134.945740 208.720520 l
+134.978180 207.787827 l
+135.010635 208.409622 l
+135.043091 210.585938 l
+135.075546 210.275040 l
+135.107986 210.896851 l
+135.140442 211.518646 l
+135.172897 210.275040 l
+135.205338 209.342331 l
+135.237793 208.409622 l
+135.270248 209.031433 l
+135.302704 209.653229 l
+135.335144 209.342331 l
+135.367599 209.964142 l
+135.400055 210.585938 l
+135.432495 210.585938 l
+135.464951 211.207748 l
+135.497406 210.275040 l
+135.529846 209.031433 l
+135.562302 209.031433 l
+135.594757 209.031433 l
+135.627213 209.031433 l
+135.659653 209.653229 l
+135.692108 209.653229 l
+135.724564 210.275040 l
+135.757019 209.342331 l
+135.789459 209.653229 l
+135.821915 209.031433 l
+135.854370 208.720520 l
+135.886826 207.476913 l
+135.919266 206.233307 l
+135.951721 206.233307 l
+135.984177 208.098724 l
+136.016632 210.585938 l
+136.049072 214.005875 l
+136.081528 217.425797 l
+136.113983 220.845718 l
+136.146423 222.711136 l
+136.178879 226.441971 l
+136.211334 230.483704 l
+136.243790 235.458145 l
+136.276230 241.676193 l
+136.308685 249.759644 l
+136.341125 255.044983 l
+136.373581 255.666809 l
+136.406036 255.355896 l
+136.438492 252.246872 l
+136.470932 247.583328 l
+136.503387 241.676193 l
+136.535843 236.079941 l
+136.568298 230.483704 l
+136.600739 223.332947 l
+136.633194 216.493088 l
+136.665649 209.653229 l
+136.698105 204.989700 l
+136.730545 204.678787 l
+136.763000 206.233307 l
+136.795456 207.476913 l
+136.827911 207.476913 l
+136.860352 208.098724 l
+136.892807 208.720520 l
+136.925262 209.342331 l
+136.957718 210.896851 l
+136.990158 211.518646 l
+137.022614 212.762253 l
+137.055069 212.762253 l
+137.087509 213.073166 l
+137.119965 211.829559 l
+137.152420 210.896851 l
+137.184860 209.964142 l
+137.217316 209.964142 l
+137.249771 210.275040 l
+137.282227 211.207748 l
+137.314667 212.140457 l
+137.347122 213.073166 l
+137.379578 213.694962 l
+137.412018 213.694962 l
+137.444473 213.384064 l
+137.476929 213.694962 l
+137.509384 211.518646 l
+137.541824 210.585938 l
+137.574280 210.896851 l
+137.606735 211.518646 l
+137.639191 212.140457 l
+137.671631 212.140457 l
+137.704086 213.384064 l
+137.736542 213.384064 l
+137.768997 212.762253 l
+137.801437 212.140457 l
+137.833893 212.140457 l
+137.866348 211.518646 l
+137.898804 212.140457 l
+137.931244 212.451355 l
+137.963699 213.384064 l
+137.996140 214.316772 l
+138.028595 214.627670 l
+138.061050 213.384064 l
+138.093506 213.073166 l
+138.125946 213.073166 l
+138.158401 212.762253 l
+138.190857 212.762253 l
+138.223312 213.384064 l
+138.255753 214.316772 l
+138.288208 214.627670 l
+138.320663 214.938568 l
+138.353104 215.871292 l
+138.385559 215.560379 l
+138.418015 214.005875 l
+138.450470 213.384064 l
+138.482910 212.762253 l
+138.515366 212.451355 l
+138.547821 212.451355 l
+138.580276 214.005875 l
+138.612717 214.627670 l
+138.645172 215.560379 l
+138.677628 216.493088 l
+138.710083 216.182190 l
+138.742523 215.249481 l
+138.774979 214.938568 l
+138.807419 214.316772 l
+138.839874 214.627670 l
+138.872330 215.560379 l
+138.904785 216.182190 l
+138.937225 216.493088 l
+138.969681 217.114899 l
+139.002136 218.358505 l
+139.034592 217.425797 l
+139.067032 216.493088 l
+139.099487 216.803986 l
+139.131943 217.114899 l
+139.164398 217.114899 l
+139.196838 218.358505 l
+139.229294 218.980301 l
+139.261749 220.223923 l
+139.294189 220.534821 l
+139.326645 222.089340 l
+139.359100 221.467529 l
+139.391556 220.223923 l
+139.423996 219.913010 l
+139.456451 219.602112 l
+139.488907 220.223923 l
+139.521362 221.156631 l
+139.553802 222.400238 l
+139.586258 223.022034 l
+139.618698 224.576553 l
+139.651154 225.198349 l
+139.683609 225.198349 l
+139.716064 225.198349 l
+139.748505 224.265656 l
+139.780960 224.265656 l
+139.813416 223.643845 l
+139.845871 225.198349 l
+139.878311 226.131073 l
+139.910767 226.752869 l
+139.943222 227.063766 l
+139.975677 227.374680 l
+140.008118 227.063766 l
+140.040573 226.752869 l
+140.073029 226.131073 l
+140.105484 225.820160 l
+140.137924 225.509262 l
+140.170380 226.441971 l
+140.202835 226.131073 l
+140.235275 226.131073 l
+140.267731 225.820160 l
+140.300186 226.131073 l
+140.332642 224.887451 l
+140.365082 224.265656 l
+140.397537 223.332947 l
+140.429977 222.400238 l
+140.462433 221.778427 l
+140.494888 222.089340 l
+140.527344 222.400238 l
+140.559784 222.089340 l
+140.592239 222.089340 l
+140.624695 221.778427 l
+140.657150 220.223923 l
+140.689590 219.291214 l
+140.722046 218.047607 l
+140.754501 216.182190 l
+140.786957 215.871292 l
+140.819397 216.182190 l
+140.851852 216.182190 l
+140.884308 216.182190 l
+140.916763 215.249481 l
+140.949203 216.182190 l
+140.981659 216.182190 l
+141.014114 214.005875 l
+141.046570 213.073166 l
+141.079010 212.451355 l
+141.111465 212.762253 l
+141.143921 212.762253 l
+141.176361 213.073166 l
+141.208817 212.762253 l
+141.241272 213.694962 l
+141.273712 214.005875 l
+141.306168 212.451355 l
+141.338623 212.140457 l
+141.371063 211.207748 l
+141.403519 210.896851 l
+141.435974 211.207748 l
+141.468430 212.451355 l
+141.500870 212.451355 l
+141.533325 211.829559 l
+141.565781 212.451355 l
+141.598236 212.451355 l
+141.630676 211.829559 l
+141.663132 210.896851 l
+141.695587 210.275040 l
+141.728043 209.964142 l
+141.760483 209.964142 l
+141.792938 209.964142 l
+141.825394 211.518646 l
+141.857849 211.207748 l
+141.890289 212.451355 l
+141.922745 212.140457 l
+141.955200 211.518646 l
+141.987656 210.585938 l
+142.020096 209.653229 l
+142.052551 209.964142 l
+142.084991 210.896851 l
+142.117447 211.207748 l
+142.149902 211.518646 l
+142.182358 212.762253 l
+142.214798 212.451355 l
+142.247253 212.140457 l
+142.279709 211.829559 l
+142.312149 211.518646 l
+142.344604 210.896851 l
+142.377060 210.275040 l
+142.409515 210.585938 l
+142.441956 211.518646 l
+142.474411 211.207748 l
+142.506866 212.140457 l
+142.539322 213.384064 l
+142.571762 212.762253 l
+142.604218 211.829559 l
+142.636673 211.829559 l
+142.669128 211.829559 l
+142.701569 211.518646 l
+142.734024 211.518646 l
+142.766479 212.451355 l
+142.798935 213.694962 l
+142.831375 214.005875 l
+142.863831 214.627670 l
+142.896286 213.694962 l
+142.928726 211.829559 l
+142.961182 211.518646 l
+142.993637 211.207748 l
+143.026077 211.207748 l
+143.058533 211.829559 l
+143.090988 212.451355 l
+143.123444 213.073166 l
+143.155884 213.694962 l
+143.188339 213.694962 l
+143.220795 213.073166 l
+143.253235 212.140457 l
+143.285690 212.451355 l
+143.318146 212.140457 l
+143.350601 211.829559 l
+143.383041 212.140457 l
+143.415497 212.762253 l
+143.447952 213.694962 l
+143.480408 214.005875 l
+143.512848 214.316772 l
+143.545303 214.005875 l
+143.577759 213.073166 l
+143.610214 213.073166 l
+143.642654 212.451355 l
+143.675110 211.829559 l
+143.707565 212.451355 l
+143.740005 213.073166 l
+143.772461 213.073166 l
+143.804916 213.384064 l
+143.837357 213.694962 l
+143.869812 213.384064 l
+143.902267 212.451355 l
+143.934723 212.762253 l
+143.967163 212.140457 l
+143.999619 211.829559 l
+144.032074 211.518646 l
+144.064529 212.762253 l
+144.096970 213.384064 l
+144.129425 213.384064 l
+144.161880 213.384064 l
+144.194321 212.140457 l
+144.226776 211.829559 l
+144.259232 211.518646 l
+144.291687 211.518646 l
+144.324127 210.896851 l
+144.356583 211.518646 l
+144.389038 212.140457 l
+144.421494 212.451355 l
+144.453934 212.762253 l
+144.486389 213.384064 l
+144.518845 212.762253 l
+144.551300 211.518646 l
+144.583740 211.518646 l
+144.616196 211.207748 l
+144.648636 210.896851 l
+144.681091 211.207748 l
+144.713547 211.829559 l
+144.746002 212.451355 l
+144.778442 212.451355 l
+144.810898 212.451355 l
+144.843353 211.829559 l
+144.875809 212.140457 l
+144.908249 211.829559 l
+144.940704 210.896851 l
+144.973160 210.896851 l
+145.005615 211.207748 l
+145.038055 211.518646 l
+145.070511 212.451355 l
+145.102966 212.762253 l
+145.135406 212.140457 l
+145.167862 211.829559 l
+145.200317 212.140457 l
+145.232773 211.518646 l
+145.265213 210.896851 l
+145.297668 210.896851 l
+145.330124 211.518646 l
+145.362579 211.829559 l
+145.395020 211.829559 l
+145.427475 212.451355 l
+145.459915 211.829559 l
+145.492371 211.207748 l
+145.524826 210.896851 l
+145.557281 211.207748 l
+145.589722 210.585938 l
+145.622177 209.964142 l
+145.654633 210.896851 l
+145.687088 211.518646 l
+145.719528 212.140457 l
+145.751984 212.140457 l
+145.784439 212.451355 l
+145.816895 211.829559 l
+145.849335 211.207748 l
+145.881790 211.829559 l
+145.914246 211.207748 l
+145.946701 210.896851 l
+145.979141 211.829559 l
+146.011597 211.829559 l
+146.044052 211.518646 l
+146.076492 212.762253 l
+146.108948 213.384064 l
+146.141403 212.140457 l
+146.173859 211.207748 l
+146.206299 209.964142 l
+146.238754 211.207748 l
+146.271210 210.896851 l
+146.303650 211.518646 l
+146.336105 212.762253 l
+146.368561 212.451355 l
+146.401001 212.451355 l
+146.433456 212.762253 l
+146.465912 211.829559 l
+146.498367 211.207748 l
+146.530807 210.275040 l
+146.563263 209.653229 l
+146.595718 210.275040 l
+146.628174 211.207748 l
+146.660614 212.140457 l
+146.693069 212.140457 l
+146.725525 212.140457 l
+146.757980 211.829559 l
+146.790421 210.896851 l
+146.822876 211.518646 l
+146.855331 211.207748 l
+146.887787 211.207748 l
+146.920227 210.275040 l
+146.952682 211.207748 l
+146.985138 211.829559 l
+147.017578 212.451355 l
+147.050034 212.451355 l
+147.082489 212.451355 l
+147.114929 211.829559 l
+147.147385 211.207748 l
+147.179840 211.207748 l
+147.212296 210.585938 l
+147.244736 210.585938 l
+147.277191 211.207748 l
+147.309647 212.762253 l
+147.342087 212.762253 l
+147.374542 213.073166 l
+147.406998 212.762253 l
+147.439453 212.451355 l
+147.471893 211.518646 l
+147.504349 211.829559 l
+147.536804 210.585938 l
+147.569260 210.896851 l
+147.601700 211.829559 l
+147.634155 213.073166 l
+147.666611 212.451355 l
+147.699066 212.451355 l
+147.731506 211.829559 l
+147.763962 211.207748 l
+147.796417 210.896851 l
+147.828873 210.585938 l
+147.861313 209.653229 l
+147.893768 210.896851 l
+147.926208 211.829559 l
+147.958664 212.140457 l
+147.991119 212.762253 l
+148.023575 212.762253 l
+148.056015 212.762253 l
+148.088470 211.207748 l
+148.120926 211.518646 l
+148.153381 209.964142 l
+148.185822 210.585938 l
+148.218277 210.585938 l
+148.250732 212.140457 l
+148.283173 213.384064 l
+148.315628 214.627670 l
+148.348083 215.249481 l
+148.380539 214.316772 l
+148.412979 213.694962 l
+148.445435 212.762253 l
+148.477890 212.140457 l
+148.510345 212.451355 l
+148.542786 213.073166 l
+148.575241 214.005875 l
+148.607697 214.627670 l
+148.640152 215.249481 l
+148.672592 216.493088 l
+148.705048 214.627670 l
+148.737488 214.005875 l
+148.769943 214.627670 l
+148.802399 214.627670 l
+148.834854 214.316772 l
+148.867294 215.249481 l
+148.899750 216.803986 l
+148.932205 217.114899 l
+148.964661 217.736694 l
+148.997101 217.736694 l
+149.029556 218.358505 l
+149.062012 218.669403 l
+149.094467 219.602112 l
+149.126907 219.602112 l
+149.159363 219.913010 l
+149.191818 220.534821 l
+149.224258 221.778427 l
+149.256714 222.711136 l
+149.289169 223.332947 l
+149.321625 223.643845 l
+149.354065 222.400238 l
+149.386520 222.089340 l
+149.418976 221.467529 l
+149.451431 220.534821 l
+149.483871 220.223923 l
+149.516327 221.467529 l
+149.548782 221.467529 l
+149.581223 222.089340 l
+149.613678 221.778427 l
+149.646133 222.400238 l
+149.678574 221.778427 l
+149.711029 221.778427 l
+149.743484 220.845718 l
+149.775940 221.156631 l
+149.808380 220.223923 l
+149.840836 220.534821 l
+149.873291 221.778427 l
+149.905746 221.778427 l
+149.938187 222.711136 l
+149.970642 222.711136 l
+150.003098 222.089340 l
+150.035553 220.845718 l
+150.067993 220.845718 l
+150.100449 220.223923 l
+150.132904 219.291214 l
+150.165344 219.913010 l
+150.197800 220.845718 l
+150.230255 221.156631 l
+150.262711 221.156631 l
+150.295151 222.711136 l
+150.327606 221.467529 l
+150.360062 220.845718 l
+150.392502 221.467529 l
+150.424957 220.534821 l
+150.457413 219.602112 l
+150.489853 219.602112 l
+150.522308 220.534821 l
+150.554764 221.467529 l
+150.587219 221.467529 l
+150.619659 220.845718 l
+150.652115 221.467529 l
+150.684570 220.534821 l
+150.717026 220.534821 l
+150.749466 219.602112 l
+150.781921 219.602112 l
+150.814377 219.913010 l
+150.846832 219.913010 l
+150.879272 219.602112 l
+150.911728 220.534821 l
+150.944183 219.602112 l
+150.976639 219.602112 l
+151.009079 218.669403 l
+151.041534 218.047607 l
+151.073990 217.114899 l
+151.106430 217.114899 l
+151.138885 218.358505 l
+151.171341 220.223923 l
+151.203796 223.954742 l
+151.236237 228.618286 l
+151.268692 231.416412 l
+151.301132 234.214523 l
+151.333588 237.945358 l
+151.366043 241.054382 l
+151.398499 246.028824 l
+151.430939 252.246872 l
+151.463394 260.641235 l
+151.495850 268.102905 l
+151.528305 269.035614 l
+151.560745 268.724701 l
+151.593201 265.615692 l
+151.625656 260.641235 l
+151.658112 254.734085 l
+151.690552 249.137848 l
+151.723007 241.676193 l
+151.755463 234.836334 l
+151.787918 227.996475 l
+151.820358 220.845718 l
+151.852814 215.871292 l
+151.885269 215.871292 l
+151.917725 217.736694 l
+151.950165 217.114899 l
+151.982620 217.736694 l
+152.015076 218.358505 l
+152.047516 219.602112 l
+152.079971 220.845718 l
+152.112427 222.400238 l
+152.144867 222.711136 l
+152.177322 223.332947 l
+152.209778 223.332947 l
+152.242218 222.711136 l
+152.274673 222.089340 l
+152.307129 221.778427 l
+152.339584 221.156631 l
+152.372025 220.534821 l
+152.404480 220.845718 l
+152.436935 221.467529 l
+152.469391 221.778427 l
+152.501831 222.089340 l
+152.534286 223.022034 l
+152.566742 223.022034 l
+152.599197 222.089340 l
+152.631638 221.778427 l
+152.664093 221.778427 l
+152.696548 220.534821 l
+152.729004 220.845718 l
+152.761444 221.778427 l
+152.793900 221.778427 l
+152.826355 222.089340 l
+152.858810 222.400238 l
+152.891251 222.711136 l
+152.923706 221.778427 l
+152.956146 221.156631 l
+152.988602 221.156631 l
+153.021057 220.534821 l
+153.053513 221.156631 l
+153.085953 222.089340 l
+153.118408 222.711136 l
+153.150864 223.022034 l
+153.183319 223.643845 l
+153.215759 224.265656 l
+153.248215 223.332947 l
+153.280670 222.089340 l
+153.313110 221.778427 l
+153.345566 222.089340 l
+153.378021 222.400238 l
+153.410477 223.332947 l
+153.442917 223.022034 l
+153.475372 224.265656 l
+153.507828 225.198349 l
+153.540283 224.576553 l
+153.572723 223.643845 l
+153.605179 222.711136 l
+153.637634 222.711136 l
+153.670090 222.711136 l
+153.702530 222.711136 l
+153.734985 223.643845 l
+153.767426 224.265656 l
+153.799881 225.509262 l
+153.832336 226.131073 l
+153.864792 225.509262 l
+153.897232 225.198349 l
+153.929688 225.509262 l
+153.962143 225.509262 l
+153.994598 224.576553 l
+154.027039 224.265656 l
+154.059494 224.887451 l
+154.091949 224.887451 l
+154.124405 224.887451 l
+154.156845 225.198349 l
+154.189301 224.265656 l
+154.221756 225.509262 l
+154.254196 226.131073 l
+154.286652 225.509262 l
+154.319107 224.887451 l
+154.351562 226.131073 l
+154.384003 227.063766 l
+154.416458 227.685577 l
+154.448914 229.240082 l
+154.481369 228.929184 l
+154.513809 229.550995 l
+154.546265 229.550995 l
+154.578705 230.172791 l
+154.611160 229.550995 l
+154.643616 229.240082 l
+154.676071 230.483704 l
+154.708511 231.105515 l
+154.740967 231.416412 l
+154.773422 233.281830 l
+154.805878 232.970917 l
+154.838318 232.038208 l
+154.870773 231.727310 l
+154.903229 233.592728 l
+154.935684 234.836334 l
+154.968124 233.903625 l
+155.000580 233.903625 l
+155.033035 233.903625 l
+155.065491 234.525436 l
+155.097931 235.458145 l
+155.130386 235.147232 l
+155.162842 235.458145 l
+155.195282 234.836334 l
+155.227737 234.836334 l
+155.260193 234.214523 l
+155.292648 233.903625 l
+155.325089 234.214523 l
+155.357544 234.836334 l
+155.389984 234.525436 l
+155.422440 234.525436 l
+155.454895 234.214523 l
+155.487350 233.592728 l
+155.519791 232.970917 l
+155.552246 232.038208 l
+155.584702 230.172791 l
+155.617157 229.240082 l
+155.649597 228.929184 l
+155.682053 228.929184 l
+155.714508 228.929184 l
+155.746964 228.307388 l
+155.779404 227.374680 l
+155.811859 225.509262 l
+155.844315 224.576553 l
+155.876770 223.954742 l
+155.909210 223.643845 l
+155.941666 221.467529 l
+155.974121 221.467529 l
+156.006577 221.156631 l
+156.039017 222.711136 l
+156.071472 221.778427 l
+156.103928 221.467529 l
+156.136368 220.223923 l
+156.168823 218.358505 l
+156.201279 217.425797 l
+156.233719 216.803986 l
+156.266174 216.182190 l
+156.298630 215.560379 l
+156.331070 216.493088 l
+156.363525 216.493088 l
+156.395981 216.493088 l
+156.428436 217.114899 l
+156.460876 216.182190 l
+156.493332 214.627670 l
+156.525787 213.073166 l
+156.558243 213.384064 l
+156.590683 214.005875 l
+156.623138 214.316772 l
+156.655594 214.627670 l
+156.688049 215.249481 l
+156.720490 215.560379 l
+156.752945 215.560379 l
+156.785400 214.005875 l
+156.817856 213.384064 l
+156.850296 212.451355 l
+156.882751 212.762253 l
+156.915207 213.073166 l
+156.947662 213.384064 l
+156.980103 213.384064 l
+157.012558 214.316772 l
+157.044998 214.316772 l
+157.077454 214.627670 l
+157.109909 213.073166 l
+157.142365 212.451355 l
+157.174805 212.451355 l
+157.207260 211.829559 l
+157.239716 211.829559 l
+157.272156 213.384064 l
+157.304611 213.694962 l
+157.337067 213.694962 l
+157.369522 213.384064 l
+157.401962 212.762253 l
+157.434418 211.829559 l
+157.466873 211.207748 l
+157.499329 210.896851 l
+157.531769 210.585938 l
+157.564224 210.896851 l
+157.596680 211.829559 l
+157.629135 213.073166 l
+157.661575 213.384064 l
+157.694031 213.694962 l
+157.726486 212.762253 l
+157.758942 211.829559 l
+157.791382 210.896851 l
+157.823837 209.653229 l
+157.856293 209.964142 l
+157.888733 211.207748 l
+157.921188 211.518646 l
+157.953644 212.451355 l
+157.986084 212.451355 l
+158.018539 213.384064 l
+158.050995 212.762253 l
+158.083450 211.829559 l
+158.115891 211.518646 l
+158.148346 211.518646 l
+158.180801 210.896851 l
+158.213242 211.829559 l
+158.245697 212.140457 l
+158.278152 213.073166 l
+158.310608 213.384064 l
+158.343048 213.694962 l
+158.375504 213.384064 l
+158.407959 212.451355 l
+158.440414 212.140457 l
+158.472855 211.518646 l
+158.505310 211.207748 l
+158.537766 211.829559 l
+158.570221 212.451355 l
+158.602661 213.073166 l
+158.635117 213.073166 l
+158.667572 213.384064 l
+158.700012 212.140457 l
+158.732468 212.140457 l
+158.764923 212.451355 l
+158.797363 212.140457 l
+158.829819 211.829559 l
+158.862274 212.762253 l
+158.894730 213.384064 l
+158.927170 212.451355 l
+158.959625 212.762253 l
+158.992081 211.829559 l
+159.024536 210.585938 l
+159.056976 209.342331 l
+159.089432 209.031433 l
+159.121887 209.342331 l
+159.154327 209.653229 l
+159.186783 210.275040 l
+159.219238 210.275040 l
+159.251694 212.140457 l
+159.284134 212.451355 l
+159.316589 212.140457 l
+159.349045 212.140457 l
+159.381500 210.896851 l
+159.413940 210.275040 l
+159.446396 209.964142 l
+159.478851 209.342331 l
+159.511307 210.585938 l
+159.543747 211.207748 l
+159.576202 212.140457 l
+159.608658 212.451355 l
+159.641113 212.140457 l
+159.673553 211.829559 l
+159.706009 210.585938 l
+159.738464 210.275040 l
+159.770920 209.653229 l
+159.803360 209.653229 l
+159.835815 210.275040 l
+159.868271 210.275040 l
+159.900711 210.585938 l
+159.933167 210.585938 l
+159.965607 211.518646 l
+159.998062 210.585938 l
+160.030518 209.653229 l
+160.062958 209.653229 l
+160.095413 209.964142 l
+160.127869 209.342331 l
+160.160324 209.342331 l
+160.192764 210.275040 l
+160.225220 209.964142 l
+160.257675 209.653229 l
+160.290131 210.275040 l
+160.322571 209.964142 l
+160.355026 209.031433 l
+160.387482 207.166016 l
+160.419922 208.098724 l
+160.452377 208.098724 l
+160.484833 209.342331 l
+160.517288 209.653229 l
+160.549728 209.653229 l
+160.582184 210.275040 l
+160.614639 210.275040 l
+160.647095 209.964142 l
+160.679535 210.275040 l
+160.711990 208.720520 l
+160.744446 208.098724 l
+160.776901 208.098724 l
+160.809341 208.409622 l
+160.841797 209.342331 l
+160.874252 209.653229 l
+160.906708 210.275040 l
+160.939148 210.275040 l
+160.971603 209.964142 l
+161.004059 209.342331 l
+161.036499 209.031433 l
+161.068954 208.098724 l
+161.101410 207.787827 l
+161.133865 208.409622 l
+161.166306 208.098724 l
+161.198761 209.342331 l
+161.231216 210.275040 l
+161.263672 210.275040 l
+161.296112 209.964142 l
+161.328568 209.031433 l
+161.361023 208.098724 l
+161.393478 208.720520 l
+161.425919 208.098724 l
+161.458374 208.409622 l
+161.490829 208.098724 l
+161.523285 209.031433 l
+161.555725 209.031433 l
+161.588181 209.342331 l
+161.620621 209.342331 l
+161.653076 208.409622 l
+161.685516 208.409622 l
+161.717972 207.787827 l
+161.750427 208.720520 l
+161.782883 209.964142 l
+161.815323 209.653229 l
+161.847778 210.275040 l
+161.880234 209.342331 l
+161.912689 209.342331 l
+161.945129 209.031433 l
+161.977585 207.476913 l
+162.010040 207.787827 l
+162.042496 207.476913 l
+162.074936 209.031433 l
+162.107391 209.653229 l
+162.139847 208.720520 l
+162.172302 208.720520 l
+162.204742 209.964142 l
+162.237198 210.275040 l
+162.269653 209.653229 l
+162.302094 208.409622 l
+162.334549 209.031433 l
+162.367004 208.720520 l
+162.399460 207.787827 l
+162.431900 209.031433 l
+162.464355 209.342331 l
+162.496811 209.964142 l
+162.529266 209.964142 l
+162.561707 209.964142 l
+162.594162 209.342331 l
+162.626617 208.409622 l
+162.659073 208.409622 l
+162.691513 208.098724 l
+162.723969 208.409622 l
+162.756424 210.585938 l
+162.788879 210.896851 l
+162.821320 211.207748 l
+162.853775 211.829559 l
+162.886230 212.451355 l
+162.918671 210.896851 l
+162.951126 210.275040 l
+162.983582 210.275040 l
+163.016037 210.275040 l
+163.048477 209.964142 l
+163.080933 210.275040 l
+163.113388 210.896851 l
+163.145844 210.896851 l
+163.178284 211.829559 l
+163.210739 212.451355 l
+163.243195 211.829559 l
+163.275635 211.207748 l
+163.308090 211.207748 l
+163.340530 211.207748 l
+163.372986 212.451355 l
+163.405441 212.140457 l
+163.437881 213.694962 l
+163.470337 212.762253 l
+163.502792 214.627670 l
+163.535248 213.073166 l
+163.567688 212.762253 l
+163.600143 212.140457 l
+163.632599 211.829559 l
+163.665054 211.207748 l
+163.697495 211.829559 l
+163.729950 212.451355 l
+163.762405 212.451355 l
+163.794861 212.140457 l
+163.827301 213.073166 l
+163.859756 210.585938 l
+163.892212 209.342331 l
+163.924667 209.342331 l
+163.957108 209.031433 l
+163.989563 208.098724 l
+164.022018 207.476913 l
+164.054474 208.409622 l
+164.086914 210.275040 l
+164.119370 208.720520 l
+164.151825 208.409622 l
+164.184265 208.098724 l
+164.216721 208.098724 l
+164.249176 208.409622 l
+164.281631 208.409622 l
+164.314072 207.166016 l
+164.346527 207.787827 l
+164.378983 209.031433 l
+164.411438 207.787827 l
+164.443878 209.342331 l
+164.476334 209.342331 l
+164.508789 208.409622 l
+164.541245 208.409622 l
+164.573685 208.098724 l
+164.606140 207.476913 l
+164.638596 206.855118 l
+164.671051 206.855118 l
+164.703491 208.098724 l
+164.735947 208.409622 l
+164.768402 208.098724 l
+164.800842 208.720520 l
+164.833298 207.476913 l
+164.865753 206.855118 l
+164.898209 207.166016 l
+164.930649 207.166016 l
+164.963089 206.855118 l
+164.995544 207.166016 l
+165.028000 208.409622 l
+165.060455 208.720520 l
+165.092896 209.031433 l
+165.125351 208.409622 l
+165.157806 208.098724 l
+165.190262 206.855118 l
+165.222702 206.855118 l
+165.255157 206.855118 l
+165.287613 206.855118 l
+165.320053 205.611511 l
+165.352509 206.233307 l
+165.384964 207.166016 l
+165.417419 207.166016 l
+165.449860 206.544205 l
+165.482315 205.300598 l
+165.514771 203.746078 l
+165.547226 204.367889 l
+165.579666 204.989700 l
+165.612122 207.476913 l
+165.644577 211.518646 l
+165.677032 214.938568 l
+165.709473 218.047607 l
+165.741928 221.778427 l
+165.774384 226.441971 l
+165.806839 230.483704 l
+165.839279 235.769043 l
+165.871735 242.297989 l
+165.904190 247.272430 l
+165.936646 249.759644 l
+165.969086 249.759644 l
+166.001541 247.894241 l
+166.033997 245.096115 l
+166.066437 241.054382 l
+166.098892 237.634460 l
+166.131348 231.105515 l
+166.163803 223.643845 l
+166.196243 214.627670 l
+166.228699 208.098724 l
+166.261154 203.124283 l
+166.293610 201.880676 l
+166.326050 201.880676 l
+166.358505 203.435181 l
+166.390961 204.989700 l
+166.423416 205.300598 l
+166.455856 205.922409 l
+166.488312 207.476913 l
+166.520767 208.720520 l
+166.553223 208.409622 l
+166.585648 209.653229 l
+166.618103 209.653229 l
+166.650558 210.275040 l
+166.683014 210.275040 l
+166.715454 210.275040 l
+166.747910 209.964142 l
+166.780365 209.653229 l
+166.812820 208.720520 l
+166.845261 208.098724 l
+166.877716 208.098724 l
+166.910172 208.098724 l
+166.942627 209.342331 l
+166.975067 209.342331 l
+167.007523 209.342331 l
+167.039978 210.585938 l
+167.072433 209.964142 l
+167.104874 209.342331 l
+167.137329 209.342331 l
+167.169785 209.653229 l
+167.202240 208.720520 l
+167.234680 209.653229 l
+167.267136 211.207748 l
+167.299591 210.585938 l
+167.332031 210.585938 l
+167.364487 211.207748 l
+167.396942 211.518646 l
+167.429398 210.896851 l
+167.461838 209.653229 l
+167.494293 209.342331 l
+167.526749 209.031433 l
+167.559204 209.653229 l
+167.591644 211.207748 l
+167.624100 211.518646 l
+167.656555 211.829559 l
+167.689011 213.384064 l
+167.721451 211.829559 l
+167.753906 210.896851 l
+167.786362 210.896851 l
+167.818817 210.585938 l
+167.851257 210.896851 l
+167.883713 210.896851 l
+167.916168 211.518646 l
+167.948608 211.829559 l
+167.981064 212.140457 l
+168.013519 212.762253 l
+168.045975 211.829559 l
+168.078415 212.140457 l
+168.110870 212.451355 l
+168.143326 211.829559 l
+168.175781 211.518646 l
+168.208221 212.762253 l
+168.240677 213.384064 l
+168.273117 213.384064 l
+168.305573 214.005875 l
+168.338028 214.005875 l
+168.370468 213.073166 l
+168.402924 213.073166 l
+168.435379 213.073166 l
+168.467819 213.073166 l
+168.500275 212.762253 l
+168.532730 213.073166 l
+168.565186 214.938568 l
+168.597626 216.493088 l
+168.630081 216.493088 l
+168.662537 216.493088 l
+168.694992 215.871292 l
+168.727432 216.182190 l
+168.759888 215.871292 l
+168.792343 215.871292 l
+168.824799 216.182190 l
+168.857239 216.493088 l
+168.889694 216.803986 l
+168.922150 216.493088 l
+168.954605 217.425797 l
+168.987045 218.669403 l
+169.019501 219.291214 l
+169.051956 218.669403 l
+169.084412 218.980301 l
+169.116852 218.980301 l
+169.149307 219.602112 l
+169.181763 221.467529 l
+169.214203 222.400238 l
+169.246658 222.400238 l
+169.279114 221.778427 l
+169.311569 223.022034 l
+169.344009 223.022034 l
+169.376465 222.400238 l
+169.408920 221.778427 l
+169.441376 222.400238 l
+169.473816 222.089340 l
+169.506271 223.022034 l
+169.538727 223.022034 l
+169.571182 223.332947 l
+169.603622 224.265656 l
+169.636078 224.576553 l
+169.668533 223.643845 l
+169.700989 223.332947 l
+169.733429 223.332947 l
+169.765884 222.400238 l
+169.798340 222.089340 l
+169.830780 223.332947 l
+169.863235 223.643845 l
+169.895691 223.643845 l
+169.928131 223.332947 l
+169.960587 223.332947 l
+169.993027 222.400238 l
+170.025482 220.534821 l
+170.057938 219.913010 l
+170.090393 219.291214 l
+170.122833 218.980301 l
+170.155289 218.669403 l
+170.187744 218.358505 l
+170.220200 217.114899 l
+170.252640 217.736694 l
+170.285095 217.114899 l
+170.317551 216.493088 l
+170.349991 214.316772 l
+170.382446 213.694962 l
+170.414902 213.384064 l
+170.447357 213.384064 l
+170.479797 213.384064 l
+170.512253 213.384064 l
+170.544708 213.384064 l
+170.577164 214.005875 l
+170.609604 212.762253 l
+170.642059 212.140457 l
+170.674515 210.585938 l
+170.706970 209.964142 l
+170.739410 210.275040 l
+170.771866 210.275040 l
+170.804321 211.207748 l
+170.836777 210.896851 l
+170.869217 211.207748 l
+170.901672 211.829559 l
+170.934128 210.585938 l
+170.966583 209.653229 l
+170.999023 208.098724 l
+171.031479 209.031433 l
+171.063934 208.409622 l
+171.096375 208.098724 l
+171.128830 208.720520 l
+171.161285 209.342331 l
+171.193741 209.653229 l
+171.226181 210.585938 l
+171.258636 211.518646 l
+171.291092 209.342331 l
+171.323547 209.031433 l
+171.355988 207.476913 l
+171.388443 208.098724 l
+171.420898 208.098724 l
+171.453354 209.031433 l
+171.485794 209.031433 l
+171.518250 209.031433 l
+171.550705 209.653229 l
+171.583145 209.653229 l
+171.615585 209.031433 l
+171.648041 208.409622 l
+171.680496 208.720520 l
+171.712952 208.098724 l
+171.745392 208.720520 l
+171.777847 209.653229 l
+171.810303 210.275040 l
+171.842758 210.585938 l
+171.875198 210.585938 l
+171.907654 209.342331 l
+171.940109 209.031433 l
+171.972565 208.720520 l
+172.005005 207.787827 l
+172.037460 207.787827 l
+172.069916 208.098724 l
+172.102371 209.342331 l
+172.134811 209.342331 l
+172.167267 209.653229 l
+172.199722 210.896851 l
+172.232162 209.342331 l
+172.264618 209.342331 l
+172.297073 209.653229 l
+172.329529 208.720520 l
+172.361969 208.409622 l
+172.394424 209.653229 l
+172.426880 210.896851 l
+172.459335 210.896851 l
+172.491776 210.896851 l
+172.524231 210.896851 l
+172.556686 209.964142 l
+172.589142 209.031433 l
+172.621582 209.031433 l
+172.654037 209.031433 l
+172.686493 208.409622 l
+172.718948 208.409622 l
+172.751389 209.653229 l
+172.783844 209.653229 l
+172.816299 210.896851 l
+172.848755 210.896851 l
+172.881195 210.585938 l
+172.913651 209.342331 l
+172.946106 208.409622 l
+172.978546 209.031433 l
+173.011002 209.031433 l
+173.043457 209.342331 l
+173.075912 209.342331 l
+173.108353 210.275040 l
+173.140808 210.585938 l
+173.173264 212.140457 l
+173.205719 211.207748 l
+173.238159 209.964142 l
+173.270599 209.653229 l
+173.303055 208.409622 l
+173.335510 208.098724 l
+173.367966 209.031433 l
+173.400406 209.342331 l
+173.432861 209.964142 l
+173.465317 211.207748 l
+173.497757 211.518646 l
+173.530212 211.207748 l
+173.562668 209.342331 l
+173.595123 207.787827 l
+173.627563 209.031433 l
+173.660019 209.031433 l
+173.692474 208.720520 l
+173.724930 209.964142 l
+173.757370 210.585938 l
+173.789825 210.585938 l
+173.822281 210.896851 l
+173.854736 209.964142 l
+173.887177 209.031433 l
+173.919632 208.098724 l
+173.952087 207.787827 l
+173.984543 208.098724 l
+174.016983 209.031433 l
+174.049438 210.275040 l
+174.081894 209.653229 l
+174.114334 209.653229 l
+174.146790 210.896851 l
+174.179245 209.342331 l
+174.211700 208.098724 l
+174.244141 208.098724 l
+174.276596 208.098724 l
+174.309052 207.787827 l
+174.341507 208.098724 l
+174.373947 209.342331 l
+174.406403 209.031433 l
+174.438858 209.342331 l
+174.471313 210.585938 l
+174.503754 209.342331 l
+174.536209 208.409622 l
+174.568665 207.476913 l
+174.601120 207.787827 l
+174.633560 209.031433 l
+174.666016 208.098724 l
+174.698471 208.409622 l
+174.730927 209.031433 l
+174.763367 210.275040 l
+174.795822 209.342331 l
+174.828278 209.342331 l
+174.860718 208.409622 l
+174.893173 207.166016 l
+174.925613 207.787827 l
+174.958069 207.476913 l
+174.990524 207.787827 l
+175.022964 208.409622 l
+175.055420 208.720520 l
+175.087875 209.342331 l
+175.120331 209.031433 l
+175.152771 208.720520 l
+175.185226 207.787827 l
+175.217682 207.787827 l
+175.250137 207.476913 l
+175.282578 207.787827 l
+175.315033 208.720520 l
+175.347488 209.342331 l
+175.379929 209.342331 l
+175.412384 209.653229 l
+175.444839 209.031433 l
+175.477295 208.720520 l
+175.509735 208.098724 l
+175.542191 208.098724 l
+175.574646 206.544205 l
+175.607101 206.855118 l
+175.639542 207.787827 l
+175.671997 207.787827 l
+175.704453 208.409622 l
+175.736908 208.720520 l
+175.769348 209.342331 l
+175.801804 208.098724 l
+175.834259 207.166016 l
+175.866714 207.166016 l
+175.899155 208.098724 l
+175.931610 207.476913 l
+175.964066 208.720520 l
+175.996506 208.720520 l
+176.028961 209.342331 l
+176.061417 209.342331 l
+176.093872 208.409622 l
+176.126312 208.720520 l
+176.158768 208.720520 l
+176.191223 208.098724 l
+176.223679 206.855118 l
+176.256119 206.233307 l
+176.288574 208.098724 l
+176.321030 209.031433 l
+176.353485 208.409622 l
+176.385925 209.342331 l
+176.418381 209.342331 l
+176.450836 208.409622 l
+176.483292 208.098724 l
+176.515732 207.787827 l
+176.548187 207.166016 l
+176.580627 206.544205 l
+176.613083 207.476913 l
+176.645523 208.098724 l
+176.677979 209.031433 l
+176.710434 209.031433 l
+176.742889 208.409622 l
+176.775330 208.720520 l
+176.807785 208.720520 l
+176.840240 209.031433 l
+176.872696 207.476913 l
+176.905136 208.720520 l
+176.937592 210.275040 l
+176.970047 210.275040 l
+177.002502 211.518646 l
+177.034943 211.207748 l
+177.067398 211.207748 l
+177.099854 210.275040 l
+177.132309 209.342331 l
+177.164749 209.031433 l
+177.197205 208.409622 l
+177.229660 208.720520 l
+177.262100 210.585938 l
+177.294556 212.140457 l
+177.327011 212.140457 l
+177.359467 212.451355 l
+177.391907 212.140457 l
+177.424362 211.207748 l
+177.456818 210.896851 l
+177.489273 211.829559 l
+177.521713 211.207748 l
+177.554169 211.829559 l
+177.586624 211.518646 l
+177.619080 212.762253 l
+177.651520 213.073166 l
+177.683975 213.384064 l
+177.716431 212.451355 l
+177.748886 211.518646 l
+177.781326 211.207748 l
+177.813782 211.207748 l
+177.846237 210.585938 l
+177.878677 210.585938 l
+177.911133 210.585938 l
+177.943588 210.585938 l
+177.976044 212.140457 l
+178.008484 210.896851 l
+178.040939 209.342331 l
+178.073395 209.342331 l
+178.105850 208.409622 l
+178.138290 208.098724 l
+178.170746 206.855118 l
+178.203201 208.409622 l
+178.235641 208.720520 l
+178.268097 208.098724 l
+178.300537 208.720520 l
+178.332993 209.031433 l
+178.365448 208.720520 l
+178.397888 208.098724 l
+178.430344 206.855118 l
+178.462799 206.544205 l
+178.495255 207.166016 l
+178.527695 207.166016 l
+178.560150 207.787827 l
+178.592606 208.098724 l
+178.625061 209.031433 l
+178.657501 209.342331 l
+178.689957 208.720520 l
+178.722412 207.787827 l
+178.754868 207.787827 l
+178.787308 207.787827 l
+178.819763 205.922409 l
+178.852219 207.166016 l
+178.884674 207.787827 l
+178.917114 208.098724 l
+178.949570 206.855118 l
+178.982025 207.787827 l
+179.014481 208.409622 l
+179.046921 208.409622 l
+179.079376 208.098724 l
+179.111832 207.166016 l
+179.144272 206.855118 l
+179.176727 206.855118 l
+179.209183 207.476913 l
+179.241638 208.720520 l
+179.274078 208.409622 l
+179.306534 208.409622 l
+179.338989 206.855118 l
+179.371445 206.855118 l
+179.403885 205.922409 l
+179.436340 205.300598 l
+179.468796 205.300598 l
+179.501251 206.233307 l
+179.533691 205.922409 l
+179.566147 204.678787 l
+179.598602 205.922409 l
+179.631058 206.855118 l
+179.663498 208.098724 l
+179.695953 210.275040 l
+179.728409 212.762253 l
+179.760864 215.249481 l
+179.793304 217.114899 l
+179.825760 221.156631 l
+179.858215 224.887451 l
+179.890656 230.172791 l
+179.923096 236.701752 l
+179.955551 241.054382 l
+179.988007 246.339722 l
+180.020462 245.407013 l
+180.052902 244.163406 l
+180.085358 239.810776 l
+180.117813 237.012650 l
+180.150269 232.970917 l
+180.182709 230.172791 l
+180.215164 226.131073 l
+180.247620 220.534821 l
+180.280060 213.694962 l
+180.312515 206.855118 l
+180.344971 201.569763 l
+180.377426 201.258865 l
+180.409866 202.502472 l
+180.442322 204.056992 l
+180.474777 205.922409 l
+180.507233 206.855118 l
+180.539673 208.409622 l
+180.572128 209.342331 l
+180.604584 209.964142 l
+180.637039 210.585938 l
+180.669479 209.342331 l
+180.701935 208.720520 l
+180.734390 207.476913 l
+180.766846 207.787827 l
+180.799286 207.787827 l
+180.831741 208.409622 l
+180.864197 209.342331 l
+180.896652 210.275040 l
+180.929092 210.585938 l
+180.961548 209.964142 l
+180.994003 209.031433 l
+181.026443 207.787827 l
+181.058899 207.476913 l
+181.091354 208.409622 l
+181.123810 207.787827 l
+181.156250 208.098724 l
+181.188705 209.031433 l
+181.221161 209.653229 l
+181.253616 209.964142 l
+181.286057 209.031433 l
+181.318512 209.031433 l
+181.350967 209.653229 l
+181.383423 209.342331 l
+181.415863 209.964142 l
+181.448318 209.653229 l
+181.480774 209.653229 l
+181.513229 209.964142 l
+181.545654 211.207748 l
+181.578110 210.585938 l
+181.610565 210.275040 l
+181.643021 210.585938 l
+181.675461 210.275040 l
+181.707916 209.031433 l
+181.740372 209.653229 l
+181.772827 210.896851 l
+181.805267 211.829559 l
+181.837723 211.207748 l
+181.870178 211.207748 l
+181.902634 211.518646 l
+181.935074 213.073166 l
+181.967529 213.694962 l
+181.999985 214.316772 l
+182.032440 215.249481 l
+182.064880 216.182190 l
+182.097336 217.736694 l
+182.129791 217.736694 l
+182.162231 219.913010 l
+182.194687 220.534821 l
+182.227142 220.534821 l
+182.259598 220.845718 l
+182.292038 221.156631 l
+182.324493 219.602112 l
+182.356949 220.223923 l
+182.389404 221.467529 l
+182.421844 221.467529 l
+182.454300 222.711136 l
+182.486755 223.643845 l
+182.519211 224.265656 l
+182.551651 223.022034 l
+182.584106 223.643845 l
+182.616562 223.332947 l
+182.649017 222.400238 l
+182.681458 223.643845 l
+182.713913 224.265656 l
+182.746368 226.441971 l
+182.778824 227.063766 l
+182.811264 226.131073 l
+182.843719 226.752869 l
+182.876175 227.063766 l
+182.908615 226.441971 l
+182.941071 226.752869 l
+182.973526 226.441971 l
+183.005981 226.752869 l
+183.038422 227.374680 l
+183.070877 228.618286 l
+183.103333 228.307388 l
+183.135788 228.929184 l
+183.168228 230.794601 l
+183.200668 231.105515 l
+183.233124 230.794601 l
+183.265579 231.416412 l
+183.298035 230.483704 l
+183.330475 231.416412 l
+183.362930 231.416412 l
+183.395386 232.970917 l
+183.427826 232.349121 l
+183.460281 234.214523 l
+183.492737 234.214523 l
+183.525192 233.592728 l
+183.557632 233.281830 l
+183.590088 232.038208 l
+183.622543 232.349121 l
+183.654999 232.660019 l
+183.687439 232.970917 l
+183.719894 233.592728 l
+183.752350 235.147232 l
+183.784805 233.281830 l
+183.817245 235.147232 l
+183.849701 234.214523 l
+183.882156 233.903625 l
+183.914612 232.660019 l
+183.947052 231.416412 l
+183.979507 232.038208 l
+184.011963 231.416412 l
+184.044418 232.038208 l
+184.076859 232.038208 l
+184.109314 231.727310 l
+184.141769 231.727310 l
+184.174210 230.172791 l
+184.206665 228.618286 l
+184.239120 229.240082 l
+184.271576 228.307388 l
+184.304016 226.441971 l
+184.336472 226.131073 l
+184.368927 225.820160 l
+184.401382 225.820160 l
+184.433823 226.752869 l
+184.466278 225.198349 l
+184.498734 224.265656 l
+184.531189 223.022034 l
+184.563629 222.400238 l
+184.596085 221.467529 l
+184.628540 222.089340 l
+184.660995 221.778427 l
+184.693436 221.467529 l
+184.725891 223.332947 l
+184.758347 222.089340 l
+184.790787 221.778427 l
+184.823242 220.534821 l
+184.855698 219.602112 l
+184.888138 219.291214 l
+184.920593 219.291214 l
+184.953033 218.980301 l
+184.985489 219.913010 l
+185.017944 219.913010 l
+185.050400 219.602112 l
+185.082840 219.291214 l
+185.115295 219.291214 l
+185.147751 218.980301 l
+185.180206 218.047607 l
+185.212646 217.425797 l
+185.245102 217.425797 l
+185.277557 216.493088 l
+185.309998 217.425797 l
+185.342453 218.358505 l
+185.374908 218.358505 l
+185.407364 219.602112 l
+185.439804 219.602112 l
+185.472260 218.358505 l
+185.504715 218.358505 l
+185.537170 217.736694 l
+185.569611 217.114899 l
+185.602066 217.425797 l
+185.634521 218.358505 l
+185.666977 218.358505 l
+185.699417 219.602112 l
+185.731873 220.223923 l
+185.764328 218.669403 l
+185.796783 218.047607 l
+185.829224 217.736694 l
+185.861679 217.114899 l
+185.894135 217.736694 l
+185.926590 216.493088 l
+185.959030 217.736694 l
+185.991486 218.358505 l
+186.023941 218.358505 l
+186.056381 218.980301 l
+186.088837 218.047607 l
+186.121292 217.425797 l
+186.153748 217.425797 l
+186.186188 216.803986 l
+186.218643 216.803986 l
+186.251099 217.114899 l
+186.283554 217.736694 l
+186.315994 218.358505 l
+186.348450 218.669403 l
+186.380905 219.291214 l
+186.413361 218.358505 l
+186.445801 218.047607 l
+186.478256 217.114899 l
+186.510712 216.493088 l
+186.543152 216.182190 l
+186.575592 215.871292 l
+186.608047 216.182190 l
+186.640503 217.114899 l
+186.672958 217.736694 l
+186.705399 218.358505 l
+186.737854 217.736694 l
+186.770309 216.803986 l
+186.802765 216.493088 l
+186.835205 215.560379 l
+186.867661 215.871292 l
+186.900116 215.871292 l
+186.932571 217.114899 l
+186.965012 217.425797 l
+186.997467 218.047607 l
+187.029922 217.425797 l
+187.062378 217.425797 l
+187.094818 216.182190 l
+187.127274 215.871292 l
+187.159729 215.249481 l
+187.192169 214.627670 l
+187.224625 214.938568 l
+187.257080 216.182190 l
+187.289536 216.182190 l
+187.321976 215.871292 l
+187.354431 216.803986 l
+187.386887 216.182190 l
+187.419342 215.560379 l
+187.451782 214.316772 l
+187.484238 215.871292 l
+187.516693 215.560379 l
+187.549149 214.938568 l
+187.581589 215.560379 l
+187.614044 215.871292 l
+187.646500 216.493088 l
+187.678955 215.249481 l
+187.711395 215.871292 l
+187.743851 214.316772 l
+187.776306 212.762253 l
+187.808762 212.451355 l
+187.841202 212.140457 l
+187.873657 213.384064 l
+187.906113 214.316772 l
+187.938553 214.938568 l
+187.971008 215.871292 l
+188.003464 213.384064 l
+188.035919 215.249481 l
+188.068359 214.627670 l
+188.100815 214.627670 l
+188.133270 213.384064 l
+188.165726 213.694962 l
+188.198166 212.762253 l
+188.230606 213.073166 l
+188.263062 214.627670 l
+188.295517 214.938568 l
+188.327972 214.005875 l
+188.360413 213.384064 l
+188.392868 212.762253 l
+188.425323 213.384064 l
+188.457764 212.451355 l
+188.490219 211.518646 l
+188.522675 214.005875 l
+188.555130 215.249481 l
+188.587570 213.694962 l
+188.620026 214.005875 l
+188.652481 216.182190 l
+188.684937 213.073166 l
+188.717377 212.762253 l
+188.749832 211.518646 l
+188.782288 212.140457 l
+188.814743 213.073166 l
+188.847183 212.140457 l
+188.879639 213.073166 l
+188.912094 212.140457 l
+188.944550 212.762253 l
+188.976990 213.384064 l
+189.009445 213.384064 l
+189.041901 212.140457 l
+189.074341 212.451355 l
+189.106796 211.518646 l
+189.139252 210.275040 l
+189.171707 212.451355 l
+189.204147 212.762253 l
+189.236603 212.451355 l
+189.269058 212.762253 l
+189.301514 213.384064 l
+189.333954 211.207748 l
+189.366409 211.207748 l
+189.398865 211.829559 l
+189.431320 210.896851 l
+189.463760 210.585938 l
+189.496216 210.896851 l
+189.528671 211.829559 l
+189.561127 211.829559 l
+189.593567 211.518646 l
+189.626022 212.140457 l
+189.658478 211.207748 l
+189.690933 210.585938 l
+189.723373 210.585938 l
+189.755829 209.964142 l
+189.788284 209.342331 l
+189.820724 210.275040 l
+189.853165 210.896851 l
+189.885620 210.896851 l
+189.918076 211.207748 l
+189.950531 210.585938 l
+189.982971 210.585938 l
+190.015427 210.275040 l
+190.047882 210.585938 l
+190.080338 209.031433 l
+190.112778 209.964142 l
+190.145233 210.275040 l
+190.177689 210.585938 l
+190.210144 211.829559 l
+190.242584 211.518646 l
+190.275040 210.275040 l
+190.307495 209.653229 l
+190.339935 208.720520 l
+190.372391 208.409622 l
+190.404846 208.409622 l
+190.437302 209.031433 l
+190.469742 210.585938 l
+190.502197 211.207748 l
+190.534653 211.829559 l
+190.567108 212.140457 l
+190.599548 211.829559 l
+190.632004 210.585938 l
+190.664459 211.207748 l
+190.696915 211.518646 l
+190.729355 211.207748 l
+190.761810 211.829559 l
+190.794266 212.762253 l
+190.826721 212.451355 l
+190.859161 212.762253 l
+190.891617 212.451355 l
+190.924072 212.140457 l
+190.956512 210.896851 l
+190.988968 210.275040 l
+191.021423 210.275040 l
+191.053879 211.207748 l
+191.086319 213.694962 l
+191.118774 213.384064 l
+191.151230 213.384064 l
+191.183685 213.384064 l
+191.216125 215.249481 l
+191.248581 214.627670 l
+191.281036 214.005875 l
+191.313492 213.073166 l
+191.345932 212.762253 l
+191.378387 212.451355 l
+191.410843 212.762253 l
+191.443298 213.694962 l
+191.475739 213.384064 l
+191.508194 213.384064 l
+191.540634 213.694962 l
+191.573090 214.938568 l
+191.605530 213.073166 l
+191.637985 212.451355 l
+191.670441 211.207748 l
+191.702896 209.031433 l
+191.735336 209.964142 l
+191.767792 210.275040 l
+191.800247 210.275040 l
+191.832703 210.275040 l
+191.865143 210.275040 l
+191.897598 209.653229 l
+191.930054 209.031433 l
+191.962509 208.098724 l
+191.994949 207.787827 l
+192.027405 206.855118 l
+192.059860 207.166016 l
+192.092316 208.720520 l
+192.124756 208.098724 l
+192.157211 209.031433 l
+192.189667 208.720520 l
+192.222107 209.342331 l
+192.254562 209.031433 l
+192.287018 207.787827 l
+192.319473 207.166016 l
+192.351913 206.855118 l
+192.384369 207.787827 l
+192.416824 208.098724 l
+192.449280 208.720520 l
+192.481720 208.720520 l
+192.514175 208.720520 l
+192.546631 207.787827 l
+192.579086 206.544205 l
+192.611526 206.855118 l
+192.643982 207.166016 l
+192.676437 206.233307 l
+192.708893 207.166016 l
+192.741333 208.409622 l
+192.773788 208.409622 l
+192.806244 207.166016 l
+192.838684 208.098724 l
+192.871140 208.409622 l
+192.903595 207.476913 l
+192.936050 206.544205 l
+192.968491 206.544205 l
+193.000946 205.300598 l
+193.033401 207.166016 l
+193.065857 207.476913 l
+193.098297 207.166016 l
+193.130753 205.922409 l
+193.163208 207.787827 l
+193.195648 206.233307 l
+193.228104 205.300598 l
+193.260544 204.367889 l
+193.292999 205.300598 l
+193.325455 203.124283 l
+193.357895 203.746078 l
+193.390350 206.544205 l
+193.422806 209.653229 l
+193.455261 212.451355 l
+193.487701 215.871292 l
+193.520157 218.358505 l
+193.552612 219.602112 l
+193.585068 222.711136 l
+193.617508 227.063766 l
+193.649963 232.660019 l
+193.682419 239.499863 l
+193.714874 246.650635 l
+193.747314 247.583328 l
+193.779770 246.961533 l
+193.812225 244.474304 l
+193.844681 239.188965 l
+193.877121 235.769043 l
+193.909576 230.794601 l
+193.942032 224.887451 l
+193.974487 218.980301 l
+194.006927 211.518646 l
+194.039383 206.855118 l
+194.071838 202.502472 l
+194.104279 201.569763 l
+194.136734 202.502472 l
+194.169189 202.191574 l
+194.201645 203.124283 l
+194.234085 204.989700 l
+194.266541 206.233307 l
+194.298996 207.166016 l
+194.331451 207.166016 l
+194.363892 208.409622 l
+194.396347 209.653229 l
+194.428802 209.342331 l
+194.461258 208.720520 l
+194.493698 208.098724 l
+194.526154 208.098724 l
+194.558609 208.098724 l
+194.591064 208.098724 l
+194.623505 208.409622 l
+194.655960 208.409622 l
+194.688416 210.275040 l
+194.720856 207.787827 l
+194.753311 209.031433 l
+194.785767 210.275040 l
+194.818222 208.409622 l
+194.850662 206.233307 l
+194.883102 207.476913 l
+194.915558 207.166016 l
+194.948013 208.098724 l
+194.980469 208.409622 l
+195.012909 210.275040 l
+195.045364 211.207748 l
+195.077820 210.896851 l
+195.110275 210.275040 l
+195.142715 209.342331 l
+195.175171 209.031433 l
+195.207626 209.342331 l
+195.240067 208.098724 l
+195.272522 208.409622 l
+195.304977 209.964142 l
+195.337433 209.653229 l
+195.369873 211.207748 l
+195.402328 212.762253 l
+195.434784 211.207748 l
+195.467239 212.451355 l
+195.499680 210.275040 l
+195.532135 211.207748 l
+195.564590 209.031433 l
+195.597046 208.720520 l
+195.629486 209.342331 l
+195.661942 210.275040 l
+195.694397 210.896851 l
+195.726852 211.518646 l
+195.759293 210.275040 l
+195.791748 210.275040 l
+195.824203 210.585938 l
+195.856659 211.829559 l
+195.889099 209.342331 l
+195.921555 210.585938 l
+195.954010 211.829559 l
+195.986450 213.073166 l
+196.018906 213.384064 l
+196.051361 213.384064 l
+196.083817 213.073166 l
+196.116257 212.451355 l
+196.148712 212.762253 l
+196.181168 212.451355 l
+196.213623 212.451355 l
+196.246063 212.451355 l
+196.278519 213.073166 l
+196.310974 214.316772 l
+196.343430 215.249481 l
+196.375870 215.560379 l
+196.408325 215.249481 l
+196.440781 214.316772 l
+196.473236 214.005875 l
+196.505661 213.694962 l
+196.538116 214.316772 l
+196.570572 215.249481 l
+196.603027 214.627670 l
+196.635468 216.493088 l
+196.667923 217.114899 l
+196.700378 218.358505 l
+196.732834 218.669403 l
+196.765274 218.047607 l
+196.797729 217.736694 l
+196.830185 217.114899 l
+196.862640 217.114899 l
+196.895081 217.425797 l
+196.927536 218.669403 l
+196.959991 219.291214 l
+196.992447 220.534821 l
+197.024887 223.332947 l
+197.057343 221.467529 l
+197.089798 219.913010 l
+197.122253 220.845718 l
+197.154694 220.845718 l
+197.187149 221.156631 l
+197.219604 221.156631 l
+197.252045 222.089340 l
+197.284500 222.711136 l
+197.316956 223.332947 l
+197.349411 224.576553 l
+197.381851 223.643845 l
+197.414307 221.467529 l
+197.446762 221.467529 l
+197.479218 222.400238 l
+197.511658 221.156631 l
+197.544113 222.400238 l
+197.576569 223.022034 l
+197.609024 222.089340 l
+197.641464 223.022034 l
+197.673920 222.400238 l
+197.706375 221.778427 l
+197.738831 220.845718 l
+197.771271 220.534821 l
+197.803726 219.602112 l
+197.836182 218.980301 l
+197.868622 218.980301 l
+197.901077 218.358505 l
+197.933533 221.156631 l
+197.965988 218.047607 l
+197.998428 217.736694 l
+198.030884 217.114899 l
+198.063339 214.938568 l
+198.095795 214.316772 l
+198.128235 214.627670 l
+198.160675 213.073166 l
+198.193130 212.762253 l
+198.225586 213.384064 l
+198.258041 212.762253 l
+198.290482 212.140457 l
+198.322937 212.762253 l
+198.355392 211.518646 l
+198.387833 209.031433 l
+198.420288 208.720520 l
+198.452744 208.720520 l
+198.485199 208.098724 l
+198.517639 209.342331 l
+198.550095 209.653229 l
+198.582550 211.207748 l
+198.615005 209.964142 l
+198.647446 209.653229 l
+198.679901 208.098724 l
+198.712357 206.855118 l
+198.744812 206.855118 l
+198.777252 208.098724 l
+198.809708 207.476913 l
+198.842163 207.476913 l
+198.874619 207.787827 l
+198.907059 208.409622 l
+198.939514 209.342331 l
+198.971970 209.031433 l
+199.004425 208.098724 l
+199.036865 207.476913 l
+199.069321 206.544205 l
+199.101776 206.233307 l
+199.134216 206.544205 l
+199.166672 207.787827 l
+199.199127 208.098724 l
+199.231583 208.720520 l
+199.264023 208.720520 l
+199.296478 209.031433 l
+199.328934 208.098724 l
+199.361389 207.787827 l
+199.393829 206.855118 l
+199.426285 206.544205 l
+199.458740 206.544205 l
+199.491196 207.476913 l
+199.523636 208.720520 l
+199.556091 208.409622 l
+199.588547 209.031433 l
+199.621002 208.720520 l
+199.653442 209.031433 l
+199.685898 208.720520 l
+199.718353 208.409622 l
+199.750793 207.476913 l
+199.783249 206.544205 l
+199.815689 207.476913 l
+199.848145 208.098724 l
+199.880600 206.855118 l
+199.913040 208.720520 l
+199.945496 208.409622 l
+199.977951 207.787827 l
+200.010406 207.476913 l
+200.042847 207.476913 l
+200.075302 207.476913 l
+200.107758 207.787827 l
+200.140213 207.476913 l
+200.172653 208.409622 l
+200.205109 209.342331 l
+200.237564 209.653229 l
+200.270004 209.342331 l
+200.302460 208.720520 l
+200.334915 208.409622 l
+200.367371 209.031433 l
+200.399811 207.787827 l
+200.432266 207.166016 l
+200.464722 207.476913 l
+200.497177 208.720520 l
+200.529617 208.720520 l
+200.562073 209.342331 l
+200.594528 208.720520 l
+200.626984 208.409622 l
+200.659424 209.031433 l
+200.691879 207.166016 l
+200.724335 206.855118 l
+200.756790 207.476913 l
+200.789230 208.409622 l
+200.821686 208.409622 l
+200.854141 209.342331 l
+200.886597 209.653229 l
+200.919037 210.275040 l
+200.951492 209.964142 l
+200.983948 209.342331 l
+201.016388 207.787827 l
+201.048843 207.787827 l
+201.081299 207.166016 l
+201.113754 208.098724 l
+201.146194 207.787827 l
+201.178650 209.031433 l
+201.211105 209.653229 l
+201.243561 209.342331 l
+201.276001 208.409622 l
+201.308456 207.787827 l
+201.340912 207.787827 l
+201.373367 207.787827 l
+201.405807 207.787827 l
+201.438263 208.409622 l
+201.470718 208.409622 l
+201.503159 209.031433 l
+201.535599 209.031433 l
+201.568054 208.409622 l
+201.600510 207.787827 l
+201.632965 207.476913 l
+201.665405 207.787827 l
+201.697861 207.166016 l
+201.730316 206.855118 l
+201.762772 207.476913 l
+201.795212 208.098724 l
+201.827667 208.720520 l
+201.860123 209.342331 l
+201.892578 207.476913 l
+201.925018 207.166016 l
+201.957474 206.855118 l
+201.989929 206.855118 l
+202.022385 206.233307 l
+202.054825 207.476913 l
+202.087280 208.098724 l
+202.119736 207.166016 l
+202.152176 208.098724 l
+202.184631 208.098724 l
+202.217087 208.409622 l
+202.249542 207.476913 l
+202.281982 207.476913 l
+202.314438 206.855118 l
+202.346893 207.166016 l
+202.379349 207.476913 l
+202.411789 207.476913 l
+202.444244 207.166016 l
+202.476700 207.476913 l
+202.509155 207.787827 l
+202.541595 206.544205 l
+202.574051 206.544205 l
+202.606506 206.544205 l
+202.638962 206.233307 l
+202.671402 205.300598 l
+202.703857 206.544205 l
+202.736313 207.166016 l
+202.768768 207.787827 l
+202.801208 208.098724 l
+202.833664 208.409622 l
+202.866119 207.166016 l
+202.898560 206.544205 l
+202.931015 206.544205 l
+202.963470 205.922409 l
+202.995926 205.611511 l
+203.028366 206.855118 l
+203.060822 207.476913 l
+203.093277 208.409622 l
+203.125732 209.031433 l
+203.158173 209.031433 l
+203.190613 207.166016 l
+203.223068 207.476913 l
+203.255524 206.544205 l
+203.287979 205.922409 l
+203.320419 205.922409 l
+203.352875 207.166016 l
+203.385330 207.787827 l
+203.417770 207.166016 l
+203.450226 208.720520 l
+203.482681 207.476913 l
+203.515137 206.544205 l
+203.547577 206.233307 l
+203.580032 206.855118 l
+203.612488 206.544205 l
+203.644943 205.300598 l
+203.677383 207.476913 l
+203.709839 207.166016 l
+203.742294 206.544205 l
+203.774750 206.855118 l
+203.807190 206.544205 l
+203.839645 205.922409 l
+203.872101 206.855118 l
+203.904556 206.233307 l
+203.936996 205.922409 l
+203.969452 205.922409 l
+204.001907 207.166016 l
+204.034348 207.787827 l
+204.066803 208.409622 l
+204.099258 209.031433 l
+204.131714 208.409622 l
+204.164154 208.720520 l
+204.196609 208.409622 l
+204.229065 208.720520 l
+204.261520 208.098724 l
+204.293961 208.409622 l
+204.326416 207.787827 l
+204.358871 209.031433 l
+204.391327 208.409622 l
+204.423767 209.964142 l
+204.456223 208.720520 l
+204.488678 209.031433 l
+204.521133 209.031433 l
+204.553574 209.342331 l
+204.586029 209.964142 l
+204.618484 209.964142 l
+204.650940 210.275040 l
+204.683380 211.518646 l
+204.715836 211.518646 l
+204.748291 212.451355 l
+204.780731 211.518646 l
+204.813171 210.896851 l
+204.845627 211.518646 l
+204.878082 210.896851 l
+204.910538 210.896851 l
+204.942978 211.207748 l
+204.975433 210.585938 l
+205.007889 209.964142 l
+205.040344 210.896851 l
+205.072784 209.653229 l
+205.105240 209.031433 l
+205.137695 209.031433 l
+205.170151 208.098724 l
+205.202591 207.476913 l
+205.235046 206.544205 l
+205.267502 207.166016 l
+205.299942 207.476913 l
+205.332397 207.476913 l
+205.364853 206.855118 l
+205.397308 207.476913 l
+205.429749 206.544205 l
+205.462204 207.166016 l
+205.494659 207.166016 l
+205.527115 206.233307 l
+205.559555 205.922409 l
+205.592010 206.855118 l
+205.624466 206.544205 l
+205.656921 206.544205 l
+205.689362 208.098724 l
+205.721817 207.166016 l
+205.754272 206.233307 l
+205.786728 205.922409 l
+205.819168 206.233307 l
+205.851624 205.300598 l
+205.884079 204.989700 l
+205.916519 206.233307 l
+205.948975 206.855118 l
+205.981430 207.166016 l
+206.013885 207.166016 l
+206.046326 206.544205 l
+206.078781 206.544205 l
+206.111237 205.922409 l
+206.143692 204.989700 l
+206.176132 205.300598 l
+206.208588 203.746078 l
+206.241043 205.922409 l
+206.273499 206.855118 l
+206.305939 207.166016 l
+206.338394 206.233307 l
+206.370850 206.855118 l
+206.403305 206.855118 l
+206.435745 205.300598 l
+206.468185 205.300598 l
+206.500641 204.678787 l
+206.533096 203.746078 l
+206.565536 204.678787 l
+206.597992 204.367889 l
+206.630447 204.989700 l
+206.662903 204.678787 l
+206.695343 204.989700 l
+206.727798 204.056992 l
+206.760254 203.124283 l
+206.792709 202.191574 l
+206.825150 203.435181 l
+206.857605 204.989700 l
+206.890060 208.409622 l
+206.922516 212.140457 l
+206.954956 215.249481 l
+206.987411 218.669403 l
+207.019867 223.022034 l
+207.052322 226.752869 l
+207.084763 232.660019 l
+207.117218 238.567169 l
+207.149673 246.028824 l
+207.182114 251.625076 l
+207.214569 251.314163 l
+207.247025 249.759644 l
+207.279480 245.717926 l
+207.311920 241.987091 l
+207.344376 235.458145 l
+207.376831 229.861893 l
+207.409286 221.778427 l
+207.441727 214.627670 l
+207.474182 207.787827 l
+207.506638 200.326157 l
+207.539093 198.149841 l
+207.571533 199.082550 l
+207.603989 201.569763 l
+207.636444 203.124283 l
+207.668900 203.746078 l
+207.701340 205.922409 l
+207.733795 206.855118 l
+207.766251 205.300598 l
+207.798691 206.233307 l
+207.831146 206.544205 l
+207.863602 207.476913 l
+207.896057 208.098724 l
+207.928497 208.098724 l
+207.960953 208.409622 l
+207.993408 208.409622 l
+208.025864 206.855118 l
+208.058304 207.166016 l
+208.090759 206.544205 l
+208.123215 206.233307 l
+208.155655 205.922409 l
+208.188110 207.787827 l
+208.220551 207.787827 l
+208.253006 208.098724 l
+208.285461 208.720520 l
+208.317902 209.653229 l
+208.350357 208.098724 l
+208.382812 207.476913 l
+208.415268 207.476913 l
+208.447708 207.166016 l
+208.480164 207.476913 l
+208.512619 207.787827 l
+208.545074 209.342331 l
+208.577515 209.031433 l
+208.609970 209.031433 l
+208.642426 209.031433 l
+208.674881 208.409622 l
+208.707321 207.787827 l
+208.739777 207.787827 l
+208.772232 207.476913 l
+208.804688 209.031433 l
+208.837128 208.409622 l
+208.869583 209.653229 l
+208.902039 210.275040 l
+208.934494 210.275040 l
+208.966934 210.585938 l
+208.999390 210.275040 l
+209.031845 209.964142 l
+209.064285 209.031433 l
+209.096741 209.342331 l
+209.129196 209.031433 l
+209.161652 210.585938 l
+209.194092 210.585938 l
+209.226547 210.896851 l
+209.259003 211.207748 l
+209.291458 211.207748 l
+209.323898 210.275040 l
+209.356354 209.653229 l
+209.388809 209.342331 l
+209.421265 209.342331 l
+209.453705 210.585938 l
+209.486160 210.896851 l
+209.518616 211.518646 l
+209.551071 212.762253 l
+209.583511 213.073166 l
+209.615967 212.451355 l
+209.648422 211.207748 l
+209.680878 211.207748 l
+209.713318 211.829559 l
+209.745773 212.140457 l
+209.778229 212.451355 l
+209.810669 212.762253 l
+209.843109 213.694962 l
+209.875565 215.560379 l
+209.908020 215.560379 l
+209.940475 216.182190 l
+209.972916 215.560379 l
+210.005371 214.627670 l
+210.037827 214.627670 l
+210.070282 214.005875 l
+210.102722 214.938568 l
+210.135178 215.560379 l
+210.167633 217.114899 l
+210.200073 216.803986 l
+210.232529 217.736694 l
+210.264984 219.291214 l
+210.297440 218.980301 l
+210.329880 218.669403 l
+210.362335 218.669403 l
+210.394791 218.047607 l
+210.427246 218.980301 l
+210.459686 219.602112 l
+210.492142 219.913010 l
+210.524597 221.156631 l
+210.557053 222.711136 l
+210.589493 222.400238 l
+210.621948 223.022034 l
+210.654404 222.711136 l
+210.686859 222.711136 l
+210.719299 222.400238 l
+210.751755 222.089340 l
+210.784210 223.022034 l
+210.816666 224.576553 l
+210.849106 223.954742 l
+210.881561 224.265656 l
+210.914017 224.265656 l
+210.946457 223.332947 l
+210.978912 222.089340 l
+211.011368 221.156631 l
+211.043823 221.156631 l
+211.076263 221.156631 l
+211.108719 222.711136 l
+211.141174 222.711136 l
+211.173630 223.022034 l
+211.206070 223.022034 l
+211.238525 221.778427 l
+211.270981 221.156631 l
+211.303436 219.602112 l
+211.335876 218.980301 l
+211.368332 218.047607 l
+211.400787 217.736694 l
+211.433243 217.736694 l
+211.465668 217.736694 l
+211.498123 216.182190 l
+211.530579 216.493088 l
+211.563034 216.182190 l
+211.595474 213.694962 l
+211.627930 211.829559 l
+211.660385 212.451355 l
+211.692841 212.140457 l
+211.725281 211.207748 l
+211.757736 211.207748 l
+211.790192 212.451355 l
+211.822647 211.829559 l
+211.855087 211.829559 l
+211.887543 210.896851 l
+211.919998 209.964142 l
+211.952454 208.720520 l
+211.984894 208.409622 l
+212.017349 208.409622 l
+212.049805 208.098724 l
+212.082245 208.409622 l
+212.114700 208.720520 l
+212.147156 208.409622 l
+212.179611 208.720520 l
+212.212051 209.031433 l
+212.244507 209.342331 l
+212.276962 207.166016 l
+212.309418 206.855118 l
+212.341858 206.544205 l
+212.374313 208.098724 l
+212.406769 207.476913 l
+212.439224 207.476913 l
+212.471664 209.342331 l
+212.504120 209.031433 l
+212.536575 207.476913 l
+212.569031 206.544205 l
+212.601471 206.855118 l
+212.633926 206.544205 l
+212.666382 206.233307 l
+212.698837 207.476913 l
+212.731277 208.098724 l
+212.763733 208.409622 l
+212.796188 207.787827 l
+212.828629 208.409622 l
+212.861084 207.476913 l
+212.893539 207.476913 l
+212.925995 207.476913 l
+212.958435 207.476913 l
+212.990891 206.855118 l
+213.023346 208.098724 l
+213.055801 207.787827 l
+213.088242 208.409622 l
+213.120682 209.031433 l
+213.153137 209.964142 l
+213.185593 208.720520 l
+213.218048 208.409622 l
+213.250488 207.476913 l
+213.282944 207.476913 l
+213.315399 207.476913 l
+213.347839 207.787827 l
+213.380295 209.342331 l
+213.412750 209.342331 l
+213.445206 209.653229 l
+213.477646 209.031433 l
+213.510101 208.720520 l
+213.542557 208.098724 l
+213.575012 208.098724 l
+213.607452 207.476913 l
+213.639908 207.166016 l
+213.672363 208.720520 l
+213.704819 209.031433 l
+213.737259 210.275040 l
+213.769714 209.653229 l
+213.802170 210.585938 l
+213.834625 209.031433 l
+213.867065 207.476913 l
+213.899521 207.787827 l
+213.931976 207.476913 l
+213.964432 207.166016 l
+213.996872 209.653229 l
+214.029327 209.342331 l
+214.061783 210.275040 l
+214.094223 210.896851 l
+214.126678 210.275040 l
+214.159134 209.653229 l
+214.191589 208.409622 l
+214.224030 208.098724 l
+214.256485 207.787827 l
+214.288940 208.409622 l
+214.321396 209.031433 l
+214.353836 209.031433 l
+214.386292 209.653229 l
+214.418747 210.275040 l
+214.451202 209.342331 l
+214.483643 208.720520 l
+214.516098 208.720520 l
+214.548553 207.476913 l
+214.581009 208.098724 l
+214.613449 208.409622 l
+214.645905 208.720520 l
+214.678360 209.031433 l
+214.710800 209.653229 l
+214.743256 209.342331 l
+214.775696 209.031433 l
+214.808151 208.098724 l
+214.840607 208.409622 l
+214.873047 208.720520 l
+214.905502 210.275040 l
+214.937958 210.585938 l
+214.970413 211.829559 l
+215.002853 212.451355 l
+215.035309 213.694962 l
+215.067764 215.249481 l
+215.100220 215.249481 l
+215.132660 214.938568 l
+215.165115 213.694962 l
+215.197571 214.627670 l
+215.230011 214.316772 l
+215.262466 213.694962 l
+215.294922 215.560379 l
+215.327377 216.182190 l
+215.359818 216.493088 l
+215.392273 217.425797 l
+215.424728 217.425797 l
+215.457184 216.182190 l
+215.489624 215.560379 l
+215.522079 214.627670 l
+215.554535 214.627670 l
+215.586990 216.182190 l
+215.619431 217.114899 l
+215.651886 217.425797 l
+215.684341 217.425797 l
+215.716797 217.736694 l
+215.749237 216.493088 l
+215.781693 215.871292 l
+215.814148 215.560379 l
+215.846603 215.560379 l
+215.879044 215.871292 l
+215.911499 215.871292 l
+215.943954 216.803986 l
+215.976395 217.114899 l
+216.008850 218.047607 l
+216.041306 218.669403 l
+216.073761 218.358505 l
+216.106201 217.114899 l
+216.138657 215.871292 l
+216.171112 215.871292 l
+216.203568 215.560379 l
+216.236008 215.560379 l
+216.268463 216.803986 l
+216.300919 217.736694 l
+216.333374 218.358505 l
+216.365814 217.425797 l
+216.398270 216.803986 l
+216.430710 216.493088 l
+216.463165 215.871292 l
+216.495605 216.182190 l
+216.528061 216.182190 l
+216.560516 216.182190 l
+216.592972 217.114899 l
+216.625412 216.493088 l
+216.657867 217.425797 l
+216.690323 217.736694 l
+216.722778 216.803986 l
+216.755219 216.493088 l
+216.787674 216.493088 l
+216.820129 215.249481 l
+216.852585 215.560379 l
+216.885025 215.560379 l
+216.917480 217.114899 l
+216.949936 217.114899 l
+216.982391 217.114899 l
+217.014832 217.425797 l
+217.047287 216.182190 l
+217.079742 214.627670 l
+217.112183 214.316772 l
+217.144638 214.938568 l
+217.177094 214.938568 l
+217.209549 215.871292 l
+217.241989 216.182190 l
+217.274445 217.425797 l
+217.306900 217.425797 l
+217.339355 217.736694 l
+217.371796 216.803986 l
+217.404251 216.182190 l
+217.436707 215.871292 l
+217.469162 215.871292 l
+217.501602 216.493088 l
+217.534058 217.114899 l
+217.566513 217.736694 l
+217.598969 218.047607 l
+217.631409 218.669403 l
+217.663864 218.358505 l
+217.696320 218.047607 l
+217.728775 217.114899 l
+217.761215 216.493088 l
+217.793671 216.803986 l
+217.826126 217.736694 l
+217.858566 218.358505 l
+217.891022 219.913010 l
+217.923477 220.845718 l
+217.955933 221.467529 l
+217.988373 221.156631 l
+218.020828 220.223923 l
+218.053284 219.913010 l
+218.085739 218.047607 l
+218.118179 217.736694 l
+218.150620 217.736694 l
+218.183075 218.669403 l
+218.215530 219.602112 l
+218.247986 219.602112 l
+218.280426 220.223923 l
+218.312881 219.913010 l
+218.345337 218.358505 l
+218.377777 216.803986 l
+218.410233 215.871292 l
+218.442688 214.938568 l
+218.475143 215.249481 l
+218.507584 215.560379 l
+218.540039 215.249481 l
+218.572495 215.560379 l
+218.604950 215.871292 l
+218.637390 215.871292 l
+218.669846 214.005875 l
+218.702301 213.384064 l
+218.734756 212.762253 l
+218.767197 212.140457 l
+218.799652 212.140457 l
+218.832108 212.762253 l
+218.864563 212.140457 l
+218.897003 213.073166 l
+218.929459 213.073166 l
+218.961914 212.762253 l
+218.994354 212.451355 l
+219.026810 212.140457 l
+219.059265 211.518646 l
+219.091721 210.585938 l
+219.124161 210.585938 l
+219.156616 210.585938 l
+219.189072 211.207748 l
+219.221527 211.518646 l
+219.253967 212.140457 l
+219.286423 211.518646 l
+219.318878 211.207748 l
+219.351334 209.964142 l
+219.383774 209.964142 l
+219.416229 209.342331 l
+219.448685 209.653229 l
+219.481140 210.896851 l
+219.513580 210.585938 l
+219.546036 210.585938 l
+219.578491 210.896851 l
+219.610947 211.518646 l
+219.643387 210.896851 l
+219.675842 210.585938 l
+219.708298 209.653229 l
+219.740738 209.031433 l
+219.773178 209.964142 l
+219.805634 210.275040 l
+219.838089 209.964142 l
+219.870544 210.585938 l
+219.902985 210.585938 l
+219.935440 209.342331 l
+219.967896 207.787827 l
+220.000351 207.476913 l
+220.032791 206.233307 l
+220.065247 204.678787 l
+220.097702 205.611511 l
+220.130157 206.233307 l
+220.162598 208.098724 l
+220.195053 210.896851 l
+220.227509 214.938568 l
+220.259949 218.980301 l
+220.292404 220.223923 l
+220.324860 223.643845 l
+220.357315 228.618286 l
+220.389755 232.970917 l
+220.422211 240.743484 l
+220.454666 249.448746 l
+220.487122 255.666809 l
+220.519562 257.843109 l
+220.552017 257.221313 l
+220.584473 253.490479 l
+220.616928 248.516037 l
+220.649368 242.608902 l
+220.681824 235.769043 l
+220.714279 229.550995 l
+220.746735 221.778427 l
+220.779175 214.627670 l
+220.811630 207.166016 l
+220.844086 202.502472 l
+220.876526 201.258865 l
+220.908981 202.191574 l
+220.941437 203.124283 l
+220.973892 203.435181 l
+221.006332 204.989700 l
+221.038788 205.922409 l
+221.071243 207.787827 l
+221.103699 209.342331 l
+221.136139 210.275040 l
+221.168594 210.275040 l
+221.201050 210.585938 l
+221.233505 210.585938 l
+221.265945 208.720520 l
+221.298401 208.409622 l
+221.330856 208.098724 l
+221.363312 207.787827 l
+221.395752 209.031433 l
+221.428192 209.964142 l
+221.460648 209.964142 l
+221.493103 210.275040 l
+221.525543 209.964142 l
+221.557999 209.031433 l
+221.590454 208.720520 l
+221.622910 208.098724 l
+221.655350 208.098724 l
+221.687805 208.098724 l
+221.720261 208.720520 l
+221.752716 209.031433 l
+221.785156 209.342331 l
+221.817612 209.964142 l
+221.850067 210.585938 l
+221.882523 209.964142 l
+221.914963 209.031433 l
+221.947418 209.653229 l
+221.979874 208.720520 l
+222.012329 209.031433 l
+222.044769 209.653229 l
+222.077225 210.585938 l
+222.109680 211.207748 l
+222.142120 211.518646 l
+222.174576 211.207748 l
+222.207031 210.585938 l
+222.239487 209.653229 l
+222.271927 209.342331 l
+222.304382 209.342331 l
+222.336838 209.342331 l
+222.369293 210.275040 l
+222.401733 210.585938 l
+222.434189 210.585938 l
+222.466644 211.829559 l
+222.499100 211.829559 l
+222.531540 211.207748 l
+222.563995 210.585938 l
+222.596451 210.275040 l
+222.628906 209.964142 l
+222.661346 209.964142 l
+222.693802 211.829559 l
+222.726257 212.762253 l
+222.758698 212.140457 l
+222.791153 211.829559 l
+222.823608 212.140457 l
+222.856064 211.829559 l
+222.888504 211.207748 l
+222.920959 211.207748 l
+222.953415 211.518646 l
+222.985870 211.518646 l
+223.018311 213.073166 l
+223.050766 213.694962 l
+223.083206 213.384064 l
+223.115662 213.073166 l
+223.148117 212.762253 l
+223.180557 213.073166 l
+223.213013 213.694962 l
+223.245468 213.073166 l
+223.277908 212.762253 l
+223.310364 213.384064 l
+223.342819 213.694962 l
+223.375275 214.938568 l
+223.407715 215.560379 l
+223.440170 216.803986 l
+223.472626 217.114899 l
+223.505081 215.871292 l
+223.537521 215.871292 l
+223.569977 215.871292 l
+223.602432 216.182190 l
+223.634888 216.493088 l
+223.667328 218.047607 l
+223.699783 218.980301 l
+223.732239 219.291214 l
+223.764694 220.223923 l
+223.797134 220.223923 l
+223.829590 220.223923 l
+223.862045 218.980301 l
+223.894501 219.602112 l
+223.926941 220.223923 l
+223.959396 220.534821 l
+223.991852 220.845718 l
+224.024292 222.089340 l
+224.056747 222.711136 l
+224.089203 222.711136 l
+224.121658 222.711136 l
+224.154099 222.400238 l
+224.186554 222.089340 l
+224.219009 221.778427 l
+224.251465 220.534821 l
+224.283905 220.534821 l
+224.316360 220.845718 l
+224.348816 221.156631 l
+224.381271 222.089340 l
+224.413712 221.467529 l
+224.446167 221.467529 l
+224.478622 219.913010 l
+224.511078 218.669403 l
+224.543518 217.425797 l
+224.575974 217.114899 l
+224.608429 217.114899 l
+224.640869 216.803986 l
+224.673325 217.114899 l
+224.705780 217.736694 l
+224.738235 216.803986 l
+224.770676 216.182190 l
+224.803116 214.005875 l
+224.835571 213.694962 l
+224.868027 212.140457 l
+224.900482 211.207748 l
+224.932922 211.207748 l
+224.965378 212.140457 l
+224.997833 212.762253 l
+225.030289 212.762253 l
+225.062729 212.451355 l
+225.095184 211.207748 l
+225.127640 209.653229 l
+225.160080 207.787827 l
+225.192535 208.409622 l
+225.224991 207.166016 l
+225.257446 206.855118 l
+225.289886 206.544205 l
+225.322342 206.544205 l
+225.354797 207.166016 l
+225.387253 208.409622 l
+225.419693 207.476913 l
+225.452148 206.544205 l
+225.484604 205.922409 l
+225.517059 205.922409 l
+225.549500 204.989700 l
+225.581955 205.922409 l
+225.614410 206.233307 l
+225.646866 206.233307 l
+225.679306 206.855118 l
+225.711761 207.476913 l
+225.744217 206.544205 l
+225.776672 205.611511 l
+225.809113 205.300598 l
+225.841568 204.678787 l
+225.874023 205.300598 l
+225.906464 204.989700 l
+225.938919 205.611511 l
+225.971375 206.233307 l
+226.003830 205.922409 l
+226.036270 207.787827 l
+226.068726 206.855118 l
+226.101181 207.166016 l
+226.133636 206.233307 l
+226.166077 205.300598 l
+226.198532 204.678787 l
+226.230988 205.611511 l
+226.263443 206.233307 l
+226.295883 206.855118 l
+226.328339 207.166016 l
+226.360794 208.098724 l
+226.393250 206.855118 l
+226.425674 205.922409 l
+226.458130 205.611511 l
+226.490585 205.300598 l
+226.523041 204.989700 l
+226.555481 206.233307 l
+226.587936 207.476913 l
+226.620392 208.098724 l
+226.652847 208.720520 l
+226.685287 209.031433 l
+226.717743 208.409622 l
+226.750198 207.476913 l
+226.782654 206.855118 l
+226.815094 204.989700 l
+226.847549 204.989700 l
+226.880005 205.922409 l
+226.912460 206.544205 l
+226.944901 206.233307 l
+226.977356 206.544205 l
+227.009811 206.855118 l
+227.042267 207.476913 l
+227.074707 206.233307 l
+227.107162 205.611511 l
+227.139618 205.922409 l
+227.172058 205.922409 l
+227.204514 206.544205 l
+227.236969 207.166016 l
+227.269424 207.476913 l
+227.301865 207.787827 l
+227.334320 208.098724 l
+227.366776 206.544205 l
+227.399231 206.855118 l
+227.431671 207.166016 l
+227.464127 205.611511 l
+227.496582 205.300598 l
+227.529037 205.611511 l
+227.561478 205.922409 l
+227.593933 206.233307 l
+227.626389 206.544205 l
+227.658844 207.166016 l
+227.691284 206.233307 l
+227.723740 206.233307 l
+227.756195 205.611511 l
+227.788635 205.300598 l
+227.821091 205.300598 l
+227.853546 205.922409 l
+227.886002 206.855118 l
+227.918442 207.166016 l
+227.950897 206.855118 l
+227.983353 206.233307 l
+228.015808 205.300598 l
+228.048248 204.989700 l
+228.080688 205.300598 l
+228.113144 204.678787 l
+228.145599 204.678787 l
+228.178055 205.611511 l
+228.210495 206.544205 l
+228.242950 207.166016 l
+228.275406 206.544205 l
+228.307846 205.922409 l
+228.340302 205.611511 l
+228.372757 205.300598 l
+228.405212 204.989700 l
+228.437653 204.056992 l
+228.470108 203.746078 l
+228.502563 204.989700 l
+228.535019 205.300598 l
+228.567459 205.611511 l
+228.599915 206.544205 l
+228.632370 206.233307 l
+228.664825 205.611511 l
+228.697266 204.678787 l
+228.729721 204.989700 l
+228.762177 204.056992 l
+228.794632 205.300598 l
+228.827072 205.611511 l
+228.859528 206.544205 l
+228.891983 206.233307 l
+228.924438 206.855118 l
+228.956879 205.300598 l
+228.989334 204.678787 l
+229.021790 203.435181 l
+229.054230 201.880676 l
+229.086685 202.191574 l
+229.119141 202.813385 l
+229.151596 204.678787 l
+229.184036 205.922409 l
+229.216492 206.544205 l
+229.248947 205.922409 l
+229.281403 205.611511 l
+229.313843 205.611511 l
+229.346298 204.056992 l
+229.378754 203.746078 l
+229.411209 203.435181 l
+229.443649 203.435181 l
+229.476105 204.367889 l
+229.508560 205.611511 l
+229.541016 205.922409 l
+229.573456 206.233307 l
+229.605911 205.922409 l
+229.638367 205.300598 l
+229.670807 204.989700 l
+229.703262 204.678787 l
+229.735703 205.300598 l
+229.768158 205.300598 l
+229.800613 205.922409 l
+229.833054 206.233307 l
+229.865509 206.855118 l
+229.897964 207.476913 l
+229.930420 206.544205 l
+229.962860 206.233307 l
+229.995316 205.922409 l
+230.027771 205.300598 l
+230.060226 204.678787 l
+230.092667 205.922409 l
+230.125122 206.855118 l
+230.157578 208.720520 l
+230.190018 209.031433 l
+230.222473 209.342331 l
+230.254929 209.653229 l
+230.287384 208.720520 l
+230.319824 207.476913 l
+230.352280 207.476913 l
+230.384735 207.476913 l
+230.417191 208.720520 l
+230.449631 209.653229 l
+230.482086 209.964142 l
+230.514542 208.409622 l
+230.546997 209.031433 l
+230.579437 208.098724 l
+230.611893 207.787827 l
+230.644348 206.855118 l
+230.676804 206.233307 l
+230.709244 205.611511 l
+230.741699 206.544205 l
+230.774155 206.544205 l
+230.806610 206.233307 l
+230.839050 205.611511 l
+230.871506 205.300598 l
+230.903961 204.367889 l
+230.936401 204.678787 l
+230.968857 204.056992 l
+231.001312 204.367889 l
+231.033768 204.367889 l
+231.066208 204.678787 l
+231.098663 204.678787 l
+231.131119 205.922409 l
+231.163574 205.611511 l
+231.196014 205.611511 l
+231.228470 205.300598 l
+231.260925 204.367889 l
+231.293381 204.056992 l
+231.325821 203.124283 l
+231.358276 203.124283 l
+231.390717 203.746078 l
+231.423172 204.056992 l
+231.455612 204.367889 l
+231.488068 204.989700 l
+231.520523 204.056992 l
+231.552979 203.124283 l
+231.585419 202.502472 l
+231.617874 202.813385 l
+231.650330 203.124283 l
+231.682785 202.502472 l
+231.715225 204.056992 l
+231.747681 204.678787 l
+231.780136 204.678787 l
+231.812592 204.989700 l
+231.845032 205.611511 l
+231.877487 204.056992 l
+231.909943 203.435181 l
+231.942398 202.191574 l
+231.974838 202.191574 l
+232.007294 202.191574 l
+232.039749 201.880676 l
+232.072189 203.746078 l
+232.104645 203.746078 l
+232.137100 204.678787 l
+232.169556 205.300598 l
+232.201996 205.300598 l
+232.234451 202.813385 l
+232.266907 200.637070 l
+232.299362 200.947968 l
+232.331802 200.015259 l
+232.364258 200.326157 l
+232.396713 200.015259 l
+232.429169 200.637070 l
+232.461609 202.502472 l
+232.494064 204.367889 l
+232.526520 205.300598 l
+232.558975 209.653229 l
+232.591415 213.384064 l
+232.623871 215.560379 l
+232.656326 220.223923 l
+232.688782 227.374680 l
+232.721222 234.214523 l
+232.753677 242.297989 l
+232.786133 247.272430 l
+232.818573 247.583328 l
+232.851028 246.650635 l
+232.883484 242.608902 l
+232.915939 239.188965 l
+232.948380 234.525436 l
+232.980835 228.618286 l
+233.013290 223.022034 l
+233.045731 217.425797 l
+233.078186 209.964142 l
+233.110626 204.056992 l
+233.143082 199.393448 l
+233.175537 196.595337 l
+233.207993 197.528046 l
+233.240433 197.528046 l
+233.272888 197.838943 l
+233.305344 198.771652 l
+233.337784 201.258865 l
+233.370239 202.813385 l
+233.402695 204.989700 l
+233.435150 205.922409 l
+233.467590 205.611511 l
+233.500046 205.611511 l
+233.532501 204.678787 l
+233.564957 203.746078 l
+233.597397 203.124283 l
+233.629852 204.367889 l
+233.662308 205.611511 l
+233.694763 205.611511 l
+233.727203 205.922409 l
+233.759659 205.611511 l
+233.792114 205.611511 l
+233.824570 204.678787 l
+233.857010 205.922409 l
+233.889465 206.233307 l
+233.921921 205.611511 l
+233.954361 205.611511 l
+233.986816 205.922409 l
+234.019272 206.544205 l
+234.051727 207.476913 l
+234.084167 208.720520 l
+234.116623 207.166016 l
+234.149078 205.922409 l
+234.181534 206.855118 l
+234.213974 205.922409 l
+234.246429 205.300598 l
+234.278885 205.922409 l
+234.311340 206.233307 l
+234.343781 207.476913 l
+234.376236 208.098724 l
+234.408691 208.720520 l
+234.441147 208.409622 l
+234.473587 208.409622 l
+234.506042 207.787827 l
+234.538498 206.855118 l
+234.570953 207.476913 l
+234.603394 208.098724 l
+234.635849 208.720520 l
+234.668304 208.409622 l
+234.700745 208.098724 l
+234.733185 208.409622 l
+234.765640 208.098724 l
+234.798096 207.166016 l
+234.830551 207.787827 l
+234.862991 207.787827 l
+234.895447 206.544205 l
+234.927902 206.544205 l
+234.960358 207.787827 l
+234.992798 208.720520 l
+235.025253 209.964142 l
+235.057709 209.964142 l
+235.090164 210.275040 l
+235.122604 209.964142 l
+235.155060 209.653229 l
+235.187515 209.031433 l
+235.219955 209.653229 l
+235.252411 209.342331 l
+235.284866 210.275040 l
+235.317322 211.207748 l
+235.349762 211.518646 l
+235.382217 213.073166 l
+235.414673 212.451355 l
+235.447128 211.518646 l
+235.479568 211.829559 l
+235.512024 212.140457 l
+235.544479 212.140457 l
+235.576935 212.762253 l
+235.609375 213.384064 l
+235.641830 214.005875 l
+235.674286 214.627670 l
+235.706741 215.560379 l
+235.739182 215.871292 l
+235.771637 214.005875 l
+235.804092 213.694962 l
+235.836533 214.316772 l
+235.868988 214.938568 l
+235.901443 215.871292 l
+235.933899 217.114899 l
+235.966339 218.047607 l
+235.998795 218.358505 l
+236.031250 218.669403 l
+236.063705 218.980301 l
+236.096146 218.669403 l
+236.128601 218.669403 l
+236.161057 218.669403 l
+236.193512 218.669403 l
+236.225952 219.602112 l
+236.258408 220.534821 l
+236.290863 221.156631 l
+236.323318 221.467529 l
+236.355759 222.400238 l
+236.388199 222.089340 l
+236.420654 221.156631 l
+236.453110 221.156631 l
+236.485550 219.913010 l
+236.518005 219.291214 l
+236.550461 219.913010 l
+236.582916 222.711136 l
+236.615356 220.534821 l
+236.647812 221.156631 l
+236.680267 222.089340 l
+236.712723 221.156631 l
+236.745163 219.913010 l
+236.777618 218.669403 l
+236.810074 217.736694 l
+236.842529 217.425797 l
+236.874969 216.493088 l
+236.907425 217.425797 l
+236.939880 217.425797 l
+236.972336 216.803986 l
+237.004776 217.425797 l
+237.037231 215.249481 l
+237.069687 213.384064 l
+237.102127 213.384064 l
+237.134583 213.073166 l
+237.167038 212.140457 l
+237.199493 210.896851 l
+237.231934 210.896851 l
+237.264389 210.896851 l
+237.296844 211.518646 l
+237.329300 210.585938 l
+237.361740 209.031433 l
+237.394196 208.409622 l
+237.426651 207.476913 l
+237.459106 206.233307 l
+237.491547 205.611511 l
+237.524002 205.611511 l
+237.556458 206.855118 l
+237.588913 206.855118 l
+237.621353 207.166016 l
+237.653809 208.098724 l
+237.686264 206.233307 l
+237.718704 206.233307 l
+237.751160 206.233307 l
+237.783615 204.989700 l
+237.816071 205.300598 l
+237.848511 205.922409 l
+237.880966 205.611511 l
+237.913422 206.544205 l
+237.945877 205.922409 l
+237.978317 205.922409 l
+238.010773 204.367889 l
+238.043213 204.678787 l
+238.075668 204.678787 l
+238.108124 204.678787 l
+238.140564 204.989700 l
+238.173019 204.989700 l
+238.205475 205.611511 l
+238.237915 205.611511 l
+238.270370 206.233307 l
+238.302826 206.233307 l
+238.335281 204.678787 l
+238.367722 205.300598 l
+238.400177 204.989700 l
+238.432632 204.989700 l
+238.465088 205.611511 l
+238.497528 205.922409 l
+238.529984 205.922409 l
+238.562439 206.855118 l
+238.594894 206.855118 l
+238.627335 206.855118 l
+238.659790 205.611511 l
+238.692245 204.678787 l
+238.724701 204.678787 l
+238.757141 205.611511 l
+238.789597 205.922409 l
+238.822052 205.922409 l
+238.854507 206.233307 l
+238.886948 206.544205 l
+238.919403 206.855118 l
+238.951859 205.922409 l
+238.984299 205.611511 l
+239.016754 204.989700 l
+239.049210 205.300598 l
+239.081665 204.989700 l
+239.114105 205.611511 l
+239.146561 205.922409 l
+239.179016 206.544205 l
+239.211472 207.166016 l
+239.243912 207.787827 l
+239.276367 206.855118 l
+239.308823 205.922409 l
+239.341278 205.611511 l
+239.373718 205.300598 l
+239.406174 205.300598 l
+239.438629 206.233307 l
+239.471085 206.233307 l
+239.503525 206.855118 l
+239.535980 206.855118 l
+239.568436 207.787827 l
+239.600891 206.855118 l
+239.633331 206.233307 l
+239.665787 206.544205 l
+239.698227 206.233307 l
+239.730682 205.300598 l
+239.763123 205.922409 l
+239.795578 206.233307 l
+239.828033 206.855118 l
+239.860489 206.855118 l
+239.892929 207.476913 l
+239.925385 206.544205 l
+239.957840 205.611511 l
+239.990295 205.922409 l
+240.022736 205.611511 l
+240.055191 204.989700 l
+240.087646 205.922409 l
+240.120087 205.922409 l
+240.152542 206.544205 l
+240.184998 206.544205 l
+240.217453 206.855118 l
+240.249893 206.544205 l
+240.282349 205.611511 l
+240.314804 204.989700 l
+240.347260 205.300598 l
+240.379700 204.056992 l
+240.412155 204.678787 l
+240.444611 205.300598 l
+240.477066 204.989700 l
+240.509506 207.166016 l
+240.541962 206.544205 l
+240.574417 206.233307 l
+240.606873 205.611511 l
+240.639313 205.611511 l
+240.671768 204.989700 l
+240.704224 204.678787 l
+240.736679 205.611511 l
+240.769119 206.233307 l
+240.801575 207.166016 l
+240.834030 207.166016 l
+240.866470 207.476913 l
+240.898926 206.233307 l
+240.931381 206.233307 l
+240.963837 206.544205 l
+240.996277 205.611511 l
+241.028732 205.300598 l
+241.061188 205.611511 l
+241.093643 205.611511 l
+241.126083 205.611511 l
+241.158539 206.855118 l
+241.190994 207.166016 l
+241.223450 206.233307 l
+241.255890 205.300598 l
+241.288345 204.989700 l
+241.320801 203.746078 l
+241.353256 203.746078 l
+241.385681 205.611511 l
+241.418137 205.611511 l
+241.450592 205.922409 l
+241.483047 206.855118 l
+241.515488 206.855118 l
+241.547943 206.855118 l
+241.580399 206.855118 l
+241.612854 206.544205 l
+241.645294 205.611511 l
+241.677750 205.922409 l
+241.710205 206.544205 l
+241.742661 207.476913 l
+241.775101 207.787827 l
+241.807556 207.787827 l
+241.840012 207.476913 l
+241.872467 206.233307 l
+241.904907 206.855118 l
+241.937363 205.922409 l
+241.969818 206.544205 l
+242.002258 205.611511 l
+242.034714 207.166016 l
+242.067169 207.476913 l
+242.099625 208.409622 l
+242.132065 209.342331 l
+242.164520 209.964142 l
+242.196976 209.031433 l
+242.229431 209.031433 l
+242.261871 208.720520 l
+242.294327 209.031433 l
+242.326782 208.720520 l
+242.359238 209.342331 l
+242.391678 210.275040 l
+242.424133 210.896851 l
+242.456589 210.896851 l
+242.489044 210.275040 l
+242.521484 209.653229 l
+242.553940 208.098724 l
+242.586395 206.855118 l
+242.618851 206.233307 l
+242.651291 205.611511 l
+242.683746 206.855118 l
+242.716202 207.166016 l
+242.748642 205.922409 l
+242.781097 206.544205 l
+242.813553 205.922409 l
+242.846008 205.611511 l
+242.878448 204.989700 l
+242.910904 204.678787 l
+242.943359 204.367889 l
+242.975815 204.367889 l
+243.008255 205.611511 l
+243.040695 205.922409 l
+243.073151 206.233307 l
+243.105606 205.611511 l
+243.138062 206.233307 l
+243.170502 204.989700 l
+243.202957 204.056992 l
+243.235413 204.678787 l
+243.267853 204.056992 l
+243.300308 204.367889 l
+243.332764 205.300598 l
+243.365219 205.300598 l
+243.397659 205.300598 l
+243.430115 205.611511 l
+243.462570 205.922409 l
+243.495026 205.300598 l
+243.527466 205.300598 l
+243.559921 206.544205 l
+243.592377 207.166016 l
+243.624832 208.409622 l
+243.657272 209.964142 l
+243.689728 210.585938 l
+243.722183 211.207748 l
+243.754639 211.829559 l
+243.787079 211.518646 l
+243.819534 211.207748 l
+243.851990 211.207748 l
+243.884445 210.896851 l
+243.916885 210.896851 l
+243.949341 212.140457 l
+243.981796 212.762253 l
+244.014236 213.384064 l
+244.046692 213.694962 l
+244.079147 213.694962 l
+244.111603 212.762253 l
+244.144043 212.451355 l
+244.176498 211.518646 l
+244.208954 210.585938 l
+244.241409 209.653229 l
+244.273849 210.896851 l
+244.306305 210.896851 l
+244.338760 210.896851 l
+244.371216 210.896851 l
+244.403656 212.762253 l
+244.436111 215.560379 l
+244.468567 217.114899 l
+244.501022 219.602112 l
+244.533463 222.089340 l
+244.565918 224.576553 l
+244.598373 227.685577 l
+244.630814 232.349121 l
+244.663269 238.256271 l
+244.695709 245.407013 l
+244.728165 251.314163 l
+244.760620 253.179581 l
+244.793060 250.692352 l
+244.825516 246.650635 l
+244.857971 242.608902 l
+244.890427 238.878067 l
+244.922867 236.390854 l
+244.955322 232.970917 l
+244.987778 227.685577 l
+245.020233 219.913010 l
+245.052673 214.005875 l
+245.085129 208.409622 l
+245.117584 205.611511 l
+245.150024 205.922409 l
+245.182480 207.476913 l
+245.214935 208.409622 l
+245.247391 209.653229 l
+245.279831 212.140457 l
+245.312286 214.005875 l
+245.344742 215.560379 l
+245.377197 216.803986 l
+245.409637 216.493088 l
+245.442093 215.249481 l
+245.474548 214.627670 l
+245.507004 214.005875 l
+245.539444 214.627670 l
+245.571899 215.249481 l
+245.604355 215.249481 l
+245.636810 215.249481 l
+245.669250 215.249481 l
+245.701706 214.938568 l
+245.734161 214.627670 l
+245.766617 214.316772 l
+245.799057 214.938568 l
+245.831512 214.316772 l
+245.863968 214.938568 l
+245.896408 215.560379 l
+245.928864 215.560379 l
+245.961319 216.493088 l
+245.993774 217.114899 l
+246.026215 217.736694 l
+246.058670 216.182190 l
+246.091125 214.938568 l
+246.123581 214.316772 l
+246.156021 214.005875 l
+246.188477 214.316772 l
+246.220932 215.249481 l
+246.253387 216.493088 l
+246.285828 216.493088 l
+246.318283 217.425797 l
+246.350723 217.425797 l
+246.383179 216.493088 l
+246.415619 215.871292 l
+246.448074 215.560379 l
+246.480530 215.249481 l
+246.512985 215.249481 l
+246.545425 216.803986 l
+246.577881 217.736694 l
+246.610336 216.803986 l
+246.642792 217.736694 l
+246.675232 218.669403 l
+246.707687 217.114899 l
+246.740143 216.493088 l
+246.772598 216.803986 l
+246.805038 216.182190 l
+246.837494 215.871292 l
+246.869949 215.871292 l
+246.902405 216.803986 l
+246.934845 217.114899 l
+246.967300 217.736694 l
+246.999756 218.047607 l
+247.032196 217.736694 l
+247.064651 217.114899 l
+247.097107 216.493088 l
+247.129562 216.803986 l
+247.162003 216.803986 l
+247.194458 217.425797 l
+247.226913 218.669403 l
+247.259369 219.291214 l
+247.291809 219.602112 l
+247.324265 219.291214 l
+247.356720 218.669403 l
+247.389175 217.736694 l
+247.421616 218.047607 l
+247.454071 218.358505 l
+247.486526 218.047607 l
+247.518982 218.980301 l
+247.551422 219.291214 l
+247.583878 219.602112 l
+247.616333 220.534821 l
+247.648788 220.845718 l
+247.681229 220.223923 l
+247.713684 219.602112 l
+247.746140 219.602112 l
+247.778580 219.913010 l
+247.811035 220.534821 l
+247.843491 221.467529 l
+247.875946 222.400238 l
+247.908386 222.711136 l
+247.940842 223.332947 l
+247.973297 224.265656 l
+248.005737 223.332947 l
+248.038193 222.400238 l
+248.070633 222.400238 l
+248.103088 222.089340 l
+248.135544 222.089340 l
+248.167999 223.332947 l
+248.200439 223.954742 l
+248.232895 225.198349 l
+248.265350 225.820160 l
+248.297791 225.820160 l
+248.330246 224.576553 l
+248.362701 223.022034 l
+248.395157 223.332947 l
+248.427597 223.022034 l
+248.460052 223.954742 l
+248.492508 224.265656 l
+248.524963 224.265656 l
+248.557404 223.643845 l
+248.589859 223.954742 l
+248.622314 223.332947 l
+248.654770 222.089340 l
+248.687210 221.467529 l
+248.719666 220.223923 l
+248.752121 218.669403 l
+248.784576 219.602112 l
+248.817017 220.534821 l
+248.849472 220.223923 l
+248.881927 218.980301 l
+248.914368 219.913010 l
+248.946823 218.980301 l
+248.979279 218.358505 l
+249.011734 217.736694 l
+249.044174 216.182190 l
+249.076630 214.938568 l
+249.109085 215.560379 l
+249.141541 214.627670 l
+249.173981 214.938568 l
+249.206436 214.316772 l
+249.238892 214.316772 l
+249.271347 213.384064 l
+249.303787 212.451355 l
+249.336243 212.762253 l
+249.368698 211.518646 l
+249.401154 210.896851 l
+249.433594 210.275040 l
+249.466049 210.585938 l
+249.498505 210.896851 l
+249.530960 211.207748 l
+249.563400 210.896851 l
+249.595856 210.896851 l
+249.628311 210.896851 l
+249.660751 209.964142 l
+249.693192 209.653229 l
+249.725647 208.720520 l
+249.758102 209.031433 l
+249.790558 208.720520 l
+249.822998 209.342331 l
+249.855453 210.585938 l
+249.887909 209.964142 l
+249.920364 209.031433 l
+249.952805 208.098724 l
+249.985260 207.787827 l
+250.017715 208.098724 l
+250.050171 207.166016 l
+250.082611 208.098724 l
+250.115067 208.720520 l
+250.147522 208.720520 l
+250.179962 209.342331 l
+250.212418 209.653229 l
+250.244873 208.409622 l
+250.277328 206.233307 l
+250.309769 207.476913 l
+250.342224 207.166016 l
+250.374680 206.855118 l
+250.407135 207.476913 l
+250.439575 207.787827 l
+250.472031 209.031433 l
+250.504486 210.275040 l
+250.536942 209.653229 l
+250.569382 208.409622 l
+250.601837 206.544205 l
+250.634293 207.166016 l
+250.666748 207.787827 l
+250.699188 206.855118 l
+250.731644 207.166016 l
+250.764099 208.098724 l
+250.796539 207.787827 l
+250.828995 208.098724 l
+250.861450 208.720520 l
+250.893906 207.476913 l
+250.926346 207.787827 l
+250.958801 208.098724 l
+250.991257 207.476913 l
+251.023712 206.233307 l
+251.056152 207.166016 l
+251.088608 207.787827 l
+251.121063 208.098724 l
+251.153519 209.342331 l
+251.185959 209.031433 l
+251.218414 208.098724 l
+251.250870 207.476913 l
+251.283325 207.166016 l
+251.315765 207.166016 l
+251.348206 206.855118 l
+251.380661 208.409622 l
+251.413116 209.031433 l
+251.445557 208.098724 l
+251.478012 208.409622 l
+251.510468 208.409622 l
+251.542923 207.787827 l
+251.575363 207.166016 l
+251.607819 207.166016 l
+251.640274 207.166016 l
+251.672729 205.922409 l
+251.705170 206.233307 l
+251.737625 209.031433 l
+251.770081 209.031433 l
+251.802536 209.342331 l
+251.834976 208.409622 l
+251.867432 207.166016 l
+251.899887 206.544205 l
+251.932343 205.922409 l
+251.964783 206.544205 l
+251.997238 205.922409 l
+252.029694 206.544205 l
+252.062134 206.855118 l
+252.094589 207.787827 l
+252.127045 208.098724 l
+252.159500 208.098724 l
+252.191940 208.098724 l
+252.224396 206.855118 l
+252.256851 205.922409 l
+252.289307 205.922409 l
+252.321747 204.989700 l
+252.354202 205.922409 l
+252.386658 206.233307 l
+252.419113 207.476913 l
+252.451553 207.476913 l
+252.484009 206.855118 l
+252.516464 205.611511 l
+252.548920 205.300598 l
+252.581360 205.300598 l
+252.613815 205.611511 l
+252.646271 205.300598 l
+252.678711 206.544205 l
+252.711166 207.166016 l
+252.743622 206.855118 l
+252.776077 207.787827 l
+252.808517 206.855118 l
+252.840973 205.611511 l
+252.873428 206.544205 l
+252.905884 206.233307 l
+252.938324 204.989700 l
+252.970779 205.300598 l
+253.003220 205.922409 l
+253.035675 206.544205 l
+253.068130 207.476913 l
+253.100571 206.544205 l
+253.133026 207.166016 l
+253.165482 205.922409 l
+253.197922 206.544205 l
+253.230377 206.544205 l
+253.262833 205.922409 l
+253.295288 206.544205 l
+253.327728 207.476913 l
+253.360184 207.787827 l
+253.392639 208.720520 l
+253.425095 209.342331 l
+253.457535 209.342331 l
+253.489990 207.787827 l
+253.522446 206.855118 l
+253.554901 206.855118 l
+253.587341 206.233307 l
+253.619797 206.233307 l
+253.652252 207.476913 l
+253.684708 208.409622 l
+253.717148 208.098724 l
+253.749603 209.653229 l
+253.782059 210.896851 l
+253.814514 209.342331 l
+253.846954 209.653229 l
+253.879410 209.342331 l
+253.911865 208.409622 l
+253.944305 209.342331 l
+253.976761 209.342331 l
+254.009216 209.964142 l
+254.041672 209.964142 l
+254.074112 210.896851 l
+254.106567 211.207748 l
+254.139023 209.342331 l
+254.171478 208.720520 l
+254.203918 207.787827 l
+254.236374 207.476913 l
+254.268829 208.409622 l
+254.301285 208.098724 l
+254.333725 208.409622 l
+254.366180 208.098724 l
+254.398636 206.855118 l
+254.431091 206.544205 l
+254.463531 205.922409 l
+254.495987 205.611511 l
+254.528442 204.678787 l
+254.560883 204.989700 l
+254.593338 206.233307 l
+254.625793 206.855118 l
+254.658234 206.233307 l
+254.690689 206.544205 l
+254.723129 207.166016 l
+254.755585 205.922409 l
+254.788040 204.678787 l
+254.820496 204.056992 l
+254.852936 203.124283 l
+254.885391 202.502472 l
+254.917847 203.746078 l
+254.950302 204.678787 l
+254.982742 205.922409 l
+255.015198 206.233307 l
+255.047653 205.300598 l
+255.080093 204.989700 l
+255.112549 204.678787 l
+255.145004 204.367889 l
+255.177460 203.435181 l
+255.209900 203.124283 l
+255.242355 203.746078 l
+255.274811 203.746078 l
+255.307266 204.678787 l
+255.339706 204.678787 l
+255.372162 204.989700 l
+255.404617 204.989700 l
+255.437073 204.056992 l
+255.469513 203.124283 l
+255.501968 203.435181 l
+255.534424 203.124283 l
+255.566879 203.746078 l
+255.599319 204.367889 l
+255.631775 204.678787 l
+255.664230 204.989700 l
+255.696686 204.989700 l
+255.729126 204.367889 l
+255.761581 204.056992 l
+255.794037 203.435181 l
+255.826477 202.813385 l
+255.858932 202.191574 l
+255.891388 203.435181 l
+255.923843 202.191574 l
+255.956284 201.880676 l
+255.988739 203.124283 l
+256.021179 202.502472 l
+256.053650 202.502472 l
+256.086090 204.056992 l
+256.118561 205.922409 l
+256.151001 208.409622 l
+256.183441 212.140457 l
+256.215912 215.560379 l
+256.248352 218.047607 l
+256.280792 221.467529 l
+256.313232 226.131073 l
+256.345703 232.349121 l
+256.378143 237.012650 l
+256.410583 242.297989 l
+256.443054 241.365295 l
+256.475494 238.878067 l
+256.507965 234.836334 l
+256.540405 231.105515 l
+256.572845 227.996475 l
+256.605316 224.576553 l
+256.637756 219.602112 l
+256.670197 214.005875 l
+256.702667 207.166016 l
+256.735107 200.947968 l
+256.767578 195.662628 l
+256.800018 194.729919 l
+256.832458 196.906235 l
+256.864929 199.082550 l
+256.897369 201.569763 l
+256.929810 203.435181 l
+256.962280 204.989700 l
+256.994720 204.678787 l
+257.027161 204.678787 l
+257.059631 204.678787 l
+257.092072 204.367889 l
+257.124542 204.367889 l
+257.156982 204.056992 l
+257.189423 205.611511 l
+257.221893 206.544205 l
+257.254333 206.855118 l
+257.286774 206.544205 l
+257.319244 205.300598 l
+257.351685 205.922409 l
+257.384155 205.300598 l
+257.416595 204.989700 l
+257.449036 204.678787 l
+257.481506 204.989700 l
+257.513947 205.922409 l
+257.546387 206.233307 l
+257.578857 206.855118 l
+257.611298 207.476913 l
+257.643768 207.166016 l
+257.676208 206.855118 l
+257.708649 205.611511 l
+257.741119 205.300598 l
+257.773560 204.989700 l
+257.806000 205.922409 l
+257.838470 206.544205 l
+257.870911 207.166016 l
+257.903351 207.476913 l
+257.935822 208.409622 l
+257.968262 208.409622 l
+258.000702 208.098724 l
+258.033173 206.544205 l
+258.065613 206.233307 l
+258.098053 206.544205 l
+258.130524 206.855118 l
+258.162964 207.476913 l
+258.195404 207.787827 l
+258.227875 207.787827 l
+258.260315 208.720520 l
+258.292755 208.409622 l
+258.325226 207.787827 l
+258.357666 207.787827 l
+258.390137 207.476913 l
+258.422577 207.787827 l
+258.455017 207.787827 l
+258.487488 208.098724 l
+258.519928 208.720520 l
+258.552368 209.653229 l
+258.584839 209.964142 l
+258.617279 209.342331 l
+258.649750 209.031433 l
+258.682190 209.342331 l
+258.714630 209.031433 l
+258.747101 208.720520 l
+258.779541 210.585938 l
+258.811981 210.275040 l
+258.844452 211.207748 l
+258.876892 211.207748 l
+258.909332 212.140457 l
+258.941803 211.207748 l
+258.974243 211.207748 l
+259.006714 210.896851 l
+259.039154 211.829559 l
+259.071594 210.585938 l
+259.104065 211.207748 l
+259.136505 211.829559 l
+259.168945 212.451355 l
+259.201416 212.762253 l
+259.233856 213.694962 l
+259.266327 213.694962 l
+259.298767 213.694962 l
+259.331207 213.694962 l
+259.363678 213.384064 l
+259.396118 213.694962 l
+259.428558 214.316772 l
+259.461029 215.560379 l
+259.493469 215.560379 l
+259.525940 216.182190 l
+259.558380 216.182190 l
+259.590820 216.803986 l
+259.623291 216.182190 l
+259.655731 216.493088 l
+259.688171 217.114899 l
+259.720612 215.871292 l
+259.753082 217.425797 l
+259.785522 218.047607 l
+259.817963 218.980301 l
+259.850433 219.602112 l
+259.882874 220.223923 l
+259.915344 219.602112 l
+259.947784 219.602112 l
+259.980225 219.602112 l
+260.012695 219.291214 l
+260.045135 217.425797 l
+260.077576 218.980301 l
+260.110046 219.291214 l
+260.142487 219.913010 l
+260.174927 218.980301 l
+260.207397 220.845718 l
+260.239838 219.602112 l
+260.272308 218.358505 l
+260.304749 218.047607 l
+260.337189 217.736694 l
+260.369659 217.736694 l
+260.402100 217.736694 l
+260.434540 218.358505 l
+260.467010 217.114899 l
+260.499451 217.114899 l
+260.531921 216.803986 l
+260.564362 215.560379 l
+260.596802 213.694962 l
+260.629272 213.073166 l
+260.661713 212.451355 l
+260.694153 211.518646 l
+260.726624 211.207748 l
+260.759064 211.518646 l
+260.791504 210.896851 l
+260.823975 210.896851 l
+260.856415 211.207748 l
+260.888885 209.653229 l
+260.921326 208.720520 l
+260.953766 208.098724 l
+260.986237 207.476913 l
+261.018677 206.855118 l
+261.051117 207.166016 l
+261.083588 207.166016 l
+261.116028 207.476913 l
+261.148499 207.787827 l
+261.180939 207.476913 l
+261.213379 206.233307 l
+261.245850 206.233307 l
+261.278290 205.611511 l
+261.310730 205.300598 l
+261.343170 204.989700 l
+261.375641 205.300598 l
+261.408081 206.233307 l
+261.440521 206.544205 l
+261.472992 206.855118 l
+261.505432 206.855118 l
+261.537903 206.233307 l
+261.570343 205.922409 l
+261.602783 205.300598 l
+261.635254 204.678787 l
+261.667694 204.678787 l
+261.700134 205.611511 l
+261.732605 205.922409 l
+261.765045 206.544205 l
+261.797516 206.855118 l
+261.829956 206.544205 l
+261.862396 206.233307 l
+261.894867 204.989700 l
+261.927307 205.300598 l
+261.959747 204.989700 l
+261.992218 205.300598 l
+262.024658 205.922409 l
+262.057098 206.544205 l
+262.089569 206.544205 l
+262.122009 206.544205 l
+262.154480 206.233307 l
+262.186920 205.611511 l
+262.219360 204.678787 l
+262.251831 204.056992 l
+262.284271 204.056992 l
+262.316711 204.989700 l
+262.349182 205.611511 l
+262.381622 205.922409 l
+262.414093 207.166016 l
+262.446533 207.787827 l
+262.478973 206.544205 l
+262.511444 206.544205 l
+262.543884 206.855118 l
+262.576324 206.233307 l
+262.608795 205.611511 l
+262.641235 205.922409 l
+262.673676 205.922409 l
+262.706146 205.922409 l
+262.738586 205.922409 l
+262.771057 207.166016 l
+262.803497 206.855118 l
+262.835938 207.166016 l
+262.868408 205.922409 l
+262.900848 205.922409 l
+262.933289 206.544205 l
+262.965729 206.233307 l
+262.998199 207.476913 l
+263.030640 207.787827 l
+263.063110 207.787827 l
+263.095551 207.787827 l
+263.127991 207.166016 l
+263.160461 207.476913 l
+263.192902 206.544205 l
+263.225342 205.611511 l
+263.257812 205.922409 l
+263.290253 205.611511 l
+263.322693 207.166016 l
+263.355164 207.787827 l
+263.387604 208.409622 l
+263.420074 208.409622 l
+263.452515 207.166016 l
+263.484955 206.544205 l
+263.517426 205.922409 l
+263.549866 205.300598 l
+263.582336 205.300598 l
+263.614777 205.922409 l
+263.647247 206.233307 l
+263.679688 207.166016 l
+263.712128 207.787827 l
+263.744568 208.098724 l
+263.777039 207.166016 l
+263.809479 206.544205 l
+263.841919 205.922409 l
+263.874390 205.611511 l
+263.906830 205.611511 l
+263.939270 206.544205 l
+263.971710 206.544205 l
+264.004181 207.476913 l
+264.036621 209.031433 l
+264.069092 208.409622 l
+264.101532 207.166016 l
+264.134003 206.233307 l
+264.166443 205.611511 l
+264.198883 205.300598 l
+264.231323 204.989700 l
+264.263794 205.300598 l
+264.296234 205.922409 l
+264.328705 206.233307 l
+264.361145 207.476913 l
+264.393616 206.855118 l
+264.426056 205.922409 l
+264.458496 205.300598 l
+264.490936 205.611511 l
+264.523407 205.300598 l
+264.555847 205.611511 l
+264.588318 207.166016 l
+264.620758 206.855118 l
+264.653229 207.166016 l
+264.685669 207.787827 l
+264.718109 208.409622 l
+264.750549 207.787827 l
+264.783020 206.855118 l
+264.815460 205.922409 l
+264.847931 206.544205 l
+264.880371 205.611511 l
+264.912842 205.922409 l
+264.945282 207.476913 l
+264.977722 207.476913 l
+265.010162 208.720520 l
+265.042633 208.409622 l
+265.075073 207.787827 l
+265.107544 207.787827 l
+265.139984 207.476913 l
+265.172455 207.166016 l
+265.204865 206.544205 l
+265.237335 207.787827 l
+265.269775 208.098724 l
+265.302246 208.098724 l
+265.334686 209.031433 l
+265.367157 208.720520 l
+265.399597 207.476913 l
+265.432037 206.855118 l
+265.464478 207.476913 l
+265.496918 207.787827 l
+265.529388 208.720520 l
+265.561829 209.653229 l
+265.594299 209.964142 l
+265.626740 211.207748 l
+265.659210 210.585938 l
+265.691650 210.275040 l
+265.724091 210.275040 l
+265.756531 209.964142 l
+265.789001 209.653229 l
+265.821442 209.342331 l
+265.853912 208.720520 l
+265.886353 209.964142 l
+265.918823 210.275040 l
+265.951263 209.964142 l
+265.983704 210.275040 l
+266.016144 210.275040 l
+266.048615 208.720520 l
+266.081055 207.787827 l
+266.113525 207.476913 l
+266.145966 206.233307 l
+266.178436 204.989700 l
+266.210876 204.989700 l
+266.243317 205.922409 l
+266.275757 205.922409 l
+266.308228 206.233307 l
+266.340668 206.855118 l
+266.373138 205.611511 l
+266.405579 205.300598 l
+266.438019 204.989700 l
+266.470459 205.300598 l
+266.502930 204.678787 l
+266.535370 204.989700 l
+266.567841 205.611511 l
+266.600281 205.300598 l
+266.632751 206.544205 l
+266.665192 205.611511 l
+266.697632 204.056992 l
+266.730072 203.746078 l
+266.762543 204.056992 l
+266.794983 203.435181 l
+266.827454 203.435181 l
+266.859894 204.367889 l
+266.892365 205.300598 l
+266.924805 205.611511 l
+266.957245 205.922409 l
+266.989685 206.544205 l
+267.022156 205.300598 l
+267.054596 204.678787 l
+267.087067 204.056992 l
+267.119507 203.124283 l
+267.151947 202.813385 l
+267.184418 204.678787 l
+267.216858 205.300598 l
+267.249298 205.300598 l
+267.281738 205.300598 l
+267.314209 205.300598 l
+267.346649 204.989700 l
+267.379120 204.678787 l
+267.411560 203.746078 l
+267.444031 204.056992 l
+267.476440 204.678787 l
+267.508911 205.611511 l
+267.541351 205.922409 l
+267.573822 205.300598 l
+267.606262 204.367889 l
+267.638733 203.746078 l
+267.671173 202.813385 l
+267.703613 202.502472 l
+267.736053 200.947968 l
+267.768524 199.704361 l
+267.800964 200.326157 l
+267.833435 201.880676 l
+267.865875 205.611511 l
+267.898346 208.409622 l
+267.930786 212.451355 l
+267.963226 214.005875 l
+267.995667 217.425797 l
+268.028137 221.156631 l
+268.060577 225.198349 l
+268.093048 229.861893 l
+268.125488 237.012650 l
+268.157959 244.474304 l
+268.190399 250.381454 l
+268.222839 250.381454 l
+268.255280 247.583328 l
+268.287750 243.230698 l
+268.320190 237.945358 l
+268.352661 232.038208 l
+268.385101 226.131073 l
+268.417572 220.534821 l
+268.450012 213.073166 l
+268.482452 206.544205 l
+268.514893 199.704361 l
+268.547363 197.838943 l
+268.579803 199.082550 l
+268.612274 200.326157 l
+268.644714 201.258865 l
+268.677185 202.502472 l
+268.709625 203.435181 l
+268.742065 204.678787 l
+268.774506 205.611511 l
+268.806946 206.233307 l
+268.839417 207.166016 l
+268.871857 207.476913 l
+268.904327 206.855118 l
+268.936768 207.166016 l
+268.969208 206.233307 l
+269.001648 205.300598 l
+269.034119 204.678787 l
+269.066559 204.989700 l
+269.099030 205.922409 l
+269.131470 206.855118 l
+269.163940 206.855118 l
+269.196381 207.166016 l
+269.228821 207.166016 l
+269.261261 206.855118 l
+269.293732 206.544205 l
+269.326172 206.233307 l
+269.358643 205.922409 l
+269.391083 205.611511 l
+269.423553 206.855118 l
+269.455994 208.098724 l
+269.488434 207.787827 l
+269.520874 207.787827 l
+269.553345 207.476913 l
+269.585785 207.166016 l
+269.618256 207.166016 l
+269.650696 207.476913 l
+269.683167 207.476913 l
+269.715607 207.166016 l
+269.748047 208.409622 l
+269.780487 208.720520 l
+269.812958 208.720520 l
+269.845398 209.653229 l
+269.877869 210.585938 l
+269.910309 209.653229 l
+269.942780 209.031433 l
+269.975220 209.031433 l
+270.007660 208.720520 l
+270.040100 208.098724 l
+270.072571 209.031433 l
+270.105011 209.342331 l
+270.137482 209.342331 l
+270.169922 210.275040 l
+270.202393 210.896851 l
+270.234802 209.964142 l
+270.267273 209.653229 l
+270.299713 209.342331 l
+270.332184 209.653229 l
+270.364624 209.653229 l
+270.397095 209.964142 l
+270.429535 210.896851 l
+270.461975 211.829559 l
+270.494415 212.140457 l
+270.526855 212.762253 l
+270.559326 211.518646 l
+270.591766 210.896851 l
+270.624237 210.585938 l
+270.656677 210.896851 l
+270.689148 211.207748 l
+270.721588 212.140457 l
+270.754028 212.140457 l
+270.786469 213.073166 l
+270.818939 213.073166 l
+270.851379 214.005875 l
+270.883850 213.073166 l
+270.916290 213.073166 l
+270.948761 213.384064 l
+270.981201 214.005875 l
+271.013641 214.316772 l
+271.046082 214.316772 l
+271.078552 215.560379 l
+271.110992 216.182190 l
+271.143463 217.425797 l
+271.175903 218.358505 l
+271.208374 217.736694 l
+271.240784 215.871292 l
+271.273254 217.425797 l
+271.305695 218.047607 l
+271.338165 218.047607 l
+271.370605 219.291214 l
+271.403076 219.913010 l
+271.435516 220.534821 l
+271.467957 221.467529 l
+271.500397 221.467529 l
+271.532867 221.467529 l
+271.565308 221.156631 l
+271.597778 220.845718 l
+271.630219 220.534821 l
+271.662689 220.845718 l
+271.695129 222.089340 l
+271.727570 222.711136 l
+271.760010 222.400238 l
+271.792480 223.332947 l
+271.824921 223.332947 l
+271.857391 222.400238 l
+271.889832 222.089340 l
+271.922302 222.089340 l
+271.954742 221.156631 l
+271.987183 221.156631 l
+272.019623 221.156631 l
+272.052094 222.089340 l
+272.084534 223.022034 l
+272.116974 224.265656 l
+272.149445 224.265656 l
+272.181885 223.022034 l
+272.214355 223.643845 l
+272.246796 222.711136 l
+272.279236 222.400238 l
+272.311676 223.022034 l
+272.344147 222.711136 l
+272.376587 223.332947 l
+272.409058 222.711136 l
+272.441498 222.400238 l
+272.473969 223.022034 l
+272.506378 221.778427 l
+272.538849 219.602112 l
+272.571289 219.913010 l
+272.603760 218.980301 l
+272.636200 218.669403 l
+272.668671 218.358505 l
+272.701111 219.291214 l
+272.733551 218.980301 l
+272.765991 219.291214 l
+272.798462 219.291214 l
+272.830902 218.047607 l
+272.863373 217.425797 l
+272.895813 215.871292 l
+272.928284 215.871292 l
+272.960724 215.871292 l
+272.993164 217.114899 l
+273.025604 216.803986 l
+273.058075 217.114899 l
+273.090515 217.425797 l
+273.122986 217.114899 l
+273.155426 216.182190 l
+273.187897 215.249481 l
+273.220337 215.560379 l
+273.252777 214.938568 l
+273.285217 214.627670 l
+273.317688 216.182190 l
+273.350128 216.493088 l
+273.382599 216.182190 l
+273.415039 216.182190 l
+273.447510 216.182190 l
+273.479950 215.249481 l
+273.512390 214.627670 l
+273.544830 213.694962 l
+273.577301 214.627670 l
+273.609741 215.871292 l
+273.642212 215.871292 l
+273.674652 216.493088 l
+273.707123 216.493088 l
+273.739563 216.493088 l
+273.771973 216.803986 l
+273.804443 216.493088 l
+273.836884 214.627670 l
+273.869354 214.005875 l
+273.901794 214.316772 l
+273.934265 214.627670 l
+273.966705 215.249481 l
+273.999146 215.871292 l
+274.031586 215.560379 l
+274.064056 217.114899 l
+274.096497 216.493088 l
+274.128967 215.871292 l
+274.161407 214.316772 l
+274.193878 214.005875 l
+274.226318 214.938568 l
+274.258759 215.249481 l
+274.291199 216.182190 l
+274.323669 215.871292 l
+274.356110 217.114899 l
+274.388580 216.803986 l
+274.421021 216.493088 l
+274.453491 215.560379 l
+274.485931 215.249481 l
+274.518372 214.627670 l
+274.550812 214.627670 l
+274.583282 215.871292 l
+274.615723 216.182190 l
+274.648193 216.182190 l
+274.680634 216.803986 l
+274.713104 216.803986 l
+274.745544 216.182190 l
+274.777985 215.249481 l
+274.810425 214.627670 l
+274.842896 214.316772 l
+274.875336 214.627670 l
+274.907806 214.938568 l
+274.940247 215.560379 l
+274.972717 215.871292 l
+275.005157 216.493088 l
+275.037598 216.803986 l
+275.070038 215.871292 l
+275.102509 214.938568 l
+275.134949 214.316772 l
+275.167419 213.073166 l
+275.199860 213.694962 l
+275.232300 214.627670 l
+275.264740 215.560379 l
+275.297211 215.560379 l
+275.329651 215.249481 l
+275.362122 215.560379 l
+275.394562 214.316772 l
+275.427002 213.694962 l
+275.459473 214.005875 l
+275.491913 213.384064 l
+275.524353 213.073166 l
+275.556793 214.316772 l
+275.589264 214.627670 l
+275.621704 214.627670 l
+275.654175 214.938568 l
+275.686615 215.871292 l
+275.719086 214.627670 l
+275.751526 213.384064 l
+275.783966 212.451355 l
+275.816406 211.829559 l
+275.848877 213.073166 l
+275.881317 211.518646 l
+275.913788 212.762253 l
+275.946228 213.384064 l
+275.978699 213.073166 l
+276.011139 213.073166 l
+276.043579 212.451355 l
+276.076019 211.518646 l
+276.108490 210.896851 l
+276.140930 210.585938 l
+276.173401 210.896851 l
+276.205841 211.829559 l
+276.238312 212.762253 l
+276.270721 212.762253 l
+276.303192 213.073166 l
+276.335632 213.073166 l
+276.368103 212.140457 l
+276.400543 210.896851 l
+276.433014 210.585938 l
+276.465454 210.585938 l
+276.497894 209.342331 l
+276.530334 210.585938 l
+276.562805 211.518646 l
+276.595245 211.518646 l
+276.627716 211.518646 l
+276.660156 211.518646 l
+276.692627 210.585938 l
+276.725067 209.653229 l
+276.757507 209.342331 l
+276.789948 208.720520 l
+276.822418 209.031433 l
+276.854858 210.275040 l
+276.887329 210.585938 l
+276.919769 210.896851 l
+276.952240 211.829559 l
+276.984680 212.140457 l
+277.017120 211.207748 l
+277.049561 210.275040 l
+277.082001 209.964142 l
+277.114471 209.653229 l
+277.146912 209.342331 l
+277.179382 210.585938 l
+277.211823 211.518646 l
+277.244293 212.140457 l
+277.276733 212.451355 l
+277.309174 212.451355 l
+277.341614 211.207748 l
+277.374084 210.275040 l
+277.406525 209.964142 l
+277.438995 209.964142 l
+277.471436 209.964142 l
+277.503906 210.275040 l
+277.536316 211.518646 l
+277.568787 212.140457 l
+277.601227 212.140457 l
+277.633698 212.451355 l
+277.666138 212.451355 l
+277.698608 211.829559 l
+277.731049 211.829559 l
+277.763489 211.518646 l
+277.795929 212.140457 l
+277.828400 213.073166 l
+277.860840 213.694962 l
+277.893311 213.694962 l
+277.925751 214.005875 l
+277.958221 213.073166 l
+277.990662 212.762253 l
+278.023102 211.829559 l
+278.055542 210.275040 l
+278.088013 209.653229 l
+278.120453 209.653229 l
+278.152924 209.964142 l
+278.185364 209.653229 l
+278.217834 208.720520 l
+278.250275 208.409622 l
+278.282715 207.787827 l
+278.315155 207.787827 l
+278.347626 206.233307 l
+278.380066 206.544205 l
+278.412537 206.233307 l
+278.444977 206.855118 l
+278.477448 207.787827 l
+278.509888 208.409622 l
+278.542328 209.031433 l
+278.574768 208.409622 l
+278.607239 208.098724 l
+278.639679 207.787827 l
+278.672150 206.544205 l
+278.704590 205.922409 l
+278.737030 204.989700 l
+278.769501 205.611511 l
+278.801910 206.544205 l
+278.834381 206.855118 l
+278.866821 207.787827 l
+278.899292 207.476913 l
+278.931732 206.855118 l
+278.964203 206.233307 l
+278.996643 204.989700 l
+279.029083 204.989700 l
+279.061523 205.300598 l
+279.093994 206.233307 l
+279.126434 206.233307 l
+279.158905 206.544205 l
+279.191345 207.787827 l
+279.223816 208.409622 l
+279.256256 208.098724 l
+279.288696 207.166016 l
+279.321136 206.233307 l
+279.353607 205.922409 l
+279.386047 205.300598 l
+279.418518 205.611511 l
+279.450958 206.855118 l
+279.483429 206.233307 l
+279.515869 207.476913 l
+279.548309 207.787827 l
+279.580750 205.922409 l
+279.613220 204.678787 l
+279.645660 204.056992 l
+279.678131 204.056992 l
+279.710571 203.746078 l
+279.743042 204.367889 l
+279.775482 204.367889 l
+279.807922 203.124283 l
+279.840363 204.056992 l
+279.872833 204.989700 l
+279.905273 205.611511 l
+279.937744 207.476913 l
+279.970184 210.585938 l
+280.002655 213.694962 l
+280.035065 216.803986 l
+280.067535 222.089340 l
+280.099976 227.996475 l
+280.132446 233.592728 l
+280.164886 241.365295 l
+280.197357 249.137848 l
+280.229797 254.423187 l
+280.262238 252.557770 l
+280.294678 250.692352 l
+280.327148 245.407013 l
+280.359589 241.365295 l
+280.392059 237.323547 l
+280.424500 231.727310 l
+280.456940 226.441971 l
+280.489410 218.358505 l
+280.521851 210.275040 l
+280.554291 202.502472 l
+280.586731 198.771652 l
+280.619202 198.771652 l
+280.651642 199.393448 l
+280.684113 200.326157 l
+280.716553 202.813385 l
+280.749023 204.989700 l
+280.781464 205.922409 l
+280.813904 207.787827 l
+280.846344 208.098724 l
+280.878815 208.409622 l
+280.911255 207.166016 l
+280.943726 206.855118 l
+280.976166 207.166016 l
+281.008636 206.855118 l
+281.041077 207.476913 l
+281.073517 208.098724 l
+281.105957 208.098724 l
+281.138428 208.098724 l
+281.170868 208.720520 l
+281.203339 208.409622 l
+281.235779 207.787827 l
+281.268250 207.166016 l
+281.300659 207.476913 l
+281.333130 206.855118 l
+281.365570 207.787827 l
+281.398041 207.787827 l
+281.430481 209.031433 l
+281.462952 209.031433 l
+281.495392 208.409622 l
+281.527832 209.031433 l
+281.560272 207.476913 l
+281.592743 207.476913 l
+281.625183 207.476913 l
+281.657654 207.787827 l
+281.690094 208.720520 l
+281.722565 209.031433 l
+281.755005 209.653229 l
+281.787445 210.275040 l
+281.819885 209.342331 l
+281.852356 209.342331 l
+281.884796 208.720520 l
+281.917267 208.720520 l
+281.949707 208.098724 l
+281.982178 208.098724 l
+282.014618 209.031433 l
+282.047058 209.342331 l
+282.079498 210.275040 l
+282.111938 211.207748 l
+282.144409 211.207748 l
+282.176849 210.275040 l
+282.209320 209.653229 l
+282.241760 209.342331 l
+282.274231 208.720520 l
+282.306671 209.031433 l
+282.339111 210.275040 l
+282.371552 210.585938 l
+282.404022 211.518646 l
+282.436462 212.140457 l
+282.468933 211.829559 l
+282.501373 211.207748 l
+282.533844 209.964142 l
+282.566254 210.275040 l
+282.598724 209.342331 l
+282.631165 210.896851 l
+282.663635 212.762253 l
+282.696075 213.073166 l
+282.728546 213.384064 l
+282.760986 213.694962 l
+282.793427 213.073166 l
+282.825867 213.073166 l
+282.858337 212.762253 l
+282.890778 213.073166 l
+282.923248 212.451355 l
+282.955688 213.384064 l
+282.988159 214.627670 l
+283.020599 215.560379 l
+283.053040 215.871292 l
+283.085480 217.114899 l
+283.117950 217.425797 l
+283.150391 216.803986 l
+283.182861 216.493088 l
+283.215302 216.493088 l
+283.247772 217.114899 l
+283.280212 217.736694 l
+283.312653 219.291214 l
+283.345093 219.602112 l
+283.377563 219.602112 l
+283.410004 219.913010 l
+283.442474 219.602112 l
+283.474915 218.980301 l
+283.507385 218.980301 l
+283.539825 219.291214 l
+283.572266 219.291214 l
+283.604706 219.602112 l
+283.637177 221.156631 l
+283.669617 222.089340 l
+283.702087 222.089340 l
+283.734528 222.711136 l
+283.766968 222.089340 l
+283.799408 221.467529 l
+283.831848 220.534821 l
+283.864319 220.845718 l
+283.896759 220.534821 l
+283.929230 220.845718 l
+283.961670 221.778427 l
+283.994141 222.089340 l
+284.026581 222.089340 l
+284.059021 222.400238 l
+284.091461 222.400238 l
+284.123932 221.467529 l
+284.156372 220.534821 l
+284.188843 218.980301 l
+284.221283 218.980301 l
+284.253754 218.358505 l
+284.286194 218.669403 l
+284.318634 218.669403 l
+284.351074 217.114899 l
+284.383545 216.803986 l
+284.415985 217.114899 l
+284.448456 215.560379 l
+284.480896 215.560379 l
+284.513367 214.316772 l
+284.545807 213.384064 l
+284.578247 213.694962 l
+284.610687 214.316772 l
+284.643158 214.005875 l
+284.675598 213.384064 l
+284.708069 213.384064 l
+284.740509 211.518646 l
+284.772980 210.585938 l
+284.805420 210.275040 l
+284.837860 209.031433 l
+284.870300 209.342331 l
+284.902771 209.031433 l
+284.935211 209.342331 l
+284.967682 209.342331 l
+285.000122 208.720520 l
+285.032593 208.720520 l
+285.065002 208.098724 l
+285.097473 206.855118 l
+285.129913 206.544205 l
+285.162384 206.233307 l
+285.194824 206.544205 l
+285.227295 206.855118 l
+285.259735 207.476913 l
+285.292175 208.098724 l
+285.324615 208.098724 l
+285.357086 208.098724 l
+285.389526 207.476913 l
+285.421967 206.855118 l
+285.454437 206.855118 l
+285.486877 205.922409 l
+285.519348 205.922409 l
+285.551788 207.166016 l
+285.584229 208.098724 l
+285.616669 207.787827 l
+285.649139 208.409622 l
+285.681580 207.787827 l
+285.714050 206.855118 l
+285.746490 205.922409 l
+285.778961 205.611511 l
+285.811401 205.611511 l
+285.843842 206.233307 l
+285.876282 206.855118 l
+285.908752 207.166016 l
+285.941193 207.476913 l
+285.973663 208.409622 l
+286.006104 208.409622 l
+286.038574 208.098724 l
+286.071014 207.476913 l
+286.103455 207.166016 l
+286.135895 206.544205 l
+286.168365 206.233307 l
+286.200806 206.544205 l
+286.233276 207.166016 l
+286.265717 207.476913 l
+286.298187 207.787827 l
+286.330597 208.098724 l
+286.363068 207.166016 l
+286.395508 207.787827 l
+286.427979 207.476913 l
+286.460419 206.855118 l
+286.492889 206.544205 l
+286.525330 206.855118 l
+286.557770 207.787827 l
+286.590210 208.098724 l
+286.622681 208.409622 l
+286.655121 208.098724 l
+286.687592 206.855118 l
+286.720032 206.544205 l
+286.752502 206.233307 l
+286.784943 206.233307 l
+286.817383 206.855118 l
+286.849823 208.098724 l
+286.882294 208.098724 l
+286.914734 208.720520 l
+286.947205 208.409622 l
+286.979645 207.787827 l
+287.012115 207.476913 l
+287.044556 206.855118 l
+287.076996 206.544205 l
+287.109436 205.300598 l
+287.141876 205.922409 l
+287.174347 207.166016 l
+287.206787 207.787827 l
+287.239258 208.409622 l
+287.271698 208.098724 l
+287.304169 207.166016 l
+287.336609 206.233307 l
+287.369049 207.166016 l
+287.401489 205.922409 l
+287.433960 205.300598 l
+287.466400 206.233307 l
+287.498871 206.855118 l
+287.531311 207.787827 l
+287.563782 207.476913 l
+287.596191 206.855118 l
+287.628662 206.855118 l
+287.661102 205.922409 l
+287.693573 206.233307 l
+287.726013 204.989700 l
+287.758484 204.989700 l
+287.790924 204.678787 l
+287.823364 206.233307 l
+287.855804 207.787827 l
+287.888275 207.166016 l
+287.920715 207.476913 l
+287.953186 206.544205 l
+287.985626 205.922409 l
+288.018097 205.300598 l
+288.050537 205.611511 l
+288.082977 205.922409 l
+288.115417 205.611511 l
+288.147888 206.544205 l
+288.180328 206.855118 l
+288.212799 206.544205 l
+288.245239 206.855118 l
+288.277710 205.922409 l
+288.310150 205.611511 l
+288.342590 204.989700 l
+288.375031 205.300598 l
+288.407501 204.367889 l
+288.439941 204.678787 l
+288.472412 204.989700 l
+288.504852 205.611511 l
+288.537323 206.544205 l
+288.569763 206.544205 l
+288.602203 205.611511 l
+288.634644 204.989700 l
+288.667114 205.300598 l
+288.699554 205.300598 l
+288.731995 204.367889 l
+288.764465 205.611511 l
+288.796906 205.300598 l
+288.829346 206.233307 l
+288.861786 206.855118 l
+288.894257 206.544205 l
+288.926697 205.611511 l
+288.959167 205.611511 l
+288.991608 205.300598 l
+289.024078 204.989700 l
+289.056519 204.367889 l
+289.088959 204.989700 l
+289.121399 205.611511 l
+289.153870 206.544205 l
+289.186310 207.476913 l
+289.218781 207.166016 l
+289.251221 206.544205 l
+289.283691 205.922409 l
+289.316132 205.611511 l
+289.348572 205.300598 l
+289.381012 204.056992 l
+289.413483 204.989700 l
+289.445923 205.611511 l
+289.478394 206.544205 l
+289.510834 206.544205 l
+289.543304 206.544205 l
+289.575745 205.922409 l
+289.608185 205.922409 l
+289.640625 206.855118 l
+289.673096 206.855118 l
+289.705536 205.300598 l
+289.738007 206.855118 l
+289.770447 207.476913 l
+289.802917 207.787827 l
+289.835358 209.031433 l
+289.867798 209.342331 l
+289.900238 208.409622 l
+289.932709 206.855118 l
+289.965149 206.855118 l
+289.997620 207.166016 l
+290.030060 207.476913 l
+290.062531 207.476913 l
+290.094940 208.098724 l
+290.127411 208.409622 l
+290.159851 208.409622 l
+290.192322 209.653229 l
+290.224762 209.031433 l
+290.257233 208.098724 l
+290.289673 208.409622 l
+290.322113 208.720520 l
+290.354553 209.031433 l
+290.386993 209.031433 l
+290.419464 209.653229 l
+290.451904 209.653229 l
+290.484375 210.585938 l
+290.516815 210.275040 l
+290.549286 210.896851 l
+290.581726 209.342331 l
+290.614166 208.720520 l
+290.646606 208.098724 l
+290.679077 207.476913 l
+290.711517 208.098724 l
+290.743988 208.098724 l
+290.776428 209.031433 l
+290.808899 209.653229 l
+290.841339 207.787827 l
+290.873779 205.922409 l
+290.906219 205.611511 l
+290.938690 204.678787 l
+290.971130 205.300598 l
+291.003601 204.989700 l
+291.036041 205.300598 l
+291.068512 206.855118 l
+291.100952 207.166016 l
+291.133392 207.476913 l
+291.165833 206.544205 l
+291.198303 205.922409 l
+291.230743 204.989700 l
+291.263214 204.678787 l
+291.295654 204.056992 l
+291.328125 204.056992 l
+291.360535 205.611511 l
+291.393005 205.922409 l
+291.425446 204.989700 l
+291.457916 205.611511 l
+291.490356 205.300598 l
+291.522827 205.300598 l
+291.555267 204.989700 l
+291.587708 203.746078 l
+291.620148 204.678787 l
+291.652618 203.746078 l
+291.685059 204.989700 l
+291.717529 206.233307 l
+291.749969 206.544205 l
+291.782440 207.166016 l
+291.814880 206.233307 l
+291.847321 204.678787 l
+291.879761 203.124283 l
+291.912231 203.124283 l
+291.944672 202.813385 l
+291.977142 202.502472 l
+292.009583 204.367889 l
+292.042023 204.989700 l
+292.074493 205.300598 l
+292.106934 205.300598 l
+292.139374 204.678787 l
+292.171814 204.367889 l
+292.204285 203.746078 l
+292.236725 203.124283 l
+292.269196 202.502472 l
+292.301636 201.880676 l
+292.334106 202.502472 l
+292.366547 203.435181 l
+292.398987 202.813385 l
+292.431427 202.813385 l
+292.463898 203.124283 l
+292.496338 203.435181 l
+292.528809 204.678787 l
+292.561249 207.476913 l
+292.593689 211.207748 l
+292.626129 214.627670 l
+292.658600 219.913010 l
+292.691040 224.265656 l
+292.723511 228.618286 l
+292.755951 235.147232 l
+292.788422 242.919800 l
+292.820862 248.516037 l
+292.853302 249.448746 l
+292.885742 248.826950 l
+292.918213 245.096115 l
+292.950653 241.987091 l
+292.983124 237.945358 l
+293.015564 232.970917 l
+293.048035 227.374680 l
+293.080475 222.089340 l
+293.112915 213.694962 l
+293.145355 205.611511 l
+293.177826 200.015259 l
+293.210266 196.595337 l
+293.242737 197.217133 l
+293.275177 199.082550 l
+293.307648 201.258865 l
+293.340088 202.813385 l
+293.372528 204.056992 l
+293.404968 205.922409 l
+293.437439 205.922409 l
+293.469879 206.233307 l
+293.502350 206.233307 l
+293.534790 206.544205 l
+293.567261 206.544205 l
+293.599701 207.166016 l
+293.632141 207.476913 l
+293.664581 207.787827 l
+293.697021 206.855118 l
+293.729492 208.098724 l
+293.761932 208.098724 l
+293.794403 206.855118 l
+293.826843 205.922409 l
+293.859283 206.233307 l
+293.891724 205.611511 l
+293.924194 206.544205 l
+293.956635 208.409622 l
+293.989105 208.098724 l
+294.021545 208.720520 l
+294.054016 208.720520 l
+294.086456 208.409622 l
+294.118896 208.409622 l
+294.151337 208.720520 l
+294.183807 208.409622 l
+294.216248 207.166016 l
+294.248718 207.476913 l
+294.281158 208.098724 l
+294.313629 209.031433 l
+294.346069 209.031433 l
+294.378510 209.031433 l
+294.410950 208.720520 l
+294.443420 208.409622 l
+294.475861 207.787827 l
+294.508331 207.787827 l
+294.540771 207.476913 l
+294.573242 209.342331 l
+294.605682 210.275040 l
+294.638123 209.964142 l
+294.670563 210.275040 l
+294.703033 210.585938 l
+294.735474 210.585938 l
+294.767944 209.964142 l
+294.800385 209.653229 l
+294.832855 209.653229 l
+294.865295 209.964142 l
+294.897736 210.896851 l
+294.930176 211.518646 l
+294.962646 211.829559 l
+294.995087 211.829559 l
+295.027557 212.451355 l
+295.059998 212.140457 l
+295.092468 211.207748 l
+295.124878 211.829559 l
+295.157349 210.275040 l
+295.189789 210.585938 l
+295.222260 212.140457 l
+295.254700 212.762253 l
+295.287170 212.762253 l
+295.319611 213.073166 l
+295.352051 212.762253 l
+295.384491 212.451355 l
+295.416931 212.451355 l
+295.449402 213.384064 l
+295.481842 212.762253 l
+295.514313 214.005875 l
+295.546753 214.627670 l
+295.579224 215.249481 l
+295.611664 215.249481 l
+295.644104 215.560379 l
+295.676544 215.560379 l
+295.709015 214.938568 l
+295.741455 214.938568 l
+295.773926 215.560379 l
+295.806366 214.938568 l
+295.838837 215.249481 l
+295.871277 216.803986 l
+295.903717 217.425797 l
+295.936157 218.669403 l
+295.968628 219.602112 l
+296.001068 220.845718 l
+296.033539 220.223923 l
+296.065979 219.602112 l
+296.098450 219.291214 l
+296.130890 218.669403 l
+296.163330 219.602112 l
+296.195770 219.602112 l
+296.228241 220.845718 l
+296.260681 222.089340 l
+296.293152 222.711136 l
+296.325592 222.711136 l
+296.358032 222.400238 l
+296.390472 222.089340 l
+296.422943 222.400238 l
+296.455383 221.156631 l
+296.487854 221.467529 l
+296.520294 222.711136 l
+296.552765 222.400238 l
+296.585205 222.711136 l
+296.617645 222.400238 l
+296.650085 221.156631 l
+296.682556 220.534821 l
+296.714996 220.223923 l
+296.747467 219.602112 l
+296.779907 219.291214 l
+296.812378 218.669403 l
+296.844818 218.669403 l
+296.877258 218.980301 l
+296.909698 218.669403 l
+296.942169 218.358505 l
+296.974609 218.358505 l
+297.007080 216.803986 l
+297.039520 214.938568 l
+297.071960 214.005875 l
+297.104431 213.384064 l
+297.136871 213.073166 l
+297.169312 213.384064 l
+297.201752 214.005875 l
+297.234222 214.005875 l
+297.266663 214.005875 l
+297.299133 212.451355 l
+297.331573 211.518646 l
+297.364044 210.896851 l
+297.396454 210.896851 l
+297.428925 209.342331 l
+297.461365 209.964142 l
+297.493835 209.964142 l
+297.526276 210.275040 l
+297.558746 210.275040 l
+297.591187 210.585938 l
+297.623627 209.653229 l
+297.656067 208.720520 l
+297.688538 208.098724 l
+297.720978 208.409622 l
+297.753448 208.098724 l
+297.785889 208.098724 l
+297.818359 207.166016 l
+297.850800 206.855118 l
+297.883240 206.855118 l
+297.915680 206.855118 l
+297.948151 206.544205 l
+297.980591 206.544205 l
+298.013062 206.544205 l
+298.045502 206.544205 l
+298.077972 206.233307 l
+298.110413 206.544205 l
+298.142853 207.476913 l
+298.175293 207.787827 l
+298.207764 207.787827 l
+298.240204 208.098724 l
+298.272675 207.787827 l
+298.305115 206.855118 l
+298.337585 207.166016 l
+298.370026 206.544205 l
+298.402466 205.922409 l
+298.434906 205.300598 l
+298.467377 207.787827 l
+298.499817 208.098724 l
+298.532288 208.409622 l
+298.564728 208.720520 l
+298.597198 207.787827 l
+298.629639 208.098724 l
+298.662079 207.166016 l
+298.694519 206.233307 l
+298.726959 206.855118 l
+298.759430 208.409622 l
+298.791870 209.342331 l
+298.824341 208.409622 l
+298.856781 208.098724 l
+298.889221 208.409622 l
+298.921661 208.409622 l
+298.954132 207.787827 l
+298.986572 207.476913 l
+299.019043 207.476913 l
+299.051483 207.166016 l
+299.083954 206.855118 l
+299.116394 207.787827 l
+299.148834 208.409622 l
+299.181274 209.031433 l
+299.213745 209.342331 l
+299.246185 208.720520 l
+299.278656 208.409622 l
+299.311096 208.720520 l
+299.343567 208.409622 l
+299.376007 208.098724 l
+299.408447 208.409622 l
+299.440887 209.031433 l
+299.473358 209.031433 l
+299.505798 208.409622 l
+299.538269 208.409622 l
+299.570709 208.409622 l
+299.603180 208.720520 l
+299.635620 208.409622 l
+299.668060 207.787827 l
+299.700500 206.855118 l
+299.732971 207.476913 l
+299.765411 209.031433 l
+299.797882 209.653229 l
+299.830322 209.964142 l
+299.862793 209.653229 l
+299.895233 209.031433 l
+299.927673 208.409622 l
+299.960114 208.409622 l
+299.992584 208.409622 l
+300.025024 208.098724 l
+300.057495 207.476913 l
+300.089935 208.409622 l
+300.122406 208.720520 l
+300.154816 209.031433 l
+300.187286 209.653229 l
+300.219727 208.720520 l
+300.252197 208.098724 l
+300.284637 207.787827 l
+300.317108 207.476913 l
+300.349548 207.787827 l
+300.381989 208.409622 l
+300.414429 209.031433 l
+300.446869 209.031433 l
+300.479340 209.964142 l
+300.511780 209.653229 l
+300.544250 209.031433 l
+300.576691 208.098724 l
+300.609161 208.098724 l
+300.641602 206.855118 l
+300.674042 207.476913 l
+300.706482 208.409622 l
+300.738953 209.031433 l
+300.771393 209.342331 l
+300.803864 209.653229 l
+300.836304 209.653229 l
+300.868774 210.896851 l
+300.901215 211.207748 l
+300.933655 211.207748 l
+300.966095 210.896851 l
+300.998566 211.829559 l
+301.031006 213.384064 l
+301.063477 213.073166 l
+301.095917 214.005875 l
+301.128387 214.627670 l
+301.160797 214.627670 l
+301.193268 214.316772 l
+301.225708 214.005875 l
+301.258179 213.694962 l
+301.290619 213.073166 l
+301.323090 214.005875 l
+301.355530 214.316772 l
+301.387970 214.627670 l
+301.420410 214.627670 l
+301.452881 215.871292 l
+301.485321 216.493088 l
+301.517792 216.182190 l
+301.550232 214.627670 l
+301.582703 213.694962 l
+301.615143 214.005875 l
+301.647583 214.316772 l
+301.680023 215.560379 l
+301.712494 215.560379 l
+301.744934 215.871292 l
+301.777405 216.493088 l
+301.809845 216.803986 l
+301.842316 215.871292 l
+301.874756 214.938568 l
+301.907196 214.627670 l
+301.939636 214.005875 l
+301.972107 214.005875 l
+302.004547 215.560379 l
+302.036987 216.182190 l
+302.069458 215.871292 l
+302.101898 216.803986 l
+302.134369 217.114899 l
+302.166809 215.560379 l
+302.199249 215.249481 l
+302.231689 215.560379 l
+302.264160 215.560379 l
+302.296600 216.182190 l
+302.329071 216.803986 l
+302.361511 216.493088 l
+302.393982 217.425797 l
+302.426392 218.047607 l
+302.458862 217.736694 l
+302.491302 216.182190 l
+302.523773 215.249481 l
+302.556213 214.938568 l
+302.588684 214.316772 l
+302.621124 214.938568 l
+302.653564 217.425797 l
+302.686005 217.736694 l
+302.718475 218.669403 l
+302.750916 219.602112 l
+302.783386 220.534821 l
+302.815826 218.669403 l
+302.848297 218.047607 l
+302.880737 217.736694 l
+302.913177 216.493088 l
+302.945618 216.182190 l
+302.978088 217.736694 l
+303.010529 218.980301 l
+303.042999 218.980301 l
+303.075439 219.291214 l
+303.107910 219.291214 l
+303.140350 218.669403 l
+303.172791 218.669403 l
+303.205231 217.736694 l
+303.237701 217.114899 l
+303.270142 216.803986 l
+303.302612 216.803986 l
+303.335052 216.493088 l
+303.367523 216.803986 l
+303.399963 216.493088 l
+303.432404 215.249481 l
+303.464844 214.005875 l
+303.497314 213.384064 l
+303.529755 212.762253 l
+303.562225 212.762253 l
+303.594666 213.384064 l
+303.627136 214.627670 l
+303.659576 214.938568 l
+303.691986 215.871292 l
+303.724457 215.560379 l
+303.756897 215.560379 l
+303.789368 214.627670 l
+303.821808 213.384064 l
+303.854279 212.762253 l
+303.886719 212.451355 l
+303.919159 212.451355 l
+303.951599 212.451355 l
+303.984070 212.451355 l
+304.016510 214.316772 l
+304.048981 214.938568 l
+304.081421 213.384064 l
+304.113892 212.451355 l
+304.146332 211.518646 l
+304.178772 211.207748 l
+304.211212 211.518646 l
+304.243683 211.829559 l
+304.276123 212.451355 l
+304.308594 213.384064 l
+304.341034 213.073166 l
+304.373505 213.073166 l
+304.405945 212.451355 l
+304.438385 212.451355 l
+304.470825 212.140457 l
+304.503296 212.140457 l
+304.535736 211.518646 l
+304.568207 211.207748 l
+304.600647 212.762253 l
+304.633118 212.451355 l
+304.665558 212.762253 l
+304.697998 213.384064 l
+304.730438 212.140457 l
+304.762909 211.518646 l
+304.795349 210.585938 l
+304.827820 209.342331 l
+304.860260 209.342331 l
+304.892731 210.275040 l
+304.925171 210.275040 l
+304.957611 210.585938 l
+304.990051 209.653229 l
+305.022522 209.342331 l
+305.054962 209.342331 l
+305.087433 210.585938 l
+305.119873 213.073166 l
+305.152313 215.871292 l
+305.184753 219.291214 l
+305.217224 221.778427 l
+305.249664 227.063766 l
+305.282135 231.416412 l
+305.314575 238.878067 l
+305.347015 245.096115 l
+305.379486 252.246872 l
+305.411926 254.734085 l
+305.444366 254.423187 l
+305.476807 253.490479 l
+305.509277 250.070557 l
+305.541718 246.961533 l
+305.574188 241.987091 l
+305.606628 236.079941 l
+305.639099 230.794601 l
+305.671539 223.022034 l
+305.703979 213.694962 l
+305.736420 206.855118 l
+305.768890 202.813385 l
+305.801331 201.569763 l
+305.833801 203.124283 l
+305.866241 204.989700 l
+305.898712 207.476913 l
+305.931152 209.342331 l
+305.963593 210.896851 l
+305.996033 211.829559 l
+306.028503 212.140457 l
+306.060944 212.140457 l
+306.093414 210.896851 l
+306.125854 210.585938 l
+306.158325 210.275040 l
+306.190735 210.585938 l
+306.223206 210.896851 l
+306.255646 211.829559 l
+306.288116 211.829559 l
+306.320557 211.518646 l
+306.353027 210.896851 l
+306.385468 210.896851 l
+306.417908 211.518646 l
+306.450348 210.585938 l
+306.482819 210.275040 l
+306.515259 211.518646 l
+306.547729 212.140457 l
+306.580170 211.829559 l
+306.612640 212.451355 l
+306.645081 212.140457 l
+306.677521 210.896851 l
+306.709961 209.964142 l
+306.742432 210.275040 l
+306.774872 209.964142 l
+306.807343 210.275040 l
+306.839783 211.207748 l
+306.872253 213.073166 l
+306.904694 212.762253 l
+306.937134 212.762253 l
+306.969574 211.829559 l
+307.002014 211.207748 l
+307.034485 211.829559 l
+307.066925 210.896851 l
+307.099396 210.275040 l
+307.131836 211.207748 l
+307.164307 212.140457 l
+307.196747 212.762253 l
+307.229187 212.762253 l
+307.261627 214.316772 l
+307.294098 214.005875 l
+307.326538 213.073166 l
+307.359009 212.451355 l
+307.391449 212.140457 l
+307.423920 212.140457 l
+307.456329 213.073166 l
+307.488800 213.073166 l
+307.521240 213.384064 l
+307.553711 213.073166 l
+307.586151 214.627670 l
+307.618622 214.005875 l
+307.651062 212.762253 l
+307.683502 212.451355 l
+307.715942 212.140457 l
+307.748413 212.140457 l
+307.780853 212.762253 l
+307.813324 213.694962 l
+307.845764 214.938568 l
+307.878235 214.938568 l
+307.910675 215.560379 l
+307.943115 214.938568 l
+307.975555 214.938568 l
+308.008026 214.316772 l
+308.040466 214.005875 l
+308.072937 214.316772 l
+308.105377 214.938568 l
+308.137848 215.560379 l
+308.170288 215.871292 l
+308.202728 217.114899 l
+308.235168 218.047607 l
+308.267639 218.047607 l
+308.300079 217.736694 l
+308.332550 217.114899 l
+308.364990 218.047607 l
+308.397461 217.425797 l
+308.429901 218.669403 l
+308.462341 219.913010 l
+308.494781 220.534821 l
+308.527252 220.845718 l
+308.559692 221.778427 l
+308.592163 221.467529 l
+308.624603 220.534821 l
+308.657043 220.534821 l
+308.689514 221.156631 l
+308.721924 221.156631 l
+308.754395 221.778427 l
+308.786835 222.711136 l
+308.819305 223.022034 l
+308.851746 223.332947 l
+308.884216 224.265656 l
+308.916656 224.265656 l
+308.949097 223.954742 l
+308.981537 223.643845 l
+309.014008 223.332947 l
+309.046448 222.711136 l
+309.078918 223.332947 l
+309.111359 223.643845 l
+309.143829 223.022034 l
+309.176270 223.022034 l
+309.208710 222.711136 l
+309.241150 222.400238 l
+309.273621 222.089340 l
+309.306061 221.156631 l
+309.338531 220.845718 l
+309.370972 220.534821 l
+309.403442 221.156631 l
+309.435883 220.223923 l
+309.468323 220.223923 l
+309.500763 219.913010 l
+309.533234 219.602112 l
+309.565674 217.736694 l
+309.598145 216.803986 l
+309.630585 215.249481 l
+309.663055 214.938568 l
+309.695496 214.627670 l
+309.727936 214.316772 l
+309.760376 213.694962 l
+309.792847 214.005875 l
+309.825287 214.005875 l
+309.857758 214.005875 l
+309.890198 212.451355 l
+309.922668 210.896851 l
+309.955078 210.896851 l
+309.987549 209.964142 l
+310.019989 209.964142 l
+310.052460 209.653229 l
+310.084900 209.964142 l
+310.117371 210.275040 l
+310.149811 210.896851 l
+310.182251 210.585938 l
+310.214691 209.653229 l
+310.247162 208.098724 l
+310.279602 206.855118 l
+310.312042 206.544205 l
+310.344513 205.922409 l
+310.376953 207.166016 l
+310.409424 208.098724 l
+310.441864 207.476913 l
+310.474304 208.720520 l
+310.506744 208.409622 l
+310.539215 208.098724 l
+310.571655 207.476913 l
+310.604126 207.166016 l
+310.636566 206.233307 l
+310.669037 206.233307 l
+310.701477 206.544205 l
+310.733917 206.855118 l
+310.766357 207.787827 l
+310.798828 208.098724 l
+310.831268 208.409622 l
+310.863739 208.409622 l
+310.896179 207.166016 l
+310.928650 207.166016 l
+310.961090 206.855118 l
+310.993530 206.544205 l
+311.025970 207.476913 l
+311.058441 208.098724 l
+311.090881 208.098724 l
+311.123352 208.409622 l
+311.155792 207.476913 l
+311.188263 206.855118 l
+311.220673 206.544205 l
+311.253143 207.476913 l
+311.285583 206.855118 l
+311.318054 206.855118 l
+311.350494 207.787827 l
+311.382965 208.720520 l
+311.415405 208.409622 l
+311.447845 208.409622 l
+311.480286 208.098724 l
+311.512756 207.476913 l
+311.545197 207.166016 l
+311.577667 206.855118 l
+311.610107 206.855118 l
+311.642578 207.476913 l
+311.675018 207.787827 l
+311.707458 209.031433 l
+311.739899 208.720520 l
+311.772369 209.031433 l
+311.804810 208.409622 l
+311.837280 207.787827 l
+311.869720 206.855118 l
+311.902191 207.166016 l
+311.934631 207.476913 l
+311.967072 207.476913 l
+311.999512 207.787827 l
+312.031952 209.031433 l
+312.064423 208.409622 l
+312.096863 209.031433 l
+312.129333 208.720520 l
+312.161774 207.166016 l
+312.194244 207.166016 l
+312.226685 206.855118 l
+312.259125 206.855118 l
+312.291565 207.787827 l
+312.324036 208.409622 l
+312.356476 208.720520 l
+312.388947 208.720520 l
+312.421387 209.031433 l
+312.453857 208.098724 l
+312.486267 207.787827 l
+312.518738 207.166016 l
+312.551178 206.855118 l
+312.583649 206.544205 l
+312.616089 207.166016 l
+312.648560 207.476913 l
+312.681000 207.787827 l
+312.713440 207.166016 l
+312.745880 208.098724 l
+312.778351 207.166016 l
+312.810791 206.233307 l
+312.843262 206.855118 l
+312.875702 205.922409 l
+312.908173 205.611511 l
+312.940613 205.922409 l
+312.973053 206.855118 l
+313.005493 207.476913 l
+313.037964 208.098724 l
+313.070404 208.720520 l
+313.102875 207.787827 l
+313.135315 206.855118 l
+313.167786 205.922409 l
+313.200226 205.300598 l
+313.232666 205.300598 l
+313.265106 205.922409 l
+313.297577 206.544205 l
+313.330017 206.544205 l
+313.362488 207.166016 l
+313.394928 207.787827 l
+313.427399 207.476913 l
+313.459839 206.855118 l
+313.492279 206.855118 l
+313.524719 206.233307 l
+313.557190 204.989700 l
+313.589630 205.922409 l
+313.622101 206.233307 l
+313.654541 206.233307 l
+313.686981 206.855118 l
+313.719421 206.855118 l
+313.751862 205.922409 l
+313.784332 205.611511 l
+313.816772 205.611511 l
+313.849243 204.989700 l
+313.881683 204.678787 l
+313.914154 204.989700 l
+313.946594 205.922409 l
+313.979034 206.544205 l
+314.011475 206.544205 l
+314.043945 206.544205 l
+314.076385 205.922409 l
+314.108856 205.300598 l
+314.141296 204.367889 l
+314.173767 204.367889 l
+314.206207 204.056992 l
+314.238647 204.989700 l
+314.271088 205.611511 l
+314.303558 205.922409 l
+314.335999 207.166016 l
+314.368469 207.166016 l
+314.400909 205.922409 l
+314.433380 205.922409 l
+314.465820 204.989700 l
+314.498260 204.678787 l
+314.530701 204.678787 l
+314.563171 205.300598 l
+314.595612 206.233307 l
+314.628082 205.922409 l
+314.660522 206.233307 l
+314.692993 207.166016 l
+314.725433 206.544205 l
+314.757874 205.611511 l
+314.790314 205.611511 l
+314.822784 205.300598 l
+314.855225 204.367889 l
+314.887695 204.989700 l
+314.920135 205.922409 l
+314.952606 205.611511 l
+314.985016 205.922409 l
+315.017487 206.544205 l
+315.049927 206.233307 l
+315.082397 205.611511 l
+315.114838 206.233307 l
+315.147308 205.300598 l
+315.179749 205.922409 l
+315.212189 206.855118 l
+315.244629 207.166016 l
+315.277100 207.787827 l
+315.309540 207.787827 l
+315.341980 207.787827 l
+315.374451 207.166016 l
+315.406891 205.922409 l
+315.439362 205.611511 l
+315.471802 205.922409 l
+315.504242 206.233307 l
+315.536682 206.855118 l
+315.569153 208.098724 l
+315.601593 207.787827 l
+315.634064 207.787827 l
+315.666504 208.409622 l
+315.698975 208.409622 l
+315.731415 208.098724 l
+315.763855 208.409622 l
+315.796295 208.098724 l
+315.828766 208.720520 l
+315.861206 209.653229 l
+315.893677 209.653229 l
+315.926117 209.653229 l
+315.958588 210.275040 l
+315.991028 209.964142 l
+316.023468 209.342331 l
+316.055908 208.409622 l
+316.088379 208.720520 l
+316.120819 208.720520 l
+316.153290 209.653229 l
+316.185730 209.653229 l
+316.218201 209.964142 l
+316.250610 209.964142 l
+316.283081 209.342331 l
+316.315521 208.098724 l
+316.347992 207.476913 l
+316.380432 206.544205 l
+316.412903 206.544205 l
+316.445343 205.611511 l
+316.477783 205.611511 l
+316.510223 205.611511 l
+316.542694 205.922409 l
+316.575134 205.611511 l
+316.607605 206.233307 l
+316.640045 206.544205 l
+316.672516 206.544205 l
+316.704956 206.544205 l
+316.737396 205.611511 l
+316.769836 204.678787 l
+316.802307 204.367889 l
+316.834747 205.922409 l
+316.867218 205.922409 l
+316.899658 205.922409 l
+316.932129 206.855118 l
+316.964569 205.922409 l
+316.997009 205.300598 l
+317.029449 204.367889 l
+317.061890 203.746078 l
+317.094360 203.124283 l
+317.126801 203.746078 l
+317.159271 203.746078 l
+317.191711 204.989700 l
+317.224182 205.300598 l
+317.256622 204.989700 l
+317.289062 204.367889 l
+317.321503 204.367889 l
+317.353973 203.435181 l
+317.386414 203.746078 l
+317.418884 204.056992 l
+317.451324 204.367889 l
+317.483795 205.300598 l
+317.516205 205.300598 l
+317.548676 206.233307 l
+317.581116 206.855118 l
+317.613586 205.300598 l
+317.646027 204.367889 l
+317.678497 203.124283 l
+317.710938 202.502472 l
+317.743378 202.813385 l
+317.775818 203.124283 l
+317.808289 203.746078 l
+317.840729 203.746078 l
+317.873199 203.746078 l
+317.905640 204.367889 l
+317.938110 203.435181 l
+317.970551 201.880676 l
+318.002991 201.569763 l
+318.035431 200.637070 l
+318.067902 200.947968 l
+318.100342 203.124283 l
+318.132812 206.544205 l
+318.165253 210.585938 l
+318.197723 214.316772 l
+318.230164 217.425797 l
+318.262604 221.156631 l
+318.295044 224.265656 l
+318.327515 229.240082 l
+318.359955 235.147232 l
+318.392426 241.987091 l
+318.424866 249.137848 l
+318.457336 251.314163 l
+318.489777 251.003265 l
+318.522217 248.516037 l
+318.554657 244.163406 l
+318.587128 238.878067 l
+318.619568 232.970917 l
+318.652008 227.685577 l
+318.684479 219.913010 l
+318.716919 211.829559 l
+318.749359 204.989700 l
+318.781799 200.326157 l
+318.814270 199.082550 l
+318.846710 199.704361 l
+318.879181 201.880676 l
+318.911621 202.191574 l
+318.944092 203.435181 l
+318.976532 204.056992 l
+319.008972 204.678787 l
+319.041412 204.367889 l
+319.073883 205.611511 l
+319.106323 207.476913 l
+319.138794 208.098724 l
+319.171234 206.855118 l
+319.203705 206.544205 l
+319.236145 206.233307 l
+319.268585 205.611511 l
+319.301025 205.922409 l
+319.333496 205.300598 l
+319.365936 204.989700 l
+319.398407 205.922409 l
+319.430847 206.544205 l
+319.463318 207.476913 l
+319.495758 207.476913 l
+319.528198 207.166016 l
+319.560638 207.166016 l
+319.593109 206.855118 l
+319.625549 205.922409 l
+319.658020 206.233307 l
+319.690460 205.611511 l
+319.722931 206.855118 l
+319.755371 207.476913 l
+319.787811 207.476913 l
+319.820251 208.409622 l
+319.852722 207.476913 l
+319.885162 207.476913 l
+319.917633 207.166016 l
+319.950073 207.166016 l
+319.982544 207.787827 l
+320.014954 207.476913 l
+320.047424 208.409622 l
+320.079865 209.031433 l
+320.112335 208.720520 l
+320.144775 209.342331 l
+320.177246 208.720520 l
+320.209686 208.409622 l
+320.242126 207.787827 l
+320.274567 208.098724 l
+320.307007 207.476913 l
+320.339478 207.787827 l
+320.371918 208.409622 l
+320.404388 208.720520 l
+320.436829 209.964142 l
+320.469299 210.275040 l
+320.501740 209.964142 l
+320.534180 209.342331 l
+320.566620 208.720520 l
+320.599091 208.720520 l
+320.631531 209.031433 l
+320.664001 209.031433 l
+320.696442 210.275040 l
+320.728912 210.275040 l
+320.761353 210.896851 l
+320.793793 211.207748 l
+320.826233 211.829559 l
+320.858704 211.207748 l
+320.891144 209.964142 l
+320.923615 209.653229 l
+320.956055 209.653229 l
+320.988525 210.275040 l
+321.020966 211.518646 l
+321.053406 213.073166 l
+321.085846 213.073166 l
+321.118317 213.384064 l
+321.150757 213.384064 l
+321.183228 212.451355 l
+321.215668 212.762253 l
+321.248138 212.451355 l
+321.280548 212.140457 l
+321.313019 213.073166 l
+321.345459 213.694962 l
+321.377930 214.316772 l
+321.410370 215.871292 l
+321.442841 216.182190 l
+321.475281 216.493088 l
+321.507721 216.182190 l
+321.540161 215.560379 l
+321.572632 216.182190 l
+321.605072 216.493088 l
+321.637543 216.182190 l
+321.669983 218.980301 l
+321.702454 218.358505 l
+321.734894 219.602112 l
+321.767334 219.602112 l
+321.799774 219.602112 l
+321.832245 218.358505 l
+321.864685 218.358505 l
+321.897156 219.913010 l
+321.929596 219.913010 l
+321.962036 220.845718 l
+321.994507 220.845718 l
+322.026947 221.467529 l
+322.059387 221.156631 l
+322.091827 222.400238 l
+322.124298 221.778427 l
+322.156738 221.467529 l
+322.189209 220.223923 l
+322.221649 220.845718 l
+322.254120 219.913010 l
+322.286560 220.223923 l
+322.319000 219.913010 l
+322.351440 220.534821 l
+322.383911 220.534821 l
+322.416351 220.223923 l
+322.448822 219.291214 l
+322.481262 218.358505 l
+322.513702 217.114899 l
+322.546143 215.871292 l
+322.578613 215.560379 l
+322.611053 214.938568 l
+322.643524 215.560379 l
+322.675964 214.938568 l
+322.708435 214.938568 l
+322.740875 214.627670 l
+322.773315 213.384064 l
+322.805756 212.451355 l
+322.838226 211.518646 l
+322.870667 210.585938 l
+322.903137 209.653229 l
+322.935577 209.964142 l
+322.968048 209.964142 l
+323.000488 210.275040 l
+323.032928 209.964142 l
+323.065369 210.275040 l
+323.097839 209.653229 l
+323.130280 208.720520 l
+323.162750 208.098724 l
+323.195190 207.166016 l
+323.227661 205.922409 l
+323.260101 206.544205 l
+323.292542 207.166016 l
+323.324982 207.787827 l
+323.357452 208.720520 l
+323.389893 208.720520 l
+323.422363 207.787827 l
+323.454803 207.476913 l
+323.487274 206.855118 l
+323.519714 206.233307 l
+323.552155 205.922409 l
+323.584595 205.922409 l
+323.617035 206.855118 l
+323.649506 207.166016 l
+323.681946 207.476913 l
+323.714417 207.476913 l
+323.746857 206.855118 l
+323.779297 205.922409 l
+323.811737 205.611511 l
+323.844208 205.300598 l
+323.876648 205.300598 l
+323.909119 206.855118 l
+323.941559 207.166016 l
+323.974030 207.166016 l
+324.006470 207.166016 l
+324.038910 206.544205 l
+324.071350 206.233307 l
+324.103821 205.922409 l
+324.136261 205.922409 l
+324.168732 205.611511 l
+324.201172 205.611511 l
+324.233643 206.544205 l
+324.266083 206.544205 l
+324.298523 207.166016 l
+324.330963 206.855118 l
+324.363434 206.855118 l
+324.395874 206.544205 l
+324.428345 206.855118 l
+324.460785 206.855118 l
+324.493256 205.611511 l
+324.525696 206.233307 l
+324.558136 207.476913 l
+324.590576 207.476913 l
+324.623047 207.476913 l
+324.655487 208.720520 l
+324.687958 208.098724 l
+324.720398 207.476913 l
+324.752869 207.787827 l
+324.785309 207.166016 l
+324.817749 206.855118 l
+324.850189 206.544205 l
+324.882660 207.787827 l
+324.915100 208.720520 l
+324.947571 208.720520 l
+324.980011 209.342331 l
+325.012482 208.720520 l
+325.044891 207.787827 l
+325.077362 206.855118 l
+325.109802 206.233307 l
+325.142273 205.922409 l
+325.174713 206.544205 l
+325.207184 207.476913 l
+325.239624 207.787827 l
+325.272064 208.098724 l
+325.304504 208.720520 l
+325.336945 207.787827 l
+325.369415 207.476913 l
+325.401855 207.166016 l
+325.434326 206.544205 l
+325.466766 206.233307 l
+325.499237 207.166016 l
+325.531677 208.098724 l
+325.564117 208.098724 l
+325.596558 207.787827 l
+325.629028 208.409622 l
+325.661469 207.787827 l
+325.693939 208.098724 l
+325.726379 207.166016 l
+325.758850 206.544205 l
+325.791290 205.922409 l
+325.823730 206.544205 l
+325.856171 207.476913 l
+325.888641 207.476913 l
+325.921082 208.409622 l
+325.953552 208.409622 l
+325.985992 208.098724 l
+326.018463 207.476913 l
+326.050903 207.166016 l
+326.083344 206.233307 l
+326.115784 205.611511 l
+326.148254 206.233307 l
+326.180695 206.855118 l
+326.213165 206.855118 l
+326.245605 207.476913 l
+326.278046 208.098724 l
+326.310486 207.787827 l
+326.342957 207.166016 l
+326.375397 206.544205 l
+326.407867 206.233307 l
+326.440308 205.611511 l
+326.472778 205.922409 l
+326.505219 206.233307 l
+326.537659 206.855118 l
+326.570099 207.166016 l
+326.602570 207.787827 l
+326.635010 206.855118 l
+326.667480 205.922409 l
+326.699921 206.233307 l
+326.732391 206.544205 l
+326.764832 205.611511 l
+326.797272 206.544205 l
+326.829712 207.476913 l
+326.862183 207.787827 l
+326.894623 207.787827 l
+326.927063 207.787827 l
+326.959534 206.855118 l
+326.991974 205.922409 l
+327.024445 205.922409 l
+327.056885 206.233307 l
+327.089325 205.300598 l
+327.121765 205.611511 l
+327.154236 205.922409 l
+327.186676 206.544205 l
+327.219147 206.544205 l
+327.251587 207.476913 l
+327.284058 207.476913 l
+327.316467 207.166016 l
+327.348938 206.544205 l
+327.381378 205.922409 l
+327.413849 205.922409 l
+327.446289 205.922409 l
+327.478760 206.233307 l
+327.511200 206.544205 l
+327.543640 207.166016 l
+327.576080 207.476913 l
+327.608551 207.166016 l
+327.640991 206.233307 l
+327.673462 206.233307 l
+327.705902 205.611511 l
+327.738373 204.678787 l
+327.770813 205.922409 l
+327.803253 206.544205 l
+327.835693 206.855118 l
+327.868164 207.476913 l
+327.900604 207.476913 l
+327.933075 206.233307 l
+327.965515 206.855118 l
+327.997986 205.611511 l
+328.030426 205.611511 l
+328.062866 204.678787 l
+328.095306 205.611511 l
+328.127777 206.855118 l
+328.160217 207.787827 l
+328.192688 207.476913 l
+328.225128 208.098724 l
+328.257599 206.855118 l
+328.290039 205.611511 l
+328.322479 205.611511 l
+328.354919 205.611511 l
+328.387390 205.611511 l
+328.419830 206.855118 l
+328.452301 207.166016 l
+328.484741 208.409622 l
+328.517212 209.653229 l
+328.549652 209.964142 l
+328.582092 209.031433 l
+328.614532 207.166016 l
+328.646973 206.855118 l
+328.679443 207.476913 l
+328.711884 207.476913 l
+328.744354 208.409622 l
+328.776794 208.720520 l
+328.809235 208.720520 l
+328.841675 208.720520 l
+328.874146 208.098724 l
+328.906586 208.098724 l
+328.939056 207.787827 l
+328.971497 208.409622 l
+329.003967 208.720520 l
+329.036407 209.342331 l
+329.068848 210.275040 l
+329.101288 211.207748 l
+329.133759 211.518646 l
+329.166199 211.207748 l
+329.198669 211.207748 l
+329.231110 210.896851 l
+329.263580 210.585938 l
+329.296021 210.275040 l
+329.328461 209.653229 l
+329.360901 209.653229 l
+329.393372 210.585938 l
+329.425812 210.585938 l
+329.458282 210.585938 l
+329.490723 210.896851 l
+329.523193 210.275040 l
+329.555634 209.342331 l
+329.588074 208.409622 l
+329.620514 207.787827 l
+329.652985 206.544205 l
+329.685425 206.233307 l
+329.717896 207.166016 l
+329.750336 207.476913 l
+329.782806 207.787827 l
+329.815247 208.409622 l
+329.847687 208.098724 l
+329.880127 208.098724 l
+329.912598 206.855118 l
+329.945038 206.544205 l
+329.977509 205.922409 l
+330.009949 205.611511 l
+330.042419 206.233307 l
+330.074829 207.476913 l
+330.107300 208.098724 l
+330.139740 208.098724 l
+330.172211 207.476913 l
+330.204651 206.233307 l
+330.237122 206.233307 l
+330.269562 206.233307 l
+330.302002 204.989700 l
+330.334442 204.678787 l
+330.366882 205.922409 l
+330.399353 206.855118 l
+330.431793 207.787827 l
+330.464264 207.166016 l
+330.496704 206.855118 l
+330.529175 205.922409 l
+330.561615 204.678787 l
+330.594055 204.678787 l
+330.626495 204.678787 l
+330.658966 204.678787 l
+330.691406 205.300598 l
+330.723877 206.544205 l
+330.756317 207.476913 l
+330.788788 208.098724 l
+330.821228 206.855118 l
+330.853668 205.922409 l
+330.886108 205.611511 l
+330.918579 204.678787 l
+330.951019 204.678787 l
+330.983490 204.678787 l
+331.015930 205.611511 l
+331.048401 205.611511 l
+331.080811 205.922409 l
+331.113281 205.611511 l
+331.145721 204.989700 l
+331.178192 204.056992 l
+331.210632 203.435181 l
+331.243103 202.191574 l
+331.275543 201.569763 l
+331.307983 202.813385 l
+331.340424 203.746078 l
+331.372894 207.166016 l
+331.405334 211.518646 l
+331.437805 214.938568 l
+331.470245 217.425797 l
+331.502716 220.534821 l
+331.535156 224.576553 l
+331.567596 227.374680 l
+331.600037 233.281830 l
+331.632507 240.432587 l
+331.664948 249.137848 l
+331.697418 255.044983 l
+331.729858 253.490479 l
+331.762329 253.801392 l
+331.794769 248.826950 l
+331.827209 244.474304 l
+331.859650 238.567169 l
+331.892120 232.660019 l
+331.924561 226.752869 l
+331.957001 219.913010 l
+331.989471 212.762253 l
+332.021912 205.611511 l
+332.054382 203.435181 l
+332.086823 202.813385 l
+332.119263 203.124283 l
+332.151703 202.191574 l
+332.184174 203.124283 l
+332.216614 204.367889 l
+332.249084 205.922409 l
+332.281525 206.855118 l
+332.313995 208.098724 l
+332.346405 209.342331 l
+332.378876 209.031433 l
+332.411316 209.342331 l
+332.443787 208.409622 l
+332.476227 207.476913 l
+332.508698 206.544205 l
+332.541138 206.233307 l
+332.573578 206.233307 l
+332.606018 206.855118 l
+332.638489 208.098724 l
+332.670929 207.787827 l
+332.703400 208.720520 l
+332.735840 209.653229 l
+332.768311 208.720520 l
+332.800751 208.098724 l
+332.833191 207.476913 l
+332.865631 207.166016 l
+332.898102 207.166016 l
+332.930542 208.098724 l
+332.963013 208.720520 l
+332.995453 209.342331 l
+333.027924 210.275040 l
+333.060364 210.275040 l
+333.092804 209.964142 l
+333.125244 209.653229 l
+333.157715 209.653229 l
+333.190155 209.342331 l
+333.222626 208.098724 l
+333.255066 208.409622 l
+333.287537 208.409622 l
+333.319977 209.342331 l
+333.352417 209.653229 l
+333.384857 209.653229 l
+333.417328 209.653229 l
+333.449768 209.031433 l
+333.482239 209.031433 l
+333.514679 208.409622 l
+333.547150 208.720520 l
+333.579590 209.653229 l
+333.612000 210.896851 l
+333.644470 211.207748 l
+333.676910 211.518646 l
+333.709381 212.140457 l
+333.741821 211.829559 l
+333.774292 211.207748 l
+333.806732 210.896851 l
+333.839172 210.275040 l
+333.871613 209.964142 l
+333.904083 209.964142 l
+333.936523 211.518646 l
+333.968994 212.140457 l
+334.001434 211.829559 l
+334.033905 212.140457 l
+334.066345 211.207748 l
+334.098785 212.140457 l
+334.131226 211.518646 l
+334.163696 210.585938 l
+334.196136 211.207748 l
+334.228607 212.451355 l
+334.261047 213.384064 l
+334.293518 213.694962 l
+334.325958 214.627670 l
+334.358398 214.005875 l
+334.390839 213.694962 l
+334.423309 213.384064 l
+334.455750 213.384064 l
+334.488220 213.073166 l
+334.520660 213.694962 l
+334.553131 215.249481 l
+334.585571 216.182190 l
+334.618011 216.493088 l
+334.650452 218.047607 l
+334.682922 218.047607 l
+334.715363 217.736694 l
+334.747833 217.736694 l
+334.780273 217.736694 l
+334.812744 218.358505 l
+334.845184 218.358505 l
+334.877625 218.669403 l
+334.910065 219.913010 l
+334.942535 221.156631 l
+334.974976 222.089340 l
+335.007446 222.089340 l
+335.039886 221.467529 l
+335.072327 221.778427 l
+335.104767 222.089340 l
+335.137238 222.089340 l
+335.169678 222.400238 l
+335.202148 222.711136 l
+335.234589 223.022034 l
+335.267029 224.265656 l
+335.299500 224.265656 l
+335.331940 224.576553 l
+335.364380 223.954742 l
+335.396820 223.022034 l
+335.429291 223.022034 l
+335.461731 223.022034 l
+335.494202 222.711136 l
+335.526642 223.022034 l
+335.559113 223.332947 l
+335.591553 223.332947 l
+335.623993 223.643845 l
+335.656433 223.332947 l
+335.688904 222.089340 l
+335.721344 221.156631 l
+335.753815 220.223923 l
+335.786255 219.291214 l
+335.818726 218.358505 l
+335.851166 219.291214 l
+335.883606 218.669403 l
+335.916046 218.358505 l
+335.948517 218.669403 l
+335.980957 218.047607 l
+336.013428 216.182190 l
+336.045868 215.560379 l
+336.078339 213.694962 l
+336.110748 212.762253 l
+336.143219 214.005875 l
+336.175659 214.627670 l
+336.208130 214.005875 l
+336.240570 213.384064 l
+336.273041 213.384064 l
+336.305481 212.762253 l
+336.337921 212.140457 l
+336.370361 211.207748 l
+336.402832 211.207748 l
+336.435272 210.275040 l
+336.467743 210.896851 l
+336.500183 209.964142 l
+336.532654 209.964142 l
+336.565094 210.275040 l
+336.597534 210.275040 l
+336.629974 210.275040 l
+336.662445 209.653229 l
+336.694885 208.720520 l
+336.727356 208.720520 l
+336.759796 208.720520 l
+336.792267 209.031433 l
+336.824707 209.964142 l
+336.857147 210.585938 l
+336.889587 210.585938 l
+336.922028 209.964142 l
+336.954498 209.964142 l
+336.986938 208.720520 l
+337.019409 208.720520 l
+337.051849 208.098724 l
+337.084320 207.166016 l
+337.116760 207.787827 l
+337.149200 209.342331 l
+337.181641 209.964142 l
+337.214111 210.275040 l
+337.246552 210.585938 l
+337.279022 209.964142 l
+337.311462 209.342331 l
+337.343933 208.720520 l
+337.376343 208.720520 l
+337.408813 207.787827 l
+337.441254 209.964142 l
+337.473724 210.275040 l
+337.506165 210.585938 l
+337.538635 210.585938 l
+337.571075 210.275040 l
+337.603516 209.653229 l
+337.635956 209.342331 l
+337.668427 209.342331 l
+337.700867 209.342331 l
+337.733337 208.720520 l
+337.765778 209.653229 l
+337.798248 210.585938 l
+337.830688 210.585938 l
+337.863129 210.275040 l
+337.895569 210.585938 l
+337.928040 209.653229 l
+337.960480 209.342331 l
+337.992950 208.409622 l
+338.025391 208.409622 l
+338.057861 209.031433 l
+338.090302 210.585938 l
+338.122742 210.896851 l
+338.155182 211.518646 l
+338.187653 212.140457 l
+338.220093 211.829559 l
+338.252563 210.896851 l
+338.285004 210.585938 l
+338.317474 210.275040 l
+338.349915 210.275040 l
+338.382355 210.585938 l
+338.414795 210.585938 l
+338.447266 210.896851 l
+338.479706 212.140457 l
+338.512177 212.451355 l
+338.544617 213.073166 l
+338.577057 211.829559 l
+338.609528 211.207748 l
+338.641937 211.207748 l
+338.674408 210.896851 l
+338.706848 210.896851 l
+338.739319 211.207748 l
+338.771759 211.829559 l
+338.804230 212.762253 l
+338.836670 213.384064 l
+338.869110 213.384064 l
+338.901550 212.451355 l
+338.934021 211.829559 l
+338.966461 211.207748 l
+338.998932 211.518646 l
+339.031372 212.451355 l
+339.063843 212.762253 l
+339.096283 213.073166 l
+339.128723 213.073166 l
+339.161163 213.073166 l
+339.193634 214.005875 l
+339.226074 212.762253 l
+339.258545 212.451355 l
+339.290985 211.829559 l
+339.323456 210.896851 l
+339.355896 211.207748 l
+339.388336 211.829559 l
+339.420776 212.762253 l
+339.453247 214.316772 l
+339.485687 212.762253 l
+339.518158 212.451355 l
+339.550598 212.451355 l
+339.583069 211.829559 l
+339.615509 211.207748 l
+339.647949 210.896851 l
+339.680389 211.207748 l
+339.712860 212.140457 l
+339.745300 213.073166 l
+339.777771 213.073166 l
+339.810211 213.073166 l
+339.842682 213.073166 l
+339.875092 212.140457 l
+339.907562 211.518646 l
+339.940002 211.829559 l
+339.972473 210.896851 l
+340.004913 210.896851 l
+340.037384 211.829559 l
+340.069824 212.451355 l
+340.102264 212.762253 l
+340.134705 213.384064 l
+340.167175 213.694962 l
+340.199615 212.140457 l
+340.232056 211.207748 l
+340.264526 209.964142 l
+340.296967 209.964142 l
+340.329437 210.896851 l
+340.361877 211.829559 l
+340.394318 213.073166 l
+340.426758 212.762253 l
+340.459229 212.451355 l
+340.491669 212.451355 l
+340.524139 212.140457 l
+340.556580 210.585938 l
+340.589050 210.585938 l
+340.621490 209.342331 l
+340.653931 209.653229 l
+340.686371 210.275040 l
+340.718842 211.207748 l
+340.751282 211.829559 l
+340.783752 212.140457 l
+340.816193 212.140457 l
+340.848663 211.518646 l
+340.881104 210.585938 l
+340.913544 210.275040 l
+340.945984 209.653229 l
+340.978455 210.275040 l
+341.010895 211.518646 l
+341.043365 212.451355 l
+341.075806 211.829559 l
+341.108276 211.829559 l
+341.140686 211.207748 l
+341.173157 210.585938 l
+341.205597 210.275040 l
+341.238068 210.275040 l
+341.270508 209.964142 l
+341.302979 210.585938 l
+341.335419 210.585938 l
+341.367859 210.896851 l
+341.400299 211.518646 l
+341.432770 211.829559 l
+341.465210 212.140457 l
+341.497681 211.207748 l
+341.530121 210.585938 l
+341.562592 210.275040 l
+341.595032 209.653229 l
+341.627472 209.653229 l
+341.659912 210.585938 l
+341.692383 211.518646 l
+341.724823 211.207748 l
+341.757294 211.829559 l
+341.789734 211.518646 l
+341.822205 210.585938 l
+341.854645 210.275040 l
+341.887085 209.342331 l
+341.919525 208.720520 l
+341.951965 209.031433 l
+341.984436 209.342331 l
+342.016876 210.585938 l
+342.049347 210.585938 l
+342.081787 210.896851 l
+342.114258 210.585938 l
+342.146698 209.653229 l
+342.179138 209.342331 l
+342.211578 209.653229 l
+342.244049 208.720520 l
+342.276489 209.964142 l
+342.308960 211.207748 l
+342.341400 212.451355 l
+342.373871 212.451355 l
+342.406281 214.005875 l
+342.438751 212.762253 l
+342.471191 211.207748 l
+342.503662 211.207748 l
+342.536102 210.896851 l
+342.568573 210.585938 l
+342.601013 210.896851 l
+342.633453 211.207748 l
+342.665894 211.518646 l
+342.698364 212.762253 l
+342.730804 213.384064 l
+342.763275 212.451355 l
+342.795715 210.896851 l
+342.828186 211.518646 l
+342.860626 211.829559 l
+342.893066 212.140457 l
+342.925507 213.073166 l
+342.957977 214.005875 l
+342.990417 213.694962 l
+343.022888 214.627670 l
+343.055328 214.938568 l
+343.087799 213.694962 l
+343.120239 212.451355 l
+343.152679 213.384064 l
+343.185120 213.694962 l
+343.217590 213.384064 l
+343.250031 213.694962 l
+343.282501 214.005875 l
+343.314941 214.627670 l
+343.347412 214.316772 l
+343.379852 213.694962 l
+343.412292 212.762253 l
+343.444733 210.585938 l
+343.477203 209.653229 l
+343.509644 209.031433 l
+343.542084 208.409622 l
+343.574554 209.031433 l
+343.606995 209.653229 l
+343.639435 209.964142 l
+343.671875 210.275040 l
+343.704346 209.964142 l
+343.736786 210.275040 l
+343.769257 209.031433 l
+343.801697 208.720520 l
+343.834167 209.342331 l
+343.866608 208.409622 l
+343.899048 209.342331 l
+343.931488 210.275040 l
+343.963959 209.964142 l
+343.996399 210.585938 l
+344.028870 210.896851 l
+344.061310 209.964142 l
+344.093781 209.031433 l
+344.126221 208.098724 l
+344.158661 208.409622 l
+344.191101 207.476913 l
+344.223572 208.720520 l
+344.256012 209.342331 l
+344.288483 209.964142 l
+344.320923 209.964142 l
+344.353394 209.342331 l
+344.385834 208.409622 l
+344.418274 207.476913 l
+344.450714 207.787827 l
+344.483185 209.031433 l
+344.515625 207.787827 l
+344.548096 208.409622 l
+344.580536 208.720520 l
+344.613007 209.653229 l
+344.645447 209.964142 l
+344.677887 209.342331 l
+344.710327 207.787827 l
+344.742798 208.098724 l
+344.775238 207.787827 l
+344.807709 207.787827 l
+344.840149 208.098724 l
+344.872620 208.720520 l
+344.905029 209.031433 l
+344.937500 209.031433 l
+344.969940 209.342331 l
+345.002411 208.409622 l
+345.034851 206.544205 l
+345.067322 205.922409 l
+345.099762 205.922409 l
+345.132202 204.678787 l
+345.164642 204.367889 l
+345.197083 205.611511 l
+345.229553 208.098724 l
+345.261993 211.829559 l
+345.294464 215.249481 l
+345.326904 218.047607 l
+345.359375 221.467529 l
+345.391815 224.887451 l
+345.424255 228.618286 l
+345.456696 232.970917 l
+345.489166 239.188965 l
+345.521606 248.205139 l
+345.554077 254.423187 l
+345.586517 256.599518 l
+345.618988 255.977707 l
+345.651428 251.935974 l
+345.683868 246.650635 l
+345.716309 241.676193 l
+345.748779 236.701752 l
+345.781219 230.794601 l
+345.813690 224.576553 l
+345.846130 216.803986 l
+345.878601 209.653229 l
+345.911041 205.300598 l
+345.943481 204.678787 l
+345.975922 205.611511 l
+346.008392 205.922409 l
+346.040833 206.233307 l
+346.073303 206.233307 l
+346.105743 208.409622 l
+346.138214 209.342331 l
+346.170624 210.585938 l
+346.203094 211.518646 l
+346.235535 212.451355 l
+346.268005 212.140457 l
+346.300446 211.518646 l
+346.332916 210.585938 l
+346.365356 209.342331 l
+346.397797 209.653229 l
+346.430237 209.653229 l
+346.462708 209.653229 l
+346.495148 209.964142 l
+346.527618 210.275040 l
+346.560059 210.896851 l
+346.592529 212.140457 l
+346.624969 211.518646 l
+346.657410 211.207748 l
+346.689850 210.275040 l
+346.722321 209.653229 l
+346.754761 209.031433 l
+346.787231 209.653229 l
+346.819672 211.518646 l
+346.852142 211.829559 l
+346.884583 211.829559 l
+346.917023 212.762253 l
+346.949463 211.829559 l
+346.981903 210.896851 l
+347.014374 210.275040 l
+347.046814 211.207748 l
+347.079285 211.207748 l
+347.111725 212.140457 l
+347.144196 211.829559 l
+347.176636 212.451355 l
+347.209076 213.384064 l
+347.241516 214.316772 l
+347.273987 213.384064 l
+347.306427 212.140457 l
+347.338898 212.451355 l
+347.371338 212.451355 l
+347.403809 211.518646 l
+347.436218 213.073166 l
+347.468689 213.694962 l
+347.501129 213.694962 l
+347.533600 213.073166 l
+347.566040 213.384064 l
+347.598511 213.073166 l
+347.630951 213.073166 l
+347.663391 212.451355 l
+347.695831 211.829559 l
+347.728302 211.829559 l
+347.760742 212.762253 l
+347.793213 213.384064 l
+347.825653 214.316772 l
+347.858124 214.938568 l
+347.890564 215.871292 l
+347.923004 214.938568 l
+347.955444 214.627670 l
+347.987915 214.938568 l
+348.020355 214.627670 l
+348.052826 214.316772 l
+348.085266 214.316772 l
+348.117737 215.871292 l
+348.150177 215.871292 l
+348.182617 217.114899 l
+348.215057 218.358505 l
+348.247528 217.736694 l
+348.279968 216.493088 l
+348.312439 216.493088 l
+348.344879 216.493088 l
+348.377350 216.182190 l
+348.409790 217.736694 l
+348.442230 218.669403 l
+348.474670 219.602112 l
+348.507141 220.534821 l
+348.539581 220.534821 l
+348.572021 220.223923 l
+348.604492 220.534821 l
+348.636932 219.602112 l
+348.669373 219.913010 l
+348.701813 219.602112 l
+348.734283 220.845718 l
+348.766724 221.778427 l
+348.799194 223.022034 l
+348.831635 223.954742 l
+348.864105 223.643845 l
+348.896545 223.332947 l
+348.928986 223.643845 l
+348.961426 223.643845 l
+348.993896 223.954742 l
+349.026337 224.265656 l
+349.058807 224.887451 l
+349.091248 224.887451 l
+349.123718 225.820160 l
+349.156158 226.441971 l
+349.188599 226.131073 l
+349.221039 225.509262 l
+349.253510 224.887451 l
+349.285950 225.198349 l
+349.318420 224.887451 l
+349.350861 224.576553 l
+349.383331 224.887451 l
+349.415771 225.820160 l
+349.448212 225.820160 l
+349.480652 226.441971 l
+349.513123 226.441971 l
+349.545563 224.887451 l
+349.578033 223.332947 l
+349.610474 222.400238 l
+349.642944 222.089340 l
+349.675385 221.156631 l
+349.707825 221.156631 l
+349.740265 221.467529 l
+349.772736 220.845718 l
+349.805176 220.223923 l
+349.837646 219.913010 l
+349.870087 218.980301 l
+349.902557 217.736694 l
+349.934967 218.358505 l
+349.967438 215.560379 l
+349.999878 214.316772 l
+350.032349 215.560379 l
+350.064789 215.560379 l
+350.097260 215.249481 l
+350.129700 214.938568 l
+350.162140 214.627670 l
+350.194580 213.384064 l
+350.227020 213.384064 l
+350.259491 212.762253 l
+350.291931 212.140457 l
+350.324402 211.829559 l
+350.356842 212.451355 l
+350.389313 212.762253 l
+350.421753 213.073166 l
+350.454193 212.140457 l
+350.486633 212.140457 l
+350.519104 210.585938 l
+350.551544 210.275040 l
+350.584015 209.653229 l
+350.616455 209.031433 l
+350.648926 209.964142 l
+350.681366 210.585938 l
+350.713806 211.518646 l
+350.746246 211.829559 l
+350.778717 212.140457 l
+350.811157 210.585938 l
+350.843628 209.964142 l
+350.876068 209.342331 l
+350.908539 209.342331 l
+350.940979 209.342331 l
+350.973419 209.964142 l
+351.005859 210.275040 l
+351.038330 210.896851 l
+351.070770 211.207748 l
+351.103241 211.829559 l
+351.135681 211.207748 l
+351.168152 210.585938 l
+351.200562 210.275040 l
+351.233032 208.720520 l
+351.265472 209.031433 l
+351.297943 209.964142 l
+351.330383 209.964142 l
+351.362854 211.207748 l
+351.395294 211.829559 l
+351.427734 212.140457 l
+351.460175 211.829559 l
+351.492645 210.896851 l
+351.525085 211.518646 l
+351.557556 210.585938 l
+351.589996 209.031433 l
+351.622467 209.342331 l
+351.654907 209.964142 l
+351.687347 210.896851 l
+351.719788 212.140457 l
+351.752258 212.451355 l
+351.784698 211.518646 l
+351.817169 211.207748 l
+351.849609 210.896851 l
+351.882050 209.342331 l
+351.914520 209.653229 l
+351.946960 209.653229 l
+351.979401 211.207748 l
+352.011841 210.896851 l
+352.044312 211.829559 l
+352.076752 212.140457 l
+352.109222 211.518646 l
+352.141663 210.896851 l
+352.174133 210.585938 l
+352.206573 209.964142 l
+352.239014 209.031433 l
+352.271454 210.585938 l
+352.303925 210.585938 l
+352.336365 211.518646 l
+352.368835 211.518646 l
+352.401276 211.829559 l
+352.433716 211.829559 l
+352.466156 211.207748 l
+352.498627 210.275040 l
+352.531067 210.585938 l
+352.563538 210.896851 l
+352.595978 211.207748 l
+352.628448 211.207748 l
+352.660889 212.451355 l
+352.693329 213.694962 l
+352.725769 213.073166 l
+352.758240 212.451355 l
+352.790680 211.207748 l
+352.823151 210.585938 l
+352.855591 210.585938 l
+352.888062 210.275040 l
+352.920502 210.275040 l
+352.952942 211.207748 l
+352.985382 211.518646 l
+353.017853 212.140457 l
+353.050293 211.518646 l
+353.082764 210.896851 l
+353.115204 210.896851 l
+353.147675 210.275040 l
+353.180115 209.653229 l
+353.212555 208.409622 l
+353.244995 209.653229 l
+353.277466 210.585938 l
+353.309906 210.896851 l
+353.342377 212.451355 l
+353.374817 211.829559 l
+353.407288 210.275040 l
+353.439728 210.896851 l
+353.472168 210.585938 l
+353.504608 209.342331 l
+353.537048 209.031433 l
+353.569519 209.964142 l
+353.601959 210.585938 l
+353.634430 211.207748 l
+353.666870 211.207748 l
+353.699310 211.207748 l
+353.731750 210.585938 l
+353.764221 209.031433 l
+353.796661 209.653229 l
+353.829132 209.653229 l
+353.861572 209.342331 l
+353.894043 210.275040 l
+353.926483 211.829559 l
+353.958923 211.518646 l
+353.991364 212.451355 l
+354.023834 211.829559 l
+354.056274 210.275040 l
+354.088745 210.585938 l
+354.121185 209.653229 l
+354.153656 209.342331 l
+354.186096 209.031433 l
+354.218536 209.964142 l
+354.250977 210.275040 l
+354.283447 210.585938 l
+354.315887 211.829559 l
+354.348358 212.140457 l
+354.380798 211.518646 l
+354.413269 210.896851 l
+354.445709 209.964142 l
+354.478149 209.342331 l
+354.510590 208.720520 l
+354.543060 210.275040 l
+354.575500 210.896851 l
+354.607971 209.964142 l
+354.640411 210.585938 l
+354.672882 209.964142 l
+354.705322 209.653229 l
+354.737762 209.031433 l
+354.770203 210.585938 l
+354.802673 208.720520 l
+354.835114 209.031433 l
+354.867584 209.653229 l
+354.900024 209.964142 l
+354.932495 211.207748 l
+354.964905 212.451355 l
+354.997375 211.829559 l
+355.029816 211.207748 l
+355.062286 209.342331 l
+355.094727 208.409622 l
+355.127197 208.409622 l
+355.159637 209.653229 l
+355.192078 209.964142 l
+355.224518 211.207748 l
+355.256958 211.207748 l
+355.289429 212.140457 l
+355.321869 210.896851 l
+355.354340 210.585938 l
+355.386780 209.342331 l
+355.419250 209.342331 l
+355.451691 209.653229 l
+355.484131 209.653229 l
+355.516571 210.896851 l
+355.549042 210.585938 l
+355.581482 210.585938 l
+355.613953 211.518646 l
+355.646393 211.207748 l
+355.678864 209.964142 l
+355.711304 209.342331 l
+355.743744 208.720520 l
+355.776184 209.031433 l
+355.808655 209.342331 l
+355.841095 210.585938 l
+355.873566 210.275040 l
+355.906006 211.207748 l
+355.938477 211.207748 l
+355.970917 211.518646 l
+356.003357 211.518646 l
+356.035797 209.964142 l
+356.068268 209.964142 l
+356.100708 210.585938 l
+356.133179 211.518646 l
+356.165619 211.518646 l
+356.198059 212.451355 l
+356.230499 212.451355 l
+356.262970 212.451355 l
+356.295410 213.384064 l
+356.327881 212.451355 l
+356.360321 212.451355 l
+356.392792 211.829559 l
+356.425232 211.829559 l
+356.457672 211.518646 l
+356.490112 212.140457 l
+356.522583 212.762253 l
+356.555023 213.384064 l
+356.587494 213.694962 l
+356.619934 214.316772 l
+356.652405 214.627670 l
+356.684845 214.627670 l
+356.717285 214.938568 l
+356.749725 213.694962 l
+356.782196 214.627670 l
+356.814636 214.316772 l
+356.847076 213.384064 l
+356.879547 214.938568 l
+356.911987 215.249481 l
+356.944458 213.694962 l
+356.976898 213.073166 l
+357.009338 213.694962 l
+357.041779 213.073166 l
+357.074249 212.451355 l
+357.106689 212.451355 l
+357.139160 212.451355 l
+357.171600 213.073166 l
+357.204071 212.762253 l
+357.236481 213.384064 l
+357.268951 212.762253 l
+357.301392 211.518646 l
+357.333862 209.964142 l
+357.366302 208.720520 l
+357.398773 208.098724 l
+357.431213 209.031433 l
+357.463654 210.896851 l
+357.496094 210.585938 l
+357.528564 210.275040 l
+357.561005 210.275040 l
+357.593475 210.585938 l
+357.625916 209.342331 l
+357.658386 208.409622 l
+357.690826 207.476913 l
+357.723267 207.787827 l
+357.755707 209.031433 l
+357.788177 209.964142 l
+357.820618 210.585938 l
+357.853088 211.518646 l
+357.885529 210.275040 l
+357.917999 209.342331 l
+357.950439 208.720520 l
+357.982880 209.031433 l
+358.015320 208.720520 l
+358.047791 208.720520 l
+358.080231 208.720520 l
+358.112701 209.653229 l
+358.145142 210.275040 l
+358.177612 211.207748 l
+358.210052 210.275040 l
+358.242493 209.653229 l
+358.274933 209.342331 l
+358.307404 207.476913 l
+358.339844 207.476913 l
+358.372314 208.098724 l
+358.404755 208.098724 l
+358.437225 208.720520 l
+358.469666 208.720520 l
+358.502075 209.653229 l
+358.534546 209.964142 l
+358.566986 209.653229 l
+358.599457 209.031433 l
+358.631897 209.031433 l
+358.664368 208.409622 l
+358.696808 207.476913 l
+358.729248 208.409622 l
+358.761688 208.409622 l
+358.794159 208.409622 l
+358.826599 208.720520 l
+358.859070 208.720520 l
+358.891510 207.166016 l
+358.923981 206.233307 l
+358.956421 206.233307 l
+358.988861 206.544205 l
+359.021301 208.098724 l
+359.053772 210.896851 l
+359.086212 214.938568 l
+359.118683 218.669403 l
+359.151123 223.022034 l
+359.183594 227.996475 l
+359.216034 230.172791 l
+359.248474 234.525436 l
+359.280914 241.054382 l
+359.313385 246.650635 l
+359.345825 250.070557 l
+359.378296 251.625076 l
+359.410736 250.381454 l
+359.443207 248.205139 l
+359.475647 244.163406 l
+359.508087 238.878067 l
+359.540527 232.660019 l
+359.572998 225.820160 l
+359.605438 218.047607 l
+359.637909 210.275040 l
+359.670349 204.989700 l
+359.702820 202.813385 l
+359.735260 202.813385 l
+359.767700 204.678787 l
+359.800140 205.300598 l
+359.832611 205.611511 l
+359.865051 207.166016 l
+359.897522 208.720520 l
+359.929962 209.653229 l
+359.962433 209.653229 l
+359.994843 210.275040 l
+360.027313 211.829559 l
+360.059753 212.451355 l
+360.092224 211.829559 l
+360.124664 212.140457 l
+360.157104 210.896851 l
+360.189575 211.518646 l
+360.222015 210.896851 l
+360.254456 210.585938 l
+360.286896 209.653229 l
+360.319366 209.964142 l
+360.351807 211.829559 l
+360.384277 212.451355 l
+360.416718 212.762253 l
+360.449188 214.005875 l
+360.481628 213.384064 l
+360.514069 212.140457 l
+360.546509 212.140457 l
+360.578979 211.829559 l
+360.611420 211.207748 l
+360.643890 212.140457 l
+360.676331 212.451355 l
+360.708801 212.451355 l
+360.741241 213.384064 l
+360.773682 214.005875 l
+360.806122 214.005875 l
+360.838593 213.384064 l
+360.871033 211.829559 l
+360.903503 211.829559 l
+360.935944 212.451355 l
+360.968414 212.762253 l
+361.000824 213.073166 l
+361.033295 214.316772 l
+361.065735 214.316772 l
+361.098206 215.249481 l
+361.130646 215.560379 l
+361.163116 214.005875 l
+361.195557 213.694962 l
+361.227997 213.694962 l
+361.260437 212.140457 l
+361.292908 212.762253 l
+361.325348 213.384064 l
+361.357819 214.627670 l
+361.390259 215.249481 l
+361.422729 215.871292 l
+361.455170 215.249481 l
+361.487610 215.249481 l
+361.520050 214.938568 l
+361.552521 214.005875 l
+361.584961 213.384064 l
+361.617432 215.249481 l
+361.649872 215.560379 l
+361.682343 216.493088 l
+361.714783 216.803986 l
+361.747223 217.425797 l
+361.779663 217.736694 l
+361.812134 217.114899 l
+361.844574 216.493088 l
+361.877014 215.871292 l
+361.909485 215.249481 l
+361.941925 216.182190 l
+361.974396 217.114899 l
+362.006836 218.358505 l
+362.039276 218.669403 l
+362.071716 218.980301 l
+362.104187 218.980301 l
+362.136627 218.047607 l
+362.169098 218.358505 l
+362.201538 218.358505 l
+362.234009 218.980301 l
+362.266418 219.602112 l
+362.298889 219.602112 l
+362.331329 220.534821 l
+362.363800 221.467529 l
+362.396240 222.400238 l
+362.428711 222.400238 l
+362.461151 220.534821 l
+362.493591 221.467529 l
+362.526031 222.089340 l
+362.558502 222.400238 l
+362.590942 223.022034 l
+362.623413 223.643845 l
+362.655853 225.198349 l
+362.688324 225.820160 l
+362.720764 226.131073 l
+362.753204 226.441971 l
+362.785645 226.131073 l
+362.818115 226.441971 l
+362.850555 224.887451 l
+362.883026 224.887451 l
+362.915466 225.820160 l
+362.947937 226.441971 l
+362.980377 226.441971 l
+363.012817 227.063766 l
+363.045258 227.996475 l
+363.077728 227.685577 l
+363.110168 226.441971 l
+363.142639 225.509262 l
+363.175079 225.509262 l
+363.207550 224.576553 l
+363.239990 225.509262 l
+363.272430 226.441971 l
+363.304871 227.063766 l
+363.337341 226.441971 l
+363.369781 226.752869 l
+363.402252 224.576553 l
+363.434692 223.332947 l
+363.467163 222.711136 l
+363.499603 221.467529 l
+363.532013 220.223923 l
+363.564484 220.845718 l
+363.596924 221.156631 l
+363.629395 220.223923 l
+363.661835 220.534821 l
+363.694305 219.913010 l
+363.726746 219.602112 l
+363.759186 218.358505 l
+363.791626 216.803986 l
+363.824097 214.938568 l
+363.856537 215.560379 l
+363.889008 215.249481 l
+363.921448 215.560379 l
+363.953918 214.938568 l
+363.986359 214.627670 l
+364.018799 214.627670 l
+364.051239 212.762253 l
+364.083710 212.762253 l
+364.116150 211.518646 l
+364.148621 210.896851 l
+364.181061 212.140457 l
+364.213531 212.140457 l
+364.245972 213.384064 l
+364.278412 213.384064 l
+364.310852 214.005875 l
+364.343323 212.762253 l
+364.375763 211.829559 l
+364.408234 212.140457 l
+364.440674 210.896851 l
+364.473145 209.964142 l
+364.505585 210.585938 l
+364.538025 211.207748 l
+364.570465 211.518646 l
+364.602936 211.207748 l
+364.635376 211.518646 l
+364.667847 210.896851 l
+364.700287 211.207748 l
+364.732758 210.275040 l
+364.765198 210.275040 l
+364.797638 209.964142 l
+364.830078 210.896851 l
+364.862549 211.207748 l
+364.894989 211.829559 l
+364.927460 212.140457 l
+364.959900 212.140457 l
+364.992340 211.207748 l
+365.024780 210.585938 l
+365.057251 209.342331 l
+365.089691 209.031433 l
+365.122162 209.653229 l
+365.154602 210.585938 l
+365.187042 210.896851 l
+365.219513 210.896851 l
+365.251953 211.518646 l
+365.284393 212.140457 l
+365.316833 212.140457 l
+365.349304 211.518646 l
+365.381744 211.207748 l
+365.414215 210.585938 l
+365.446655 210.585938 l
+365.479126 210.896851 l
+365.511566 211.518646 l
+365.544006 212.140457 l
+365.576447 212.140457 l
+365.608917 213.073166 l
+365.641357 212.451355 l
+365.673828 210.896851 l
+365.706268 210.275040 l
+365.738739 210.585938 l
+365.771179 210.896851 l
+365.803619 211.518646 l
+365.836060 212.140457 l
+365.868530 213.073166 l
+365.900970 213.694962 l
+365.933441 213.384064 l
+365.965881 213.073166 l
+365.998352 212.140457 l
+366.030762 211.829559 l
+366.063232 211.518646 l
+366.095673 211.518646 l
+366.128143 211.518646 l
+366.160583 211.829559 l
+366.193054 212.451355 l
+366.225494 212.140457 l
+366.257935 213.073166 l
+366.290375 212.451355 l
+366.322845 211.829559 l
+366.355286 211.207748 l
+366.387756 210.275040 l
+366.420197 210.585938 l
+366.452667 211.207748 l
+366.485107 212.140457 l
+366.517548 213.694962 l
+366.549988 213.384064 l
+366.582458 214.005875 l
+366.614899 212.451355 l
+366.647369 211.829559 l
+366.679810 212.140457 l
+366.712280 211.207748 l
+366.744720 210.585938 l
+366.777161 211.829559 l
+366.809601 212.451355 l
+366.842041 212.451355 l
+366.874512 212.451355 l
+366.906952 212.451355 l
+366.939423 211.518646 l
+366.971863 211.518646 l
+367.004333 211.829559 l
+367.036774 210.585938 l
+367.069214 209.964142 l
+367.101654 210.585938 l
+367.134125 211.207748 l
+367.166565 211.829559 l
+367.199036 211.518646 l
+367.231476 212.140457 l
+367.263947 210.896851 l
+367.296356 210.585938 l
+367.328827 210.585938 l
+367.361267 210.275040 l
+367.393738 209.653229 l
+367.426178 210.585938 l
+367.458649 211.518646 l
+367.491089 211.518646 l
+367.523529 211.829559 l
+367.555969 211.829559 l
+367.588440 211.207748 l
+367.620880 210.585938 l
+367.653351 210.585938 l
+367.685791 210.585938 l
+367.718262 209.653229 l
+367.750702 209.964142 l
+367.783142 211.518646 l
+367.815582 212.451355 l
+367.848053 212.762253 l
+367.880493 212.451355 l
+367.912964 211.207748 l
+367.945404 211.518646 l
+367.977875 210.275040 l
+368.010315 209.342331 l
+368.042755 209.031433 l
+368.075195 210.585938 l
+368.107666 211.518646 l
+368.140106 211.207748 l
+368.172577 211.829559 l
+368.205017 211.518646 l
+368.237488 210.896851 l
+368.269928 209.964142 l
+368.302368 209.342331 l
+368.334808 209.653229 l
+368.367279 209.342331 l
+368.399719 210.275040 l
+368.432190 210.896851 l
+368.464630 210.896851 l
+368.497070 211.518646 l
+368.529541 211.518646 l
+368.561951 210.585938 l
+368.594421 209.964142 l
+368.626862 209.342331 l
+368.659332 209.031433 l
+368.691772 209.653229 l
+368.724243 210.896851 l
+368.756683 211.518646 l
+368.789124 211.207748 l
+368.821564 211.829559 l
+368.854034 211.207748 l
+368.886475 210.896851 l
+368.918945 210.275040 l
+368.951385 209.653229 l
+368.983856 209.031433 l
+369.016296 210.275040 l
+369.048737 210.275040 l
+369.081177 210.275040 l
+369.113647 210.896851 l
+369.146088 211.207748 l
+369.178558 211.518646 l
+369.210999 210.275040 l
+369.243469 209.964142 l
+369.275909 209.342331 l
+369.308350 209.031433 l
+369.340790 209.964142 l
+369.373260 210.585938 l
+369.405701 211.207748 l
+369.438171 211.829559 l
+369.470612 211.207748 l
+369.503082 211.207748 l
+369.535522 210.275040 l
+369.567963 209.342331 l
+369.600403 209.342331 l
+369.632874 209.031433 l
+369.665314 209.964142 l
+369.697784 210.896851 l
+369.730225 211.207748 l
+369.762695 210.896851 l
+369.795105 211.518646 l
+369.827576 211.829559 l
+369.860016 210.896851 l
+369.892487 210.896851 l
+369.924927 210.896851 l
+369.957397 210.896851 l
+369.989838 211.829559 l
+370.022278 213.073166 l
+370.054718 213.073166 l
+370.087189 213.073166 l
+370.119629 213.073166 l
+370.152069 213.073166 l
+370.184540 212.451355 l
+370.216980 212.140457 l
+370.249451 211.829559 l
+370.281891 211.207748 l
+370.314331 211.518646 l
+370.346771 212.451355 l
+370.379242 212.140457 l
+370.411682 213.384064 l
+370.444153 214.316772 l
+370.476593 214.005875 l
+370.509064 213.384064 l
+370.541504 214.005875 l
+370.573944 214.005875 l
+370.606384 213.694962 l
+370.638855 214.316772 l
+370.671295 214.627670 l
+370.703766 214.938568 l
+370.736206 215.249481 l
+370.768677 215.560379 l
+370.801117 215.560379 l
+370.833557 214.316772 l
+370.865997 213.384064 l
+370.898468 213.384064 l
+370.930908 212.451355 l
+370.963379 213.073166 l
+370.995819 213.073166 l
+371.028290 213.073166 l
+371.060699 213.694962 l
+371.093170 213.384064 l
+371.125610 213.384064 l
+371.158081 211.829559 l
+371.190521 210.896851 l
+371.222992 210.896851 l
+371.255432 210.585938 l
+371.287872 210.275040 l
+371.320312 210.896851 l
+371.352783 211.518646 l
+371.385223 210.896851 l
+371.417694 211.207748 l
+371.450134 210.896851 l
+371.482605 210.585938 l
+371.515045 209.964142 l
+371.547485 209.653229 l
+371.579926 209.653229 l
+371.612396 210.585938 l
+371.644836 210.896851 l
+371.677307 211.207748 l
+371.709747 210.275040 l
+371.742218 210.585938 l
+371.774658 209.653229 l
+371.807098 209.342331 l
+371.839539 209.031433 l
+371.871979 208.098724 l
+371.904449 207.787827 l
+371.936890 208.720520 l
+371.969360 209.342331 l
+372.001801 209.964142 l
+372.034271 209.964142 l
+372.066711 211.207748 l
+372.099152 209.964142 l
+372.131592 209.653229 l
+372.164062 208.098724 l
+372.196503 208.409622 l
+372.228973 207.476913 l
+372.261414 208.098724 l
+372.293884 209.964142 l
+372.326294 209.031433 l
+372.358765 209.342331 l
+372.391205 209.964142 l
+372.423676 209.031433 l
+372.456116 209.342331 l
+372.488586 208.409622 l
+372.521027 208.098724 l
+372.553467 208.409622 l
+372.585907 209.342331 l
+372.618378 209.342331 l
+372.650818 208.720520 l
+372.683289 208.720520 l
+372.715729 208.098724 l
+372.748199 207.787827 l
+372.780640 206.233307 l
+372.813080 206.233307 l
+372.845520 206.544205 l
+372.877991 208.098724 l
+372.910431 211.518646 l
+372.942902 214.938568 l
+372.975342 217.736694 l
+373.007812 221.156631 l
+373.040253 225.198349 l
+373.072693 228.929184 l
+373.105133 233.592728 l
+373.137604 239.188965 l
+373.170044 245.717926 l
+373.202515 251.625076 l
+373.234955 253.801392 l
+373.267426 253.490479 l
+373.299866 251.003265 l
+373.332306 248.516037 l
+373.364746 242.919800 l
+373.397217 237.012650 l
+373.429657 231.105515 l
+373.462097 224.887451 l
+373.494568 216.182190 l
+373.527008 209.031433 l
+373.559448 204.367889 l
+373.591888 203.746078 l
+373.624359 203.746078 l
+373.656799 205.300598 l
+373.689270 206.233307 l
+373.721710 206.855118 l
+373.754181 207.787827 l
+373.786621 209.342331 l
+373.819061 209.653229 l
+373.851501 210.275040 l
+373.883972 211.207748 l
+373.916412 211.829559 l
+373.948883 211.829559 l
+373.981323 212.140457 l
+374.013794 210.896851 l
+374.046234 210.585938 l
+374.078674 210.585938 l
+374.111115 209.653229 l
+374.143585 209.031433 l
+374.176025 209.964142 l
+374.208496 210.585938 l
+374.240936 210.896851 l
+374.273407 211.829559 l
+374.305847 212.140457 l
+374.338287 212.451355 l
+374.370728 211.829559 l
+374.403198 212.140457 l
+374.435638 211.518646 l
+374.468109 210.275040 l
+374.500549 210.585938 l
+374.533020 211.518646 l
+374.565460 212.140457 l
+374.597900 211.829559 l
+374.630341 212.762253 l
+374.662811 212.451355 l
+374.695251 212.762253 l
+374.727722 211.829559 l
+374.760162 211.518646 l
+374.792633 211.829559 l
+374.825043 212.140457 l
+374.857513 212.451355 l
+374.889954 213.384064 l
+374.922424 214.005875 l
+374.954865 214.316772 l
+374.987335 213.694962 l
+375.019775 212.762253 l
+375.052216 212.140457 l
+375.084656 212.762253 l
+375.117096 212.140457 l
+375.149567 212.451355 l
+375.182007 213.384064 l
+375.214478 213.384064 l
+375.246918 214.316772 l
+375.279388 214.316772 l
+375.311829 214.316772 l
+375.344269 214.316772 l
+375.376709 214.005875 l
+375.409180 212.762253 l
+375.441620 212.762253 l
+375.474091 213.073166 l
+375.506531 214.005875 l
+375.539001 214.005875 l
+375.571442 214.316772 l
+375.603882 215.560379 l
+375.636322 215.249481 l
+375.668793 214.005875 l
+375.701233 213.694962 l
+375.733704 214.316772 l
+375.766144 214.316772 l
+375.798615 215.560379 l
+375.831055 216.182190 l
+375.863495 217.425797 l
+375.895935 217.736694 l
+375.928406 218.358505 l
+375.960846 217.114899 l
+375.993317 216.803986 l
+376.025757 218.047607 l
+376.058228 217.736694 l
+376.090637 217.425797 l
+376.123108 218.047607 l
+376.155548 219.291214 l
+376.188019 220.223923 l
+376.220459 221.467529 l
+376.252930 221.467529 l
+376.285370 220.534821 l
+376.317810 220.223923 l
+376.350250 220.845718 l
+376.382721 221.156631 l
+376.415161 221.467529 l
+376.447632 222.400238 l
+376.480072 223.022034 l
+376.512543 223.643845 l
+376.544983 223.643845 l
+376.577423 224.887451 l
+376.609863 224.265656 l
+376.642334 224.265656 l
+376.674774 223.954742 l
+376.707245 223.643845 l
+376.739685 223.643845 l
+376.772125 224.576553 l
+376.804596 225.509262 l
+376.837036 226.441971 l
+376.869476 226.131073 l
+376.901917 226.752869 l
+376.934387 226.441971 l
+376.966827 225.820160 l
+376.999298 225.509262 l
+377.031738 225.198349 l
+377.064209 224.887451 l
+377.096649 224.887451 l
+377.129089 225.198349 l
+377.161530 225.509262 l
+377.194000 225.820160 l
+377.226440 224.887451 l
+377.258911 223.332947 l
+377.291351 222.400238 l
+377.323822 221.778427 l
+377.356232 220.534821 l
+377.388702 220.223923 l
+377.421143 220.223923 l
+377.453613 220.223923 l
+377.486053 219.913010 l
+377.518524 219.291214 l
+377.550964 218.358505 l
+377.583405 217.425797 l
+377.615845 215.871292 l
+377.648315 215.249481 l
+377.680756 214.316772 l
+377.713226 213.694962 l
+377.745667 214.627670 l
+377.778137 215.249481 l
+377.810577 214.938568 l
+377.843018 214.627670 l
+377.875458 214.627670 l
+377.907928 213.073166 l
+377.940369 212.140457 l
+377.972839 211.518646 l
+378.005280 210.896851 l
+378.037750 210.896851 l
+378.070190 211.518646 l
+378.102631 211.829559 l
+378.135071 212.140457 l
+378.167542 212.451355 l
+378.199982 212.451355 l
+378.232452 210.585938 l
+378.264893 209.342331 l
+378.297363 209.964142 l
+378.329803 209.342331 l
+378.362244 209.964142 l
+378.394684 210.585938 l
+378.427124 211.207748 l
+378.459595 211.518646 l
+378.492035 211.829559 l
+378.524506 211.829559 l
+378.556946 210.275040 l
+378.589386 209.342331 l
+378.621826 208.720520 l
+378.654297 208.720520 l
+378.686737 209.342331 l
+378.719208 209.653229 l
+378.751648 210.896851 l
+378.784119 210.896851 l
+378.816559 211.829559 l
+378.848999 211.518646 l
+378.881439 211.207748 l
+378.913910 210.275040 l
+378.946350 210.275040 l
+S
+/Sh1 sh
+0.5 w
+0.15 0.15 0.15 RG
+54.434288 34.500832 m
+54.434288 37.755409 l
+54.434288 127.771576 m
+54.434288 124.517006 l
+119.336700 34.500832 m
+119.336700 37.755409 l
+119.336700 127.771576 m
+119.336700 124.517006 l
+184.239120 34.500832 m
+184.239120 37.755409 l
+184.239120 127.771576 m
+184.239120 124.517006 l
+249.141541 34.500832 m
+249.141541 37.755409 l
+249.141541 127.771576 m
+249.141541 124.517006 l
+314.043945 34.500832 m
+314.043945 37.755409 l
+314.043945 127.771576 m
+314.043945 124.517006 l
+378.946350 34.500832 m
+378.946350 37.755409 l
+378.946350 127.771576 m
+378.946350 124.517006 l
+54.434288 34.500832 m
+57.674538 34.500832 l
+378.946350 34.500832 m
+375.706116 34.500832 l
+54.434288 50.045963 m
+57.674538 50.045963 l
+378.946350 50.045963 m
+375.706116 50.045963 l
+54.434288 65.591080 m
+57.674538 65.591080 l
+378.946350 65.591080 m
+375.706116 65.591080 l
+54.434288 81.136200 m
+57.674538 81.136200 l
+378.946350 81.136200 m
+375.706116 81.136200 l
+54.434288 96.681328 m
+57.674538 96.681328 l
+378.946350 96.681328 m
+375.706116 96.681328 l
+54.434288 112.226456 m
+57.674538 112.226456 l
+378.946350 112.226456 m
+375.706116 112.226456 l
+54.434288 127.771576 m
+57.674538 127.771576 l
+378.946350 127.771576 m
+375.706116 127.771576 l
+S
+0.5 w
+2 J
+0.15 0.15 0.15 RG
+[16 0] 0 d
+54.434288 34.500832 m
+378.946350 34.500832 l
+54.434288 127.771576 m
+378.946350 127.771576 l
+54.434288 34.500832 m
+54.434288 127.771576 l
+378.946350 34.500832 m
+378.946350 127.771576 l
+S
+0.5 w
+1 j
+0 0.447 0.741 RG
+[] 0 d
+54.466740 65.591225 m
+54.499191 65.591194 l
+54.531643 65.590981 l
+54.564095 65.590622 l
+54.596546 65.590103 l
+54.628998 65.589325 l
+54.661449 65.588333 l
+54.693901 65.587112 l
+54.726353 65.585701 l
+54.758801 65.584091 l
+54.791252 65.582596 l
+54.823704 65.581123 l
+54.856155 65.579803 l
+54.888607 65.578728 l
+54.921059 65.578041 l
+54.953510 65.577728 l
+54.985962 65.577850 l
+55.018414 65.578400 l
+55.050865 65.579407 l
+55.083317 65.580856 l
+55.115768 65.582916 l
+55.148220 65.585640 l
+55.180672 65.589233 l
+55.213123 65.593842 l
+55.245575 65.599648 l
+55.278011 65.606735 l
+55.310463 65.615242 l
+55.342915 65.625305 l
+55.375366 65.637039 l
+55.407818 65.650505 l
+55.440269 65.665955 l
+55.472721 65.683502 l
+55.505173 65.703232 l
+55.537624 65.725266 l
+55.570076 65.749847 l
+55.602528 65.777092 l
+55.634979 65.807091 l
+55.667431 65.839966 l
+55.699883 65.875824 l
+55.732334 65.914680 l
+55.764786 65.956696 l
+55.797237 66.001953 l
+55.829689 66.050415 l
+55.862141 66.102173 l
+55.894592 66.157257 l
+55.927044 66.215698 l
+55.959492 66.277451 l
+55.991943 66.342400 l
+56.024395 66.410500 l
+56.056847 66.481544 l
+56.089298 66.555489 l
+56.121750 66.632210 l
+56.154202 66.711479 l
+56.186653 66.793175 l
+56.219105 66.877174 l
+56.251556 66.963264 l
+56.284008 67.051201 l
+56.316460 67.140762 l
+56.348911 67.231735 l
+56.381363 67.323792 l
+56.413815 67.416656 l
+56.446266 67.510056 l
+56.478718 67.603645 l
+56.511169 67.697105 l
+56.543621 68.365013 l
+56.576073 67.776009 l
+56.608524 67.159424 l
+56.640976 66.587982 l
+56.673424 65.946404 l
+56.705875 64.906563 l
+56.738327 63.988472 l
+56.770779 62.949940 l
+56.803230 61.966702 l
+56.835682 60.901573 l
+56.868134 61.025986 l
+56.900585 60.737751 l
+56.933025 60.828720 l
+56.965477 61.203300 l
+56.997929 62.010880 l
+57.030380 62.612125 l
+57.062832 63.247295 l
+57.095284 63.563847 l
+57.127735 63.711964 l
+57.160183 63.462265 l
+57.192635 63.433117 l
+57.225086 63.224285 l
+57.257538 63.345097 l
+57.289989 63.549145 l
+57.322441 63.966190 l
+57.354893 64.278214 l
+57.387344 64.502037 l
+57.419796 64.716034 l
+57.452248 64.857224 l
+57.484699 64.601768 l
+57.517151 64.763496 l
+57.549603 64.774277 l
+57.582054 64.547493 l
+57.614506 64.286659 l
+57.646957 64.365608 l
+57.679409 64.420517 l
+57.711861 64.395561 l
+57.744312 64.382248 l
+57.776764 64.406067 l
+57.809216 64.160889 l
+57.841667 64.248741 l
+57.874115 64.372177 l
+57.906567 64.289253 l
+57.939018 64.338104 l
+57.971470 64.510445 l
+58.003922 64.886497 l
+58.036373 65.151688 l
+58.068825 65.210228 l
+58.101276 65.298790 l
+58.133728 64.907593 l
+58.166180 64.767090 l
+58.198631 64.594223 l
+58.231083 64.146339 l
+58.263535 63.866611 l
+58.295986 63.839756 l
+58.328438 63.804981 l
+58.360889 63.703419 l
+58.393341 63.730343 l
+58.425793 63.894573 l
+58.458244 63.922676 l
+58.490696 64.060837 l
+58.523148 64.249596 l
+58.555595 64.403893 l
+58.588036 64.547226 l
+58.620487 64.760841 l
+58.652939 64.751076 l
+58.685390 64.715706 l
+58.717842 64.778412 l
+58.750294 64.675354 l
+58.782745 64.877342 l
+58.815197 64.979401 l
+58.847649 65.075417 l
+58.880100 65.335388 l
+58.912552 65.644875 l
+58.945004 66.037315 l
+58.977455 66.217430 l
+59.009907 66.519447 l
+59.042358 66.942978 l
+59.074806 66.806763 l
+59.107258 67.119286 l
+59.139709 67.621071 l
+59.172161 68.018707 l
+59.204613 68.333321 l
+59.237064 68.633698 l
+59.269516 69.220268 l
+59.301968 69.482353 l
+59.334419 69.914818 l
+59.366871 70.374214 l
+59.399323 70.443596 l
+59.431774 70.414368 l
+59.464226 70.717514 l
+59.496677 71.057686 l
+59.529129 70.968765 l
+59.561581 71.080681 l
+59.594032 71.324806 l
+59.626484 71.276901 l
+59.658936 71.519287 l
+59.691387 71.726067 l
+59.723839 71.833824 l
+59.756287 71.957985 l
+59.788738 71.848396 l
+59.821190 71.816093 l
+59.853642 71.412331 l
+59.886093 71.286194 l
+59.918545 70.834862 l
+59.950996 70.428719 l
+59.983448 70.006172 l
+60.015900 69.572525 l
+60.048351 68.828545 l
+60.080803 68.297951 l
+60.113255 67.643654 l
+60.145706 67.074554 l
+60.178158 66.117699 l
+60.210609 65.580406 l
+60.243050 64.708328 l
+60.275513 64.076859 l
+60.307949 63.529213 l
+60.340401 63.029285 l
+60.372852 62.307320 l
+60.405304 61.693756 l
+60.437756 61.149162 l
+60.470207 60.765415 l
+60.502659 60.264885 l
+60.535110 59.698471 l
+60.567562 59.165573 l
+60.600014 58.763699 l
+60.632465 58.836605 l
+60.664917 59.114338 l
+60.697369 59.509869 l
+60.729820 60.298294 l
+60.762272 61.364220 l
+60.794724 62.244480 l
+60.827175 63.651138 l
+60.859627 64.803436 l
+60.892078 65.557610 l
+60.924530 66.132156 l
+60.956978 66.431442 l
+60.989429 66.739853 l
+61.021881 66.744980 l
+61.054333 66.699677 l
+61.086784 66.651535 l
+61.119236 66.343254 l
+61.151688 66.208221 l
+61.184139 66.593483 l
+61.216591 66.702034 l
+61.249043 66.862930 l
+61.281494 66.829475 l
+61.313946 67.004906 l
+61.346397 67.089531 l
+61.378849 67.189255 l
+61.411301 67.121529 l
+61.443752 67.084618 l
+61.476204 66.713585 l
+61.508656 66.794250 l
+61.541107 66.973907 l
+61.573559 66.882187 l
+61.606010 66.897476 l
+61.638458 67.066246 l
+61.670910 67.217072 l
+61.703362 67.424759 l
+61.735813 67.635323 l
+61.768265 67.574356 l
+61.800716 67.421951 l
+61.833168 67.304199 l
+61.865620 67.393379 l
+61.898060 67.361549 l
+61.930523 67.116135 l
+61.962963 66.948326 l
+61.995415 66.549500 l
+62.027866 66.551460 l
+62.060318 66.791145 l
+62.092770 66.554924 l
+62.125221 66.622681 l
+62.157669 66.656174 l
+62.190121 66.607735 l
+62.222572 66.745155 l
+62.255024 66.870193 l
+62.287476 66.895943 l
+62.319927 66.698082 l
+62.352379 66.648605 l
+62.384830 66.934311 l
+62.417282 66.800911 l
+62.449734 66.885399 l
+62.482185 66.962013 l
+62.514637 66.901390 l
+62.547089 66.744225 l
+62.579540 67.016678 l
+62.611992 67.081245 l
+62.644444 67.189247 l
+62.676895 67.261559 l
+62.709347 67.545624 l
+62.741798 67.605995 l
+62.774250 67.626564 l
+62.806702 67.577782 l
+62.839149 67.281540 l
+62.871601 66.962349 l
+62.904053 66.774742 l
+62.936504 66.612793 l
+62.968956 66.395927 l
+63.001408 66.414413 l
+63.033859 66.554115 l
+63.066311 66.603958 l
+63.098763 66.693398 l
+63.131214 66.918694 l
+63.163666 66.705208 l
+63.196117 66.659401 l
+63.228569 66.575424 l
+63.261021 66.424812 l
+63.293472 66.265221 l
+63.325924 66.341255 l
+63.358376 66.606018 l
+63.390827 66.437012 l
+63.423279 66.396996 l
+63.455730 66.512520 l
+63.488182 66.357986 l
+63.520630 66.162849 l
+63.553070 66.306587 l
+63.585533 66.093071 l
+63.617973 65.996262 l
+63.650425 65.919479 l
+63.682877 65.994896 l
+63.715328 65.819962 l
+63.747780 65.998749 l
+63.780231 66.127716 l
+63.812683 66.289215 l
+63.845135 66.206024 l
+63.877586 66.559891 l
+63.910038 66.595169 l
+63.942490 66.641296 l
+63.974941 66.748108 l
+64.007393 66.648651 l
+64.039841 66.414558 l
+64.072296 66.548340 l
+64.104744 66.451797 l
+64.137199 66.295547 l
+64.169647 65.962845 l
+64.202103 65.740211 l
+64.234550 65.453743 l
+64.267006 65.087021 l
+64.299454 65.040901 l
+64.331909 64.701790 l
+64.364357 64.372467 l
+64.396805 64.689774 l
+64.429260 64.851936 l
+64.461708 65.184746 l
+64.494164 65.226585 l
+64.526611 65.546745 l
+64.559067 65.589981 l
+64.591515 65.568321 l
+64.623970 65.629570 l
+64.656418 65.387352 l
+64.688873 64.836884 l
+64.721321 64.863136 l
+64.753777 64.742546 l
+64.786224 64.842552 l
+64.818680 64.644089 l
+64.851128 64.942520 l
+64.883583 64.995781 l
+64.916031 65.207115 l
+64.948486 65.387474 l
+64.980934 65.846573 l
+65.013390 65.967751 l
+65.045837 66.356392 l
+65.078285 66.646751 l
+65.110741 66.847939 l
+65.143188 66.882599 l
+65.175644 67.128136 l
+65.208084 67.072357 l
+65.240547 66.987579 l
+65.272987 67.141006 l
+65.305435 67.363945 l
+65.337891 67.424911 l
+65.370338 67.594093 l
+65.402794 67.560165 l
+65.435242 67.584846 l
+65.467697 67.702904 l
+65.500145 67.868706 l
+65.532600 67.701729 l
+65.565048 67.427589 l
+65.597496 67.800446 l
+65.629951 68.107224 l
+65.662399 68.267281 l
+65.694855 68.679977 l
+65.727303 69.177521 l
+65.759758 69.214081 l
+65.792206 69.433067 l
+65.824661 69.821419 l
+65.857109 69.701828 l
+65.889565 69.347397 l
+65.922012 69.127609 l
+65.954468 68.774681 l
+65.986916 68.000786 l
+66.019371 67.269440 l
+66.051819 67.008690 l
+66.084274 66.043671 l
+66.116722 65.405807 l
+66.149178 65.169769 l
+66.181625 65.017159 l
+66.214081 65.066956 l
+66.246529 65.336632 l
+66.278976 65.466255 l
+66.311432 65.775406 l
+66.343880 65.854492 l
+66.376335 65.959610 l
+66.408783 65.648323 l
+66.441238 65.546005 l
+66.473686 65.236290 l
+66.506142 65.074265 l
+66.538589 64.889404 l
+66.571045 64.819084 l
+66.603493 64.517151 l
+66.635948 64.467384 l
+66.668396 64.416534 l
+66.700851 64.316048 l
+66.733299 64.276855 l
+66.765755 64.365822 l
+66.798203 64.141602 l
+66.830658 64.080750 l
+66.863091 63.932426 l
+66.895561 63.755234 l
+66.927994 63.569798 l
+66.960449 63.350700 l
+66.992897 63.119205 l
+67.025352 62.798641 l
+67.057800 62.470730 l
+67.090256 62.440849 l
+67.122704 62.112965 l
+67.155159 61.797764 l
+67.187607 61.892540 l
+67.220062 61.552734 l
+67.252510 61.210804 l
+67.284966 61.021503 l
+67.317413 60.863438 l
+67.349869 60.570545 l
+67.382317 59.879360 l
+67.414772 59.428894 l
+67.447220 58.701717 l
+67.479668 57.745949 l
+67.512123 57.189732 l
+67.544571 56.315166 l
+67.577026 55.177063 l
+67.609474 54.428356 l
+67.641930 53.978069 l
+67.674377 54.166718 l
+67.706833 55.007313 l
+67.739281 56.567745 l
+67.771736 58.772800 l
+67.804184 61.812443 l
+67.836639 65.635994 l
+67.869087 70.331558 l
+67.901543 75.913765 l
+67.933990 82.396545 l
+67.966446 89.224464 l
+67.998894 95.440521 l
+68.031349 101.001083 l
+68.063797 105.476753 l
+68.096252 108.020729 l
+68.128700 108.709137 l
+68.161148 107.546967 l
+68.193604 104.231430 l
+68.226051 98.721558 l
+68.258507 91.898643 l
+68.290955 84.294403 l
+68.323410 75.912140 l
+68.355858 67.763641 l
+68.388313 60.856213 l
+68.420761 55.099552 l
+68.453201 50.965733 l
+68.485664 49.051289 l
+68.518105 49.099987 l
+68.550568 50.200893 l
+68.583008 52.013859 l
+68.615471 54.158237 l
+68.647911 56.046341 l
+68.680359 57.721916 l
+68.712814 59.189182 l
+68.745262 60.015423 l
+68.777718 60.392006 l
+68.810165 60.502438 l
+68.842621 60.189629 l
+68.875069 60.098679 l
+68.907524 60.047192 l
+68.939972 60.314438 l
+68.972427 60.506760 l
+69.004875 61.154732 l
+69.037331 61.711246 l
+69.069778 62.055508 l
+69.102234 62.563129 l
+69.134682 62.907406 l
+69.167137 62.893341 l
+69.199585 62.999302 l
+69.232040 62.779652 l
+69.264488 62.756397 l
+69.296944 62.600719 l
+69.329391 62.724552 l
+69.361847 63.111588 l
+69.394295 63.313690 l
+69.426743 63.755554 l
+69.459198 64.228638 l
+69.491646 64.553185 l
+69.524101 64.870544 l
+69.556549 65.176239 l
+69.589005 65.299187 l
+69.621452 65.407173 l
+69.653908 65.324249 l
+69.686356 65.434975 l
+69.718811 65.346436 l
+69.751259 65.180397 l
+69.783714 65.319397 l
+69.816162 65.311554 l
+69.848618 65.139778 l
+69.881065 65.197037 l
+69.913521 64.962204 l
+69.945969 64.963097 l
+69.978424 64.756760 l
+70.010872 64.748520 l
+70.043327 64.610870 l
+70.075775 64.490715 l
+70.108223 64.489464 l
+70.140678 64.490860 l
+70.173119 64.434021 l
+70.205582 64.542389 l
+70.238022 64.376961 l
+70.270485 64.508873 l
+70.302925 64.613152 l
+70.335373 64.724442 l
+70.367828 64.841660 l
+70.400276 64.922203 l
+70.432732 64.882011 l
+70.465179 64.760933 l
+70.497635 64.498032 l
+70.530083 64.220009 l
+70.562531 64.015266 l
+70.594986 63.820881 l
+70.627434 63.958546 l
+70.659889 64.096115 l
+70.692337 64.261833 l
+70.724792 64.514671 l
+70.757240 64.941109 l
+70.789696 65.352661 l
+70.822144 65.565056 l
+70.854599 65.878281 l
+70.887047 66.064507 l
+70.919502 66.187294 l
+70.951950 66.366386 l
+70.984406 66.530533 l
+71.016853 66.427666 l
+71.049309 66.401863 l
+71.081757 66.428574 l
+71.114212 66.733673 l
+71.146660 66.807137 l
+71.179115 67.154312 l
+71.211563 67.223221 l
+71.244019 67.474289 l
+71.276466 67.994171 l
+71.308914 68.344261 l
+71.341370 68.580605 l
+71.373817 68.869270 l
+71.406273 69.355797 l
+71.438721 69.921936 l
+71.471176 70.469177 l
+71.503624 71.077888 l
+71.536079 71.326996 l
+71.568527 71.455582 l
+71.600983 71.699455 l
+71.633430 71.843285 l
+71.665886 71.898521 l
+71.698334 71.661278 l
+71.730789 71.805275 l
+71.763237 71.917343 l
+71.795692 72.102188 l
+71.828125 72.320282 l
+71.860596 72.338348 l
+71.893028 72.193001 l
+71.925499 71.897400 l
+71.957932 71.637421 l
+71.990387 71.326363 l
+72.022835 70.940826 l
+72.055290 70.297356 l
+72.087738 69.822243 l
+72.120193 69.455559 l
+72.152641 69.177231 l
+72.185097 68.784576 l
+72.217545 68.387756 l
+72.250000 68.066833 l
+72.282448 67.911430 l
+72.314903 67.521530 l
+72.347351 67.116753 l
+72.379807 66.446915 l
+72.412254 65.763031 l
+72.444710 65.103600 l
+72.477158 64.440048 l
+72.509605 63.708511 l
+72.542061 62.928631 l
+72.574509 62.444668 l
+72.606964 62.179745 l
+72.639412 62.012703 l
+72.671867 61.923439 l
+72.704315 62.175880 l
+72.736771 62.309959 l
+72.769218 62.583359 l
+72.801674 62.952213 l
+72.834122 63.128654 l
+72.866577 63.215992 l
+72.899025 63.305420 l
+72.931480 63.129620 l
+72.963928 62.846298 l
+72.996384 62.845860 l
+73.028831 62.789917 l
+73.061287 62.841003 l
+73.093735 62.708961 l
+73.126190 62.948059 l
+73.158638 62.907452 l
+73.191086 63.028893 l
+73.223541 63.218960 l
+73.255989 63.239574 l
+73.288445 63.457550 l
+73.320892 63.815285 l
+73.353348 63.843639 l
+73.385796 64.313568 l
+73.418251 64.340866 l
+73.450699 64.704796 l
+73.483139 64.690445 l
+73.515602 64.690018 l
+73.548042 64.794716 l
+73.580505 64.711609 l
+73.612946 64.859169 l
+73.645409 64.868530 l
+73.677849 64.911797 l
+73.710297 64.678795 l
+73.742752 64.740623 l
+73.775200 64.565315 l
+73.807655 64.375648 l
+73.840103 64.305824 l
+73.872559 64.316223 l
+73.905006 64.277390 l
+73.937462 64.485847 l
+73.969910 64.666977 l
+74.002365 65.052986 l
+74.034813 64.862167 l
+74.067268 65.144432 l
+74.099716 64.965477 l
+74.132172 64.739952 l
+74.164619 64.726212 l
+74.197075 64.617996 l
+74.229523 64.317680 l
+74.261978 64.494400 l
+74.294426 64.681541 l
+74.326881 64.871994 l
+74.359329 65.030701 l
+74.391777 65.393105 l
+74.424232 65.636925 l
+74.456680 65.790703 l
+74.489136 65.961433 l
+74.521584 66.058983 l
+74.554039 65.764709 l
+74.586487 65.755440 l
+74.618942 65.522125 l
+74.651390 65.398911 l
+74.683846 65.187073 l
+74.716293 65.213997 l
+74.748749 65.284416 l
+74.781197 65.499008 l
+74.813652 65.720497 l
+74.846100 66.058426 l
+74.878555 66.164688 l
+74.911003 66.439812 l
+74.943459 66.387695 l
+74.975906 66.311035 l
+75.008362 66.198112 l
+75.040810 66.128311 l
+75.073257 65.848221 l
+75.105713 65.860321 l
+75.138153 65.773613 l
+75.170616 65.822235 l
+75.203056 65.754326 l
+75.235519 65.729591 l
+75.267960 65.799141 l
+75.300423 65.621384 l
+75.332863 65.727768 l
+75.365311 65.934052 l
+75.397766 65.677071 l
+75.430214 65.721558 l
+75.462669 65.801376 l
+75.495117 65.848854 l
+75.527573 65.669388 l
+75.560020 65.626060 l
+75.592468 65.559395 l
+75.624924 65.285912 l
+75.657372 65.305122 l
+75.689827 65.465775 l
+75.722275 65.226555 l
+75.754730 64.823875 l
+75.787178 65.205978 l
+75.819633 65.325905 l
+75.852081 65.089996 l
+75.884537 65.262093 l
+75.916985 65.483299 l
+75.949440 65.367165 l
+75.981888 65.579300 l
+76.014343 65.864632 l
+76.046791 65.814552 l
+76.079247 65.297722 l
+76.111694 65.392593 l
+76.144150 65.312439 l
+76.176598 65.039986 l
+76.209053 64.778481 l
+76.241501 64.881836 l
+76.273949 64.595337 l
+76.306404 64.419678 l
+76.338852 64.686096 l
+76.371307 64.724762 l
+76.403755 64.681610 l
+76.436211 64.831291 l
+76.468658 64.778381 l
+76.501114 64.624176 l
+76.533562 64.580696 l
+76.566017 64.598808 l
+76.598465 64.596260 l
+76.630920 64.322205 l
+76.663368 64.342514 l
+76.695824 64.250801 l
+76.728271 63.981277 l
+76.760727 64.081596 l
+76.793159 64.076714 l
+76.825630 64.061501 l
+76.858063 64.250595 l
+76.890533 64.376427 l
+76.922966 64.829628 l
+76.955429 65.182213 l
+76.987869 65.432373 l
+77.020325 65.376694 l
+77.052773 65.339355 l
+77.085228 65.355980 l
+77.117676 65.269798 l
+77.150131 65.189064 l
+77.182579 65.204132 l
+77.215034 65.107796 l
+77.247482 65.040474 l
+77.279938 65.497505 l
+77.312386 65.666939 l
+77.344841 65.681618 l
+77.377289 65.828232 l
+77.409744 66.086426 l
+77.442192 66.338074 l
+77.474640 66.342972 l
+77.507095 66.427010 l
+77.539543 66.586342 l
+77.571999 66.442413 l
+77.604446 66.756485 l
+77.636902 66.836250 l
+77.669350 66.910896 l
+77.701805 67.079163 l
+77.734253 67.316154 l
+77.766708 67.642845 l
+77.799156 67.870781 l
+77.831612 68.016884 l
+77.864059 68.056015 l
+77.896515 68.106651 l
+77.928963 68.298157 l
+77.961418 68.523766 l
+77.993866 68.327248 l
+78.026321 68.122185 l
+78.058769 67.966202 l
+78.091225 67.571877 l
+78.123672 67.251198 l
+78.156120 66.875221 l
+78.188576 66.384460 l
+78.221024 65.587044 l
+78.253479 65.251495 l
+78.285927 65.174736 l
+78.318382 64.709900 l
+78.350830 64.427948 l
+78.383286 64.414230 l
+78.415733 64.113670 l
+78.448174 64.078110 l
+78.480637 64.173645 l
+78.513077 64.415031 l
+78.545540 64.149971 l
+78.577980 64.386925 l
+78.610443 64.460938 l
+78.642883 64.323349 l
+78.675331 64.318909 l
+78.707787 64.418442 l
+78.740234 64.364059 l
+78.772690 64.527000 l
+78.805138 64.662048 l
+78.837593 64.761574 l
+78.870041 64.489494 l
+78.902496 64.397881 l
+78.934944 64.082687 l
+78.967400 63.588718 l
+78.999847 63.063683 l
+79.032303 62.821220 l
+79.064751 62.288437 l
+79.097206 62.029747 l
+79.129654 61.738564 l
+79.162109 61.569698 l
+79.194557 61.529854 l
+79.227013 61.491619 l
+79.259460 61.523678 l
+79.291916 61.627018 l
+79.324364 61.313526 l
+79.356812 61.456966 l
+79.389267 61.110420 l
+79.421715 60.573135 l
+79.454170 60.053509 l
+79.486618 59.435680 l
+79.519073 58.925468 l
+79.551521 58.496689 l
+79.583977 57.758701 l
+79.616425 57.227180 l
+79.648880 56.570141 l
+79.681328 55.959846 l
+79.713783 55.006920 l
+79.746231 53.958183 l
+79.778687 53.037243 l
+79.811134 52.466167 l
+79.843590 52.514248 l
+79.876038 53.282627 l
+79.908493 54.629269 l
+79.940941 56.628029 l
+79.973396 59.730350 l
+80.005844 63.188538 l
+80.038292 67.454010 l
+80.070747 72.748222 l
+80.103188 79.203812 l
+80.135651 86.373177 l
+80.168091 93.237938 l
+80.200554 99.419548 l
+80.232994 104.497726 l
+80.265457 108.112427 l
+80.297897 109.935913 l
+80.330345 109.458260 l
+80.362801 106.798981 l
+80.395248 102.057617 l
+80.427704 95.795403 l
+80.460152 88.453278 l
+80.492607 79.946457 l
+80.525055 71.354256 l
+80.557503 63.480438 l
+80.589958 57.112164 l
+80.622406 52.185635 l
+80.654861 49.496773 l
+80.687309 49.112377 l
+80.719765 50.012722 l
+80.752213 52.061970 l
+80.784668 54.221741 l
+80.817116 55.836750 l
+80.849571 57.267700 l
+80.882019 58.692932 l
+80.914474 59.554161 l
+80.946922 59.891205 l
+80.979378 59.595512 l
+81.011826 59.556995 l
+81.044281 59.075119 l
+81.076729 58.916737 l
+81.109184 58.891571 l
+81.141632 59.186375 l
+81.174088 59.402458 l
+81.206535 60.109169 l
+81.238983 60.614948 l
+81.271439 61.120510 l
+81.303886 61.570450 l
+81.336342 61.756744 l
+81.368790 62.067673 l
+81.401245 62.286190 l
+81.433693 62.315247 l
+81.466148 62.962498 l
+81.498596 63.356052 l
+81.531052 63.608379 l
+81.563499 63.804806 l
+81.595955 63.786819 l
+81.628403 63.988434 l
+81.660858 63.646130 l
+81.693298 63.710251 l
+81.725761 63.675720 l
+81.758194 63.465225 l
+81.790665 63.631691 l
+81.823097 63.934795 l
+81.855568 64.054779 l
+81.888000 64.085510 l
+81.920471 64.145042 l
+81.952904 64.445656 l
+81.985367 64.373299 l
+82.017807 64.616119 l
+82.050270 64.936745 l
+82.082710 65.123962 l
+82.115166 65.344383 l
+82.147614 65.531044 l
+82.180069 65.692215 l
+82.212517 65.515114 l
+82.244972 65.372345 l
+82.277420 65.125832 l
+82.309875 64.934860 l
+82.342323 64.680672 l
+82.374779 64.408989 l
+82.407227 64.240433 l
+82.439674 64.273026 l
+82.472130 64.491440 l
+82.504578 64.747955 l
+82.537033 64.859032 l
+82.569481 65.248825 l
+82.601936 65.391975 l
+82.634384 65.732460 l
+82.666840 65.795898 l
+82.699287 65.690125 l
+82.731743 65.379036 l
+82.764191 65.039322 l
+82.796646 64.741150 l
+82.829094 64.438393 l
+82.861549 64.081596 l
+82.893997 63.932388 l
+82.926453 63.963356 l
+82.958900 64.099525 l
+82.991356 64.269363 l
+83.023804 64.595467 l
+83.056259 64.899292 l
+83.088707 65.194916 l
+83.121155 65.511604 l
+83.153610 65.749016 l
+83.186058 66.301231 l
+83.218513 66.655685 l
+83.250961 67.006294 l
+83.283417 67.123886 l
+83.315865 67.554565 l
+83.348305 67.824738 l
+83.380768 68.125381 l
+83.413208 68.331139 l
+83.445671 68.743561 l
+83.478111 68.758659 l
+83.510574 69.259254 l
+83.543015 69.818993 l
+83.575478 70.140274 l
+83.607918 70.344872 l
+83.640381 70.888618 l
+83.672821 71.395462 l
+83.705284 71.644524 l
+83.737724 72.019890 l
+83.770172 72.303009 l
+83.802628 72.422066 l
+83.835075 72.388542 l
+83.867531 72.497032 l
+83.899979 72.312431 l
+83.932434 72.009735 l
+83.964882 71.897110 l
+83.997337 71.750793 l
+84.029785 71.476051 l
+84.062241 71.709000 l
+84.094688 71.513481 l
+84.127144 71.640015 l
+84.159592 71.555267 l
+84.192047 71.607727 l
+84.224495 71.572197 l
+84.256950 71.386833 l
+84.289398 71.198021 l
+84.321854 70.689201 l
+84.354301 70.083466 l
+84.386749 69.468956 l
+84.419205 68.722252 l
+84.451653 67.905426 l
+84.484108 67.071548 l
+84.516556 66.352875 l
+84.549011 65.716095 l
+84.581459 65.443436 l
+84.613914 65.176033 l
+84.646362 65.010330 l
+84.678818 64.901047 l
+84.711266 64.577110 l
+84.743721 64.481689 l
+84.776169 64.140846 l
+84.808624 63.850067 l
+84.841072 63.513893 l
+84.873528 63.113869 l
+84.905975 62.997036 l
+84.938431 62.953682 l
+84.970879 62.872204 l
+85.003319 62.939468 l
+85.035782 62.910419 l
+85.068222 63.089989 l
+85.100685 62.983356 l
+85.133125 63.128723 l
+85.165588 62.950985 l
+85.198029 62.714436 l
+85.230492 62.575985 l
+85.262932 62.576820 l
+85.295395 62.407177 l
+85.327835 62.588104 l
+85.360298 62.734856 l
+85.392738 62.900772 l
+85.425186 62.893303 l
+85.457642 63.238342 l
+85.490089 63.457813 l
+85.522537 63.674652 l
+85.554993 63.776363 l
+85.587440 63.899139 l
+85.619896 63.997082 l
+85.652344 64.334946 l
+85.684799 64.640594 l
+85.717247 64.968079 l
+85.749702 64.933838 l
+85.782150 65.091789 l
+85.814606 65.381241 l
+85.847054 65.693558 l
+85.879509 65.704063 l
+85.911957 65.635925 l
+85.944412 65.275642 l
+85.976860 65.071098 l
+86.009315 65.089622 l
+86.041763 65.106735 l
+86.074219 64.950081 l
+86.106667 64.925896 l
+86.139122 64.994316 l
+86.171570 65.091667 l
+86.204025 65.205605 l
+86.236473 65.448143 l
+86.268921 65.452293 l
+86.301376 65.398712 l
+86.333824 65.781944 l
+86.366280 65.764610 l
+86.398727 65.937103 l
+86.431183 65.779854 l
+86.463631 65.770912 l
+86.496086 65.610962 l
+86.528534 65.334267 l
+86.560989 65.328552 l
+86.593437 65.368362 l
+86.625893 65.378464 l
+86.658333 65.799080 l
+86.690796 65.791901 l
+86.723236 66.081589 l
+86.755699 65.993309 l
+86.788132 65.866409 l
+86.820602 65.915146 l
+86.853035 65.736214 l
+86.885506 65.790176 l
+86.917938 65.707932 l
+86.950401 65.486137 l
+86.982841 65.671455 l
+87.015305 65.547661 l
+87.047745 65.630836 l
+87.080200 65.702560 l
+87.112648 65.629158 l
+87.145103 65.638924 l
+87.177551 65.617378 l
+87.210007 65.808434 l
+87.242455 65.761909 l
+87.274910 65.609772 l
+87.307358 65.767853 l
+87.339813 65.656929 l
+87.372261 65.611382 l
+87.404716 65.661110 l
+87.437164 65.661484 l
+87.469612 65.595680 l
+87.502068 65.733223 l
+87.534515 65.828491 l
+87.566971 65.707932 l
+87.599419 65.541206 l
+87.631874 65.668877 l
+87.664322 65.735184 l
+87.696777 65.633034 l
+87.729225 65.748734 l
+87.761681 65.809471 l
+87.794128 65.804192 l
+87.826584 66.150322 l
+87.859032 66.361046 l
+87.891487 66.364334 l
+87.923935 66.122818 l
+87.956390 65.882988 l
+87.988838 65.699020 l
+88.021294 65.480659 l
+88.053741 65.287537 l
+88.086197 65.155609 l
+88.118645 65.106270 l
+88.151093 65.359032 l
+88.183548 65.544510 l
+88.215996 65.756332 l
+88.248451 65.823235 l
+88.280899 65.813850 l
+88.313339 65.611755 l
+88.345802 65.525978 l
+88.378242 65.502510 l
+88.410706 65.345375 l
+88.443146 65.194054 l
+88.475609 65.226891 l
+88.508049 65.263489 l
+88.540512 65.364250 l
+88.572952 65.403603 l
+88.605415 65.539658 l
+88.637856 65.441795 l
+88.670319 65.353935 l
+88.702759 65.436127 l
+88.735207 65.277222 l
+88.767662 65.260643 l
+88.800110 65.231674 l
+88.832565 65.174103 l
+88.865013 65.245392 l
+88.897469 65.390030 l
+88.929916 65.538040 l
+88.962372 65.509209 l
+88.994820 65.600487 l
+89.027275 65.586609 l
+89.059723 65.405159 l
+89.092178 65.224525 l
+89.124626 65.145462 l
+89.157082 64.700150 l
+89.189529 64.261002 l
+89.221985 64.193100 l
+89.254433 64.079315 l
+89.286888 63.740158 l
+89.319336 63.598217 l
+89.351784 63.642998 l
+89.384239 63.777752 l
+89.416687 63.692516 l
+89.449142 63.940945 l
+89.481590 63.914833 l
+89.514046 63.692810 l
+89.546494 63.822910 l
+89.578949 63.951378 l
+89.611397 63.832409 l
+89.643852 63.469059 l
+89.676300 63.238956 l
+89.708755 63.039211 l
+89.741203 62.877647 l
+89.773659 62.791058 l
+89.806107 62.640182 l
+89.838562 62.503464 l
+89.871010 62.390362 l
+89.903465 62.294884 l
+89.935913 62.284122 l
+89.968353 62.088795 l
+90.000816 62.048958 l
+90.033257 61.959625 l
+90.065720 62.013622 l
+90.098160 62.612118 l
+90.130623 63.046913 l
+90.163063 63.675747 l
+90.195526 64.471115 l
+90.227966 65.258720 l
+90.260429 66.003883 l
+90.292870 66.554146 l
+90.325333 67.305321 l
+90.357773 67.732803 l
+90.390221 67.895485 l
+90.422676 68.212929 l
+90.455124 68.178825 l
+90.487579 67.969032 l
+90.520027 67.754303 l
+90.552475 67.575264 l
+90.584930 67.514679 l
+90.617378 67.749191 l
+90.649834 68.291023 l
+90.682281 68.500366 l
+90.714737 68.965652 l
+90.747185 69.473793 l
+90.779640 69.940338 l
+90.812088 70.407204 l
+90.844543 70.625137 l
+90.876991 70.595482 l
+90.909447 70.400299 l
+90.941895 70.598434 l
+90.974350 70.870605 l
+91.006798 70.717438 l
+91.039253 70.698090 l
+91.071701 70.620567 l
+91.104156 70.586433 l
+91.136604 70.583054 l
+91.169060 70.444458 l
+91.201508 70.216774 l
+91.233955 69.729935 l
+91.266411 69.144043 l
+91.298859 68.776428 l
+91.331314 68.065117 l
+91.363762 67.468346 l
+91.396217 66.974228 l
+91.428665 66.559097 l
+91.461121 66.156807 l
+91.493568 66.097984 l
+91.526024 65.985550 l
+91.558472 66.144638 l
+91.590927 66.250809 l
+91.623367 66.566040 l
+91.655830 66.608963 l
+91.688271 66.580803 l
+91.720734 66.432510 l
+91.753166 66.207458 l
+91.785637 65.848976 l
+91.818069 65.829208 l
+91.850540 65.506355 l
+91.882973 65.255348 l
+91.915436 65.000114 l
+91.947876 64.882835 l
+91.980339 64.613373 l
+92.012779 64.466522 l
+92.045235 64.452026 l
+92.077682 64.411423 l
+92.110138 64.382797 l
+92.142586 64.797203 l
+92.175041 64.822495 l
+92.207489 64.780792 l
+92.239944 64.596771 l
+92.272392 64.085907 l
+92.304848 63.682178 l
+92.337296 63.380852 l
+92.369751 63.147072 l
+92.402199 62.848911 l
+92.434647 62.627136 l
+92.467102 62.637009 l
+92.499550 62.444031 l
+92.532005 62.185349 l
+92.564453 61.967102 l
+92.596909 61.350388 l
+92.629356 61.033978 l
+92.661812 60.753044 l
+92.694260 60.325058 l
+92.726715 59.843441 l
+92.759163 59.170925 l
+92.791618 58.791191 l
+92.824066 57.984921 l
+92.856522 57.334610 l
+92.888969 56.449226 l
+92.921425 55.400642 l
+92.953873 54.666965 l
+92.986328 53.970348 l
+93.018776 53.400188 l
+93.051231 53.488884 l
+93.083679 53.966072 l
+93.116127 55.479973 l
+93.148582 57.349209 l
+93.181030 60.280506 l
+93.213486 63.536850 l
+93.245934 67.671989 l
+93.278374 72.766197 l
+93.310837 79.320137 l
+93.343277 86.844818 l
+93.375740 93.844345 l
+93.408180 100.744881 l
+93.440643 106.582474 l
+93.473083 110.863251 l
+93.505547 113.248581 l
+93.537987 113.354507 l
+93.570450 111.269218 l
+93.602890 106.470261 l
+93.635353 100.114967 l
+93.667793 92.465546 l
+93.700241 83.145866 l
+93.732697 74.244003 l
+93.765144 65.943108 l
+93.797600 58.916241 l
+93.830048 53.671822 l
+93.862503 50.534504 l
+93.894951 49.595161 l
+93.927406 50.124832 l
+93.959854 52.028664 l
+93.992310 54.107613 l
+94.024757 55.563286 l
+94.057213 57.270142 l
+94.089661 58.808907 l
+94.122116 59.794266 l
+94.154564 60.409195 l
+94.187019 60.572601 l
+94.219467 60.463245 l
+94.251923 60.347507 l
+94.284370 60.399296 l
+94.316818 60.570301 l
+94.349274 60.728451 l
+94.381721 61.221893 l
+94.414177 61.840744 l
+94.446625 62.459835 l
+94.479080 62.874794 l
+94.511528 62.986294 l
+94.543983 62.934299 l
+94.576431 62.982784 l
+94.608887 63.283886 l
+94.641335 63.375797 l
+94.673790 63.190025 l
+94.706238 63.660522 l
+94.738693 63.887779 l
+94.771141 64.161407 l
+94.803596 64.507843 l
+94.836044 64.500183 l
+94.868500 64.505623 l
+94.900948 64.629128 l
+94.933388 65.001236 l
+94.965851 65.340645 l
+94.998291 65.271004 l
+95.030754 65.844467 l
+95.063194 66.104088 l
+95.095657 66.159271 l
+95.128098 66.338913 l
+95.160561 66.248444 l
+95.193001 66.053040 l
+95.225464 66.129845 l
+95.257904 66.225693 l
+95.290367 66.276413 l
+95.322807 66.155762 l
+95.355255 66.500923 l
+95.387711 66.588066 l
+95.420158 66.427361 l
+95.452614 66.294136 l
+95.485062 66.274094 l
+95.517509 65.902405 l
+95.549965 65.820297 l
+95.582413 65.636467 l
+95.614868 65.298164 l
+95.647316 64.848618 l
+95.679771 64.917374 l
+95.712219 64.978363 l
+95.744675 64.955093 l
+95.777122 64.972038 l
+95.809578 65.393890 l
+95.842026 65.485497 l
+95.874481 65.589905 l
+95.906929 65.860313 l
+95.939384 65.795509 l
+95.971832 65.618164 l
+96.004288 65.363449 l
+96.036736 65.178055 l
+96.069191 64.888939 l
+96.101639 64.940018 l
+96.134094 65.047760 l
+96.166542 65.147804 l
+96.198990 65.053917 l
+96.231445 65.468491 l
+96.263893 65.747261 l
+96.296349 66.138039 l
+96.328796 66.441422 l
+96.361252 66.664238 l
+96.393700 66.610214 l
+96.426155 67.194496 l
+96.458603 67.240120 l
+96.491058 67.426315 l
+96.523506 67.702393 l
+96.555962 68.168716 l
+96.588409 68.461777 l
+96.620865 68.845314 l
+96.653305 69.235901 l
+96.685768 69.594627 l
+96.718201 69.743027 l
+96.750671 70.455200 l
+96.783104 70.514328 l
+96.815575 70.782700 l
+96.848007 71.097801 l
+96.880478 71.409584 l
+96.912910 71.681755 l
+96.945374 71.913162 l
+96.977814 71.984711 l
+97.010277 72.154671 l
+97.042717 72.320396 l
+97.075172 72.883621 l
+97.107620 72.987976 l
+97.140076 73.355728 l
+97.172523 73.434799 l
+97.204979 73.426605 l
+97.237427 73.312523 l
+97.269882 73.303673 l
+97.302330 73.162910 l
+97.334785 72.818886 l
+97.367233 72.555626 l
+97.399681 72.326424 l
+97.432137 71.757614 l
+97.464584 71.458549 l
+97.497040 70.743950 l
+97.529488 70.076302 l
+97.561943 69.332306 l
+97.594391 68.696411 l
+97.626846 68.024063 l
+97.659294 67.358170 l
+97.691750 66.664665 l
+97.724197 66.268188 l
+97.756653 65.568962 l
+97.789101 65.430595 l
+97.821556 65.129219 l
+97.854004 64.927094 l
+97.886459 64.710716 l
+97.918907 64.768280 l
+97.951363 64.272278 l
+97.983810 63.956207 l
+98.016266 63.333191 l
+98.048714 63.137051 l
+98.081161 62.547081 l
+98.113617 62.220284 l
+98.146065 62.076740 l
+98.178520 62.072342 l
+98.210968 61.797050 l
+98.243423 62.228977 l
+98.275871 62.293648 l
+98.308311 62.452236 l
+98.340775 62.539349 l
+98.373215 62.883404 l
+98.405678 62.880211 l
+98.438118 62.937382 l
+98.470581 63.086872 l
+98.503021 63.206833 l
+98.535484 62.936127 l
+98.567924 63.276192 l
+98.600388 63.236599 l
+98.632828 63.521351 l
+98.665291 63.789131 l
+98.697731 63.693306 l
+98.730179 63.678230 l
+98.762634 63.629173 l
+98.795082 63.839569 l
+98.827538 64.079514 l
+98.859985 64.156456 l
+98.892441 64.609566 l
+98.924889 64.798157 l
+98.957344 64.935249 l
+98.989792 65.289742 l
+99.022247 65.129501 l
+99.054695 65.310272 l
+99.087151 65.188972 l
+99.119598 65.167076 l
+99.152054 65.185944 l
+99.184502 65.116898 l
+99.216957 65.170135 l
+99.249405 65.206993 l
+99.281860 65.104065 l
+99.314308 64.859230 l
+99.346756 64.582542 l
+99.379211 64.601784 l
+99.411659 64.631111 l
+99.444115 64.706131 l
+99.476562 64.893921 l
+99.509018 64.797768 l
+99.541466 65.066902 l
+99.573921 65.084389 l
+99.606369 65.260475 l
+99.638824 65.403793 l
+99.671272 65.457329 l
+99.703728 65.395241 l
+99.736176 65.489929 l
+99.768631 65.375923 l
+99.801079 65.516129 l
+99.833534 65.404541 l
+99.865982 65.433853 l
+99.898438 65.324432 l
+99.930885 65.110497 l
+99.963326 65.134369 l
+99.995789 65.176018 l
+100.028229 65.072746 l
+100.060692 65.029015 l
+100.093132 65.166542 l
+100.125595 65.229324 l
+100.158035 65.203430 l
+100.190498 65.416145 l
+100.222939 65.421463 l
+100.255402 65.332176 l
+100.287842 65.374977 l
+100.320305 65.528259 l
+100.352745 65.667061 l
+100.385193 65.817345 l
+100.417648 66.073814 l
+100.450096 66.209312 l
+100.482544 66.128937 l
+100.514999 66.249870 l
+100.547447 66.074898 l
+100.579903 65.668785 l
+100.612350 65.402138 l
+100.644806 65.165794 l
+100.677254 64.776443 l
+100.709709 64.898575 l
+100.742157 65.023346 l
+100.774612 64.958084 l
+100.807060 64.965019 l
+100.839516 65.269173 l
+100.871964 65.351280 l
+100.904419 65.508636 l
+100.936867 65.580292 l
+100.969322 65.555466 l
+101.001770 65.371864 l
+101.034225 65.299629 l
+101.066673 65.239487 l
+101.099129 65.117882 l
+101.131577 64.921486 l
+101.164032 65.082977 l
+101.196480 65.002541 l
+101.228928 65.110985 l
+101.261383 65.256340 l
+101.293831 65.241882 l
+101.326286 65.143814 l
+101.358734 65.335533 l
+101.391190 65.218903 l
+101.423637 65.116539 l
+101.456093 65.133255 l
+101.488541 65.143402 l
+101.520996 65.248817 l
+101.553444 65.154510 l
+101.585899 65.323586 l
+101.618340 65.497185 l
+101.650803 65.386566 l
+101.683243 65.463806 l
+101.715706 65.424393 l
+101.748138 65.166122 l
+101.780609 65.116806 l
+101.813042 64.886711 l
+101.845512 64.795433 l
+101.877945 64.534149 l
+101.910408 64.601921 l
+101.942848 64.613068 l
+101.975311 64.751640 l
+102.007751 64.879135 l
+102.040207 65.202019 l
+102.072655 65.269974 l
+102.105110 65.543602 l
+102.137558 65.766060 l
+102.170013 65.991615 l
+102.202461 65.800148 l
+102.234917 66.012833 l
+102.267365 65.664825 l
+102.299820 65.273247 l
+102.332268 65.046074 l
+102.364723 64.945282 l
+102.397171 64.763596 l
+102.429619 64.705170 l
+102.462074 64.702591 l
+102.494522 64.764076 l
+102.526978 64.511406 l
+102.559425 64.712242 l
+102.591881 64.766624 l
+102.624329 64.779846 l
+102.656784 64.966843 l
+102.689232 65.063187 l
+102.721687 65.201820 l
+102.754135 65.350487 l
+102.786591 65.570290 l
+102.819038 65.692261 l
+102.851494 65.479614 l
+102.883942 65.507874 l
+102.916397 65.378540 l
+102.948845 65.521629 l
+102.981300 65.653862 l
+103.013748 65.703903 l
+103.046204 65.455704 l
+103.078651 65.451859 l
+103.111099 65.315315 l
+103.143555 65.232841 l
+103.176003 64.952850 l
+103.208458 64.812149 l
+103.240906 64.485687 l
+103.273346 64.392784 l
+103.305809 64.396713 l
+103.338249 64.769539 l
+103.370712 65.019348 l
+103.403152 65.432671 l
+103.435616 65.563263 l
+103.468056 65.669624 l
+103.500519 65.689110 l
+103.532959 65.736458 l
+103.565422 65.419121 l
+103.597862 65.116188 l
+103.630325 64.750587 l
+103.662766 64.436356 l
+103.695213 64.436172 l
+103.727669 64.611053 l
+103.760117 64.525101 l
+103.792572 64.504166 l
+103.825020 64.655975 l
+103.857475 64.958588 l
+103.889923 65.030807 l
+103.922379 65.212387 l
+103.954826 65.444221 l
+103.987282 65.355705 l
+104.019730 65.146049 l
+104.052185 65.219566 l
+104.084633 65.021492 l
+104.117088 64.710274 l
+104.149536 64.677055 l
+104.181992 64.630455 l
+104.214439 64.651741 l
+104.246895 64.865242 l
+104.279343 65.204010 l
+104.311790 65.280228 l
+104.344246 65.254166 l
+104.376694 65.532852 l
+104.409149 65.482773 l
+104.441597 65.241211 l
+104.474052 64.899895 l
+104.506500 64.876930 l
+104.538956 64.695312 l
+104.571404 64.580597 l
+104.603859 64.709961 l
+104.636307 64.707687 l
+104.668762 64.495888 l
+104.701210 64.693275 l
+104.733665 64.803001 l
+104.766113 64.810501 l
+104.798569 64.505966 l
+104.831017 64.675880 l
+104.863472 64.766464 l
+104.895920 64.728027 l
+104.928368 64.779602 l
+104.960815 64.689293 l
+104.993271 64.576439 l
+105.025719 64.543007 l
+105.058174 64.358650 l
+105.090622 64.241699 l
+105.123077 64.342911 l
+105.155525 64.308815 l
+105.187981 64.414482 l
+105.220428 64.686707 l
+105.252876 64.861282 l
+105.285332 65.036942 l
+105.317780 65.265945 l
+105.350227 65.469688 l
+105.382683 65.512329 l
+105.415131 65.364937 l
+105.447586 65.538940 l
+105.480034 65.535446 l
+105.512482 65.396187 l
+105.544937 65.321434 l
+105.577385 65.074936 l
+105.609840 65.273598 l
+105.642288 65.510483 l
+105.674744 65.884125 l
+105.707191 66.264687 l
+105.739647 66.759766 l
+105.772095 67.218445 l
+105.804550 67.719162 l
+105.836998 68.123383 l
+105.869453 68.192970 l
+105.901901 68.075058 l
+105.934357 67.882454 l
+105.966805 68.116272 l
+105.999260 68.333405 l
+106.031708 68.206421 l
+106.064163 68.487389 l
+106.096611 68.636459 l
+106.129066 68.561813 l
+106.161514 68.524673 l
+106.193962 68.481491 l
+106.226418 68.316917 l
+106.258865 67.611443 l
+106.291321 67.415077 l
+106.323769 66.933929 l
+106.356224 66.220482 l
+106.388672 65.659340 l
+106.421127 65.181816 l
+106.453575 64.622269 l
+106.486031 63.993534 l
+106.518478 63.912411 l
+106.550934 63.807789 l
+106.583374 63.718777 l
+106.615829 63.960640 l
+106.648277 64.023300 l
+106.680733 64.044739 l
+106.713181 64.017555 l
+106.745636 64.188889 l
+106.778084 64.341209 l
+106.810539 64.282646 l
+106.842987 64.413948 l
+106.875443 64.544456 l
+106.907890 64.498901 l
+106.940346 64.621422 l
+106.972794 64.430756 l
+107.005249 64.233292 l
+107.037697 64.059875 l
+107.070152 63.891224 l
+107.102600 63.656651 l
+107.135048 63.407764 l
+107.167503 63.248409 l
+107.199951 63.178787 l
+107.232399 63.038624 l
+107.264854 63.248249 l
+107.297302 63.084946 l
+107.329758 62.870949 l
+107.362206 62.799240 l
+107.394653 62.561115 l
+107.427109 62.230556 l
+107.459557 61.959908 l
+107.492012 61.809628 l
+107.524460 61.517315 l
+107.556915 60.921131 l
+107.589363 60.989742 l
+107.621819 60.612701 l
+107.654266 60.269405 l
+107.686722 60.090569 l
+107.719170 59.713367 l
+107.751625 59.102509 l
+107.784073 58.767086 l
+107.816528 58.529160 l
+107.848976 58.062534 l
+107.881432 57.159515 l
+107.913879 56.734962 l
+107.946335 55.759830 l
+107.978783 54.684650 l
+108.011238 53.983433 l
+108.043678 53.188999 l
+108.076134 52.371967 l
+108.108582 52.314541 l
+108.141037 53.160797 l
+108.173485 54.418484 l
+108.205940 56.190369 l
+108.238388 59.198494 l
+108.270844 62.299011 l
+108.303291 66.105759 l
+108.335739 70.820259 l
+108.368195 76.758957 l
+108.400642 83.538857 l
+108.433098 90.382401 l
+108.465546 97.502701 l
+108.498001 103.432892 l
+108.530449 108.239693 l
+108.562904 111.282143 l
+108.595352 112.124893 l
+108.627808 110.809608 l
+108.660255 106.881920 l
+108.692711 100.947823 l
+108.725159 93.418045 l
+108.757614 84.424904 l
+108.790062 75.197449 l
+108.822517 66.267990 l
+108.854958 58.785183 l
+108.887413 52.595463 l
+108.919861 48.648815 l
+108.952316 47.114880 l
+108.984764 47.602577 l
+109.017220 49.244999 l
+109.049667 51.359600 l
+109.082123 53.639069 l
+109.114571 55.402985 l
+109.147026 57.259548 l
+109.179474 58.636642 l
+109.211929 59.469097 l
+109.244377 59.759129 l
+109.276825 59.760250 l
+109.309280 59.743217 l
+109.341728 59.618645 l
+109.374184 59.523926 l
+109.406631 59.882942 l
+109.439087 60.254620 l
+109.471535 60.899605 l
+109.503990 61.317364 l
+109.536438 61.942577 l
+109.568893 62.311653 l
+109.601341 62.191170 l
+109.633797 62.188747 l
+109.666245 62.099369 l
+109.698692 62.261898 l
+109.731140 62.389614 l
+109.763596 62.736401 l
+109.796043 63.432358 l
+109.828499 63.739075 l
+109.860947 64.295662 l
+109.893402 64.774529 l
+109.925850 64.740234 l
+109.958305 65.026772 l
+109.990753 64.736130 l
+110.023209 64.762772 l
+110.055656 64.607498 l
+110.088112 64.593742 l
+110.120560 64.786919 l
+110.153015 64.956810 l
+110.185463 65.276985 l
+110.217911 65.354958 l
+110.250366 65.271500 l
+110.282814 65.644608 l
+110.315269 65.252861 l
+110.347717 64.980995 l
+110.380173 64.879074 l
+110.412621 64.568680 l
+110.445076 64.179634 l
+110.477524 64.121544 l
+110.509972 64.172310 l
+110.542419 64.105362 l
+110.574875 64.078819 l
+110.607323 64.567421 l
+110.639778 64.514488 l
+110.672226 64.711967 l
+110.704681 64.998528 l
+110.737129 65.021210 l
+110.769585 64.666656 l
+110.802032 64.467216 l
+110.834488 64.282478 l
+110.866936 63.931789 l
+110.899391 63.771725 l
+110.931839 63.550354 l
+110.964294 63.443092 l
+110.996742 63.519669 l
+111.029198 63.702812 l
+111.061646 63.868130 l
+111.094101 63.865395 l
+111.126549 63.882561 l
+111.158997 64.127388 l
+111.191452 64.167366 l
+111.223900 64.453003 l
+111.256355 64.361435 l
+111.288803 64.677094 l
+111.321259 64.702667 l
+111.353706 65.089630 l
+111.386154 65.519569 l
+111.418602 65.757645 l
+111.451057 66.084557 l
+111.483505 66.459473 l
+111.515961 66.693085 l
+111.548409 66.979019 l
+111.580864 67.017319 l
+111.613312 67.284439 l
+111.645767 67.410378 l
+111.678215 67.784637 l
+111.710670 68.362236 l
+111.743118 68.519753 l
+111.775574 68.865929 l
+111.808022 69.040848 l
+111.840477 69.124214 l
+111.872925 69.464226 l
+111.905380 69.775482 l
+111.937828 69.947220 l
+111.970284 70.425293 l
+112.002731 70.840431 l
+112.035187 71.596977 l
+112.067635 71.669594 l
+112.100082 72.220848 l
+112.132538 72.238373 l
+112.164986 72.136368 l
+112.197433 72.347015 l
+112.229889 72.430351 l
+112.262337 72.194633 l
+112.294792 72.052505 l
+112.327240 72.081764 l
+112.359688 72.048393 l
+112.392143 71.642906 l
+112.424591 71.486946 l
+112.457047 71.534256 l
+112.489494 71.084320 l
+112.521950 70.918228 l
+112.554398 70.770950 l
+112.586853 70.383224 l
+112.619301 69.847229 l
+112.651756 69.715584 l
+112.684204 69.295197 l
+112.716660 68.912292 l
+112.749107 68.318329 l
+112.781563 68.184631 l
+112.814011 67.779053 l
+112.846466 67.344582 l
+112.878914 67.053223 l
+112.911369 66.473610 l
+112.943817 65.949600 l
+112.976273 65.287186 l
+113.008713 64.699036 l
+113.041168 64.120560 l
+113.073616 63.625095 l
+113.106071 63.008530 l
+113.138519 62.556850 l
+113.170975 62.372986 l
+113.203423 62.270000 l
+113.235878 62.084347 l
+113.268326 62.031887 l
+113.300774 62.014080 l
+113.333229 61.938187 l
+113.365677 62.063622 l
+113.398132 62.358921 l
+113.430580 62.446686 l
+113.463036 62.575611 l
+113.495483 62.718422 l
+113.527939 62.837448 l
+113.560387 63.120083 l
+113.592842 63.375610 l
+113.625290 63.736134 l
+113.657745 63.813488 l
+113.690193 63.815426 l
+113.722649 63.985111 l
+113.755096 63.665989 l
+113.787552 63.407494 l
+113.820000 63.165516 l
+113.852448 62.840366 l
+113.884895 62.577625 l
+113.917351 62.701344 l
+113.949799 62.941086 l
+113.982254 63.135757 l
+114.014702 63.253895 l
+114.047157 63.671452 l
+114.079605 63.902763 l
+114.112061 63.999279 l
+114.144508 64.303787 l
+114.176964 64.350136 l
+114.209412 64.299660 l
+114.241867 64.371941 l
+114.274315 64.338181 l
+114.306763 64.332726 l
+114.339218 64.480965 l
+114.371666 64.784828 l
+114.404121 65.105087 l
+114.436569 65.115242 l
+114.469025 65.370308 l
+114.501472 65.188721 l
+114.533928 65.176628 l
+114.566376 65.131668 l
+114.598831 65.296204 l
+114.631279 65.098488 l
+114.663734 65.170792 l
+114.696175 65.474838 l
+114.728630 65.782593 l
+114.761078 65.771233 l
+114.793533 65.821693 l
+114.825981 65.590034 l
+114.858437 65.414093 l
+114.890884 65.229370 l
+114.923340 65.409660 l
+114.955788 65.087494 l
+114.988243 64.817650 l
+115.020691 65.042908 l
+115.053146 65.075645 l
+115.085594 65.146210 l
+115.118050 65.413528 l
+115.150497 65.533974 l
+115.182953 65.427628 l
+115.215401 65.495232 l
+115.247849 65.674637 l
+115.280304 65.376541 l
+115.312752 65.021004 l
+115.345207 65.182373 l
+115.377655 65.090546 l
+115.410110 65.085670 l
+115.442558 65.297806 l
+115.475014 65.502663 l
+115.507454 65.511902 l
+115.539909 65.765625 l
+115.572357 66.063797 l
+115.604813 65.983177 l
+115.637260 65.741936 l
+115.669716 65.993156 l
+115.702164 65.648972 l
+115.734619 65.551620 l
+115.767067 65.561493 l
+115.799522 65.468979 l
+115.831970 65.486115 l
+115.864426 65.593170 l
+115.896873 66.019814 l
+115.929329 66.057648 l
+115.961777 65.939552 l
+115.994232 66.274155 l
+116.026680 66.198799 l
+116.059135 66.048904 l
+116.091583 66.166138 l
+116.124039 66.021286 l
+116.156487 65.930817 l
+116.188934 65.743561 l
+116.221390 65.888702 l
+116.253838 65.776115 l
+116.286293 65.660416 l
+116.318741 65.556824 l
+116.351189 65.652992 l
+116.383644 65.326126 l
+116.416092 65.305626 l
+116.448540 65.167450 l
+116.480995 64.852356 l
+116.513443 64.877625 l
+116.545898 64.819763 l
+116.578346 65.122185 l
+116.610802 65.194771 l
+116.643250 65.340210 l
+116.675705 65.644066 l
+116.708153 65.672997 l
+116.740608 65.740891 l
+116.773056 65.391121 l
+116.805511 65.391556 l
+116.837959 65.421150 l
+116.870415 65.271896 l
+116.902863 65.180183 l
+116.935318 65.065155 l
+116.967766 65.065132 l
+117.000221 64.952621 l
+117.032669 65.013107 l
+117.065125 65.094139 l
+117.097572 64.762482 l
+117.130020 64.928932 l
+117.162468 64.964767 l
+117.194923 65.048363 l
+117.227371 64.789162 l
+117.259827 64.805870 l
+117.292274 64.759361 l
+117.324730 64.515305 l
+117.357178 64.616501 l
+117.389626 64.785973 l
+117.422081 64.696999 l
+117.454529 65.002747 l
+117.486984 65.220154 l
+117.519432 65.429161 l
+117.551888 65.631500 l
+117.584335 65.753319 l
+117.616791 65.883293 l
+117.649239 65.610558 l
+117.681694 65.618706 l
+117.714142 65.636909 l
+117.746597 65.371468 l
+117.779045 65.296745 l
+117.811501 64.968300 l
+117.843948 65.093430 l
+117.876404 65.141441 l
+117.908852 65.353180 l
+117.941307 65.514709 l
+117.973755 65.370476 l
+118.006203 65.424522 l
+118.038651 65.455452 l
+118.071106 65.379967 l
+118.103554 65.413071 l
+118.136009 64.963425 l
+118.168457 65.142120 l
+118.200912 64.962669 l
+118.233360 65.161667 l
+118.265816 65.398758 l
+118.298264 65.430603 l
+118.330711 65.500679 l
+118.363167 65.816177 l
+118.395615 65.702621 l
+118.428070 65.668701 l
+118.460518 65.308861 l
+118.492973 64.939171 l
+118.525421 64.697197 l
+118.557877 64.471649 l
+118.590324 64.064896 l
+118.622780 63.818409 l
+118.655228 63.643032 l
+118.687683 63.750866 l
+118.720131 63.737297 l
+118.752586 63.800789 l
+118.785034 63.992992 l
+118.817482 63.921768 l
+118.849930 64.109375 l
+118.882385 64.249924 l
+118.914833 64.146393 l
+118.947289 64.107208 l
+118.979736 64.223083 l
+119.012192 64.192474 l
+119.044640 64.061142 l
+119.077095 64.098145 l
+119.109543 64.192398 l
+119.141998 64.124077 l
+119.174446 64.191353 l
+119.206902 64.165939 l
+119.239349 63.919514 l
+119.271797 63.660057 l
+119.304253 63.638279 l
+119.336700 63.466713 l
+119.369156 63.288254 l
+119.401604 63.045521 l
+119.434059 62.911354 l
+119.466507 62.961494 l
+119.498962 62.880661 l
+119.531410 63.005478 l
+119.563866 63.148861 l
+119.596313 63.486065 l
+119.628769 63.960419 l
+119.661209 64.609650 l
+119.693665 65.275902 l
+119.726112 65.836121 l
+119.758568 66.161972 l
+119.791016 66.581284 l
+119.823471 66.749428 l
+119.855919 66.846306 l
+119.888374 66.725822 l
+119.920822 66.592110 l
+119.953278 66.285606 l
+119.985725 66.080803 l
+120.018181 65.855820 l
+120.050629 65.740929 l
+120.083084 65.826210 l
+120.115532 65.918854 l
+120.147987 66.153290 l
+120.180435 66.831001 l
+120.212883 67.037598 l
+120.245338 67.145088 l
+120.277786 67.466644 l
+120.310242 67.434708 l
+120.342690 67.523262 l
+120.375145 67.421341 l
+120.407593 67.349625 l
+120.440048 67.177994 l
+120.472496 66.998131 l
+120.504944 67.289314 l
+120.537392 67.387337 l
+120.569847 67.130150 l
+120.602295 67.435822 l
+120.634750 67.544731 l
+120.667198 67.859932 l
+120.699654 68.069519 l
+120.732101 68.177589 l
+120.764557 68.197113 l
+120.797005 68.016037 l
+120.829460 68.031128 l
+120.861908 67.990257 l
+120.894363 67.876495 l
+120.926811 68.205788 l
+120.959267 68.396126 l
+120.991714 68.774185 l
+121.024170 69.226067 l
+121.056618 69.480751 l
+121.089073 69.621727 l
+121.121521 69.780663 l
+121.153969 69.831055 l
+121.186424 69.548019 l
+121.218872 69.264893 l
+121.251328 69.294861 l
+121.283775 69.141922 l
+121.316223 68.850845 l
+121.348679 68.903381 l
+121.381126 68.841232 l
+121.413574 68.545326 l
+121.446030 68.498405 l
+121.478477 68.335823 l
+121.510933 67.761574 l
+121.543381 67.261513 l
+121.575836 66.866150 l
+121.608284 66.420502 l
+121.640739 65.880470 l
+121.673187 65.571365 l
+121.705643 65.583389 l
+121.738091 65.689819 l
+121.770546 65.850220 l
+121.802994 66.097366 l
+121.835449 66.179756 l
+121.867897 66.263779 l
+121.900352 66.342880 l
+121.932800 66.044838 l
+121.965256 65.788658 l
+121.997704 65.753273 l
+122.030159 65.647362 l
+122.062607 65.699516 l
+122.095055 65.723038 l
+122.127510 65.691940 l
+122.159958 65.642525 l
+122.192406 65.381653 l
+122.224861 65.552437 l
+122.257309 65.111687 l
+122.289764 64.822983 l
+122.322212 64.690605 l
+122.354660 64.482849 l
+122.387115 64.222824 l
+122.419563 64.181725 l
+122.452019 64.153427 l
+122.484467 63.916351 l
+122.516922 63.614811 l
+122.549370 63.575787 l
+122.581825 63.223732 l
+122.614273 62.990448 l
+122.646729 62.736679 l
+122.679176 62.525135 l
+122.711632 62.121529 l
+122.744080 62.031391 l
+122.776535 61.831505 l
+122.808983 61.459099 l
+122.841438 61.375336 l
+122.873886 60.902996 l
+122.906342 60.778423 l
+122.938789 60.568157 l
+122.971245 60.319977 l
+123.003685 60.141109 l
+123.036140 59.632946 l
+123.068588 59.366657 l
+123.101044 58.955975 l
+123.133492 58.171741 l
+123.165947 57.603821 l
+123.198395 56.783382 l
+123.230850 56.267693 l
+123.263298 55.974445 l
+123.295746 55.934448 l
+123.328201 56.550995 l
+123.360649 57.362553 l
+123.393105 59.026272 l
+123.425552 61.266380 l
+123.458008 63.865631 l
+123.490456 67.412125 l
+123.522911 72.027626 l
+123.555359 77.837265 l
+123.587814 84.339760 l
+123.620262 90.771278 l
+123.652718 97.011017 l
+123.685165 102.436569 l
+123.717621 106.663330 l
+123.750069 108.722946 l
+123.782524 108.614082 l
+123.814964 106.598930 l
+123.847420 102.227203 l
+123.879868 96.058365 l
+123.912323 88.441528 l
+123.944771 79.813164 l
+123.977226 71.133774 l
+124.009674 63.202705 l
+124.042130 56.930206 l
+124.074577 52.125320 l
+124.107033 49.163361 l
+124.139481 48.406715 l
+124.171936 49.210590 l
+124.204384 51.169662 l
+124.236832 53.282711 l
+124.269287 55.329159 l
+124.301735 57.529610 l
+124.334190 59.247322 l
+124.366638 60.614254 l
+124.399094 61.493649 l
+124.431541 61.787582 l
+124.463997 61.619709 l
+124.496445 61.301830 l
+124.528900 61.085922 l
+124.561348 61.087925 l
+124.593803 60.981705 l
+124.626251 61.417942 l
+124.658699 61.954910 l
+124.691147 62.628616 l
+124.723602 62.986801 l
+124.756050 63.455547 l
+124.788506 63.729687 l
+124.820953 64.118546 l
+124.853409 64.251999 l
+124.885857 64.580284 l
+124.918312 64.755226 l
+124.950760 65.020950 l
+124.983215 65.382980 l
+125.015663 65.748634 l
+125.048119 65.781235 l
+125.080566 65.775101 l
+125.113022 65.644585 l
+125.145470 65.514168 l
+125.177917 65.146263 l
+125.210373 64.915260 l
+125.242821 64.812363 l
+125.275276 64.699142 l
+125.307724 64.961060 l
+125.340179 65.272285 l
+125.372627 65.562653 l
+125.405083 65.780563 l
+125.437531 65.985451 l
+125.469978 66.287254 l
+125.502426 66.437561 l
+125.534882 66.572372 l
+125.567329 66.683640 l
+125.599785 66.579063 l
+125.632233 66.442017 l
+125.664688 66.411522 l
+125.697136 66.038193 l
+125.729591 65.639175 l
+125.762039 65.605637 l
+125.794495 65.470650 l
+125.826942 65.376823 l
+125.859398 65.380951 l
+125.891846 65.366577 l
+125.924301 65.207481 l
+125.956749 65.320541 l
+125.989204 65.540558 l
+126.021652 65.535843 l
+126.054108 65.351021 l
+126.086555 65.522873 l
+126.119003 65.677391 l
+126.151459 65.699944 l
+126.183907 65.773369 l
+126.216362 65.593628 l
+126.248810 65.062126 l
+126.281265 64.982498 l
+126.313713 64.936974 l
+126.346161 64.799759 l
+126.378609 64.734680 l
+126.411064 64.851692 l
+126.443512 64.952911 l
+126.475967 65.433258 l
+126.508415 65.834480 l
+126.540871 66.292435 l
+126.573318 66.408295 l
+126.605774 66.657539 l
+126.638222 66.924797 l
+126.670677 66.991135 l
+126.703125 67.015762 l
+126.735580 67.235199 l
+126.768028 67.088661 l
+126.800484 67.436905 l
+126.832932 67.537872 l
+126.865387 67.950829 l
+126.897835 68.277878 l
+126.930290 68.933266 l
+126.962738 69.368408 l
+126.995193 69.748016 l
+127.027641 70.246407 l
+127.060089 70.920380 l
+127.092545 71.160149 l
+127.124992 71.587822 l
+127.157440 71.919960 l
+127.189896 72.133583 l
+127.222343 72.333633 l
+127.254799 72.376839 l
+127.287247 72.373184 l
+127.319695 72.308815 l
+127.352150 72.172600 l
+127.384598 72.324326 l
+127.417053 72.181206 l
+127.449501 72.084000 l
+127.481956 72.495300 l
+127.514404 72.421448 l
+127.546860 72.580879 l
+127.579308 72.538857 l
+127.611763 72.449219 l
+127.644211 72.375999 l
+127.676666 72.104538 l
+127.709114 71.807480 l
+127.741570 71.214859 l
+127.774017 70.553612 l
+127.806473 70.278702 l
+127.838921 69.754204 l
+127.871376 69.106613 l
+127.903824 68.675644 l
+127.936279 67.937759 l
+127.968719 67.359619 l
+128.001175 66.804314 l
+128.033630 66.227875 l
+128.066071 65.422852 l
+128.098526 64.823959 l
+128.130981 64.345505 l
+128.163437 63.845707 l
+128.195877 63.529308 l
+128.228333 63.476753 l
+128.260788 63.212551 l
+128.293228 63.040054 l
+128.325684 63.012505 l
+128.358139 63.015034 l
+128.390594 62.747322 l
+128.423035 62.644665 l
+128.455490 62.557758 l
+128.487946 62.321796 l
+128.520401 62.216221 l
+128.552841 62.380741 l
+128.585297 62.400150 l
+128.617752 62.484673 l
+128.650208 62.570259 l
+128.682648 62.476418 l
+128.715103 62.547173 l
+128.747559 62.528046 l
+128.780014 62.650642 l
+128.812454 62.780842 l
+128.844910 62.809422 l
+128.877350 63.205223 l
+128.909805 63.538551 l
+128.942261 63.629475 l
+128.974716 63.976673 l
+129.007156 63.678444 l
+129.039612 63.823013 l
+129.072067 63.644260 l
+129.104523 63.731728 l
+129.136963 63.787239 l
+129.169418 63.594707 l
+129.201874 63.631130 l
+129.234314 63.862926 l
+129.266769 63.659241 l
+129.299225 63.897682 l
+129.331680 64.020180 l
+129.364120 64.181915 l
+129.396576 64.191742 l
+129.429031 64.229851 l
+129.461487 64.343903 l
+129.493927 64.316559 l
+129.526382 64.435463 l
+129.558838 64.587753 l
+129.591293 64.538025 l
+129.623734 64.667938 l
+129.656189 64.931145 l
+129.688629 64.988274 l
+129.721085 65.040657 l
+129.753540 65.031845 l
+129.785995 65.003304 l
+129.818436 64.924164 l
+129.850891 65.021088 l
+129.883347 65.012863 l
+129.915802 64.899734 l
+129.948242 65.065186 l
+129.980698 65.197647 l
+130.013153 65.292412 l
+130.045609 65.483467 l
+130.078049 65.837227 l
+130.110504 65.994598 l
+130.142960 66.229958 l
+130.175400 66.543343 l
+130.207855 66.607407 l
+130.240311 66.164726 l
+130.272766 66.261299 l
+130.305206 65.793671 l
+130.337662 65.775230 l
+130.370117 65.550499 l
+130.402573 65.420013 l
+130.435013 65.219421 l
+130.467468 65.495087 l
+130.499908 65.689613 l
+130.532364 65.669556 l
+130.564819 65.384766 l
+130.597275 65.876114 l
+130.629715 65.501839 l
+130.662170 65.789940 l
+130.694626 65.879776 l
+130.727081 65.833565 l
+130.759521 65.542572 l
+130.791977 65.763786 l
+130.824432 65.961639 l
+130.856888 65.692169 l
+130.889328 65.591423 l
+130.921783 65.885864 l
+130.954239 65.838486 l
+130.986694 65.891647 l
+131.019135 66.055870 l
+131.051590 66.231491 l
+131.084045 65.819191 l
+131.116486 65.771935 l
+131.148941 65.606956 l
+131.181396 65.246346 l
+131.213852 65.065918 l
+131.246292 64.830688 l
+131.278748 64.677864 l
+131.311203 64.561829 l
+131.343643 64.702950 l
+131.376099 64.969322 l
+131.408554 64.868874 l
+131.440994 65.091560 l
+131.473450 65.246635 l
+131.505905 65.435417 l
+131.538361 65.758209 l
+131.570801 65.888420 l
+131.603256 65.445999 l
+131.635712 65.229942 l
+131.668167 65.229973 l
+131.700607 65.068695 l
+131.733063 64.871376 l
+131.765518 64.711571 l
+131.797974 64.623695 l
+131.830414 64.587952 l
+131.862869 64.794510 l
+131.895325 65.028580 l
+131.927780 64.787346 l
+131.960220 64.953285 l
+131.992676 65.322960 l
+132.025131 65.423866 l
+132.057571 65.481903 l
+132.090027 65.580643 l
+132.122482 65.572075 l
+132.154922 65.414627 l
+132.187378 65.330605 l
+132.219833 65.179276 l
+132.252289 64.877731 l
+132.284729 64.690292 l
+132.317184 65.045937 l
+132.349640 65.080681 l
+132.382080 65.054932 l
+132.414536 65.279343 l
+132.446991 65.245384 l
+132.479446 65.285736 l
+132.511887 65.305969 l
+132.544342 65.344688 l
+132.576797 65.329460 l
+132.609253 65.235054 l
+132.641693 65.375923 l
+132.674149 65.341187 l
+132.706604 65.099800 l
+132.739059 65.129364 l
+132.771500 65.003532 l
+132.803955 64.963043 l
+132.836411 64.762215 l
+132.868866 64.844444 l
+132.901306 64.704369 l
+132.933762 65.009163 l
+132.966202 65.320496 l
+132.998657 65.235855 l
+133.031113 65.217316 l
+133.063568 65.171181 l
+133.096008 65.263977 l
+133.128464 65.284439 l
+133.160919 65.167191 l
+133.193375 65.228867 l
+133.225815 65.016060 l
+133.258270 65.064201 l
+133.290726 65.363304 l
+133.323166 65.302322 l
+133.355621 65.226639 l
+133.388077 65.391495 l
+133.420532 65.401306 l
+133.452972 65.301414 l
+133.485428 65.323799 l
+133.517883 65.259216 l
+133.550339 65.026978 l
+133.582779 65.015091 l
+133.615234 65.038269 l
+133.647690 65.071472 l
+133.680145 64.836884 l
+133.712585 65.266129 l
+133.745041 65.156837 l
+133.777481 65.003548 l
+133.809937 65.403534 l
+133.842392 65.248917 l
+133.874847 65.246468 l
+133.907288 65.277611 l
+133.939743 65.634277 l
+133.972198 65.585602 l
+134.004654 65.360992 l
+134.037094 65.760117 l
+134.069550 65.629723 l
+134.102005 65.107452 l
+134.134460 65.321548 l
+134.166901 65.093071 l
+134.199356 64.825951 l
+134.231812 64.531158 l
+134.264252 64.876556 l
+134.296707 64.748009 l
+134.329163 64.654175 l
+134.361618 64.994171 l
+134.394058 65.281784 l
+134.426514 65.135269 l
+134.458969 65.372223 l
+134.491425 65.476074 l
+134.523865 65.238403 l
+134.556320 65.060448 l
+134.588776 65.014900 l
+134.621216 64.700951 l
+134.653671 64.514099 l
+134.686127 64.438980 l
+134.718567 64.500641 l
+134.751022 64.194008 l
+134.783478 64.292114 l
+134.815933 64.349236 l
+134.848373 64.476929 l
+134.880829 64.717270 l
+134.913284 64.838272 l
+134.945740 64.619049 l
+134.978180 64.717751 l
+135.010635 64.643417 l
+135.043091 64.415375 l
+135.075546 64.026665 l
+135.107986 63.873371 l
+135.140442 63.547180 l
+135.172897 63.478775 l
+135.205338 63.531273 l
+135.237793 63.835705 l
+135.270248 63.791542 l
+135.302704 64.121445 l
+135.335144 64.499870 l
+135.367599 64.654045 l
+135.400055 64.728195 l
+135.432495 64.985893 l
+135.464951 65.148010 l
+135.497406 65.285210 l
+135.529846 65.314728 l
+135.562302 65.370239 l
+135.594757 65.352684 l
+135.627213 65.527405 l
+135.659653 65.816940 l
+135.692108 66.027374 l
+135.724564 66.192444 l
+135.757019 66.406815 l
+135.789459 66.698166 l
+135.821915 67.315575 l
+135.854370 67.659325 l
+135.886826 67.896431 l
+135.919266 68.035408 l
+135.951721 68.308708 l
+135.984177 68.363647 l
+136.016632 68.309158 l
+136.049072 68.169495 l
+136.081528 68.133293 l
+136.113983 67.880714 l
+136.146423 67.972122 l
+136.178879 67.803703 l
+136.211334 67.990181 l
+136.243790 68.013077 l
+136.276230 68.247437 l
+136.308685 68.115723 l
+136.341125 67.897095 l
+136.373581 67.487663 l
+136.406036 67.288902 l
+136.438492 66.777657 l
+136.470932 66.144615 l
+136.503387 65.419930 l
+136.535843 64.886253 l
+136.568298 64.418373 l
+136.600739 64.340660 l
+136.633194 64.096107 l
+136.665649 64.047203 l
+136.698105 64.078362 l
+136.730545 64.337776 l
+136.763000 64.259544 l
+136.795456 64.237701 l
+136.827911 64.452881 l
+136.860352 64.428406 l
+136.892807 64.152405 l
+136.925262 64.237450 l
+136.957718 64.217834 l
+136.990158 64.225113 l
+137.022614 64.220886 l
+137.055069 64.386108 l
+137.087509 64.011131 l
+137.119965 63.499538 l
+137.152420 63.624382 l
+137.184860 63.538319 l
+137.217316 63.149956 l
+137.249771 63.104027 l
+137.282227 62.964077 l
+137.314667 62.869751 l
+137.347122 62.536533 l
+137.379578 62.514465 l
+137.412018 62.553154 l
+137.444473 62.180557 l
+137.476929 62.256348 l
+137.509384 62.202118 l
+137.541824 61.837254 l
+137.574280 61.849380 l
+137.606735 61.660049 l
+137.639191 61.241123 l
+137.671631 60.675888 l
+137.704086 60.222336 l
+137.736542 60.001106 l
+137.768997 59.829582 l
+137.801437 59.513847 l
+137.833893 59.413803 l
+137.866348 58.762310 l
+137.898804 58.358562 l
+137.931244 57.870266 l
+137.963699 57.284294 l
+137.996140 56.657974 l
+138.028595 55.914909 l
+138.061050 54.970516 l
+138.093506 54.305458 l
+138.125946 53.655567 l
+138.158401 53.630939 l
+138.190857 53.826500 l
+138.223312 54.898827 l
+138.255753 56.228474 l
+138.288208 58.537075 l
+138.320663 61.695930 l
+138.353104 65.535889 l
+138.385559 69.892860 l
+138.418015 75.561272 l
+138.450470 82.200203 l
+138.482910 88.848473 l
+138.515366 95.400398 l
+138.547821 101.456726 l
+138.580276 105.990967 l
+138.612717 108.725067 l
+138.645172 109.517242 l
+138.677628 108.395317 l
+138.710083 104.735481 l
+138.742523 99.388245 l
+138.774979 92.653366 l
+138.807419 84.151176 l
+138.839874 75.340706 l
+138.872330 67.063889 l
+138.904785 60.047146 l
+138.937225 54.306984 l
+138.969681 50.395527 l
+139.002136 48.674351 l
+139.034592 48.532402 l
+139.067032 49.962059 l
+139.099487 51.896305 l
+139.131943 53.769482 l
+139.164398 55.290352 l
+139.196838 56.887863 l
+139.229294 58.278732 l
+139.261749 59.181137 l
+139.294189 59.569584 l
+139.326645 59.687428 l
+139.359100 59.428486 l
+139.391556 59.348801 l
+139.423996 59.249767 l
+139.456451 59.527885 l
+139.488907 59.925953 l
+139.521362 60.669270 l
+139.553802 61.329239 l
+139.586258 62.369381 l
+139.618698 62.915390 l
+139.651154 63.205681 l
+139.683609 63.362164 l
+139.716064 63.474892 l
+139.748505 63.555984 l
+139.780960 63.353920 l
+139.813416 63.373928 l
+139.845871 63.412857 l
+139.878311 63.222496 l
+139.910767 63.413456 l
+139.943222 63.673569 l
+139.975677 63.674465 l
+140.008118 63.843723 l
+140.040573 64.016327 l
+140.073029 64.381142 l
+140.105484 64.567810 l
+140.137924 64.971436 l
+140.170380 65.097641 l
+140.202835 65.091408 l
+140.235275 64.988060 l
+140.267731 64.983765 l
+140.300186 64.807655 l
+140.332642 64.815376 l
+140.365082 64.937355 l
+140.397537 65.034904 l
+140.429977 65.076225 l
+140.462433 65.518066 l
+140.494888 65.812538 l
+140.527344 65.707619 l
+140.559784 65.610878 l
+140.592239 65.429291 l
+140.624695 65.125809 l
+140.657150 64.583191 l
+140.689590 64.364990 l
+140.722046 64.081169 l
+140.754501 63.653927 l
+140.786957 63.563480 l
+140.819397 63.738277 l
+140.851852 63.690224 l
+140.884308 63.793331 l
+140.916763 63.846203 l
+140.949203 63.973846 l
+140.981659 64.008705 l
+141.014114 64.230721 l
+141.046570 64.260460 l
+141.079010 64.064430 l
+141.111465 64.248268 l
+141.143921 64.320076 l
+141.176361 64.041801 l
+141.208817 63.955082 l
+141.241272 63.937565 l
+141.273712 63.807968 l
+141.306168 64.024994 l
+141.338623 64.301834 l
+141.371063 64.685638 l
+141.403519 64.654236 l
+141.435974 65.247673 l
+141.468430 65.788712 l
+141.500870 65.883636 l
+141.533325 65.999481 l
+141.565781 66.135666 l
+141.598236 66.151260 l
+141.630676 66.315910 l
+141.663132 66.479012 l
+141.695587 66.619438 l
+141.728043 66.637299 l
+141.760483 67.007225 l
+141.792938 67.498184 l
+141.825394 68.030235 l
+141.857849 68.508820 l
+141.890289 69.073860 l
+141.922745 69.368629 l
+141.955200 69.839371 l
+141.987656 70.420227 l
+142.020096 70.710495 l
+142.052551 70.917053 l
+142.084991 71.130890 l
+142.117447 71.189476 l
+142.149902 71.430122 l
+142.182358 71.529724 l
+142.214798 71.895523 l
+142.247253 71.863419 l
+142.279709 72.207497 l
+142.312149 72.470497 l
+142.344604 72.670853 l
+142.377060 72.544502 l
+142.409515 72.527000 l
+142.441956 72.174789 l
+142.474411 71.851746 l
+142.506866 71.486046 l
+142.539322 71.164734 l
+142.571762 70.597107 l
+142.604218 70.355019 l
+142.636673 70.176140 l
+142.669128 69.918648 l
+142.701569 69.481720 l
+142.734024 69.349388 l
+142.766479 68.889778 l
+142.798935 68.503746 l
+142.831375 68.202972 l
+142.863831 67.647301 l
+142.896286 66.959854 l
+142.928726 66.369865 l
+142.961182 65.866531 l
+142.993637 65.354462 l
+143.026077 64.496948 l
+143.058533 64.067566 l
+143.090988 63.847092 l
+143.123444 63.449867 l
+143.155884 63.312912 l
+143.188339 63.018551 l
+143.220795 62.861965 l
+143.253235 62.808456 l
+143.285690 62.856743 l
+143.318146 62.848713 l
+143.350601 62.588348 l
+143.383041 62.571018 l
+143.415497 62.627407 l
+143.447952 62.649689 l
+143.480408 62.600796 l
+143.512848 62.457382 l
+143.545303 62.436966 l
+143.577759 62.716354 l
+143.610214 63.175137 l
+143.642654 63.132961 l
+143.675110 63.172890 l
+143.707565 63.500999 l
+143.740005 63.541695 l
+143.772461 63.574001 l
+143.804916 63.517376 l
+143.837357 63.418182 l
+143.869812 63.221279 l
+143.902267 63.064468 l
+143.934723 63.383377 l
+143.967163 63.167500 l
+143.999619 63.239437 l
+144.032074 63.348690 l
+144.064529 63.517815 l
+144.096970 63.642445 l
+144.129425 63.611870 l
+144.161880 63.623390 l
+144.194321 63.896942 l
+144.226776 63.906067 l
+144.259232 64.095444 l
+144.291687 64.211891 l
+144.324127 64.389374 l
+144.356583 64.505173 l
+144.389038 64.626457 l
+144.421494 64.830910 l
+144.453934 64.875885 l
+144.486389 64.734489 l
+144.518845 64.730751 l
+144.551300 64.972275 l
+144.583740 64.741287 l
+144.616196 64.675476 l
+144.648636 64.818878 l
+144.681091 64.833961 l
+144.713547 64.657471 l
+144.746002 64.653297 l
+144.778442 64.864563 l
+144.810898 65.009972 l
+144.843353 65.012260 l
+144.875809 65.315453 l
+144.908249 65.580505 l
+144.940704 65.594727 l
+144.973160 66.013969 l
+145.005615 66.425827 l
+145.038055 66.280899 l
+145.070511 66.121750 l
+145.102966 66.006660 l
+145.135406 65.853790 l
+145.167862 65.645409 l
+145.200317 65.400002 l
+145.232773 65.302299 l
+145.265213 65.168800 l
+145.297668 65.256546 l
+145.330124 65.481819 l
+145.362579 65.270470 l
+145.395020 65.277153 l
+145.427475 65.358620 l
+145.459915 65.374649 l
+145.492371 65.457565 l
+145.524826 65.536583 l
+145.557281 65.642639 l
+145.589722 65.697449 l
+145.622177 65.823769 l
+145.654633 66.007095 l
+145.687088 65.813278 l
+145.719528 65.911583 l
+145.751984 66.111839 l
+145.784439 66.133514 l
+145.816895 66.208656 l
+145.849335 66.276917 l
+145.881790 66.253036 l
+145.914246 66.082626 l
+145.946701 65.964699 l
+145.979141 65.941917 l
+146.011597 65.559959 l
+146.044052 65.550095 l
+146.076492 65.633354 l
+146.108948 65.630829 l
+146.141403 65.474998 l
+146.173859 65.621498 l
+146.206299 65.749542 l
+146.238754 65.719360 l
+146.271210 65.806389 l
+146.303650 65.725677 l
+146.336105 65.420593 l
+146.368561 65.270424 l
+146.401001 65.264572 l
+146.433456 65.182251 l
+146.465912 64.962387 l
+146.498367 64.904922 l
+146.530807 64.916336 l
+146.563263 64.822807 l
+146.595718 65.088593 l
+146.628174 65.190948 l
+146.660614 65.068985 l
+146.693069 65.039284 l
+146.725525 65.217270 l
+146.757980 65.177536 l
+146.790421 65.136917 l
+146.822876 65.155182 l
+146.855331 65.199844 l
+146.887787 65.033867 l
+146.920227 65.038017 l
+146.952682 64.985970 l
+146.985138 64.894012 l
+147.017578 64.987419 l
+147.050034 65.034119 l
+147.082489 65.077026 l
+147.114929 65.135620 l
+147.147385 65.092667 l
+147.179840 65.209908 l
+147.212296 65.322784 l
+147.244736 65.346519 l
+147.277191 65.073105 l
+147.309647 65.061554 l
+147.342087 65.204033 l
+147.374542 65.196533 l
+147.406998 65.164764 l
+147.439453 65.280617 l
+147.471893 65.306183 l
+147.504349 65.246147 l
+147.536804 65.449310 l
+147.569260 65.445412 l
+147.601700 65.164696 l
+147.634155 64.946930 l
+147.666611 64.967720 l
+147.699066 64.925751 l
+147.731506 64.620049 l
+147.763962 64.545578 l
+147.796417 64.582268 l
+147.828873 64.569756 l
+147.861313 64.648163 l
+147.893768 64.844093 l
+147.926208 64.928581 l
+147.958664 64.737694 l
+147.991119 65.002075 l
+148.023575 65.290527 l
+148.056015 65.212265 l
+148.088470 65.380577 l
+148.120926 65.503143 l
+148.153381 65.418732 l
+148.185822 65.365585 l
+148.218277 65.643890 l
+148.250732 65.749016 l
+148.283173 65.609116 l
+148.315628 65.342400 l
+148.348083 65.461121 l
+148.380539 65.243912 l
+148.412979 65.172806 l
+148.445435 65.468445 l
+148.477890 65.353676 l
+148.510345 65.193550 l
+148.542786 65.485794 l
+148.575241 65.605042 l
+148.607697 65.828697 l
+148.640152 65.540642 l
+148.672592 65.362442 l
+148.705048 65.124458 l
+148.737488 64.821495 l
+148.769943 64.907578 l
+148.802399 64.870026 l
+148.834854 64.720139 l
+148.867294 64.783203 l
+148.899750 64.562851 l
+148.932205 64.679268 l
+148.964661 64.830002 l
+148.997101 65.016266 l
+149.029556 64.904259 l
+149.062012 64.915031 l
+149.094467 65.046181 l
+149.126907 65.163773 l
+149.159363 65.176872 l
+149.191818 65.312958 l
+149.224258 65.118698 l
+149.256714 65.019218 l
+149.289169 65.068375 l
+149.321625 65.281952 l
+149.354065 65.180183 l
+149.386520 65.045013 l
+149.418976 65.147476 l
+149.451431 65.186081 l
+149.483871 65.229454 l
+149.516327 65.299416 l
+149.548782 65.448868 l
+149.581223 65.327408 l
+149.613678 65.642365 l
+149.646133 65.653633 l
+149.678574 65.583763 l
+149.711029 65.345833 l
+149.743484 65.587654 l
+149.775940 65.494278 l
+149.808380 65.449875 l
+149.840836 65.251862 l
+149.873291 65.065872 l
+149.905746 64.683365 l
+149.938187 64.720581 l
+149.970642 64.299538 l
+150.003098 64.016380 l
+150.035553 63.804512 l
+150.067993 63.848824 l
+150.100449 63.854832 l
+150.132904 63.877823 l
+150.165344 64.053642 l
+150.197800 63.828442 l
+150.230255 63.940464 l
+150.262711 63.883438 l
+150.295151 63.692604 l
+150.327606 63.342335 l
+150.360062 63.243057 l
+150.392502 63.099171 l
+150.424957 63.133530 l
+150.457413 63.316910 l
+150.489853 63.664490 l
+150.522308 63.760738 l
+150.554764 64.189613 l
+150.587219 64.229156 l
+150.619659 64.447739 l
+150.652115 64.407349 l
+150.684570 64.403946 l
+150.717026 64.246696 l
+150.749466 63.941559 l
+150.781921 64.213257 l
+150.814377 64.008972 l
+150.846832 63.715389 l
+150.879272 63.678638 l
+150.911728 63.707481 l
+150.944183 63.678547 l
+150.976639 63.720181 l
+151.009079 64.089142 l
+151.041534 64.311302 l
+151.073990 64.313065 l
+151.106430 64.599396 l
+151.138885 64.749802 l
+151.171341 64.653038 l
+151.203796 64.985046 l
+151.236237 65.565590 l
+151.268692 66.142044 l
+151.301132 66.659882 l
+151.333588 67.495628 l
+151.366043 68.241898 l
+151.398499 68.969032 l
+151.430939 69.582115 l
+151.463394 69.853706 l
+151.495850 69.951744 l
+151.528305 70.162994 l
+151.560745 70.178360 l
+151.593201 69.929329 l
+151.625656 69.766930 l
+151.658112 69.427818 l
+151.690552 69.152679 l
+151.723007 68.623466 l
+151.755463 68.503120 l
+151.787918 68.096313 l
+151.820358 67.931007 l
+151.852814 67.759094 l
+151.885269 67.882683 l
+151.917725 67.610924 l
+151.950165 67.526573 l
+151.982620 67.560028 l
+152.015076 67.513176 l
+152.047516 67.359192 l
+152.079971 67.362358 l
+152.112427 67.230545 l
+152.144867 67.050980 l
+152.177322 66.842857 l
+152.209778 66.932510 l
+152.242218 66.646004 l
+152.274673 66.215309 l
+152.307129 66.083412 l
+152.339584 65.719795 l
+152.372025 65.176399 l
+152.404480 65.132423 l
+152.436935 64.987663 l
+152.469391 64.516670 l
+152.501831 64.531281 l
+152.534286 64.692078 l
+152.566742 64.532486 l
+152.599197 64.229080 l
+152.631638 64.048981 l
+152.664093 63.986076 l
+152.696548 63.480019 l
+152.729004 63.066147 l
+152.761444 62.767319 l
+152.793900 62.023502 l
+152.826355 61.735447 l
+152.858810 61.428013 l
+152.891251 61.103012 l
+152.923706 60.754997 l
+152.956146 60.391479 l
+152.988602 59.904419 l
+153.021057 59.718777 l
+153.053513 58.934422 l
+153.085953 58.418736 l
+153.118408 57.592907 l
+153.150864 56.973598 l
+153.183319 56.130806 l
+153.215759 55.215134 l
+153.248215 54.511547 l
+153.280670 53.937580 l
+153.313110 53.487236 l
+153.345566 54.153934 l
+153.378021 55.146931 l
+153.410477 56.800385 l
+153.442917 59.284046 l
+153.475372 62.396343 l
+153.507828 66.208611 l
+153.540283 70.599113 l
+153.572723 76.169067 l
+153.605179 83.059807 l
+153.637634 89.880257 l
+153.670090 97.013924 l
+153.702530 103.494629 l
+153.734985 108.516380 l
+153.767426 111.876381 l
+153.799881 113.275009 l
+153.832336 112.211288 l
+153.864792 108.584053 l
+153.897232 102.862251 l
+153.929688 95.915344 l
+153.962143 87.092728 l
+153.994598 77.925011 l
+154.027039 69.555946 l
+154.059494 61.869957 l
+154.091949 55.600231 l
+154.124405 51.501850 l
+154.156845 49.428066 l
+154.189301 49.226864 l
+154.221756 50.823727 l
+154.254196 53.056988 l
+154.286652 55.036133 l
+154.319107 56.709576 l
+154.351562 58.520504 l
+154.384003 59.723389 l
+154.416458 60.561981 l
+154.448914 60.903912 l
+154.481369 60.848167 l
+154.513809 60.604328 l
+154.546265 60.629574 l
+154.578705 60.634720 l
+154.611160 60.707180 l
+154.643616 61.113922 l
+154.676071 61.650646 l
+154.708511 61.991657 l
+154.740967 62.374527 l
+154.773422 62.975983 l
+154.805878 63.154137 l
+154.838318 63.247135 l
+154.870773 63.555004 l
+154.903229 63.751324 l
+154.935684 63.753887 l
+154.968124 63.816689 l
+155.000580 64.156792 l
+155.033035 64.244339 l
+155.065491 64.155380 l
+155.097931 64.445824 l
+155.130386 64.371887 l
+155.162842 64.255867 l
+155.195282 64.435997 l
+155.227737 64.636711 l
+155.260193 64.757835 l
+155.292648 64.846329 l
+155.325089 65.335617 l
+155.357544 65.717781 l
+155.389984 65.791527 l
+155.422440 65.954292 l
+155.454895 66.005432 l
+155.487350 65.949951 l
+155.519791 66.126419 l
+155.552246 66.049408 l
+155.584702 65.970276 l
+155.617157 65.997719 l
+155.649597 66.160797 l
+155.682053 66.316597 l
+155.714508 66.197121 l
+155.746964 66.062126 l
+155.779404 66.005478 l
+155.811859 65.757782 l
+155.844315 65.849022 l
+155.876770 65.579781 l
+155.909210 65.383591 l
+155.941666 65.522881 l
+155.974121 65.576942 l
+156.006577 65.592430 l
+156.039017 65.632797 l
+156.071472 65.912422 l
+156.103928 66.170860 l
+156.136368 66.204857 l
+156.168823 66.377380 l
+156.201279 66.254616 l
+156.233719 65.928650 l
+156.266174 65.756271 l
+156.298630 65.036423 l
+156.331070 64.362648 l
+156.363525 63.984505 l
+156.395981 63.884785 l
+156.428436 63.664146 l
+156.460876 63.636444 l
+156.493332 63.925091 l
+156.525787 64.188461 l
+156.558243 64.704506 l
+156.590683 65.229935 l
+156.623138 65.465630 l
+156.655594 65.645866 l
+156.688049 66.261230 l
+156.720490 66.856735 l
+156.752945 66.967369 l
+156.785400 67.311829 l
+156.817856 67.761864 l
+156.850296 67.789131 l
+156.882751 68.461891 l
+156.915207 68.887527 l
+156.947662 69.153358 l
+156.980103 68.982155 l
+157.012558 69.306267 l
+157.044998 70.036369 l
+157.077454 70.355553 l
+157.109909 70.843475 l
+157.142365 71.362755 l
+157.174805 71.552681 l
+157.207260 72.179863 l
+157.239716 72.694984 l
+157.272156 73.187225 l
+157.304611 73.089386 l
+157.337067 73.099358 l
+157.369522 73.425758 l
+157.401962 73.564743 l
+157.434418 73.715446 l
+157.466873 73.901932 l
+157.499329 73.881134 l
+157.531769 74.060623 l
+157.564224 74.094604 l
+157.596680 74.196198 l
+157.629135 74.161179 l
+157.661575 74.253990 l
+157.694031 74.036842 l
+157.726486 73.574463 l
+157.758942 72.957512 l
+157.791382 72.456268 l
+157.823837 71.926567 l
+157.856293 71.369896 l
+157.888733 70.870987 l
+157.921188 70.103897 l
+157.953644 69.333893 l
+157.986084 68.765404 l
+158.018539 68.386650 l
+158.050995 67.563080 l
+158.083450 66.859299 l
+158.115891 65.980919 l
+158.148346 65.698204 l
+158.180801 65.305878 l
+158.213242 65.229561 l
+158.245697 65.044861 l
+158.278152 64.625305 l
+158.310608 64.142998 l
+158.343048 64.086388 l
+158.375504 63.729771 l
+158.407959 63.246330 l
+158.440414 62.623962 l
+158.472855 62.367340 l
+158.505310 61.925209 l
+158.537766 61.884022 l
+158.570221 62.012882 l
+158.602661 61.983479 l
+158.635117 61.714027 l
+158.667572 61.646873 l
+158.700012 61.852188 l
+158.732468 61.884735 l
+158.764923 62.056744 l
+158.797363 62.293564 l
+158.829819 62.376663 l
+158.862274 62.799679 l
+158.894730 63.058716 l
+158.927170 63.399597 l
+158.959625 63.299839 l
+158.992081 63.278515 l
+159.024536 63.427200 l
+159.056976 63.681225 l
+159.089432 63.717709 l
+159.121887 63.878300 l
+159.154327 63.881725 l
+159.186783 64.310532 l
+159.219238 64.307365 l
+159.251694 64.325996 l
+159.284134 64.352486 l
+159.316589 64.367027 l
+159.349045 64.307632 l
+159.381500 64.642441 l
+159.413940 64.785934 l
+159.446396 64.973480 l
+159.478851 64.947906 l
+159.511307 65.121399 l
+159.543747 65.012512 l
+159.576202 64.733604 l
+159.608658 64.640503 l
+159.641113 64.493507 l
+159.673553 64.102470 l
+159.706009 63.966537 l
+159.738464 64.066460 l
+159.770920 64.152542 l
+159.803360 64.390335 l
+159.835815 64.573120 l
+159.868271 64.681808 l
+159.900711 64.789391 l
+159.933167 64.722649 l
+159.965607 64.585846 l
+159.998062 64.579994 l
+160.030518 64.367020 l
+160.062958 64.372955 l
+160.095413 64.110970 l
+160.127869 64.235703 l
+160.160324 64.317413 l
+160.192764 64.325302 l
+160.225220 64.536827 l
+160.257675 64.784645 l
+160.290131 64.757637 l
+160.322571 65.120277 l
+160.355026 65.264137 l
+160.387482 65.569221 l
+160.419922 65.507423 l
+160.452377 65.611748 l
+160.484833 65.752182 l
+160.517288 65.672981 l
+160.549728 65.676140 l
+160.582184 65.882042 l
+160.614639 65.835159 l
+160.647095 66.004135 l
+160.679535 66.060654 l
+160.711990 66.191513 l
+160.744446 66.089149 l
+160.776901 66.135956 l
+160.809341 65.955452 l
+160.841797 65.691589 l
+160.874252 65.717216 l
+160.906708 65.856445 l
+160.939148 65.839966 l
+160.971603 66.053741 l
+161.004059 66.482483 l
+161.036499 66.661209 l
+161.068954 66.784470 l
+161.101410 66.940079 l
+161.133865 66.651016 l
+161.166306 65.999023 l
+161.198761 65.378403 l
+161.231216 64.900215 l
+161.263672 64.288818 l
+161.296112 63.889729 l
+161.328568 63.595730 l
+161.361023 63.484753 l
+161.393478 63.661488 l
+161.425919 63.891861 l
+161.458374 64.407295 l
+161.490829 64.725838 l
+161.523285 64.988083 l
+161.555725 65.344307 l
+161.588181 65.364334 l
+161.620621 65.623558 l
+161.653076 65.384430 l
+161.685516 65.337143 l
+161.717972 65.357979 l
+161.750427 65.222305 l
+161.782883 65.426315 l
+161.815323 65.442490 l
+161.847778 65.585907 l
+161.880234 65.825806 l
+161.912689 65.760025 l
+161.945129 65.889030 l
+161.977585 65.788414 l
+162.010040 65.657867 l
+162.042496 65.341248 l
+162.074936 65.208626 l
+162.107391 65.127541 l
+162.139847 64.814392 l
+162.172302 64.729523 l
+162.204742 64.903778 l
+162.237198 64.970734 l
+162.269653 65.096725 l
+162.302094 65.357826 l
+162.334549 65.484703 l
+162.367004 65.106499 l
+162.399460 65.065750 l
+162.431900 65.040756 l
+162.464355 64.914207 l
+162.496811 64.313850 l
+162.529266 64.244148 l
+162.561707 64.054306 l
+162.594162 63.965759 l
+162.626617 64.116982 l
+162.659073 64.174637 l
+162.691513 64.136505 l
+162.723969 64.216103 l
+162.756424 64.313377 l
+162.788879 64.953629 l
+162.821320 64.958702 l
+162.853775 65.088463 l
+162.886230 65.018600 l
+162.918671 65.012650 l
+162.951126 65.129776 l
+162.983582 64.965836 l
+163.016037 64.885254 l
+163.048477 64.821770 l
+163.080933 64.641449 l
+163.113388 64.785881 l
+163.145844 65.003265 l
+163.178284 65.091621 l
+163.210739 65.090706 l
+163.243195 65.149788 l
+163.275635 65.006187 l
+163.308090 64.833763 l
+163.340530 64.794815 l
+163.372986 64.731598 l
+163.405441 64.753822 l
+163.437881 64.700111 l
+163.470337 64.639633 l
+163.502792 64.873489 l
+163.535248 64.868881 l
+163.567688 65.188965 l
+163.600143 65.025528 l
+163.632599 64.955315 l
+163.665054 64.817459 l
+163.697495 64.650948 l
+163.729950 64.622536 l
+163.762405 64.445717 l
+163.794861 64.184227 l
+163.827301 64.050873 l
+163.859756 64.008873 l
+163.892212 64.497223 l
+163.924667 64.647278 l
+163.957108 65.140358 l
+163.989563 65.257652 l
+164.022018 65.318115 l
+164.054474 65.539429 l
+164.086914 65.165794 l
+164.119370 65.034363 l
+164.151825 64.450378 l
+164.184265 64.113510 l
+164.216721 64.323250 l
+164.249176 64.173386 l
+164.281631 64.137291 l
+164.314072 64.143929 l
+164.346527 64.259621 l
+164.378983 64.630806 l
+164.411438 64.436783 l
+164.443878 64.646652 l
+164.476334 64.633308 l
+164.508789 64.402306 l
+164.541245 64.663910 l
+164.573685 64.687553 l
+164.606140 64.472176 l
+164.638596 64.262650 l
+164.671051 64.245110 l
+164.703491 64.378708 l
+164.735947 64.070541 l
+164.768402 64.030098 l
+164.800842 64.118553 l
+164.833298 63.707386 l
+164.865753 63.868336 l
+164.898209 63.942413 l
+164.930649 64.062828 l
+164.963089 64.209320 l
+164.995544 64.759605 l
+165.028000 65.142273 l
+165.060455 65.321426 l
+165.092896 65.642113 l
+165.125351 65.969894 l
+165.157806 65.818832 l
+165.190262 65.864235 l
+165.222702 65.914101 l
+165.255157 65.611359 l
+165.287613 65.245811 l
+165.320053 65.392311 l
+165.352509 65.395851 l
+165.384964 65.302963 l
+165.417419 65.399414 l
+165.449860 65.604034 l
+165.482315 66.138054 l
+165.514771 66.363708 l
+165.547226 67.084030 l
+165.579666 67.080780 l
+165.612122 67.546257 l
+165.644577 67.778732 l
+165.677032 68.007507 l
+165.709473 68.051743 l
+165.741928 68.164711 l
+165.774384 67.895287 l
+165.806839 67.999825 l
+165.839279 67.873489 l
+165.871735 68.128601 l
+165.904190 67.596649 l
+165.936646 68.009300 l
+165.969086 67.756218 l
+166.001541 67.291473 l
+166.033997 66.867393 l
+166.066437 66.628029 l
+166.098892 66.128487 l
+166.131348 65.418854 l
+166.163803 64.816170 l
+166.196243 64.821198 l
+166.228699 64.169998 l
+166.261154 64.060295 l
+166.293610 63.781418 l
+166.326050 63.350609 l
+166.358505 63.179329 l
+166.390961 63.462566 l
+166.423416 63.570183 l
+166.455856 63.536148 l
+166.488312 63.658615 l
+166.520767 63.797401 l
+166.553223 63.997997 l
+166.585648 64.162018 l
+166.618103 64.009941 l
+166.650558 63.863499 l
+166.683014 63.833832 l
+166.715454 64.090500 l
+166.747910 63.991287 l
+166.780365 63.588684 l
+166.812820 63.807396 l
+166.845261 63.529175 l
+166.877716 63.199066 l
+166.910172 63.252068 l
+166.942627 62.912552 l
+166.975067 62.474789 l
+167.007523 62.241459 l
+167.039978 62.152653 l
+167.072433 61.960712 l
+167.104874 61.511093 l
+167.137329 61.420040 l
+167.169785 61.420376 l
+167.202240 61.295540 l
+167.234680 61.306267 l
+167.267136 61.289223 l
+167.299591 60.831295 l
+167.332031 60.454132 l
+167.364487 60.192467 l
+167.396942 60.011650 l
+167.429398 59.279957 l
+167.461838 58.656082 l
+167.494293 58.106472 l
+167.526749 57.656796 l
+167.559204 57.080532 l
+167.591644 56.583973 l
+167.624100 55.555416 l
+167.656555 54.703564 l
+167.689011 53.815094 l
+167.721451 53.413582 l
+167.753906 53.375362 l
+167.786362 53.804482 l
+167.818817 54.842968 l
+167.851257 56.551323 l
+167.883713 59.261314 l
+167.916168 62.721539 l
+167.948608 66.659180 l
+167.981064 71.879005 l
+168.013519 77.928429 l
+168.045975 84.364304 l
+168.078415 90.955139 l
+168.110870 97.045197 l
+168.143326 102.048531 l
+168.175781 105.230949 l
+168.208221 107.208015 l
+168.240677 107.045364 l
+168.273117 104.710960 l
+168.305573 100.126625 l
+168.338028 94.003471 l
+168.370468 86.459648 l
+168.402924 78.386841 l
+168.435379 70.132881 l
+168.467819 62.649567 l
+168.500275 56.240013 l
+168.532730 51.672707 l
+168.565186 48.853378 l
+168.597626 48.203106 l
+168.630081 48.967796 l
+168.662537 50.481350 l
+168.694992 52.476826 l
+168.727432 54.582809 l
+168.759888 56.577946 l
+168.792343 58.240513 l
+168.824799 59.671360 l
+168.857239 60.514339 l
+168.889694 60.769802 l
+168.922150 60.614460 l
+168.954605 60.523270 l
+168.987045 60.227623 l
+169.019501 60.108414 l
+169.051956 60.268948 l
+169.084412 60.558613 l
+169.116852 60.684994 l
+169.149307 61.133640 l
+169.181763 61.408604 l
+169.214203 61.620655 l
+169.246658 61.796162 l
+169.279114 62.121143 l
+169.311569 62.249180 l
+169.344009 62.282345 l
+169.376465 62.954712 l
+169.408920 63.467873 l
+169.441376 63.574581 l
+169.473816 63.945210 l
+169.506271 64.356598 l
+169.538727 64.591103 l
+169.571182 64.500626 l
+169.603622 64.667068 l
+169.636078 64.451035 l
+169.668533 64.048851 l
+169.700989 64.287598 l
+169.733429 64.415886 l
+169.765884 64.245209 l
+169.798340 64.553513 l
+169.830780 64.731247 l
+169.863235 64.881592 l
+169.895691 64.994591 l
+169.928131 65.079376 l
+169.960587 65.142136 l
+169.993027 64.945374 l
+170.025482 64.992950 l
+170.057938 64.978310 l
+170.090393 64.538956 l
+170.122833 64.712196 l
+170.155289 64.509712 l
+170.187744 64.204529 l
+170.220200 64.186325 l
+170.252640 64.108704 l
+170.285095 64.033737 l
+170.317551 64.145088 l
+170.349991 64.411377 l
+170.382446 64.506065 l
+170.414902 64.518127 l
+170.447357 64.767387 l
+170.479797 64.523941 l
+170.512253 64.232819 l
+170.544708 64.142860 l
+170.577164 64.150963 l
+170.609604 63.849140 l
+170.642059 63.514679 l
+170.674515 63.517853 l
+170.706970 63.677135 l
+170.739410 63.834702 l
+170.771866 64.206421 l
+170.804321 64.269669 l
+170.836777 64.476013 l
+170.869217 64.669724 l
+170.901672 64.964470 l
+170.934128 65.250793 l
+170.966583 65.250725 l
+170.999023 65.236694 l
+171.031479 65.136101 l
+171.063934 64.937912 l
+171.096375 64.919670 l
+171.128830 64.889481 l
+171.161285 64.849579 l
+171.193741 65.006493 l
+171.226181 65.218666 l
+171.258636 65.496231 l
+171.291092 66.134323 l
+171.323547 67.070770 l
+171.355988 67.786278 l
+171.388443 68.017990 l
+171.420898 68.477486 l
+171.453354 69.114090 l
+171.485794 69.468224 l
+171.518250 69.651642 l
+171.550705 69.929840 l
+171.583145 69.780289 l
+171.615585 69.920906 l
+171.648041 70.101913 l
+171.680496 70.299622 l
+171.712952 70.240982 l
+171.745392 70.455444 l
+171.777847 70.616776 l
+171.810303 70.814522 l
+171.842758 70.986839 l
+171.875198 71.222404 l
+171.907654 71.081757 l
+171.940109 71.316605 l
+171.972565 71.504105 l
+172.005005 71.503487 l
+172.037460 71.415695 l
+172.069916 71.605125 l
+172.102371 71.697144 l
+172.134811 71.405167 l
+172.167267 71.284760 l
+172.199722 71.055672 l
+172.232162 70.538536 l
+172.264618 70.101540 l
+172.297073 69.736305 l
+172.329529 69.004181 l
+172.361969 68.341843 l
+172.394424 67.703400 l
+172.426880 67.410912 l
+172.459335 66.645676 l
+172.491776 65.973419 l
+172.524231 65.373154 l
+172.556686 64.924011 l
+172.589142 64.559837 l
+172.621582 64.423584 l
+172.654037 63.894043 l
+172.686493 63.814182 l
+172.718948 63.529819 l
+172.751389 63.660534 l
+172.783844 63.404099 l
+172.816299 63.060173 l
+172.848755 62.856407 l
+172.881195 62.631386 l
+172.913651 62.693325 l
+172.946106 62.641079 l
+172.978546 62.414974 l
+173.011002 62.735790 l
+173.043457 62.772747 l
+173.075912 63.002670 l
+173.108353 62.752880 l
+173.140808 62.735306 l
+173.173264 62.750118 l
+173.205719 62.507927 l
+173.238159 62.534512 l
+173.270599 62.492794 l
+173.303055 62.212719 l
+173.335510 62.273602 l
+173.367966 62.752094 l
+173.400406 63.019909 l
+173.432861 63.142891 l
+173.465317 63.258076 l
+173.497757 63.620239 l
+173.530212 63.727161 l
+173.562668 63.937649 l
+173.595123 64.044495 l
+173.627563 63.793903 l
+173.660019 63.467628 l
+173.692474 63.678257 l
+173.724930 63.556866 l
+173.757370 63.619263 l
+173.789825 63.643250 l
+173.822281 63.723820 l
+173.854736 63.792732 l
+173.887177 64.161499 l
+173.919632 64.568794 l
+173.952087 64.784821 l
+173.984543 65.072861 l
+174.016983 65.127007 l
+174.049438 65.148445 l
+174.081894 65.067528 l
+174.114334 65.021202 l
+174.146790 64.832436 l
+174.179245 64.535423 l
+174.211700 64.522072 l
+174.244141 64.423088 l
+174.276596 64.187057 l
+174.309052 64.549324 l
+174.341507 64.393745 l
+174.373947 64.267059 l
+174.406403 64.569420 l
+174.438858 64.713982 l
+174.471313 64.739471 l
+174.503754 64.773834 l
+174.536209 65.235451 l
+174.568665 65.543922 l
+174.601120 65.500664 l
+174.633560 65.916161 l
+174.666016 65.924522 l
+174.698471 65.631409 l
+174.730927 65.615173 l
+174.763367 65.694794 l
+174.795822 65.438705 l
+174.828278 65.025810 l
+174.860718 65.046997 l
+174.893173 64.827278 l
+174.925613 64.756218 l
+174.958069 64.799263 l
+174.990524 64.962471 l
+175.022964 64.904808 l
+175.055420 64.712860 l
+175.087875 64.933678 l
+175.120331 65.128036 l
+175.152771 65.161758 l
+175.185226 65.221283 l
+175.217682 65.099289 l
+175.250137 64.901443 l
+175.282578 65.099594 l
+175.315033 65.374550 l
+175.347488 65.492348 l
+175.379929 65.563385 l
+175.412384 65.621712 l
+175.444839 65.550339 l
+175.477295 65.706848 l
+175.509735 65.583305 l
+175.542191 65.401978 l
+175.574646 65.046974 l
+175.607101 65.081802 l
+175.639542 65.348732 l
+175.671997 65.304771 l
+175.704453 65.111130 l
+175.736908 65.248207 l
+175.769348 65.295837 l
+175.801804 65.277977 l
+175.834259 65.400246 l
+175.866714 65.403969 l
+175.899155 65.336334 l
+175.931610 65.385376 l
+175.964066 65.539566 l
+175.996506 65.645149 l
+176.028961 65.202011 l
+176.061417 64.983925 l
+176.093872 64.963303 l
+176.126312 64.810669 l
+176.158768 65.040199 l
+176.191223 65.122406 l
+176.223679 64.865959 l
+176.256119 65.145218 l
+176.288574 65.172859 l
+176.321030 65.009331 l
+176.353485 64.903847 l
+176.385925 64.893738 l
+176.418381 64.790871 l
+176.450836 64.566925 l
+176.483292 64.911270 l
+176.515732 64.930450 l
+176.548187 64.452988 l
+176.580627 64.735123 l
+176.613083 64.829636 l
+176.645523 64.727524 l
+176.677979 64.540680 l
+176.710434 64.534264 l
+176.742889 64.937759 l
+176.775330 64.914139 l
+176.807785 65.082207 l
+176.840240 65.019989 l
+176.872696 64.948639 l
+176.905136 64.939499 l
+176.937592 65.004883 l
+176.970047 65.106682 l
+177.002502 64.815529 l
+177.034943 64.648186 l
+177.067398 64.844528 l
+177.099854 64.887787 l
+177.132309 64.897003 l
+177.164749 64.610893 l
+177.197205 64.723091 l
+177.229660 64.556236 l
+177.262100 64.567955 l
+177.294556 64.553848 l
+177.327011 64.405800 l
+177.359467 64.453484 l
+177.391907 64.496857 l
+177.424362 64.653709 l
+177.456818 64.943993 l
+177.489273 65.018166 l
+177.521713 65.252220 l
+177.554169 65.276978 l
+177.586624 65.387512 l
+177.619080 65.240738 l
+177.651520 65.412827 l
+177.683975 65.209747 l
+177.716431 64.927475 l
+177.748886 64.864128 l
+177.781326 64.740417 l
+177.813782 64.531975 l
+177.846237 64.361366 l
+177.878677 64.369659 l
+177.911133 64.293304 l
+177.943588 64.015404 l
+177.976044 64.094124 l
+178.008484 64.315308 l
+178.040939 64.202744 l
+178.073395 64.551933 l
+178.105850 64.667000 l
+178.138290 64.853447 l
+178.170746 64.919495 l
+178.203201 64.955818 l
+178.235641 65.027092 l
+178.268097 65.056953 l
+178.300537 64.982353 l
+178.332993 65.085289 l
+178.365448 64.594551 l
+178.397888 64.589233 l
+178.430344 64.630013 l
+178.462799 64.372307 l
+178.495255 64.416954 l
+178.527695 64.316895 l
+178.560150 64.105255 l
+178.592606 64.069824 l
+178.625061 64.304817 l
+178.657501 64.537743 l
+178.689957 64.137772 l
+178.722412 63.945808 l
+178.754868 63.971142 l
+178.787308 63.801876 l
+178.819763 63.686874 l
+178.852219 63.508419 l
+178.884674 63.333584 l
+178.917114 63.208218 l
+178.949570 63.536606 l
+178.982025 63.577724 l
+179.014481 63.600388 l
+179.046921 64.032043 l
+179.079376 64.193787 l
+179.111832 64.710976 l
+179.144272 65.158745 l
+179.176727 65.459518 l
+179.209183 65.639091 l
+179.241638 65.572357 l
+179.274078 65.910095 l
+179.306534 65.664726 l
+179.338989 65.171776 l
+179.371445 65.144371 l
+179.403885 65.153114 l
+179.436340 65.282715 l
+179.468796 65.415054 l
+179.501251 65.734612 l
+179.533691 65.962898 l
+179.566147 66.029495 l
+179.598602 66.574234 l
+179.631058 66.892853 l
+179.663498 67.162270 l
+179.695953 67.173378 l
+179.728409 67.553741 l
+179.760864 67.709167 l
+179.793304 67.931061 l
+179.825760 68.051422 l
+179.858215 67.921013 l
+179.890656 67.573944 l
+179.923096 67.697601 l
+179.955551 67.605057 l
+179.988007 67.747612 l
+180.020462 67.581558 l
+180.052902 67.249474 l
+180.085358 67.225540 l
+180.117813 67.155205 l
+180.150269 66.720413 l
+180.182709 66.339172 l
+180.215164 65.817490 l
+180.247620 65.627884 l
+180.280060 65.029770 l
+180.312515 64.835915 l
+180.344971 64.791214 l
+180.377426 64.165985 l
+180.409866 64.022789 l
+180.442322 64.038521 l
+180.474777 63.791264 l
+180.507233 63.776722 l
+180.539673 63.570614 l
+180.572128 63.605518 l
+180.604584 63.422054 l
+180.637039 63.364571 l
+180.669479 63.564484 l
+180.701935 63.423401 l
+180.734390 63.354836 l
+180.766846 63.422634 l
+180.799286 63.486214 l
+180.831741 63.540432 l
+180.864197 63.588615 l
+180.896652 63.786461 l
+180.929092 63.609215 l
+180.961548 63.560432 l
+180.994003 63.566036 l
+181.026443 63.492435 l
+181.058899 62.927357 l
+181.091354 62.525333 l
+181.123810 62.266502 l
+181.156250 61.916054 l
+181.188705 61.697716 l
+181.221161 61.866497 l
+181.253616 61.631874 l
+181.286057 61.460167 l
+181.318512 61.285172 l
+181.350967 61.605587 l
+181.383423 61.338280 l
+181.415863 61.117451 l
+181.448318 60.553814 l
+181.480774 60.130852 l
+181.513229 59.710758 l
+181.545654 59.124359 l
+181.578110 58.454857 l
+181.610565 57.969563 l
+181.643021 57.408218 l
+181.675461 56.651939 l
+181.707916 55.961220 l
+181.740372 55.555771 l
+181.772827 54.928444 l
+181.805267 54.774918 l
+181.837723 55.116657 l
+181.870178 56.055351 l
+181.902634 57.142529 l
+181.935074 59.243431 l
+181.967529 62.046608 l
+181.999985 65.056602 l
+182.032440 69.159386 l
+182.064880 73.676613 l
+182.097336 79.399284 l
+182.129791 84.981171 l
+182.162231 90.655479 l
+182.194687 95.453423 l
+182.227142 99.071762 l
+182.259598 101.196022 l
+182.292038 101.746819 l
+182.324493 100.489555 l
+182.356949 97.857819 l
+182.389404 93.105484 l
+182.421844 87.529991 l
+182.454300 80.272964 l
+182.486755 73.138367 l
+182.519211 66.078606 l
+182.551651 60.087246 l
+182.584106 55.327988 l
+182.616562 52.131443 l
+182.649017 50.803299 l
+182.681458 51.040241 l
+182.713913 52.351952 l
+182.746368 54.474209 l
+182.778824 56.032902 l
+182.811264 57.549000 l
+182.843719 58.734013 l
+182.876175 59.753231 l
+182.908615 60.485695 l
+182.941071 60.655018 l
+182.973526 60.531654 l
+183.005981 60.279690 l
+183.038422 59.997437 l
+183.070877 60.092083 l
+183.103333 60.286289 l
+183.135788 60.671787 l
+183.168228 60.874630 l
+183.200668 61.502724 l
+183.233124 61.791859 l
+183.265579 61.800457 l
+183.298035 61.659836 l
+183.330475 61.441666 l
+183.362930 61.382645 l
+183.395386 61.150520 l
+183.427826 61.057110 l
+183.460281 61.219685 l
+183.492737 61.229549 l
+183.525192 61.867386 l
+183.557632 62.376102 l
+183.590088 62.656303 l
+183.622543 62.696815 l
+183.654999 62.855366 l
+183.687439 62.900303 l
+183.719894 62.585926 l
+183.752350 62.234722 l
+183.784805 62.189278 l
+183.817245 61.758682 l
+183.849701 61.591045 l
+183.882156 61.585945 l
+183.914612 61.754059 l
+183.947052 61.475391 l
+183.979507 61.356396 l
+184.011963 61.014496 l
+184.044418 60.777309 l
+184.076859 60.705589 l
+184.109314 60.980946 l
+184.141769 61.235332 l
+184.174210 61.536724 l
+184.206665 62.287106 l
+184.239120 63.072750 l
+184.271576 64.138641 l
+184.304016 65.163101 l
+184.336472 65.776939 l
+184.368927 66.443710 l
+184.401382 67.259895 l
+184.433823 67.500916 l
+184.466278 67.635513 l
+184.498734 67.758003 l
+184.531189 67.846603 l
+184.563629 67.567673 l
+184.596085 67.498146 l
+184.628540 67.724678 l
+184.660995 67.502609 l
+184.693436 67.425865 l
+184.725891 67.749969 l
+184.758347 67.428101 l
+184.790787 67.193108 l
+184.823242 67.056290 l
+184.855698 67.101562 l
+184.888138 67.338951 l
+184.920593 67.245399 l
+184.953033 67.545036 l
+184.985489 67.609436 l
+185.017944 67.596962 l
+185.050400 67.865845 l
+185.082840 67.712555 l
+185.115295 67.700516 l
+185.147751 67.387329 l
+185.180206 67.525543 l
+185.212646 67.700119 l
+185.245102 67.431885 l
+185.277557 67.459755 l
+185.309998 67.728806 l
+185.342453 67.787682 l
+185.374908 68.349976 l
+185.407364 68.658424 l
+185.439804 69.229668 l
+185.472260 69.483192 l
+185.504715 70.331520 l
+185.537170 70.541267 l
+185.569611 70.744965 l
+185.602066 71.078300 l
+185.634521 71.354507 l
+185.666977 71.514374 l
+185.699417 71.667404 l
+185.731873 71.597855 l
+185.764328 71.742416 l
+185.796783 71.594200 l
+185.829224 71.762047 l
+185.861679 71.985069 l
+185.894135 71.762215 l
+185.926590 72.146751 l
+185.959030 72.264397 l
+185.991486 72.676994 l
+186.023941 72.784843 l
+186.056381 72.631477 l
+186.088837 72.763245 l
+186.121292 72.629097 l
+186.153748 72.346939 l
+186.186188 72.591064 l
+186.218643 72.000984 l
+186.251099 71.924065 l
+186.283554 71.552979 l
+186.315994 70.994888 l
+186.348450 70.857544 l
+186.380905 70.527122 l
+186.413361 70.162796 l
+186.445801 69.653404 l
+186.478256 69.153725 l
+186.510712 68.531792 l
+186.543152 68.112869 l
+186.575592 67.642990 l
+186.608047 67.200195 l
+186.640503 66.273148 l
+186.672958 65.710350 l
+186.705399 65.277275 l
+186.737854 64.967583 l
+186.770309 64.739250 l
+186.802765 64.267517 l
+186.835205 64.170967 l
+186.867661 64.220673 l
+186.900116 64.275673 l
+186.932571 64.246513 l
+186.965012 64.017807 l
+186.997467 63.902493 l
+187.029922 63.668552 l
+187.062378 63.510807 l
+187.094818 63.673439 l
+187.127274 63.446247 l
+187.159729 63.641865 l
+187.192169 63.565849 l
+187.224625 63.631618 l
+187.257080 63.702652 l
+187.289536 63.607437 l
+187.321976 63.445084 l
+187.354431 63.592106 l
+187.386887 63.287693 l
+187.419342 63.239361 l
+187.451782 63.389881 l
+187.484238 63.310482 l
+187.516693 63.381077 l
+187.549149 63.609859 l
+187.581589 63.753075 l
+187.614044 64.080467 l
+187.646500 64.364464 l
+187.678955 64.774948 l
+187.711395 64.895630 l
+187.743851 65.140259 l
+187.776306 65.297844 l
+187.808762 65.298454 l
+187.841202 65.638641 l
+187.873657 65.857597 l
+187.906113 65.777100 l
+187.938553 65.844810 l
+187.971008 65.823273 l
+188.003464 66.037193 l
+188.035919 65.760567 l
+188.068359 65.873375 l
+188.100815 65.822922 l
+188.133270 65.623299 l
+188.165726 65.934326 l
+188.198166 65.906914 l
+188.230606 65.692642 l
+188.263062 65.703369 l
+188.295517 65.479881 l
+188.327972 65.714973 l
+188.360413 65.639297 l
+188.392868 65.708420 l
+188.425323 65.925339 l
+188.457764 65.901947 l
+188.490219 66.269402 l
+188.522675 66.373077 l
+188.555130 66.416649 l
+188.587570 66.508224 l
+188.620026 66.521454 l
+188.652481 66.539276 l
+188.684937 66.419594 l
+188.717377 66.199188 l
+188.749832 66.042397 l
+188.782288 65.724907 l
+188.814743 65.771057 l
+188.847183 65.660126 l
+188.879639 65.563034 l
+188.912094 65.619141 l
+188.944550 65.556427 l
+188.976990 65.730316 l
+189.009445 65.750618 l
+189.041901 65.889847 l
+189.074341 65.972290 l
+189.106796 66.111282 l
+189.139252 66.186020 l
+189.171707 66.405487 l
+189.204147 66.268196 l
+189.236603 66.329674 l
+189.269058 66.235542 l
+189.301514 66.144882 l
+189.333954 65.830109 l
+189.366409 65.912704 l
+189.398865 65.857681 l
+189.431320 65.713318 l
+189.463760 65.626373 l
+189.496216 65.630623 l
+189.528671 65.540596 l
+189.561127 65.186531 l
+189.593567 65.432053 l
+189.626022 65.688828 l
+189.658478 65.651672 l
+189.690933 65.924339 l
+189.723373 66.185104 l
+189.755829 66.331764 l
+189.788284 66.211128 l
+189.820724 66.334381 l
+189.853165 66.420364 l
+189.885620 65.769875 l
+189.918076 65.461304 l
+189.950531 65.115250 l
+189.982971 64.768387 l
+190.015427 64.563103 l
+190.047882 64.261444 l
+190.080338 64.746277 l
+190.112778 64.131950 l
+190.145233 64.428284 l
+190.177689 64.722641 l
+190.210144 65.113792 l
+190.242584 65.367699 l
+190.275040 65.653381 l
+190.307495 65.733437 l
+190.339935 65.665375 l
+190.372391 65.614456 l
+190.404846 66.153763 l
+190.437302 65.664017 l
+190.469742 65.429855 l
+190.502197 64.947083 l
+190.534653 65.076874 l
+190.567108 64.991402 l
+190.599548 64.790062 l
+190.632004 64.927628 l
+190.664459 65.249466 l
+190.696915 65.293381 l
+190.729355 65.337868 l
+190.761810 66.108681 l
+190.794266 66.170181 l
+190.826721 66.104561 l
+190.859161 66.002594 l
+190.891617 66.094200 l
+190.924072 65.928886 l
+190.956512 65.547569 l
+190.988968 65.951790 l
+191.021423 65.714569 l
+191.053879 65.007553 l
+191.086319 65.257317 l
+191.118774 65.235985 l
+191.151230 65.180038 l
+191.183685 65.183052 l
+191.216125 65.185883 l
+191.248581 65.131989 l
+191.281036 65.241051 l
+191.313492 65.668709 l
+191.345932 65.613449 l
+191.378387 65.402168 l
+191.410843 65.570419 l
+191.443298 65.479958 l
+191.475739 65.134247 l
+191.508194 65.323112 l
+191.540634 65.565720 l
+191.573090 65.193527 l
+191.605530 65.071388 l
+191.637985 65.246666 l
+191.670441 65.258018 l
+191.702896 64.915428 l
+191.735336 65.251572 l
+191.767792 65.114540 l
+191.800247 64.754417 l
+191.832703 64.842873 l
+191.865143 64.910179 l
+191.897598 64.719749 l
+191.930054 64.549873 l
+191.962509 64.591553 l
+191.994949 64.571404 l
+192.027405 64.414825 l
+192.059860 64.313828 l
+192.092316 64.235855 l
+192.124756 64.007027 l
+192.157211 64.238449 l
+192.189667 64.177780 l
+192.222107 64.131950 l
+192.254562 64.153343 l
+192.287018 64.164894 l
+192.319473 64.337929 l
+192.351913 64.633377 l
+192.384369 64.555321 l
+192.416824 64.477951 l
+192.449280 64.113213 l
+192.481720 64.076172 l
+192.514175 63.612675 l
+192.546631 63.224651 l
+192.579086 63.138359 l
+192.611526 62.913620 l
+192.643982 63.007500 l
+192.676437 63.387318 l
+192.708893 63.768681 l
+192.741333 63.929405 l
+192.773788 64.212288 l
+192.806244 64.656204 l
+192.838684 64.948540 l
+192.871140 65.120689 l
+192.903595 65.608826 l
+192.936050 65.843086 l
+192.968491 66.035843 l
+193.000946 66.107368 l
+193.033401 66.333824 l
+193.065857 66.019341 l
+193.098297 65.646942 l
+193.130753 65.392113 l
+193.163208 65.014542 l
+193.195648 65.187408 l
+193.228104 65.490692 l
+193.260544 65.677895 l
+193.292999 65.716537 l
+193.325455 66.206619 l
+193.357895 66.802010 l
+193.390350 67.378769 l
+193.422806 67.820122 l
+193.455261 68.183624 l
+193.487701 68.126259 l
+193.520157 68.390846 l
+193.552612 68.696060 l
+193.585068 68.723343 l
+193.617508 68.154434 l
+193.649963 67.794266 l
+193.682419 67.952736 l
+193.714874 67.944023 l
+193.747314 68.044670 l
+193.779770 68.297287 l
+193.812225 67.966942 l
+193.844681 67.668251 l
+193.877121 67.495705 l
+193.909576 67.099831 l
+193.942032 66.482285 l
+193.974487 65.609200 l
+194.006927 65.193298 l
+194.039383 64.670525 l
+194.071838 64.247803 l
+194.104279 64.304901 l
+194.136734 63.799789 l
+194.169189 63.393185 l
+194.201645 63.503216 l
+194.234085 63.328915 l
+194.266541 63.315094 l
+194.298996 63.176598 l
+194.331451 63.186947 l
+194.363892 63.369381 l
+194.396347 63.359489 l
+194.428802 63.540180 l
+194.461258 63.505302 l
+194.493698 63.446846 l
+194.526154 63.720322 l
+194.558609 63.768272 l
+194.591064 63.865463 l
+194.623505 63.752426 l
+194.655960 63.592911 l
+194.688416 63.276531 l
+194.720856 62.968983 l
+194.753311 62.886585 l
+194.785767 62.373329 l
+194.818222 62.117870 l
+194.850662 62.098686 l
+194.883102 62.255421 l
+194.915558 61.920876 l
+194.948013 61.821136 l
+194.980469 61.907757 l
+195.012909 61.627720 l
+195.045364 61.183506 l
+195.077820 61.235043 l
+195.110275 60.587593 l
+195.142715 60.241856 l
+195.175171 60.096764 l
+195.207626 60.166348 l
+195.240067 59.267189 l
+195.272522 58.921509 l
+195.304977 58.487358 l
+195.337433 58.035931 l
+195.369873 57.210720 l
+195.402328 57.206059 l
+195.434784 56.180592 l
+195.467239 55.241943 l
+195.499680 54.671307 l
+195.532135 54.653675 l
+195.564590 54.324764 l
+195.597046 55.171814 l
+195.629486 56.656311 l
+195.661942 58.487514 l
+195.694397 60.596653 l
+195.726852 63.991814 l
+195.759293 67.781815 l
+195.791748 72.096367 l
+195.824203 77.871727 l
+195.856659 83.896774 l
+195.889099 89.733116 l
+195.921555 95.290916 l
+195.954010 99.618088 l
+195.986450 102.583900 l
+196.018906 103.835739 l
+196.051361 103.103905 l
+196.083817 100.501526 l
+196.116257 95.403023 l
+196.148712 89.657814 l
+196.181168 82.399139 l
+196.213623 74.534897 l
+196.246063 67.363831 l
+196.278519 60.275227 l
+196.310974 54.594273 l
+196.343430 50.945221 l
+196.375870 49.169975 l
+196.408325 49.488857 l
+196.440781 50.468445 l
+196.473236 52.381207 l
+196.505661 54.436142 l
+196.538116 56.161293 l
+196.570572 57.868706 l
+196.603027 58.990448 l
+196.635468 59.613911 l
+196.667923 60.014626 l
+196.700378 60.190678 l
+196.732834 60.473671 l
+196.765274 60.275799 l
+196.797729 60.705925 l
+196.830185 60.683308 l
+196.862640 60.920483 l
+196.895081 61.659527 l
+196.927536 62.103851 l
+196.959991 61.927792 l
+196.992447 62.067081 l
+197.024887 61.920162 l
+197.057343 61.964397 l
+197.089798 61.434467 l
+197.122253 61.975796 l
+197.154694 62.185631 l
+197.187149 62.339230 l
+197.219604 63.059250 l
+197.252045 63.850414 l
+197.284500 64.009903 l
+197.316956 64.619629 l
+197.349411 64.667999 l
+197.381851 64.650566 l
+197.414307 64.589645 l
+197.446762 64.207169 l
+197.479218 64.062958 l
+197.511658 64.406593 l
+197.544113 64.336288 l
+197.576569 64.822647 l
+197.609024 64.739372 l
+197.641464 65.579681 l
+197.673920 65.831261 l
+197.706375 65.682312 l
+197.738831 65.744850 l
+197.771271 65.396317 l
+197.803726 64.771927 l
+197.836182 64.774994 l
+197.868622 63.916378 l
+197.901077 63.656818 l
+197.933533 62.931877 l
+197.965988 63.490612 l
+197.998428 63.310200 l
+198.030884 63.300945 l
+198.063339 63.415131 l
+198.095795 63.759155 l
+198.128235 64.012444 l
+198.160675 64.486427 l
+198.193130 64.653893 l
+198.225586 64.513481 l
+198.258041 64.250618 l
+198.290482 64.654053 l
+198.322937 64.564873 l
+198.355392 64.410263 l
+198.387833 64.214157 l
+198.420288 64.251038 l
+198.452744 64.378136 l
+198.485199 64.528770 l
+198.517639 64.707146 l
+198.550095 64.548630 l
+198.582550 64.522255 l
+198.615005 64.407295 l
+198.647446 64.422714 l
+198.679901 64.649368 l
+198.712357 64.367653 l
+198.744812 64.374466 l
+198.777252 64.327316 l
+198.809708 64.507790 l
+198.842163 64.893524 l
+198.874619 65.122818 l
+198.907059 65.486137 l
+198.939514 65.697044 l
+198.971970 65.893188 l
+199.004425 66.334923 l
+199.036865 66.477112 l
+199.069321 66.625221 l
+199.101776 66.428513 l
+199.134216 67.031631 l
+199.166672 67.464462 l
+199.199127 67.453461 l
+199.231583 67.829460 l
+199.264023 68.194862 l
+199.296478 68.700226 l
+199.328934 68.989799 l
+199.361389 69.501419 l
+199.393829 69.868805 l
+199.426285 69.680290 l
+199.458740 70.438263 l
+199.491196 71.126633 l
+199.523636 70.857071 l
+199.556091 70.671333 l
+199.588547 70.884888 l
+199.621002 70.856110 l
+199.653442 70.970947 l
+199.685898 71.357010 l
+199.718353 71.344307 l
+199.750793 71.321632 l
+199.783249 71.400642 l
+199.815689 71.628151 l
+199.848145 71.345337 l
+199.880600 70.969620 l
+199.913040 70.994858 l
+199.945496 70.630737 l
+199.977951 70.470589 l
+200.010406 70.139755 l
+200.042847 70.371216 l
+200.075302 70.022789 l
+200.107758 69.621796 l
+200.140213 69.358757 l
+200.172653 68.612091 l
+200.205109 67.926544 l
+200.237564 67.599625 l
+200.270004 66.985100 l
+200.302460 66.485123 l
+200.334915 65.548950 l
+200.367371 65.498177 l
+200.399811 64.790504 l
+200.432266 64.349022 l
+200.464722 64.243179 l
+200.497177 63.540977 l
+200.529617 62.779690 l
+200.562073 62.603733 l
+200.594528 62.129463 l
+200.626984 61.797546 l
+200.659424 61.573200 l
+200.691879 61.861622 l
+200.724335 61.644413 l
+200.756790 61.849586 l
+200.789230 62.192265 l
+200.821686 62.033722 l
+200.854141 61.777203 l
+200.886597 62.102325 l
+200.919037 62.030663 l
+200.951492 62.091740 l
+200.983948 61.839264 l
+201.016388 61.968628 l
+201.048843 62.074860 l
+201.081299 62.367237 l
+201.113754 62.570473 l
+201.146194 62.705257 l
+201.178650 62.593307 l
+201.211105 62.836063 l
+201.243561 62.989914 l
+201.276001 63.272732 l
+201.308456 63.342625 l
+201.340912 63.296780 l
+201.373367 63.271534 l
+201.405807 63.494690 l
+201.438263 63.541080 l
+201.470718 63.819496 l
+201.503159 64.001923 l
+201.535599 64.162086 l
+201.568054 64.092110 l
+201.600510 64.188171 l
+201.632965 64.395943 l
+201.665405 64.377922 l
+201.697861 64.314514 l
+201.730316 64.277130 l
+201.762772 64.276283 l
+201.795212 64.477196 l
+201.827667 64.814682 l
+201.860123 65.185509 l
+201.892578 65.183723 l
+201.925018 65.199791 l
+201.957474 65.590477 l
+201.989929 65.107384 l
+202.022385 65.022926 l
+202.054825 64.666832 l
+202.087280 64.281631 l
+202.119736 63.929752 l
+202.152176 63.873386 l
+202.184631 64.027519 l
+202.217087 64.124161 l
+202.249542 64.123978 l
+202.281982 64.586357 l
+202.314438 64.586868 l
+202.346893 64.879143 l
+202.379349 65.148056 l
+202.411789 65.232025 l
+202.444244 65.144455 l
+202.476700 65.399551 l
+202.509155 65.459091 l
+202.541595 65.538681 l
+202.574051 65.347572 l
+202.606506 65.320526 l
+202.638962 65.174324 l
+202.671402 65.221024 l
+202.703857 65.147247 l
+202.736313 64.894310 l
+202.768768 64.853554 l
+202.801208 64.845901 l
+202.833664 64.783424 l
+202.866119 64.704803 l
+202.898560 64.664703 l
+202.931015 64.685326 l
+202.963470 64.701714 l
+202.995926 64.841644 l
+203.028366 65.070351 l
+203.060822 65.088676 l
+203.093277 65.639961 l
+203.125732 65.741302 l
+203.158173 65.850243 l
+203.190613 65.671951 l
+203.223068 65.874840 l
+203.255524 65.600204 l
+203.287979 65.485023 l
+203.320419 65.318947 l
+203.352875 65.229416 l
+203.385330 65.042603 l
+203.417770 64.989861 l
+203.450226 64.822372 l
+203.482681 64.923386 l
+203.515137 64.821228 l
+203.547577 65.155891 l
+203.580032 65.147476 l
+203.612488 65.252548 l
+203.644943 65.430061 l
+203.677383 65.527534 l
+203.709839 65.414330 l
+203.742294 65.093796 l
+203.774750 64.984322 l
+203.807190 64.899841 l
+203.839645 64.686111 l
+203.872101 64.710106 l
+203.904556 64.665749 l
+203.936996 64.690308 l
+203.969452 65.073204 l
+204.001907 65.020378 l
+204.034348 64.898911 l
+204.066803 64.625900 l
+204.099258 64.657799 l
+204.131714 64.479919 l
+204.164154 64.428688 l
+204.196609 64.615440 l
+204.229065 64.443321 l
+204.261520 64.375214 l
+204.293961 64.627129 l
+204.326416 64.773613 l
+204.358871 64.705452 l
+204.391327 64.729851 l
+204.423767 64.830208 l
+204.456223 64.756065 l
+204.488678 64.854248 l
+204.521133 65.258514 l
+204.553574 65.159760 l
+204.586029 65.102516 l
+204.618484 65.057510 l
+204.650940 64.876686 l
+204.683380 64.555283 l
+204.715836 64.440468 l
+204.748291 64.305939 l
+204.780731 63.908417 l
+204.813171 63.840794 l
+204.845627 63.913750 l
+204.878082 63.957161 l
+204.910538 63.961651 l
+204.942978 64.437790 l
+204.975433 64.528137 l
+205.007889 64.510239 l
+205.040344 64.661034 l
+205.072784 64.895828 l
+205.105240 64.573990 l
+205.137695 64.510834 l
+205.170151 64.406006 l
+205.202591 64.408951 l
+205.235046 64.458069 l
+205.267502 64.960564 l
+205.299942 64.965889 l
+205.332397 65.109116 l
+205.364853 65.295235 l
+205.397308 65.402802 l
+205.429749 65.128227 l
+205.462204 65.108932 l
+205.494659 65.129089 l
+205.527115 64.729683 l
+205.559555 64.773071 l
+205.592010 64.902832 l
+205.624466 64.502579 l
+205.656921 64.236542 l
+205.689362 64.257042 l
+205.721817 64.408257 l
+205.754272 63.927326 l
+205.786728 64.085541 l
+205.819168 64.407509 l
+205.851624 64.024544 l
+205.884079 64.043030 l
+205.916519 63.930321 l
+205.948975 63.450447 l
+205.981430 63.127121 l
+206.013885 62.962299 l
+206.046326 63.127308 l
+206.078781 62.677715 l
+206.111237 62.848507 l
+206.143692 63.135551 l
+206.176132 63.247868 l
+206.208588 63.576340 l
+206.241043 63.776562 l
+206.273499 63.830875 l
+206.305939 64.099380 l
+206.338394 64.578072 l
+206.370850 64.920242 l
+206.403305 65.193092 l
+206.435745 65.206657 l
+206.468185 65.441971 l
+206.500641 65.163933 l
+206.533096 65.447083 l
+206.565536 65.155563 l
+206.597992 65.035728 l
+206.630447 64.829987 l
+206.662903 64.905983 l
+206.695343 65.092934 l
+206.727798 65.522873 l
+206.760254 65.608673 l
+206.792709 66.285217 l
+206.825150 66.397789 l
+206.857605 67.220779 l
+206.890060 67.597694 l
+206.922516 67.778244 l
+206.954956 68.108971 l
+206.987411 68.224648 l
+207.019867 68.418556 l
+207.052322 68.699509 l
+207.084763 68.666679 l
+207.117218 68.507820 l
+207.149673 68.405891 l
+207.182114 68.281662 l
+207.214569 67.944786 l
+207.247025 67.461670 l
+207.279480 67.069054 l
+207.311920 66.628036 l
+207.344376 65.910339 l
+207.376831 65.512009 l
+207.409286 65.235641 l
+207.441727 64.732155 l
+207.474182 64.386932 l
+207.506638 64.251114 l
+207.539093 63.761440 l
+207.571533 63.658791 l
+207.603989 63.725655 l
+207.636444 63.819057 l
+207.668900 63.586185 l
+207.701340 63.676254 l
+207.733795 63.730709 l
+207.766251 63.704189 l
+207.798691 63.846325 l
+207.831146 64.089836 l
+207.863602 63.890690 l
+207.896057 63.673100 l
+207.928497 63.829189 l
+207.960953 63.760963 l
+207.993408 63.269661 l
+208.025864 63.186665 l
+208.058304 63.159847 l
+208.090759 62.857914 l
+208.123215 62.992573 l
+208.155655 63.018402 l
+208.188110 63.027657 l
+208.220551 62.877274 l
+208.253006 62.729511 l
+208.285461 62.795441 l
+208.317902 61.985340 l
+208.350357 61.608387 l
+208.382812 61.364922 l
+208.415268 61.260578 l
+208.447708 60.943607 l
+208.480164 60.650406 l
+208.512619 60.641159 l
+208.545074 60.426350 l
+208.577515 60.155663 l
+208.609970 60.283791 l
+208.642426 59.494907 l
+208.674881 59.047188 l
+208.707321 58.421814 l
+208.739777 58.065868 l
+208.772232 57.199265 l
+208.804688 56.487679 l
+208.837128 55.974464 l
+208.869583 55.217087 l
+208.902039 54.363899 l
+208.934494 53.883171 l
+208.966934 53.181896 l
+208.999390 53.150185 l
+209.031845 53.552864 l
+209.064285 54.555073 l
+209.096741 55.907471 l
+209.129196 58.265312 l
+209.161652 61.125835 l
+209.194092 65.065018 l
+209.226547 69.519478 l
+209.259003 75.330574 l
+209.291458 82.342644 l
+209.323898 89.400452 l
+209.356354 96.419746 l
+209.388809 102.272484 l
+209.421265 106.819153 l
+209.453705 109.325081 l
+209.486160 109.667938 l
+209.518616 107.510719 l
+209.551071 102.814888 l
+209.583511 96.343285 l
+209.615967 88.393288 l
+209.648422 79.407127 l
+209.680878 70.525322 l
+209.713318 62.271431 l
+209.745773 55.740807 l
+209.778229 50.481853 l
+209.810669 47.900562 l
+209.843109 47.446499 l
+209.875565 48.119671 l
+209.908020 50.418800 l
+209.940475 52.595108 l
+209.972916 54.608459 l
+210.005371 56.310787 l
+210.037827 57.733829 l
+210.070282 58.939533 l
+210.102722 59.545139 l
+210.135178 59.517235 l
+210.167633 59.889652 l
+210.200073 59.714848 l
+210.232529 59.685947 l
+210.264984 59.428333 l
+210.297440 59.758633 l
+210.329880 60.198288 l
+210.362335 60.537663 l
+210.394791 60.818584 l
+210.427246 61.645340 l
+210.459686 61.800064 l
+210.492142 61.977676 l
+210.524597 62.237228 l
+210.557053 62.534401 l
+210.589493 62.553619 l
+210.621948 62.775414 l
+210.654404 63.289360 l
+210.686859 63.530468 l
+210.719299 63.507812 l
+210.751755 63.843666 l
+210.784210 63.920094 l
+210.816666 63.753197 l
+210.849106 63.757629 l
+210.881561 63.535725 l
+210.914017 63.841084 l
+210.946457 63.643314 l
+210.978912 63.919983 l
+211.011368 64.186600 l
+211.043823 64.272736 l
+211.076263 64.474686 l
+211.108719 64.636620 l
+211.141174 64.787422 l
+211.173630 64.871643 l
+211.206070 64.814415 l
+211.238525 64.917938 l
+211.270981 65.020500 l
+211.303436 65.016914 l
+211.335876 64.996208 l
+211.368332 64.835289 l
+211.400787 64.774857 l
+211.433243 64.553917 l
+211.465668 64.420219 l
+211.498123 64.112892 l
+211.530579 63.884064 l
+211.563034 63.617825 l
+211.595474 63.577499 l
+211.627930 63.441437 l
+211.660385 63.443298 l
+211.692841 63.520969 l
+211.725281 63.722584 l
+211.757736 63.642807 l
+211.790192 63.532059 l
+211.822647 63.579586 l
+211.855087 63.501850 l
+211.887543 63.634453 l
+211.919998 63.659389 l
+211.952454 63.446396 l
+211.984894 63.622604 l
+212.017349 63.750042 l
+212.049805 64.189346 l
+212.082245 64.449379 l
+212.114700 64.493690 l
+212.147156 64.778839 l
+212.179611 64.894028 l
+212.212051 65.142769 l
+212.244507 65.128761 l
+212.276962 65.096809 l
+212.309418 64.979607 l
+212.341858 64.644859 l
+212.374313 64.824989 l
+212.406769 65.092979 l
+212.439224 65.294205 l
+212.471664 65.889862 l
+212.504120 66.155602 l
+212.536575 66.686020 l
+212.569031 67.071182 l
+212.601471 67.543945 l
+212.633926 67.717896 l
+212.666382 67.891945 l
+212.698837 68.074310 l
+212.731277 68.439751 l
+212.763733 68.757225 l
+212.796188 69.385948 l
+212.828629 69.865524 l
+212.861084 70.288673 l
+212.893539 70.809540 l
+212.925995 71.469238 l
+212.958435 71.651749 l
+212.990891 72.023926 l
+213.023346 72.170586 l
+213.055801 72.285942 l
+213.088242 72.156288 l
+213.120682 71.919777 l
+213.153137 71.790512 l
+213.185593 71.349792 l
+213.218048 71.127457 l
+213.250488 71.217270 l
+213.282944 71.201988 l
+213.315399 71.295021 l
+213.347839 71.297234 l
+213.380295 71.431160 l
+213.412750 71.328926 l
+213.445206 71.150978 l
+213.477646 70.916328 l
+213.510101 70.386337 l
+213.542557 70.086868 l
+213.575012 69.822273 l
+213.607452 69.063698 l
+213.639908 68.514763 l
+213.672363 68.002853 l
+213.704819 67.353004 l
+213.737259 66.240829 l
+213.769714 65.586014 l
+213.802170 65.078415 l
+213.834625 64.475647 l
+213.867065 63.818298 l
+213.899521 63.828506 l
+213.931976 63.355968 l
+213.964432 63.019150 l
+213.996872 63.003822 l
+214.029327 63.010307 l
+214.061783 62.507000 l
+214.094223 62.240749 l
+214.126678 62.303436 l
+214.159134 62.225887 l
+214.191589 61.934399 l
+214.224030 61.972885 l
+214.256485 61.700363 l
+214.288940 61.536358 l
+214.321396 61.406853 l
+214.353836 61.753941 l
+214.386292 61.679703 l
+214.418747 61.733109 l
+214.451202 61.770416 l
+214.483643 62.195839 l
+214.516098 62.415516 l
+214.548553 62.442188 l
+214.581009 62.688122 l
+214.613449 62.885174 l
+214.645905 62.741966 l
+214.678360 62.845028 l
+214.710800 62.863415 l
+214.743256 62.949387 l
+214.775696 62.566601 l
+214.808151 62.813873 l
+214.840607 63.110016 l
+214.873047 63.120422 l
+214.905502 63.140598 l
+214.937958 63.566833 l
+214.970413 63.662834 l
+215.002853 63.550297 l
+215.035309 63.604656 l
+215.067764 63.879368 l
+215.100220 63.710392 l
+215.132660 63.975277 l
+215.165115 64.086235 l
+215.197571 64.337875 l
+215.230011 64.260666 l
+215.262466 64.685478 l
+215.294922 64.696587 l
+215.327377 64.800919 l
+215.359818 64.792419 l
+215.392273 65.022484 l
+215.424728 64.929413 l
+215.457184 64.961662 l
+215.489624 65.116844 l
+215.522079 65.098045 l
+215.554535 65.017776 l
+215.586990 65.138496 l
+215.619431 65.115234 l
+215.651886 65.110893 l
+215.684341 65.114693 l
+215.716797 65.106117 l
+215.749237 64.896156 l
+215.781693 64.771149 l
+215.814148 64.752747 l
+215.846603 64.933266 l
+215.879044 64.924515 l
+215.911499 65.202835 l
+215.943954 65.359688 l
+215.976395 65.099396 l
+216.008850 65.155968 l
+216.041306 65.236092 l
+216.073761 64.667297 l
+216.106201 64.858566 l
+216.138657 64.596092 l
+216.171112 64.698280 l
+216.203568 64.623108 l
+216.236008 64.833382 l
+216.268463 65.145103 l
+216.300919 64.995827 l
+216.333374 65.034218 l
+216.365814 65.091621 l
+216.398270 64.741470 l
+216.430710 64.921066 l
+216.463165 64.699219 l
+216.495605 64.378227 l
+216.528061 64.328636 l
+216.560516 64.045555 l
+216.592972 63.775616 l
+216.625412 63.626965 l
+216.657867 63.318134 l
+216.690323 63.141617 l
+216.722778 63.075512 l
+216.755219 63.153286 l
+216.787674 63.022938 l
+216.820129 62.857201 l
+216.852585 62.749352 l
+216.885025 62.553658 l
+216.917480 61.989834 l
+216.949936 61.797913 l
+216.982391 61.357098 l
+217.014832 61.431183 l
+217.047287 61.563232 l
+217.079742 61.974251 l
+217.112183 62.288212 l
+217.144638 62.778744 l
+217.177094 63.531601 l
+217.209549 64.403976 l
+217.241989 65.185257 l
+217.274445 65.669838 l
+217.306900 66.240486 l
+217.339355 67.000023 l
+217.371796 67.157028 l
+217.404251 67.573174 l
+217.436707 67.718399 l
+217.469162 67.482483 l
+217.501602 67.520706 l
+217.534058 67.677628 l
+217.566513 67.862152 l
+217.598969 67.751099 l
+217.631409 67.735352 l
+217.663864 67.743584 l
+217.696320 67.567169 l
+217.728775 67.648659 l
+217.761215 67.742928 l
+217.793671 67.544571 l
+217.826126 67.560028 l
+217.858566 67.525917 l
+217.891022 67.318108 l
+217.923477 67.192848 l
+217.955933 67.031502 l
+217.988373 66.772560 l
+218.020828 66.543663 l
+218.053284 66.579300 l
+218.085739 66.538795 l
+218.118179 66.424133 l
+218.150620 66.636887 l
+218.183075 66.816803 l
+218.215530 66.892563 l
+218.247986 66.799759 l
+218.280426 66.843109 l
+218.312881 66.963959 l
+218.345337 66.769783 l
+218.377777 66.737953 l
+218.410233 66.553040 l
+218.442688 66.454765 l
+218.475143 66.242149 l
+218.507584 66.092361 l
+218.540039 66.064148 l
+218.572495 65.801682 l
+218.604950 65.838074 l
+218.637390 65.999039 l
+218.669846 65.915741 l
+218.702301 66.101799 l
+218.734756 65.928093 l
+218.767197 66.026749 l
+218.799652 66.104263 l
+218.832108 65.873634 l
+218.864563 65.690872 l
+218.897003 65.629494 l
+218.929459 65.471611 l
+218.961914 65.548485 l
+218.994354 65.314415 l
+219.026810 65.647064 l
+219.059265 65.579681 l
+219.091721 65.399361 l
+219.124161 65.549149 l
+219.156616 65.471237 l
+219.189072 65.051292 l
+219.221527 64.826782 l
+219.253967 64.599960 l
+219.286423 64.404015 l
+219.318878 64.132469 l
+219.351334 64.011345 l
+219.383774 64.129318 l
+219.416229 64.051682 l
+219.448685 64.361519 l
+219.481140 64.652481 l
+219.513580 64.754288 l
+219.546036 64.739006 l
+219.578491 64.884323 l
+219.610947 65.009956 l
+219.643387 65.290443 l
+219.675842 65.394302 l
+219.708298 65.536667 l
+219.740738 65.635300 l
+219.773178 65.808006 l
+219.805634 65.982010 l
+219.838089 66.055336 l
+219.870544 66.052231 l
+219.902985 66.040276 l
+219.935440 66.225945 l
+219.967896 66.353813 l
+220.000351 66.696640 l
+220.032791 66.985985 l
+220.065247 67.497238 l
+220.097702 68.005569 l
+220.130157 68.502502 l
+220.162598 69.222397 l
+220.195053 69.521477 l
+220.227509 69.707764 l
+220.259949 69.817459 l
+220.292404 69.727585 l
+220.324860 69.705605 l
+220.357315 69.413795 l
+220.389755 69.270515 l
+220.422211 69.276527 l
+220.454666 69.044289 l
+220.487122 69.026306 l
+220.519562 68.891472 l
+220.552017 68.645729 l
+220.584473 68.364906 l
+220.616928 68.126312 l
+220.649368 67.809875 l
+220.681824 67.226448 l
+220.714279 66.656860 l
+220.746735 66.426514 l
+220.779175 65.934914 l
+220.811630 65.487320 l
+220.844086 65.264847 l
+220.876526 64.971016 l
+220.908981 64.781967 l
+220.941437 64.978088 l
+220.973892 64.755898 l
+221.006332 64.564636 l
+221.038788 64.236702 l
+221.071243 64.105354 l
+221.103699 63.776608 l
+221.136139 63.583572 l
+221.168594 63.599033 l
+221.201050 63.554974 l
+221.233505 63.550606 l
+221.265945 63.735004 l
+221.298401 63.660534 l
+221.330856 63.528015 l
+221.363312 63.471127 l
+221.395752 63.182529 l
+221.428192 62.940102 l
+221.460648 62.551918 l
+221.493103 62.466160 l
+221.525543 62.213013 l
+221.557999 62.009560 l
+221.590454 62.041237 l
+221.622910 61.989441 l
+221.655350 61.723179 l
+221.687805 61.529648 l
+221.720261 61.380558 l
+221.752716 61.260082 l
+221.785156 61.028812 l
+221.817612 60.891598 l
+221.850067 60.517315 l
+221.882523 60.213223 l
+221.914963 60.259872 l
+221.947418 60.060612 l
+221.979874 59.817314 l
+222.012329 59.473469 l
+222.044769 59.111698 l
+222.077225 58.346512 l
+222.109680 57.785633 l
+222.142120 57.154835 l
+222.174576 55.870346 l
+222.207031 54.859318 l
+222.239487 53.908699 l
+222.271927 52.891708 l
+222.304382 52.125256 l
+222.336838 52.116272 l
+222.369293 53.186157 l
+222.401733 54.308834 l
+222.434189 56.304070 l
+222.466644 59.572361 l
+222.499100 63.016239 l
+222.531540 67.670174 l
+222.563995 73.630257 l
+222.596451 80.676086 l
+222.628906 87.981438 l
+222.661346 95.240402 l
+222.693802 102.213806 l
+222.726257 107.633018 l
+222.758698 111.126106 l
+222.791153 112.637093 l
+222.823608 111.640785 l
+222.856064 107.933540 l
+222.888504 102.280525 l
+222.920959 94.749016 l
+222.953415 85.689384 l
+222.985870 76.161499 l
+223.018311 67.001984 l
+223.050766 58.972771 l
+223.083206 52.519722 l
+223.115662 48.149261 l
+223.148117 46.091770 l
+223.180557 46.037212 l
+223.213013 47.747665 l
+223.245468 50.188774 l
+223.277908 52.483646 l
+223.310364 54.526642 l
+223.342819 56.572689 l
+223.375275 58.055649 l
+223.407715 58.948498 l
+223.440170 59.549530 l
+223.472626 59.476753 l
+223.505081 59.386562 l
+223.537521 59.457821 l
+223.569977 59.617722 l
+223.602432 59.852604 l
+223.634888 60.183090 l
+223.667328 60.741993 l
+223.699783 61.123768 l
+223.732239 61.390114 l
+223.764694 61.703564 l
+223.797134 61.669586 l
+223.829590 61.711979 l
+223.862045 61.871475 l
+223.894501 61.886223 l
+223.926941 61.980156 l
+223.959396 62.363281 l
+223.991852 62.635803 l
+224.024292 62.784958 l
+224.056747 63.204887 l
+224.089203 63.476162 l
+224.121658 63.685696 l
+224.154099 63.934711 l
+224.186554 64.220070 l
+224.219009 64.405716 l
+224.251465 64.529961 l
+224.283905 64.773331 l
+224.316360 65.056358 l
+224.348816 64.919754 l
+224.381271 65.042511 l
+224.413712 65.036446 l
+224.446167 64.883720 l
+224.478622 64.804588 l
+224.511078 64.738228 l
+224.543518 64.456558 l
+224.575974 64.444359 l
+224.608429 64.481728 l
+224.640869 64.533607 l
+224.673325 64.484413 l
+224.705780 64.479118 l
+224.738235 64.535385 l
+224.770676 64.277313 l
+224.803116 64.401237 l
+224.835571 64.770218 l
+224.868027 64.664703 l
+224.900482 64.509285 l
+224.932922 64.482224 l
+224.965378 64.430122 l
+224.997833 64.225258 l
+225.030289 64.090538 l
+225.062729 64.114258 l
+225.095184 63.699894 l
+225.127640 63.677471 l
+225.160080 64.032394 l
+225.192535 64.221664 l
+225.224991 64.142921 l
+225.257446 63.965210 l
+225.289886 63.839737 l
+225.322342 63.875202 l
+225.354797 63.837482 l
+225.387253 63.843536 l
+225.419693 63.728958 l
+225.452148 63.621902 l
+225.484604 63.782467 l
+225.517059 63.822247 l
+225.549500 64.023994 l
+225.581955 64.304733 l
+225.614410 64.288132 l
+225.646866 64.611786 l
+225.679306 64.990089 l
+225.711761 65.295174 l
+225.744217 65.530365 l
+225.776672 65.760033 l
+225.809113 66.126144 l
+225.841568 66.199440 l
+225.874023 66.500130 l
+225.906464 67.040215 l
+225.938919 67.549286 l
+225.971375 67.767509 l
+226.003830 68.100914 l
+226.036270 68.613449 l
+226.068726 68.939590 l
+226.101181 69.208412 l
+226.133636 69.676559 l
+226.166077 69.999245 l
+226.198532 70.316238 l
+226.230988 70.576790 l
+226.263443 71.046120 l
+226.295883 71.254608 l
+226.328339 71.603645 l
+226.360794 71.804947 l
+226.393250 72.010979 l
+226.425674 71.991310 l
+226.458130 71.845848 l
+226.490585 71.897011 l
+226.523041 71.658287 l
+226.555481 71.592033 l
+226.587936 71.353035 l
+226.620392 71.083527 l
+226.652847 70.898636 l
+226.685287 70.579247 l
+226.717743 70.287682 l
+226.750198 69.882919 l
+226.782654 69.288010 l
+226.815094 69.107918 l
+226.847549 68.602501 l
+226.880005 68.459595 l
+226.912460 67.904839 l
+226.944901 67.623192 l
+226.977356 67.114265 l
+227.009811 66.503098 l
+227.042267 65.969383 l
+227.074707 65.516350 l
+227.107162 65.080330 l
+227.139618 64.881348 l
+227.172058 64.670494 l
+227.204514 64.680656 l
+227.236969 64.347702 l
+227.269424 63.900517 l
+227.301865 63.857780 l
+227.334320 63.574730 l
+227.366776 63.178505 l
+227.399231 62.732002 l
+227.431671 62.216595 l
+227.464127 61.599861 l
+227.496582 61.402157 l
+227.529037 61.152588 l
+227.561478 61.017609 l
+227.593933 60.566921 l
+227.626389 60.824142 l
+227.658844 60.897549 l
+227.691284 61.311432 l
+227.723740 61.763885 l
+227.756195 61.938347 l
+227.788635 61.857212 l
+227.821091 62.198853 l
+227.853546 62.360958 l
+227.886002 62.313187 l
+227.918442 62.236629 l
+227.950897 62.275570 l
+227.983353 62.322639 l
+228.015808 62.391457 l
+228.048248 62.608337 l
+228.080688 62.807594 l
+228.113144 62.549496 l
+228.145599 62.945614 l
+228.178055 63.046738 l
+228.210495 63.260311 l
+228.242950 63.550472 l
+228.275406 63.646656 l
+228.307846 63.795120 l
+228.340302 63.983101 l
+228.372757 64.148994 l
+228.405212 64.463699 l
+228.437653 64.170570 l
+228.470108 64.540077 l
+228.502563 64.449356 l
+228.535019 64.399277 l
+228.567459 64.421387 l
+228.599915 64.500694 l
+228.632370 64.213547 l
+228.664825 64.171028 l
+228.697266 64.334435 l
+228.729721 64.566055 l
+228.762177 64.669579 l
+228.794632 65.184624 l
+228.827072 65.604271 l
+228.859528 65.803276 l
+228.891983 66.144035 l
+228.924438 66.187859 l
+228.956879 65.935127 l
+228.989334 65.759064 l
+229.021790 65.729393 l
+229.054230 65.410782 l
+229.086685 64.972572 l
+229.119141 64.540833 l
+229.151596 64.408035 l
+229.184036 64.085129 l
+229.216492 64.050842 l
+229.248947 64.071754 l
+229.281403 64.061287 l
+229.313843 64.276909 l
+229.346298 64.775307 l
+229.378754 65.108955 l
+229.411209 65.292686 l
+229.443649 65.448929 l
+229.476105 65.427528 l
+229.508560 65.378830 l
+229.541016 65.476707 l
+229.573456 65.431717 l
+229.605911 65.313805 l
+229.638367 65.268272 l
+229.670807 65.252243 l
+229.703262 65.132393 l
+229.735703 64.818275 l
+229.768158 64.968109 l
+229.800613 64.573448 l
+229.833054 64.268112 l
+229.865509 64.338516 l
+229.897964 64.353058 l
+229.930420 64.334709 l
+229.962860 64.421173 l
+229.995316 64.674522 l
+230.027771 64.954491 l
+230.060226 65.042595 l
+230.092667 65.258766 l
+230.125122 65.077698 l
+230.157578 64.872551 l
+230.190018 64.799759 l
+230.222473 64.617439 l
+230.254929 64.321129 l
+230.287384 64.115936 l
+230.319824 64.137703 l
+230.352280 64.430717 l
+230.384735 64.654007 l
+230.417191 64.810539 l
+230.449631 64.842224 l
+230.482086 64.750679 l
+230.514542 64.928192 l
+230.546997 64.932335 l
+230.579437 64.605606 l
+230.611893 64.464798 l
+230.644348 64.275940 l
+230.676804 64.148315 l
+230.709244 64.197838 l
+230.741699 64.167183 l
+230.774155 64.160866 l
+230.806610 64.038437 l
+230.839050 64.374245 l
+230.871506 64.418762 l
+230.903961 64.491440 l
+230.936401 64.633919 l
+230.968857 64.948654 l
+231.001312 64.864906 l
+231.033768 65.163528 l
+231.066208 65.152817 l
+231.098663 65.203506 l
+231.131119 64.952782 l
+231.163574 64.611237 l
+231.196014 63.962662 l
+231.228470 63.389050 l
+231.260925 62.942760 l
+231.293381 62.855553 l
+231.325821 62.752617 l
+231.358276 62.918919 l
+231.390717 62.947186 l
+231.423172 63.367508 l
+231.455612 63.727665 l
+231.488068 63.927158 l
+231.520523 64.101593 l
+231.552979 63.935600 l
+231.585419 63.783524 l
+231.617874 63.735966 l
+231.650330 63.698582 l
+231.682785 63.552956 l
+231.715225 63.268360 l
+231.747681 63.250908 l
+231.780136 63.222218 l
+231.812592 63.301243 l
+231.845032 63.696457 l
+231.877487 63.962307 l
+231.909943 64.212418 l
+231.942398 64.441879 l
+231.974838 64.506493 l
+232.007294 64.690926 l
+232.039749 64.633484 l
+232.072189 64.507828 l
+232.104645 64.511574 l
+232.137100 64.450134 l
+232.169556 64.371124 l
+232.201996 64.440346 l
+232.234451 64.515465 l
+232.266907 64.799072 l
+232.299362 64.936180 l
+232.331802 65.287453 l
+232.364258 65.713753 l
+232.396713 66.125153 l
+232.429169 66.451958 l
+232.461609 66.965775 l
+232.494064 67.110336 l
+232.526520 67.465561 l
+232.558975 67.698387 l
+232.591415 68.237732 l
+232.623871 68.159607 l
+232.656326 68.113968 l
+232.688782 67.952499 l
+232.721222 67.895096 l
+232.753677 67.583702 l
+232.786133 67.366142 l
+232.818573 66.838707 l
+232.851028 66.618141 l
+232.883484 66.469193 l
+232.915939 66.560684 l
+232.948380 66.026817 l
+232.980835 65.643494 l
+233.013290 64.872871 l
+233.045731 64.421379 l
+233.078186 63.919083 l
+233.110626 63.735134 l
+233.143082 63.437469 l
+233.175537 63.470062 l
+233.207993 63.456436 l
+233.240433 63.775093 l
+233.272888 63.876778 l
+233.305344 64.080910 l
+233.337784 64.104965 l
+233.370239 64.177155 l
+233.402695 64.233292 l
+233.435150 64.204498 l
+233.467590 64.081314 l
+233.500046 64.075470 l
+233.532501 63.750957 l
+233.564957 63.541790 l
+233.597397 63.425911 l
+233.629852 63.190933 l
+233.662308 62.963058 l
+233.694763 62.542953 l
+233.727203 62.387619 l
+233.759659 62.336639 l
+233.792114 61.928249 l
+233.824570 61.876427 l
+233.857010 61.922401 l
+233.889465 61.774311 l
+233.921921 61.806034 l
+233.954361 62.125916 l
+233.986816 62.130642 l
+234.019272 62.034637 l
+234.051727 61.704651 l
+234.084167 61.615608 l
+234.116623 61.159168 l
+234.149078 60.440094 l
+234.181534 60.110107 l
+234.213974 59.463043 l
+234.246429 58.761742 l
+234.278885 58.564091 l
+234.311340 58.606754 l
+234.343781 58.634884 l
+234.376236 58.152710 l
+234.408691 57.971100 l
+234.441147 57.634346 l
+234.473587 56.904705 l
+234.506042 56.133575 l
+234.538498 54.925373 l
+234.570953 53.761173 l
+234.603394 52.890652 l
+234.635849 52.296478 l
+234.668304 52.622593 l
+234.700745 53.577110 l
+234.733185 55.276428 l
+234.765640 57.621964 l
+234.798096 61.401134 l
+234.830551 65.997864 l
+234.862991 71.805405 l
+234.895447 78.710205 l
+234.927902 85.958145 l
+234.960358 92.686470 l
+234.992798 98.495872 l
+235.025253 103.671989 l
+235.057709 107.100067 l
+235.090164 108.112572 l
+235.122604 107.085594 l
+235.155060 103.828178 l
+235.187515 98.483482 l
+235.219955 91.852180 l
+235.252411 83.787880 l
+235.284866 75.149681 l
+235.317322 66.864258 l
+235.349762 59.573269 l
+235.382217 53.852226 l
+235.414673 49.667526 l
+235.447128 47.462856 l
+235.479568 47.113899 l
+235.512024 48.051537 l
+235.544479 49.840706 l
+235.576935 51.823147 l
+235.609375 53.553295 l
+235.641830 55.405117 l
+235.674286 57.039825 l
+235.706741 58.114639 l
+235.739182 58.717403 l
+235.771637 59.342907 l
+235.804092 59.440273 l
+235.836533 59.544765 l
+235.868988 59.637970 l
+235.901443 59.735893 l
+235.933899 59.696552 l
+235.966339 60.070362 l
+235.998795 60.731041 l
+236.031250 61.085472 l
+236.063705 61.442284 l
+236.096146 61.972832 l
+236.128601 62.344921 l
+236.161057 62.688366 l
+236.193512 63.242813 l
+236.225952 63.678520 l
+236.258408 63.385296 l
+236.290863 63.600830 l
+236.323318 63.924614 l
+236.355759 64.011719 l
+236.388199 64.133270 l
+236.420654 64.031837 l
+236.453110 63.938679 l
+236.485550 63.659748 l
+236.518005 63.942261 l
+236.550461 64.167427 l
+236.582916 64.138245 l
+236.615356 64.487473 l
+236.647812 64.691620 l
+236.680267 64.857399 l
+236.712723 65.151222 l
+236.745163 65.372513 l
+236.777618 65.496971 l
+236.810074 65.323364 l
+236.842529 65.231171 l
+236.874969 64.974960 l
+236.907425 64.486671 l
+236.939880 64.275414 l
+236.972336 64.046967 l
+237.004776 63.639160 l
+237.037231 63.168831 l
+237.069687 63.038063 l
+237.102127 62.923054 l
+237.134583 62.870182 l
+237.167038 62.799770 l
+237.199493 62.983261 l
+237.231934 62.940056 l
+237.264389 63.079491 l
+237.296844 63.371494 l
+237.329300 63.748966 l
+237.361740 63.715866 l
+237.394196 63.744408 l
+237.426651 63.724728 l
+237.459106 63.640835 l
+237.491547 63.785004 l
+237.524002 63.900612 l
+237.556458 63.785030 l
+237.588913 63.857151 l
+237.621353 63.878544 l
+237.653809 64.088440 l
+237.686264 64.224350 l
+237.718704 64.385399 l
+237.751160 64.638458 l
+237.783615 64.536644 l
+237.816071 64.803413 l
+237.848511 65.338608 l
+237.880966 65.254807 l
+237.913422 65.061798 l
+237.945877 65.067978 l
+237.978317 65.066345 l
+238.010773 65.155983 l
+238.043213 65.401550 l
+238.075668 65.759445 l
+238.108124 65.990364 l
+238.140564 66.234360 l
+238.173019 66.936493 l
+238.205475 67.360291 l
+238.237915 67.671432 l
+238.270370 68.054031 l
+238.302826 68.345955 l
+238.335281 68.676567 l
+238.367722 69.084999 l
+238.400177 69.542252 l
+238.432632 69.793488 l
+238.465088 70.136536 l
+238.497528 70.552094 l
+238.529984 70.746010 l
+238.562439 71.175995 l
+238.594894 71.508865 l
+238.627335 71.535126 l
+238.659790 71.342438 l
+238.692245 71.943001 l
+238.724701 71.866905 l
+238.757141 71.573151 l
+238.789597 71.669739 l
+238.822052 71.788338 l
+238.854507 71.666138 l
+238.886948 71.715355 l
+238.919403 71.679573 l
+238.951859 71.593300 l
+238.984299 70.739990 l
+239.016754 70.890724 l
+239.049210 70.566299 l
+239.081665 69.772057 l
+239.114105 69.561729 l
+239.146561 69.134575 l
+239.179016 68.420013 l
+239.211472 67.884026 l
+239.243912 67.466621 l
+239.276367 67.298851 l
+239.308823 66.665710 l
+239.341278 66.199097 l
+239.373718 65.728493 l
+239.406174 65.140648 l
+239.438629 64.891708 l
+239.471085 64.395058 l
+239.503525 63.663883 l
+239.535980 63.062740 l
+239.568436 62.580917 l
+239.600891 62.252464 l
+239.633331 61.712223 l
+239.665787 61.474747 l
+239.698227 61.025909 l
+239.730682 60.752258 l
+239.763123 61.034679 l
+239.795578 60.804859 l
+239.828033 60.769176 l
+239.860489 61.130375 l
+239.892929 61.296093 l
+239.925385 61.544247 l
+239.957840 61.795124 l
+239.990295 62.034733 l
+240.022736 62.404163 l
+240.055191 62.304100 l
+240.087646 62.718956 l
+240.120087 62.373245 l
+240.152542 62.062443 l
+240.184998 62.062424 l
+240.217453 61.930523 l
+240.249893 61.937477 l
+240.282349 62.150349 l
+240.314804 62.307674 l
+240.347260 62.589817 l
+240.379700 62.802288 l
+240.412155 63.377510 l
+240.444611 63.192310 l
+240.477066 63.246296 l
+240.509506 63.297764 l
+240.541962 63.313904 l
+240.574417 63.557934 l
+240.606873 63.767467 l
+240.639313 63.931950 l
+240.671768 64.105690 l
+240.704224 64.250107 l
+240.736679 64.776299 l
+240.769119 64.753227 l
+240.801575 64.695663 l
+240.834030 64.554092 l
+240.866470 64.509041 l
+240.898926 64.573898 l
+240.931381 64.649529 l
+240.963837 64.536087 l
+240.996277 64.518913 l
+241.028732 64.590340 l
+241.061188 64.672371 l
+241.093643 64.770576 l
+241.126083 64.621162 l
+241.158539 64.481682 l
+241.190994 64.354019 l
+241.223450 64.425835 l
+241.255890 64.414307 l
+241.288345 64.384781 l
+241.320801 64.310349 l
+241.353256 64.640488 l
+241.385681 64.778496 l
+241.418137 64.881943 l
+241.450592 64.892624 l
+241.483047 65.029221 l
+241.515488 64.950577 l
+241.547943 65.105949 l
+241.580399 65.053757 l
+241.612854 65.018005 l
+241.645294 64.727310 l
+241.677750 64.935669 l
+241.710205 65.021233 l
+241.742661 64.910454 l
+241.775101 65.030807 l
+241.807556 65.274750 l
+241.840012 65.162025 l
+241.872467 65.334389 l
+241.904907 65.326462 l
+241.937363 65.427269 l
+241.969818 65.117920 l
+242.002258 65.252007 l
+242.034714 65.230125 l
+242.067169 64.852882 l
+242.099625 64.778038 l
+242.132065 64.962448 l
+242.164520 64.751495 l
+242.196976 64.832863 l
+242.229431 64.744980 l
+242.261871 64.820473 l
+242.294327 64.624214 l
+242.326782 64.705551 l
+242.359238 64.832603 l
+242.391678 64.644310 l
+242.424133 64.468399 l
+242.456589 64.697372 l
+242.489044 64.381599 l
+242.521484 64.310303 l
+242.553940 64.246803 l
+242.586395 63.867146 l
+242.618851 63.884159 l
+242.651291 63.802895 l
+242.683746 63.861549 l
+242.716202 63.843311 l
+242.748642 63.847683 l
+242.781097 64.165657 l
+242.813553 64.120811 l
+242.846008 64.217346 l
+242.878448 64.488747 l
+242.910904 64.407074 l
+242.943359 64.605148 l
+242.975815 64.889030 l
+243.008255 64.903488 l
+243.040695 64.792374 l
+243.073151 65.024307 l
+243.105606 65.152946 l
+243.138062 65.056015 l
+243.170502 65.096336 l
+243.202957 65.008835 l
+243.235413 64.808563 l
+243.267853 64.628113 l
+243.300308 64.763428 l
+243.332764 64.557159 l
+243.365219 64.143585 l
+243.397659 64.134125 l
+243.430115 63.900356 l
+243.462570 63.452572 l
+243.495026 63.505219 l
+243.527466 63.507008 l
+243.559921 63.186459 l
+243.592377 63.115788 l
+243.624832 63.204979 l
+243.657272 63.322777 l
+243.689728 63.424778 l
+243.722183 63.860008 l
+243.754639 64.033577 l
+243.787079 63.966957 l
+243.819534 64.260056 l
+243.851990 64.643799 l
+243.884445 64.832932 l
+243.916885 65.032318 l
+243.949341 65.156441 l
+243.981796 64.830544 l
+244.014236 64.778381 l
+244.046692 64.624390 l
+244.079147 64.627945 l
+244.111603 64.283203 l
+244.144043 64.253731 l
+244.176498 64.268982 l
+244.208954 64.385193 l
+244.241409 64.606552 l
+244.273849 65.210114 l
+244.306305 65.291946 l
+244.338760 65.762466 l
+244.371216 65.982216 l
+244.403656 66.705765 l
+244.436111 66.922676 l
+244.468567 67.341934 l
+244.501022 67.692871 l
+244.533463 67.992462 l
+244.565918 68.202431 l
+244.598373 68.483093 l
+244.630814 68.584969 l
+244.663269 68.517632 l
+244.695709 68.169975 l
+244.728165 67.910820 l
+244.760620 67.237617 l
+244.793060 66.694618 l
+244.825516 66.285019 l
+244.857971 65.510498 l
+244.890427 64.974220 l
+244.922867 64.276863 l
+244.955322 63.792358 l
+244.987778 63.318199 l
+245.020233 62.925179 l
+245.052673 62.718132 l
+245.085129 62.223484 l
+245.117584 62.171825 l
+245.150024 62.437321 l
+245.182480 62.443386 l
+245.214935 62.318607 l
+245.247391 62.348450 l
+245.279831 62.231632 l
+245.312286 61.850792 l
+245.344742 61.787758 l
+245.377197 61.608677 l
+245.409637 61.228333 l
+245.442093 61.180092 l
+245.474548 61.040592 l
+245.507004 60.923656 l
+245.539444 60.491516 l
+245.571899 60.430458 l
+245.604355 60.233341 l
+245.636810 59.713535 l
+245.669250 59.751427 l
+245.701706 59.795128 l
+245.734161 59.922535 l
+245.766617 60.515484 l
+245.799057 61.163857 l
+245.831512 61.755081 l
+245.863968 62.402763 l
+245.896408 63.099842 l
+245.928864 63.607559 l
+245.961319 63.890652 l
+245.993774 64.157089 l
+246.026215 64.113144 l
+246.058670 64.066727 l
+246.091125 64.045570 l
+246.123581 63.933624 l
+246.156021 63.695702 l
+246.188477 63.601437 l
+246.220932 63.314644 l
+246.253387 63.047447 l
+246.285828 62.801083 l
+246.318283 62.486523 l
+246.350723 61.610306 l
+246.383179 61.029690 l
+246.415619 60.292980 l
+246.448074 59.434986 l
+246.480530 58.275539 l
+246.512985 57.348358 l
+246.545425 56.845432 l
+246.577881 56.739315 l
+246.610336 57.311356 l
+246.642792 58.648846 l
+246.675232 60.230663 l
+246.707687 62.493141 l
+246.740143 65.352280 l
+246.772598 68.849510 l
+246.805038 72.999519 l
+246.837494 78.060432 l
+246.869949 84.044273 l
+246.902405 89.768196 l
+246.934845 94.855057 l
+246.967300 98.991814 l
+246.999756 101.685478 l
+247.032196 102.873566 l
+247.064651 102.419968 l
+247.097107 100.102608 l
+247.129562 95.593903 l
+247.162003 89.940926 l
+247.194458 83.275787 l
+247.226913 75.828957 l
+247.259369 68.360870 l
+247.291809 61.705090 l
+247.324265 55.985195 l
+247.356720 51.787033 l
+247.389175 49.694393 l
+247.421616 49.629150 l
+247.454071 50.553246 l
+247.486526 52.553551 l
+247.518982 54.761974 l
+247.551422 56.604721 l
+247.583878 58.308544 l
+247.616333 59.874893 l
+247.648788 61.252934 l
+247.681229 62.122425 l
+247.713684 62.558151 l
+247.746140 62.552460 l
+247.778580 62.227196 l
+247.811035 61.908382 l
+247.843491 61.733200 l
+247.875946 61.490372 l
+247.908386 61.727589 l
+247.940842 61.801338 l
+247.973297 62.111317 l
+248.005737 62.713165 l
+248.038193 63.132839 l
+248.070633 63.517532 l
+248.103088 63.834759 l
+248.135544 64.351868 l
+248.167999 64.660912 l
+248.200439 64.679283 l
+248.232895 64.929169 l
+248.265350 64.947884 l
+248.297791 64.892273 l
+248.330246 64.921967 l
+248.362701 64.915619 l
+248.395157 64.673996 l
+248.427597 64.497093 l
+248.460052 64.764725 l
+248.492508 64.952515 l
+248.524963 65.089249 l
+248.557404 65.314789 l
+248.589859 65.512558 l
+248.622314 65.486984 l
+248.654770 65.574684 l
+248.687210 66.033257 l
+248.719666 65.814919 l
+248.752121 65.655739 l
+248.784576 65.924652 l
+248.817017 65.863846 l
+248.849472 65.690941 l
+248.881927 65.829758 l
+248.914368 65.989563 l
+248.946823 65.883827 l
+248.979279 65.693939 l
+249.011734 65.884048 l
+249.044174 65.558975 l
+249.076630 65.065216 l
+249.109085 64.987625 l
+249.141541 64.813988 l
+249.173981 64.522682 l
+249.206436 64.407829 l
+249.238892 64.529694 l
+249.271347 64.667892 l
+249.303787 64.659828 l
+249.336243 64.877937 l
+249.368698 65.000740 l
+249.401154 65.113892 l
+249.433594 65.219574 l
+249.466049 65.317841 l
+249.498505 65.232269 l
+249.530960 65.110756 l
+249.563400 65.235840 l
+249.595856 65.159760 l
+249.628311 65.141312 l
+249.660751 65.161911 l
+249.693192 65.060593 l
+249.725647 65.091385 l
+249.758102 65.213676 l
+249.790558 65.335922 l
+249.822998 65.203720 l
+249.855453 65.157745 l
+249.887909 65.376366 l
+249.920364 65.506119 l
+249.952805 65.836052 l
+249.985260 66.280319 l
+250.017715 66.482269 l
+250.050171 66.674438 l
+250.082611 67.259155 l
+250.115067 67.746475 l
+250.147522 67.995262 l
+250.179962 68.319977 l
+250.212418 68.589554 l
+250.244873 68.672821 l
+250.277328 68.879402 l
+250.309769 69.050735 l
+250.342224 69.226303 l
+250.374680 69.312515 l
+250.407135 69.789703 l
+250.439575 70.239075 l
+250.472031 70.259804 l
+250.504486 70.565605 l
+250.536942 70.759460 l
+250.569382 70.944984 l
+250.601837 71.250206 l
+250.634293 71.398064 l
+250.666748 71.289803 l
+250.699188 71.237854 l
+250.731644 71.268410 l
+250.764099 71.161842 l
+250.796539 70.817451 l
+250.828995 70.609962 l
+250.861450 69.893791 l
+250.893906 69.474548 l
+250.926346 69.346169 l
+250.958801 69.334641 l
+250.991257 68.679031 l
+251.023712 68.403503 l
+251.056152 68.092049 l
+251.088608 67.700302 l
+251.121063 67.623764 l
+251.153519 67.670570 l
+251.185959 67.165558 l
+251.218414 66.961113 l
+251.250870 66.692116 l
+251.283325 66.682144 l
+251.315765 65.999329 l
+251.348206 65.588219 l
+251.380661 64.990173 l
+251.413116 64.204941 l
+251.445557 63.970066 l
+251.478012 63.742958 l
+251.510468 63.347977 l
+251.542923 63.214420 l
+251.575363 62.994061 l
+251.607819 62.942940 l
+251.640274 62.810822 l
+251.672729 62.691864 l
+251.705170 62.591801 l
+251.737625 62.421375 l
+251.770081 62.464973 l
+251.802536 62.642223 l
+251.834976 62.752720 l
+251.867432 62.919132 l
+251.899887 62.946121 l
+251.932343 62.907902 l
+251.964783 63.223125 l
+251.997238 63.346901 l
+252.029694 63.293224 l
+252.062134 63.233559 l
+252.094589 63.021770 l
+252.127045 63.183315 l
+252.159500 62.912834 l
+252.191940 62.985172 l
+252.224396 63.092319 l
+252.256851 62.971127 l
+252.289307 63.211109 l
+252.321747 63.675655 l
+252.354202 64.077698 l
+252.386658 63.759850 l
+252.419113 63.670105 l
+252.451553 63.817249 l
+252.484009 63.585155 l
+252.516464 63.521099 l
+252.548920 63.495441 l
+252.581360 63.457737 l
+252.613815 63.706959 l
+252.646271 64.161819 l
+252.678711 64.804054 l
+252.711166 64.438362 l
+252.743622 64.449745 l
+252.776077 64.793777 l
+252.808517 64.769234 l
+252.840973 64.762024 l
+252.873428 64.751945 l
+252.905884 64.442154 l
+252.938324 64.290253 l
+252.970779 64.440262 l
+253.003220 64.424202 l
+253.035675 64.132858 l
+253.068130 64.082230 l
+253.100571 64.495979 l
+253.133026 64.497086 l
+253.165482 64.544174 l
+253.197922 64.811790 l
+253.230377 64.761551 l
+253.262833 64.774567 l
+253.295288 65.047020 l
+253.327728 64.935623 l
+253.360184 64.761383 l
+253.392639 64.783081 l
+253.425095 65.113174 l
+253.457535 64.915161 l
+253.489990 65.036095 l
+253.522446 65.407249 l
+253.554901 65.260742 l
+253.587341 65.325066 l
+253.619797 65.488869 l
+253.652252 65.495583 l
+253.684708 65.332909 l
+253.717148 65.195419 l
+253.749603 65.381287 l
+253.782059 65.005402 l
+253.814514 64.527420 l
+253.846954 64.916641 l
+253.879410 64.964195 l
+253.911865 65.139977 l
+253.944305 65.329063 l
+253.976761 65.374138 l
+254.009216 65.324089 l
+254.041672 65.120033 l
+254.074112 65.433960 l
+254.106567 65.350426 l
+254.139023 64.853958 l
+254.171478 64.662628 l
+254.203918 64.484894 l
+254.236374 64.475166 l
+254.268829 64.507133 l
+254.301285 64.641197 l
+254.333725 64.756187 l
+254.366180 64.628441 l
+254.398636 64.981171 l
+254.431091 64.885788 l
+254.463531 64.967819 l
+254.495987 64.705025 l
+254.528442 64.615669 l
+254.560883 64.474220 l
+254.593338 64.229637 l
+254.625793 63.981407 l
+254.658234 63.760307 l
+254.690689 63.494522 l
+254.723129 63.677368 l
+254.755585 63.472775 l
+254.788040 63.636322 l
+254.820496 63.753128 l
+254.852936 63.772022 l
+254.885391 64.088608 l
+254.917847 64.355545 l
+254.950302 64.074554 l
+254.982742 64.035927 l
+255.015198 64.096840 l
+255.047653 64.097343 l
+255.080093 63.919296 l
+255.112549 63.860588 l
+255.145004 63.906010 l
+255.177460 63.877357 l
+255.209900 63.727169 l
+255.242355 63.913017 l
+255.274811 63.386356 l
+255.307266 63.281563 l
+255.339706 63.592350 l
+255.372162 63.605350 l
+255.404617 63.682457 l
+255.437073 63.926971 l
+255.469513 63.972218 l
+255.501968 64.373314 l
+255.534424 64.530655 l
+255.566879 65.124588 l
+255.599319 65.178444 l
+255.631775 65.172066 l
+255.664230 65.444939 l
+255.696686 65.416885 l
+255.729126 65.152084 l
+255.761581 65.083054 l
+255.794037 65.013519 l
+255.826477 64.594193 l
+255.858932 64.388138 l
+255.891388 64.906189 l
+255.923843 65.068459 l
+255.956284 65.363808 l
+255.988739 66.004379 l
+256.021179 66.429283 l
+256.053650 66.917091 l
+256.086090 67.284668 l
+256.118561 67.869553 l
+256.151001 67.805527 l
+256.183441 67.667137 l
+256.215912 68.138336 l
+256.248352 67.917755 l
+256.280792 67.805550 l
+256.313232 67.773636 l
+256.345703 67.374283 l
+256.378143 67.440689 l
+256.410583 67.301003 l
+256.443054 67.324837 l
+256.475494 67.130745 l
+256.507965 66.610283 l
+256.540405 66.381500 l
+256.572845 65.823067 l
+256.605316 65.404243 l
+256.637756 64.793282 l
+256.670197 64.062874 l
+256.702667 63.909203 l
+256.735107 63.964386 l
+256.767578 63.970428 l
+256.800018 64.152161 l
+256.832458 64.420486 l
+256.864929 64.561287 l
+256.897369 64.465302 l
+256.929810 64.529480 l
+256.962280 64.334061 l
+256.994720 63.707603 l
+257.027161 63.301422 l
+257.059631 63.114975 l
+257.092072 62.941067 l
+257.124542 62.771332 l
+257.156982 62.734489 l
+257.189423 62.781570 l
+257.221893 62.819256 l
+257.254333 63.102875 l
+257.286774 63.343849 l
+257.319244 63.216423 l
+257.351685 63.240025 l
+257.384155 62.952980 l
+257.416595 62.804871 l
+257.449036 62.634304 l
+257.481506 62.297306 l
+257.513947 62.013340 l
+257.546387 61.696968 l
+257.578857 61.441036 l
+257.611298 61.413967 l
+257.643768 61.213829 l
+257.676208 61.237034 l
+257.708649 61.131973 l
+257.741119 61.065907 l
+257.773560 60.848343 l
+257.806000 60.589840 l
+257.838470 60.327801 l
+257.870911 60.138443 l
+257.903351 59.771179 l
+257.935822 59.576462 l
+257.968262 59.062485 l
+258.000702 58.978142 l
+258.033173 58.613934 l
+258.065613 57.903366 l
+258.098053 57.408276 l
+258.130524 56.733139 l
+258.162964 55.801060 l
+258.195404 55.149364 l
+258.227875 54.852440 l
+258.260315 55.031773 l
+258.292755 55.660927 l
+258.325226 57.530621 l
+258.357666 59.768158 l
+258.390137 62.173409 l
+258.422577 65.375587 l
+258.455017 69.490021 l
+258.487488 73.883133 l
+258.519928 79.604607 l
+258.552368 85.342766 l
+258.584839 90.668655 l
+258.617279 95.150047 l
+258.649750 98.591248 l
+258.682190 100.543488 l
+258.714630 100.828796 l
+258.747101 99.022499 l
+258.779541 95.770454 l
+258.811981 90.459557 l
+258.844452 84.569603 l
+258.876892 77.415176 l
+258.909332 69.968712 l
+258.941803 63.013618 l
+258.974243 56.874706 l
+259.006714 52.243580 l
+259.039154 49.499710 l
+259.071594 48.668232 l
+259.104065 49.264584 l
+259.136505 50.813164 l
+259.168945 53.030056 l
+259.201416 54.917812 l
+259.233856 56.439114 l
+259.266327 57.626774 l
+259.298767 58.746105 l
+259.331207 59.708447 l
+259.363678 60.311226 l
+259.396118 60.860050 l
+259.428558 60.728573 l
+259.461029 60.692711 l
+259.493469 60.673492 l
+259.525940 60.784241 l
+259.558380 61.117664 l
+259.590820 61.261055 l
+259.623291 61.656887 l
+259.655731 62.003918 l
+259.688171 62.294155 l
+259.720612 62.722633 l
+259.753082 62.646133 l
+259.785522 62.826256 l
+259.817963 62.850502 l
+259.850433 63.048290 l
+259.882874 63.140102 l
+259.915344 63.317226 l
+259.947784 63.604694 l
+259.980225 63.793461 l
+260.012695 63.723877 l
+260.045135 63.835144 l
+260.077576 63.874203 l
+260.110046 64.212700 l
+260.142487 64.221878 l
+260.174927 64.319870 l
+260.207397 64.375816 l
+260.239838 64.514526 l
+260.272308 64.695320 l
+260.304749 64.845772 l
+260.337189 64.559410 l
+260.369659 64.453438 l
+260.402100 64.254669 l
+260.434540 64.151680 l
+260.467010 64.015350 l
+260.499451 63.829201 l
+260.531921 63.885113 l
+260.564362 63.956738 l
+260.596802 64.080238 l
+260.629272 64.202164 l
+260.661713 64.141754 l
+260.694153 64.227173 l
+260.726624 64.171440 l
+260.759064 63.899704 l
+260.791504 63.860043 l
+260.823975 63.796745 l
+260.856415 63.636456 l
+260.888885 63.967876 l
+260.921326 64.057228 l
+260.953766 64.212166 l
+260.986237 64.213013 l
+261.018677 64.567032 l
+261.051117 64.579872 l
+261.083588 64.485855 l
+261.116028 64.353966 l
+261.148499 64.689278 l
+261.180939 64.338242 l
+261.213379 64.452965 l
+261.245850 64.389297 l
+261.278290 64.469963 l
+261.310730 64.194069 l
+261.343170 64.331062 l
+261.375641 64.278954 l
+261.408081 64.324104 l
+261.440521 64.261620 l
+261.472992 64.604179 l
+261.505432 64.766273 l
+261.537903 64.943054 l
+261.570343 65.349121 l
+261.602783 65.643982 l
+261.635254 65.839745 l
+261.667694 65.990807 l
+261.700134 66.276756 l
+261.732605 66.351349 l
+261.765045 66.525368 l
+261.797516 66.943398 l
+261.829956 66.914925 l
+261.862396 67.074982 l
+261.894867 67.438995 l
+261.927307 67.766251 l
+261.959747 68.171600 l
+261.992218 68.585320 l
+262.024658 69.038284 l
+262.057098 69.368271 l
+262.089569 69.684380 l
+262.122009 70.540466 l
+262.154480 70.365997 l
+262.186920 70.610565 l
+262.219360 70.686440 l
+262.251831 70.907928 l
+262.284271 70.549347 l
+262.316711 70.871658 l
+262.349182 70.901390 l
+262.381622 70.708778 l
+262.414093 70.606606 l
+262.446533 70.870331 l
+262.478973 70.656494 l
+262.511444 70.594086 l
+262.543884 70.746475 l
+262.576324 70.865013 l
+262.608795 70.426025 l
+262.641235 70.434685 l
+262.673676 70.351265 l
+262.706146 69.763054 l
+262.738586 69.153954 l
+262.771057 68.538902 l
+262.803497 67.842613 l
+262.835938 66.976280 l
+262.868408 66.599556 l
+262.900848 65.874954 l
+262.933289 65.125984 l
+262.965729 64.789635 l
+262.998199 64.433861 l
+263.030640 63.908901 l
+263.063110 63.495068 l
+263.095551 63.271019 l
+263.127991 62.939617 l
+263.160461 62.637272 l
+263.192902 62.465347 l
+263.225342 62.196644 l
+263.257812 61.886539 l
+263.290253 61.944233 l
+263.322693 61.697033 l
+263.355164 61.579659 l
+263.387604 61.500553 l
+263.420074 61.565041 l
+263.452515 61.493153 l
+263.484955 61.498016 l
+263.517426 61.612373 l
+263.549866 61.671337 l
+263.582336 61.810452 l
+263.614777 62.155281 l
+263.647247 62.197037 l
+263.679688 62.404736 l
+263.712128 62.605530 l
+263.744568 62.790245 l
+263.777039 62.848217 l
+263.809479 62.952110 l
+263.841919 63.070057 l
+263.874390 63.178486 l
+263.906830 63.313599 l
+263.939270 63.446075 l
+263.971710 63.584988 l
+264.004181 63.514214 l
+264.036621 63.673542 l
+264.069092 63.743069 l
+264.101532 63.716774 l
+264.134003 63.872742 l
+264.166443 64.061470 l
+264.198883 64.180405 l
+264.231323 64.317513 l
+264.263794 64.349907 l
+264.296234 64.490448 l
+264.328705 64.260460 l
+264.361145 64.067574 l
+264.393616 63.766987 l
+264.426056 63.601707 l
+264.458496 63.521549 l
+264.490936 63.430206 l
+264.523407 63.483704 l
+264.555847 63.794434 l
+264.588318 63.864113 l
+264.620758 64.033714 l
+264.653229 64.417618 l
+264.685669 64.763939 l
+264.718109 64.872162 l
+264.750549 65.119789 l
+264.783020 65.361862 l
+264.815460 65.267708 l
+264.847931 64.879509 l
+264.880371 64.953278 l
+264.912842 64.623215 l
+264.945282 64.431389 l
+264.977722 64.232033 l
+265.010162 64.190269 l
+265.042633 64.339256 l
+265.075073 64.429977 l
+265.107544 64.808578 l
+265.139984 65.219826 l
+265.172455 65.291435 l
+265.204865 65.564796 l
+265.237335 65.453575 l
+265.269775 65.749084 l
+265.302246 65.756371 l
+265.334686 65.438751 l
+265.367157 65.457794 l
+265.399597 64.990623 l
+265.432037 64.921486 l
+265.464478 64.917244 l
+265.496918 64.986198 l
+265.529388 65.269524 l
+265.561829 65.172081 l
+265.594299 65.256706 l
+265.626740 65.377945 l
+265.659210 65.218208 l
+265.691650 65.157829 l
+265.724091 64.877403 l
+265.756531 64.637825 l
+265.789001 64.441826 l
+265.821442 64.331215 l
+265.853912 64.554283 l
+265.886353 64.552299 l
+265.918823 64.563560 l
+265.951263 64.620850 l
+265.983704 64.665146 l
+266.016144 64.598244 l
+266.048615 64.823753 l
+266.081055 64.770599 l
+266.113525 64.663513 l
+266.145966 64.849800 l
+266.178436 65.128044 l
+266.210876 65.171432 l
+266.243317 65.140816 l
+266.275757 65.071808 l
+266.308228 65.044548 l
+266.340668 64.815704 l
+266.373138 64.756447 l
+266.405579 64.575371 l
+266.438019 64.011864 l
+266.470459 63.975296 l
+266.502930 63.922001 l
+266.535370 63.690693 l
+266.567841 63.338444 l
+266.600281 63.214458 l
+266.632751 63.196537 l
+266.665192 63.156685 l
+266.697632 63.526424 l
+266.730072 63.819862 l
+266.762543 63.816952 l
+266.794983 64.016922 l
+266.827454 64.360687 l
+266.859894 64.568535 l
+266.892365 64.539482 l
+266.924805 64.455948 l
+266.957245 64.667755 l
+266.989685 64.451569 l
+267.022156 64.432236 l
+267.054596 64.574913 l
+267.087067 64.340431 l
+267.119507 64.233948 l
+267.151947 64.230087 l
+267.184418 64.178108 l
+267.216858 64.306305 l
+267.249298 64.275108 l
+267.281738 64.679413 l
+267.314209 64.754509 l
+267.346649 64.850601 l
+267.379120 65.176247 l
+267.411560 65.013680 l
+267.444031 65.155685 l
+267.476440 65.293015 l
+267.508911 65.056046 l
+267.541351 64.694466 l
+267.573822 64.549103 l
+267.606262 64.592072 l
+267.638733 64.549591 l
+267.671173 64.896774 l
+267.703613 65.272522 l
+267.736053 65.779152 l
+267.768524 66.267090 l
+267.800964 66.796555 l
+267.833435 67.251076 l
+267.865875 67.453880 l
+267.898346 67.715523 l
+267.930786 67.926422 l
+267.963226 67.853569 l
+267.995667 68.077400 l
+268.028137 68.069702 l
+268.060577 68.095230 l
+268.093048 68.032143 l
+268.125488 68.011223 l
+268.157959 67.810936 l
+268.190399 67.545639 l
+268.222839 67.474724 l
+268.255280 67.438171 l
+268.287750 66.823685 l
+268.320190 66.170479 l
+268.352661 65.717873 l
+268.385101 64.974388 l
+268.417572 64.158936 l
+268.450012 63.906395 l
+268.482452 63.456379 l
+268.514893 63.071133 l
+268.547363 62.988766 l
+268.579803 63.322086 l
+268.612274 63.454292 l
+268.644714 63.468620 l
+268.677185 63.762234 l
+268.709625 63.685444 l
+268.742065 63.760345 l
+268.774506 63.988228 l
+268.806946 63.721050 l
+268.839417 63.375107 l
+268.871857 63.111595 l
+268.904327 62.962357 l
+268.936768 62.658203 l
+268.969208 62.425285 l
+269.001648 62.518379 l
+269.034119 62.293861 l
+269.066559 62.346977 l
+269.099030 62.802643 l
+269.131470 62.876610 l
+269.163940 62.821877 l
+269.196381 62.981213 l
+269.228821 62.956657 l
+269.261261 62.494667 l
+269.293732 62.273621 l
+269.326172 62.133991 l
+269.358643 61.841774 l
+269.391083 61.337166 l
+269.423553 61.120125 l
+269.455994 60.802311 l
+269.488434 60.606537 l
+269.520874 60.385998 l
+269.553345 60.264408 l
+269.585785 59.877083 l
+269.618256 59.839420 l
+269.650696 59.977982 l
+269.683167 59.949345 l
+269.715607 59.610058 l
+269.748047 59.136799 l
+269.780487 58.394432 l
+269.812958 57.879814 l
+269.845398 57.017471 l
+269.877869 55.832989 l
+269.910309 54.599213 l
+269.942780 53.373821 l
+269.975220 52.806026 l
+270.007660 52.499088 l
+270.040100 53.022251 l
+270.072571 53.863060 l
+270.105011 55.385429 l
+270.137482 58.014324 l
+270.169922 61.532295 l
+270.202393 65.431763 l
+270.234802 70.246902 l
+270.267273 75.789085 l
+270.299713 82.735939 l
+270.332184 89.524246 l
+270.364624 96.216377 l
+270.397095 101.614441 l
+270.429535 105.528915 l
+270.461975 107.631279 l
+270.494415 107.731087 l
+270.526855 105.665001 l
+270.559326 101.180099 l
+270.591766 94.851257 l
+270.624237 87.025139 l
+270.656677 78.359894 l
+270.689148 69.793083 l
+270.721588 61.907211 l
+270.754028 55.296490 l
+270.786469 50.550571 l
+270.818939 47.746880 l
+270.851379 47.332088 l
+270.883850 48.472183 l
+270.916290 50.842854 l
+270.948761 53.160252 l
+270.981201 55.145905 l
+271.013641 56.737350 l
+271.046082 58.239784 l
+271.078552 59.197495 l
+271.110992 59.705093 l
+271.143463 59.685452 l
+271.175903 59.469978 l
+271.208374 59.309414 l
+271.240784 59.270485 l
+271.273254 59.318771 l
+271.305695 59.684826 l
+271.338165 59.975063 l
+271.370605 60.462376 l
+271.403076 60.956512 l
+271.435516 61.395081 l
+271.467957 61.653191 l
+271.500397 61.577618 l
+271.532867 61.593639 l
+271.565308 62.026226 l
+271.597778 62.272423 l
+271.630219 62.537872 l
+271.662689 62.767479 l
+271.695129 62.898228 l
+271.727570 62.992424 l
+271.760010 63.188583 l
+271.792480 63.493904 l
+271.824921 63.367413 l
+271.857391 63.441669 l
+271.889832 63.789944 l
+271.922302 63.912102 l
+271.954742 64.099876 l
+271.987183 64.535446 l
+272.019623 64.764435 l
+272.052094 64.842339 l
+272.084534 65.067032 l
+272.116974 65.408699 l
+272.149445 65.215271 l
+272.181885 65.249062 l
+272.214355 65.287529 l
+272.246796 64.913193 l
+272.279236 64.504311 l
+272.311676 64.577759 l
+272.344147 64.461861 l
+272.376587 64.279678 l
+272.409058 64.141098 l
+272.441498 64.258957 l
+272.473969 64.135635 l
+272.506378 64.067001 l
+272.538849 64.125587 l
+272.571289 64.096947 l
+272.603760 63.972412 l
+272.636200 64.326271 l
+272.668671 64.362061 l
+272.701111 64.333359 l
+272.733551 64.141960 l
+272.765991 64.084824 l
+272.798462 63.975475 l
+272.830902 63.943443 l
+272.863373 63.713394 l
+272.895813 63.695522 l
+272.928284 63.378841 l
+272.960724 63.616634 l
+272.993164 63.544804 l
+273.025604 63.453152 l
+273.058075 63.356426 l
+273.090515 63.478802 l
+273.122986 63.631897 l
+273.155426 63.827637 l
+273.187897 64.010765 l
+273.220337 64.215279 l
+273.252777 64.273315 l
+273.285217 64.825134 l
+273.317688 65.289742 l
+273.350128 65.158867 l
+273.382599 65.329765 l
+273.415039 65.721054 l
+273.447510 66.058502 l
+273.479950 66.352127 l
+273.512390 66.707253 l
+273.544830 66.853035 l
+273.577301 67.080627 l
+273.609741 67.450157 l
+273.642212 68.109467 l
+273.674652 68.180489 l
+273.707123 68.375526 l
+273.739563 68.651726 l
+273.771973 68.658478 l
+273.804443 68.894478 l
+273.836884 69.170837 l
+273.869354 69.041595 l
+273.901794 69.131905 l
+273.934265 69.228745 l
+273.966705 69.184296 l
+273.999146 69.135315 l
+274.031586 69.298058 l
+274.064056 69.250542 l
+274.096497 69.073593 l
+274.128967 68.836693 l
+274.161407 68.789246 l
+274.193878 68.457321 l
+274.226318 68.414154 l
+274.258759 68.648392 l
+274.291199 68.518394 l
+274.323669 68.711937 l
+274.356110 69.063248 l
+274.388580 69.294487 l
+274.421021 69.795403 l
+274.453491 69.988029 l
+274.485931 70.284676 l
+274.518372 70.222878 l
+274.550812 70.022949 l
+274.583282 70.194443 l
+274.615723 69.904533 l
+274.648193 69.351921 l
+274.680634 68.994316 l
+274.713104 68.386559 l
+274.745544 68.001526 l
+274.777985 67.326706 l
+274.810425 67.096214 l
+274.842896 66.680061 l
+274.875336 66.092819 l
+274.907806 65.924355 l
+274.940247 65.836647 l
+274.972717 65.502869 l
+275.005157 65.177238 l
+275.037598 64.933006 l
+275.070038 64.674622 l
+275.102509 64.539253 l
+275.134949 64.469856 l
+275.167419 64.281990 l
+275.199860 64.154839 l
+275.232300 64.244202 l
+275.264740 64.156715 l
+275.297211 64.106804 l
+275.329651 64.094582 l
+275.362122 64.113472 l
+275.394562 63.758312 l
+275.427002 64.006805 l
+275.459473 64.209557 l
+275.491913 64.219986 l
+275.524353 64.214531 l
+275.556793 64.354950 l
+275.589264 64.380852 l
+275.621704 64.222237 l
+275.654175 64.021301 l
+275.686615 64.009789 l
+275.719086 64.008041 l
+275.751526 64.118248 l
+275.783966 64.457275 l
+275.816406 64.669785 l
+275.848877 64.740669 l
+275.881317 65.025314 l
+275.913788 65.418968 l
+275.946228 65.629219 l
+275.978699 65.472755 l
+276.011139 65.316277 l
+276.043579 65.354240 l
+276.076019 65.273239 l
+276.108490 65.322052 l
+276.140930 64.994125 l
+276.173401 64.928856 l
+276.205841 64.849068 l
+276.238312 65.199081 l
+276.270721 65.233299 l
+276.303192 65.103096 l
+276.335632 65.252815 l
+276.368103 65.336601 l
+276.400543 65.596062 l
+276.433014 65.710709 l
+276.465454 65.656586 l
+276.497894 65.769058 l
+276.530334 65.873215 l
+276.562805 66.025597 l
+276.595245 66.114220 l
+276.627716 65.962379 l
+276.660156 65.879982 l
+276.692627 65.967682 l
+276.725067 66.296783 l
+276.757507 66.164604 l
+276.789948 66.266190 l
+276.822418 66.317146 l
+276.854858 66.401276 l
+276.887329 66.291885 l
+276.919769 66.257706 l
+276.952240 66.180077 l
+276.984680 66.009750 l
+277.017120 66.004936 l
+277.049561 66.127243 l
+277.082001 66.021912 l
+277.114471 65.973694 l
+277.146912 66.111130 l
+277.179382 66.203804 l
+277.211823 66.209953 l
+277.244293 66.316254 l
+277.276733 66.108177 l
+277.309174 66.027428 l
+277.341614 65.988647 l
+277.374084 66.126160 l
+277.406525 66.109100 l
+277.438995 65.888863 l
+277.471436 66.008652 l
+277.503906 65.925644 l
+277.536316 65.696800 l
+277.568787 65.886963 l
+277.601227 65.830414 l
+277.633698 65.621658 l
+277.666138 65.681786 l
+277.698608 65.902306 l
+277.731049 66.036049 l
+277.763489 65.869179 l
+277.795929 66.216850 l
+277.828400 66.341774 l
+277.860840 66.217522 l
+277.893311 66.261673 l
+277.925751 66.154823 l
+277.958221 66.284569 l
+277.990662 66.012177 l
+278.023102 65.921875 l
+278.055542 65.794212 l
+278.088013 65.292114 l
+278.120453 65.140900 l
+278.152924 65.014626 l
+278.185364 64.840317 l
+278.217834 64.722351 l
+278.250275 64.327667 l
+278.282715 64.533028 l
+278.315155 64.444443 l
+278.347626 64.573692 l
+278.380066 64.728104 l
+278.412537 64.798294 l
+278.444977 64.997025 l
+278.477448 65.189583 l
+278.509888 65.179268 l
+278.542328 65.184349 l
+278.574768 65.341438 l
+278.607239 65.020454 l
+278.639679 64.831390 l
+278.672150 64.887177 l
+278.704590 64.729836 l
+278.737030 64.463173 l
+278.769501 64.384125 l
+278.801910 64.309479 l
+278.834381 64.039940 l
+278.866821 63.868523 l
+278.899292 63.942177 l
+278.931732 63.652390 l
+278.964203 63.620041 l
+278.996643 63.692368 l
+279.029083 63.617619 l
+279.061523 63.581543 l
+279.093994 63.809856 l
+279.126434 63.992973 l
+279.158905 64.035599 l
+279.191345 64.288902 l
+279.223816 64.520851 l
+279.256256 64.431282 l
+279.288696 64.626190 l
+279.321136 64.838287 l
+279.353607 64.849167 l
+279.386047 64.833122 l
+279.418518 65.079956 l
+279.450958 65.191643 l
+279.483429 65.136299 l
+279.515869 65.159615 l
+279.548309 65.350502 l
+279.580750 65.318016 l
+279.613220 65.227623 l
+279.645660 65.284332 l
+279.678131 65.353111 l
+279.710571 65.259033 l
+279.743042 65.370697 l
+279.775482 65.552826 l
+279.807922 65.634300 l
+279.840363 65.905685 l
+279.872833 66.252213 l
+279.905273 66.739326 l
+279.937744 67.204178 l
+279.970184 67.775597 l
+280.002655 68.239525 l
+280.035065 68.623474 l
+280.067535 68.705345 l
+280.099976 68.870110 l
+280.132446 68.915672 l
+280.164886 68.827644 l
+280.197357 68.545288 l
+280.229797 68.188629 l
+280.262238 67.917046 l
+280.294678 67.660172 l
+280.327148 67.003410 l
+280.359589 66.419907 l
+280.392059 65.514252 l
+280.424500 64.898361 l
+280.456940 64.168945 l
+280.489410 63.646740 l
+280.521851 63.113132 l
+280.554291 62.746281 l
+280.586731 62.732956 l
+280.619202 62.956032 l
+280.651642 63.278702 l
+280.684113 63.520924 l
+280.716553 63.607182 l
+280.749023 64.088547 l
+280.781464 64.128754 l
+280.813904 64.313370 l
+280.846344 64.107750 l
+280.878815 63.838493 l
+280.911255 63.671314 l
+280.943726 63.295799 l
+280.976166 63.241493 l
+281.008636 63.061028 l
+281.041077 62.800278 l
+281.073517 62.798649 l
+281.105957 62.573963 l
+281.138428 62.553780 l
+281.170868 62.357143 l
+281.203339 62.322319 l
+281.235779 62.306347 l
+281.268250 61.989563 l
+281.300659 61.929100 l
+281.333130 61.973843 l
+281.365570 62.001698 l
+281.398041 62.113930 l
+281.430481 61.998940 l
+281.462952 61.974888 l
+281.495392 61.738178 l
+281.527832 61.583988 l
+281.560272 61.630413 l
+281.592743 61.016281 l
+281.625183 60.509354 l
+281.657654 60.251205 l
+281.690094 59.757771 l
+281.722565 59.126007 l
+281.755005 58.367191 l
+281.787445 57.851906 l
+281.819885 57.184734 l
+281.852356 56.534714 l
+281.884796 56.338551 l
+281.917267 55.355427 l
+281.949707 54.443195 l
+281.982178 53.925076 l
+282.014618 53.248432 l
+282.047058 52.676598 l
+282.079498 52.622566 l
+282.111938 53.378441 l
+282.144409 54.529625 l
+282.176849 56.709808 l
+282.209320 60.173450 l
+282.241760 63.917950 l
+282.274231 68.704475 l
+282.306671 74.884247 l
+282.339111 82.428894 l
+282.371552 89.635170 l
+282.404022 96.941185 l
+282.436462 103.119629 l
+282.468933 107.629555 l
+282.501373 110.425140 l
+282.533844 111.045113 l
+282.566254 109.313339 l
+282.598724 104.908218 l
+282.631165 98.378197 l
+282.663635 90.611839 l
+282.696075 81.368546 l
+282.728546 72.525063 l
+282.760986 64.034599 l
+282.793427 56.548740 l
+282.825867 51.196312 l
+282.858337 47.874512 l
+282.890778 46.684380 l
+282.923248 47.357204 l
+282.955688 49.198940 l
+282.988159 51.427586 l
+283.020599 53.245243 l
+283.053040 55.063084 l
+283.085480 56.943954 l
+283.117950 58.167046 l
+283.150391 59.048561 l
+283.182861 59.772923 l
+283.215302 59.834908 l
+283.247772 59.715782 l
+283.280212 59.600708 l
+283.312653 59.806667 l
+283.345093 60.021393 l
+283.377563 60.207924 l
+283.410004 60.868294 l
+283.442474 61.226151 l
+283.474915 61.716583 l
+283.507385 61.979607 l
+283.539825 62.302380 l
+283.572266 62.354446 l
+283.604706 62.233589 l
+283.637177 62.513832 l
+283.669617 62.576397 l
+283.702087 62.644318 l
+283.734528 62.985153 l
+283.766968 63.092411 l
+283.799408 63.499210 l
+283.831848 63.561939 l
+283.864319 63.724747 l
+283.896759 64.117455 l
+283.929230 63.971741 l
+283.961670 64.273399 l
+283.994141 64.266899 l
+284.026581 64.428703 l
+284.059021 64.497505 l
+284.091461 64.383804 l
+284.123932 64.494164 l
+284.156372 64.382545 l
+284.188843 64.179779 l
+284.221283 64.315300 l
+284.253754 64.339340 l
+284.286194 64.363304 l
+284.318634 64.294037 l
+284.351074 64.476860 l
+284.383545 64.510773 l
+284.415985 64.337212 l
+284.448456 64.445641 l
+284.480896 64.275543 l
+284.513367 64.052811 l
+284.545807 63.990128 l
+284.578247 64.018166 l
+284.610687 64.021812 l
+284.643158 63.741714 l
+284.675598 63.835293 l
+284.708069 63.520107 l
+284.740509 63.235413 l
+284.772980 63.464344 l
+284.805420 63.535652 l
+284.837860 63.553665 l
+284.870300 63.739094 l
+284.902771 63.753540 l
+284.935211 63.925137 l
+284.967682 63.781288 l
+285.000122 64.128738 l
+285.032593 63.946846 l
+285.065002 63.790730 l
+285.097473 64.018341 l
+285.129913 64.301376 l
+285.162384 64.321411 l
+285.194824 64.595238 l
+285.227295 64.825317 l
+285.259735 65.037064 l
+285.292175 65.195633 l
+285.324615 65.624039 l
+285.357086 65.997345 l
+285.389526 66.282654 l
+285.421967 66.838348 l
+285.454437 67.413818 l
+285.486877 67.582176 l
+285.519348 67.787369 l
+285.551788 68.025108 l
+285.584229 68.048378 l
+285.616669 68.038712 l
+285.649139 68.083664 l
+285.681580 68.191315 l
+285.714050 68.062111 l
+285.746490 68.336823 l
+285.778961 68.779945 l
+285.811401 68.965256 l
+285.843842 69.360466 l
+285.876282 69.722374 l
+285.908752 70.004715 l
+285.941193 70.076378 l
+285.973663 70.410690 l
+286.006104 70.658882 l
+286.038574 70.620743 l
+286.071014 70.716606 l
+286.103455 70.886681 l
+286.135895 70.748909 l
+286.168365 70.777283 l
+286.200806 70.905952 l
+286.233276 71.092751 l
+286.265717 71.192230 l
+286.298187 71.213654 l
+286.330597 71.328491 l
+286.363068 71.136177 l
+286.395508 70.993790 l
+286.427979 70.901482 l
+286.460419 70.244934 l
+286.492889 69.383789 l
+286.525330 68.873688 l
+286.557770 68.085785 l
+286.590210 67.762413 l
+286.622681 67.237144 l
+286.655121 66.807907 l
+286.687592 66.387543 l
+286.720032 66.219055 l
+286.752502 66.320808 l
+286.784943 66.056862 l
+286.817383 65.809456 l
+286.849823 65.567001 l
+286.882294 64.958427 l
+286.914734 64.722244 l
+286.947205 64.279259 l
+286.979645 63.857338 l
+287.012115 63.317265 l
+287.044556 63.028481 l
+287.076996 62.870911 l
+287.109436 62.419212 l
+287.141876 62.360313 l
+287.174347 62.218082 l
+287.206787 61.811264 l
+287.239258 61.700195 l
+287.271698 61.383179 l
+287.304169 61.324081 l
+287.336609 61.223568 l
+287.369049 61.233524 l
+287.401489 61.462440 l
+287.433960 61.539070 l
+287.466400 61.764355 l
+287.498871 62.091198 l
+287.531311 62.230614 l
+287.563782 62.590874 l
+287.596191 62.730270 l
+287.628662 62.779594 l
+287.661102 62.917427 l
+287.693573 63.174595 l
+287.726013 63.264355 l
+287.758484 63.456520 l
+287.790924 63.654308 l
+287.823364 63.772453 l
+287.855804 63.663818 l
+287.888275 63.748207 l
+287.920715 63.722210 l
+287.953186 63.576096 l
+287.985626 63.523624 l
+288.018097 63.657089 l
+288.050537 63.493717 l
+288.082977 63.639458 l
+288.115417 63.845436 l
+288.147888 64.121834 l
+288.180328 64.328522 l
+288.212799 64.650940 l
+288.245239 64.888077 l
+288.277710 65.051483 l
+288.310150 65.196777 l
+288.342590 65.285095 l
+288.375031 65.064407 l
+288.407501 64.829712 l
+288.439941 64.723671 l
+288.472412 64.414322 l
+288.504852 64.353531 l
+288.537323 64.521919 l
+288.569763 64.737877 l
+288.602203 64.882195 l
+288.634644 64.957878 l
+288.667114 65.178139 l
+288.699554 65.283890 l
+288.731995 65.320152 l
+288.764465 65.543732 l
+288.796906 65.217560 l
+288.829346 65.022690 l
+288.861786 64.901695 l
+288.894257 64.774666 l
+288.926697 64.633095 l
+288.959167 64.686302 l
+288.991608 64.756836 l
+289.024078 64.962334 l
+289.056519 65.196327 l
+289.088959 65.458984 l
+289.121399 65.562904 l
+289.153870 65.580963 l
+289.186310 65.685928 l
+289.218781 65.401703 l
+289.251221 65.014008 l
+289.283691 64.997086 l
+289.316132 64.845184 l
+289.348572 64.912971 l
+289.381012 65.015938 l
+289.413483 64.961540 l
+289.445923 64.714706 l
+289.478394 64.869614 l
+289.510834 65.121330 l
+289.543304 64.958084 l
+289.575745 64.843910 l
+289.608185 64.806274 l
+289.640625 64.890877 l
+289.673096 64.982834 l
+289.705536 64.953209 l
+289.738007 65.022858 l
+289.770447 64.524445 l
+289.802917 64.698601 l
+289.835358 64.680969 l
+289.867798 64.558769 l
+289.900238 64.271660 l
+289.932709 64.046951 l
+289.965149 64.323723 l
+289.997620 64.380318 l
+290.030060 64.438850 l
+290.062531 64.525139 l
+290.094940 64.400818 l
+290.127411 64.499084 l
+290.159851 64.578514 l
+290.192322 64.927727 l
+290.224762 64.809456 l
+290.257233 64.748550 l
+290.289673 65.032196 l
+290.322113 64.905182 l
+290.354553 65.029442 l
+290.386993 64.881920 l
+290.419464 64.794197 l
+290.451904 64.490341 l
+290.484375 64.487839 l
+290.516815 64.477676 l
+290.549286 64.334358 l
+290.581726 64.206589 l
+290.614166 64.102348 l
+290.646606 63.949844 l
+290.679077 64.023071 l
+290.711517 63.875595 l
+290.743988 63.723007 l
+290.776428 63.621864 l
+290.808899 63.992439 l
+290.841339 63.933025 l
+290.873779 64.219269 l
+290.906219 64.211113 l
+290.938690 64.148781 l
+290.971130 64.247902 l
+291.003601 64.446693 l
+291.036041 64.381226 l
+291.068512 64.225220 l
+291.100952 64.147652 l
+291.133392 64.375122 l
+291.165833 64.100708 l
+291.198303 64.174126 l
+291.230743 64.019379 l
+291.263214 63.877598 l
+291.295654 64.012733 l
+291.328125 64.211571 l
+291.360535 64.197754 l
+291.393005 64.192917 l
+291.425446 64.263283 l
+291.457916 64.582253 l
+291.490356 64.374184 l
+291.522827 64.288185 l
+291.555267 64.073524 l
+291.587708 63.888988 l
+291.620148 63.755646 l
+291.652618 63.667568 l
+291.685059 63.435268 l
+291.717529 63.119888 l
+291.749969 63.141251 l
+291.782440 63.704937 l
+291.814880 63.557915 l
+291.847321 63.823765 l
+291.879761 64.090950 l
+291.912231 64.327591 l
+291.944672 64.661224 l
+291.977142 65.147331 l
+292.009583 65.444763 l
+292.042023 65.218925 l
+292.074493 65.143707 l
+292.106934 65.491539 l
+292.139374 65.426628 l
+292.171814 65.397354 l
+292.204285 65.492058 l
+292.236725 65.380630 l
+292.269196 65.130249 l
+292.301636 65.348495 l
+292.334106 65.647751 l
+292.366547 65.524155 l
+292.398987 65.494263 l
+292.431427 65.630028 l
+292.463898 66.014931 l
+292.496338 66.272865 l
+292.528809 66.674355 l
+292.561249 66.927109 l
+292.593689 67.037117 l
+292.626129 67.169800 l
+292.658600 67.659515 l
+292.691040 67.682205 l
+292.723511 67.701202 l
+292.755951 67.721901 l
+292.788422 67.634132 l
+292.820862 67.546967 l
+292.853302 67.363258 l
+292.885742 67.024918 l
+292.918213 67.122849 l
+292.950653 66.761375 l
+292.983124 66.403679 l
+293.015564 66.009918 l
+293.048035 65.404099 l
+293.080475 65.087761 l
+293.112915 64.534348 l
+293.145355 64.059692 l
+293.177826 63.770920 l
+293.210266 63.498669 l
+293.242737 63.816051 l
+293.275177 64.071701 l
+293.307648 64.094879 l
+293.340088 64.157265 l
+293.372528 64.077080 l
+293.404968 64.115746 l
+293.437439 63.957066 l
+293.469879 63.830502 l
+293.502350 63.927551 l
+293.534790 63.580101 l
+293.567261 63.491135 l
+293.599701 63.206326 l
+293.632141 63.037762 l
+293.664581 62.952148 l
+293.697021 62.732124 l
+293.729492 62.939140 l
+293.761932 62.594196 l
+293.794403 62.556271 l
+293.826843 62.893360 l
+293.859283 62.806526 l
+293.891724 62.964005 l
+293.924194 62.955292 l
+293.956635 62.823982 l
+293.989105 62.613476 l
+294.021545 62.134056 l
+294.054016 61.972961 l
+294.086456 61.165821 l
+294.118896 60.584515 l
+294.151337 60.120342 l
+294.183807 59.527763 l
+294.216248 59.168858 l
+294.248718 58.831081 l
+294.281158 58.625977 l
+294.313629 58.249920 l
+294.346069 58.040722 l
+294.378510 57.967243 l
+294.410950 57.360954 l
+294.443420 56.889751 l
+294.475861 56.553524 l
+294.508331 55.876038 l
+294.540771 55.082062 l
+294.573242 54.182167 l
+294.605682 53.321087 l
+294.638123 52.647655 l
+294.670563 52.520687 l
+294.703033 53.251923 l
+294.735474 54.326710 l
+294.767944 56.334049 l
+294.800385 59.341999 l
+294.832855 62.714718 l
+294.865295 66.796547 l
+294.897736 72.170647 l
+294.930176 78.745544 l
+294.962646 85.519547 l
+294.995087 92.453995 l
+295.027557 98.719215 l
+295.059998 103.745232 l
+295.092468 107.435104 l
+295.124878 109.235489 l
+295.157349 108.419060 l
+295.189789 105.470772 l
+295.222260 100.364937 l
+295.254700 93.726585 l
+295.287170 85.722237 l
+295.319611 77.081253 l
+295.352051 68.414330 l
+295.384491 60.683998 l
+295.416931 54.534653 l
+295.449402 50.159885 l
+295.481842 47.337440 l
+295.514313 46.973454 l
+295.546753 47.913795 l
+295.579224 49.603455 l
+295.611664 51.687550 l
+295.644104 53.615692 l
+295.676544 55.384071 l
+295.709015 57.066002 l
+295.741455 58.527267 l
+295.773926 59.717926 l
+295.806366 59.874493 l
+295.838837 60.167442 l
+295.871277 60.282509 l
+295.903717 60.234642 l
+295.936157 60.040371 l
+295.968628 60.267776 l
+296.001068 60.322277 l
+296.033539 60.497936 l
+296.065979 61.048035 l
+296.098450 61.544762 l
+296.130890 61.641888 l
+296.163330 61.797661 l
+296.195770 62.074562 l
+296.228241 62.371788 l
+296.260681 62.687710 l
+296.293152 63.400700 l
+296.325592 63.681110 l
+296.358032 63.766426 l
+296.390472 64.122879 l
+296.422943 64.316704 l
+296.455383 64.343079 l
+296.487854 64.274155 l
+296.520294 64.039398 l
+296.552765 63.764236 l
+296.585205 63.554432 l
+296.617645 63.730316 l
+296.650085 63.514904 l
+296.682556 63.607277 l
+296.714996 63.830708 l
+296.747467 64.019569 l
+296.779907 64.152855 l
+296.812378 64.306580 l
+296.844818 64.464256 l
+296.877258 64.582436 l
+296.909698 64.597260 l
+296.942169 64.746284 l
+296.974609 64.536339 l
+297.007080 64.567421 l
+297.039520 64.853943 l
+297.071960 64.996246 l
+297.104431 64.912056 l
+297.136871 64.928101 l
+297.169312 65.039467 l
+297.201752 64.869240 l
+297.234222 65.052879 l
+297.266663 64.885605 l
+297.299133 64.558006 l
+297.331573 64.514709 l
+297.364044 64.551308 l
+297.396454 64.486900 l
+297.428925 64.287544 l
+297.461365 64.111008 l
+297.493835 63.991917 l
+297.526276 63.539684 l
+297.558746 63.855045 l
+297.591187 63.745644 l
+297.623627 63.705994 l
+297.656067 63.890038 l
+297.688538 64.293503 l
+297.720978 64.507896 l
+297.753448 64.768509 l
+297.785889 65.000381 l
+297.818359 64.994827 l
+297.850800 64.741394 l
+297.883240 65.019417 l
+297.915680 64.860397 l
+297.948151 64.701431 l
+297.980591 64.776215 l
+298.013062 64.870026 l
+298.045502 64.999306 l
+298.077972 65.181892 l
+298.110413 65.847633 l
+298.142853 66.435989 l
+298.175293 66.920273 l
+298.207764 67.737473 l
+298.240204 68.231850 l
+298.272675 68.643387 l
+298.305115 68.901711 l
+298.337585 68.995995 l
+298.370026 69.125687 l
+298.402466 69.136749 l
+298.434906 69.412384 l
+298.467377 69.705147 l
+298.499817 69.945686 l
+298.532288 70.607765 l
+298.564728 70.809227 l
+298.597198 71.185516 l
+298.629639 71.650490 l
+298.662079 71.853729 l
+298.694519 72.071777 l
+298.726959 72.260590 l
+298.759430 72.134277 l
+298.791870 71.826149 l
+298.824341 71.382431 l
+298.856781 71.195175 l
+298.889221 70.910561 l
+298.921661 70.405197 l
+298.954132 70.190453 l
+298.986572 69.971855 l
+299.019043 69.730873 l
+299.051483 69.520638 l
+299.083954 69.360428 l
+299.116394 69.023140 l
+299.148834 68.534508 l
+299.181274 68.000648 l
+299.213745 67.545479 l
+299.246185 66.960999 l
+299.278656 66.319763 l
+299.311096 65.889816 l
+299.343567 65.435928 l
+299.376007 65.075874 l
+299.408447 64.780296 l
+299.440887 64.567879 l
+299.473358 64.245140 l
+299.505798 64.224762 l
+299.538269 63.910465 l
+299.570709 63.764351 l
+299.603180 63.539722 l
+299.635620 63.432659 l
+299.668060 63.175999 l
+299.700500 63.282436 l
+299.732971 63.178589 l
+299.765411 62.952614 l
+299.797882 62.566143 l
+299.830322 62.762207 l
+299.862793 62.702393 l
+299.895233 62.943798 l
+299.927673 62.975300 l
+299.960114 62.916550 l
+299.992584 62.637196 l
+300.025024 62.439331 l
+300.057495 62.101101 l
+300.089935 61.742344 l
+300.122406 61.328594 l
+300.154816 61.230888 l
+300.187286 61.167130 l
+300.219727 61.392414 l
+300.252197 61.777351 l
+300.284637 62.162479 l
+300.317108 62.456036 l
+300.349548 62.860497 l
+300.381989 63.211849 l
+300.414429 63.306297 l
+300.446869 63.550316 l
+300.479340 63.795250 l
+300.511780 63.877235 l
+300.544250 63.385212 l
+300.576691 63.440857 l
+300.609161 63.492577 l
+300.641602 63.464268 l
+300.674042 63.690132 l
+300.706482 63.838688 l
+300.738953 64.020775 l
+300.771393 64.312164 l
+300.803864 64.420403 l
+300.836304 64.593437 l
+300.868774 64.427368 l
+300.901215 64.825691 l
+300.933655 64.983162 l
+300.966095 64.938210 l
+300.998566 65.098106 l
+301.031006 65.038300 l
+301.063477 65.061813 l
+301.095917 65.125572 l
+301.128387 65.077576 l
+301.160797 64.876923 l
+301.193268 64.583214 l
+301.225708 64.717636 l
+301.258179 64.743149 l
+301.290619 64.638527 l
+301.323090 64.633774 l
+301.355530 64.653893 l
+301.387970 64.632858 l
+301.420410 64.790100 l
+301.452881 65.085472 l
+301.485321 65.387840 l
+301.517792 65.488579 l
+301.550232 65.688271 l
+301.582703 65.814468 l
+301.615143 65.687088 l
+301.647583 65.601891 l
+301.680023 65.372643 l
+301.712494 65.121704 l
+301.744934 65.030655 l
+301.777405 65.037415 l
+301.809845 64.774788 l
+301.842316 64.460938 l
+301.874756 64.492546 l
+301.907196 64.686455 l
+301.939636 64.837677 l
+301.972107 64.963417 l
+302.004547 64.970711 l
+302.036987 64.977982 l
+302.069458 65.098267 l
+302.101898 65.383011 l
+302.134369 65.441322 l
+302.166809 65.039955 l
+302.199249 64.882278 l
+302.231689 64.695625 l
+302.264160 64.500610 l
+302.296600 64.530785 l
+302.329071 64.417465 l
+302.361511 64.158844 l
+302.393982 63.956646 l
+302.426392 63.812290 l
+302.458862 63.838699 l
+302.491302 63.695137 l
+302.523773 63.742752 l
+302.556213 63.621670 l
+302.588684 63.584114 l
+302.621124 63.733768 l
+302.653564 63.799141 l
+302.686005 63.631290 l
+302.718475 63.671051 l
+302.750916 63.322937 l
+302.783386 62.995148 l
+302.815826 62.774647 l
+302.848297 62.709457 l
+302.880737 62.416332 l
+302.913177 62.298244 l
+302.945618 62.059849 l
+302.978088 62.163216 l
+303.010529 62.257591 l
+303.042999 62.785427 l
+303.075439 63.034573 l
+303.107910 63.381115 l
+303.140350 64.185234 l
+303.172791 64.799683 l
+303.205231 65.423500 l
+303.237701 66.073753 l
+303.270142 66.360680 l
+303.302612 66.627426 l
+303.335052 66.867401 l
+303.367523 67.184258 l
+303.399963 67.065727 l
+303.432404 66.955200 l
+303.464844 67.138329 l
+303.497314 67.102669 l
+303.529755 66.835419 l
+303.562225 66.767769 l
+303.594666 66.858116 l
+303.627136 67.054253 l
+303.659576 67.075378 l
+303.691986 67.041794 l
+303.724457 66.854301 l
+303.756897 66.695396 l
+303.789368 66.778839 l
+303.821808 66.814102 l
+303.854279 66.532532 l
+303.886719 66.324112 l
+303.919159 66.362572 l
+303.951599 66.528923 l
+303.984070 66.525444 l
+304.016510 66.408127 l
+304.048981 66.236870 l
+304.081421 65.767876 l
+304.113892 65.770866 l
+304.146332 65.828743 l
+304.178772 65.537155 l
+304.211212 65.344315 l
+304.243683 65.540443 l
+304.276123 65.463959 l
+304.308594 65.281380 l
+304.341034 65.363823 l
+304.373505 65.437256 l
+304.405945 65.391830 l
+304.438385 65.659637 l
+304.470825 65.877922 l
+304.503296 65.901764 l
+304.535736 65.996742 l
+304.568207 66.421562 l
+304.600647 66.402824 l
+304.633118 66.145157 l
+304.665558 66.027069 l
+304.697998 65.670341 l
+304.730438 65.189690 l
+304.762909 65.377594 l
+304.795349 65.240616 l
+304.827820 65.161705 l
+304.860260 65.296165 l
+304.892731 66.120583 l
+304.925171 66.631248 l
+304.957611 67.106041 l
+304.990051 67.880074 l
+305.022522 68.320595 l
+305.054962 68.131912 l
+305.087433 68.349396 l
+305.119873 68.505684 l
+305.152313 68.334846 l
+305.184753 67.968658 l
+305.217224 68.064148 l
+305.249664 67.897919 l
+305.282135 67.932961 l
+305.314575 68.244408 l
+305.347015 68.589371 l
+305.379486 68.681274 l
+305.411926 68.802826 l
+305.444366 68.872414 l
+305.476807 68.743065 l
+305.509277 68.435287 l
+305.541718 67.894371 l
+305.574188 66.964302 l
+305.606628 66.194565 l
+305.639099 65.428963 l
+305.671539 64.772186 l
+305.703979 64.263298 l
+305.736420 64.198463 l
+305.768890 64.047012 l
+305.801331 64.229477 l
+305.833801 64.490662 l
+305.866241 64.894974 l
+305.898712 65.181831 l
+305.931152 65.370537 l
+305.963593 65.484734 l
+305.996033 65.504105 l
+306.028503 65.388260 l
+306.060944 65.322823 l
+306.093414 64.754784 l
+306.125854 64.539001 l
+306.158325 64.409500 l
+306.190735 64.139671 l
+306.223206 63.942234 l
+306.255646 63.659130 l
+306.288116 63.422428 l
+306.320557 63.329159 l
+306.353027 63.321178 l
+306.385468 63.368370 l
+306.417908 63.209320 l
+306.450348 62.958988 l
+306.482819 63.018963 l
+306.515259 62.773804 l
+306.547729 62.572422 l
+306.580170 62.300190 l
+306.612640 62.150265 l
+306.645081 62.057724 l
+306.677521 61.772476 l
+306.709961 61.769238 l
+306.742432 61.717968 l
+306.774872 61.435059 l
+306.807343 61.366848 l
+306.839783 60.884018 l
+306.872253 60.432308 l
+306.904694 59.943283 l
+306.937134 59.415550 l
+306.969574 58.876514 l
+307.002014 58.223549 l
+307.034485 57.781460 l
+307.066925 57.336857 l
+307.099396 56.510796 l
+307.131836 55.849171 l
+307.164307 54.938110 l
+307.196747 54.149235 l
+307.229187 53.876884 l
+307.261627 53.958195 l
+307.294098 54.704781 l
+307.326538 55.933559 l
+307.359009 58.128929 l
+307.391449 60.922638 l
+307.423920 64.821693 l
+307.456329 69.475487 l
+307.488800 75.507530 l
+307.521240 82.149292 l
+307.553711 88.933800 l
+307.586151 95.697075 l
+307.618622 101.774292 l
+307.651062 106.366570 l
+307.683502 109.421227 l
+307.715942 109.717674 l
+307.748413 108.287422 l
+307.780853 104.365547 l
+307.813324 98.485703 l
+307.845764 90.993820 l
+307.878235 82.169289 l
+307.910675 73.133392 l
+307.943115 64.550896 l
+307.975555 57.323238 l
+308.008026 51.998383 l
+308.040466 48.390507 l
+308.072937 47.065395 l
+308.105377 47.775970 l
+308.137848 49.489754 l
+308.170288 51.855038 l
+308.202728 54.134731 l
+308.235168 56.154781 l
+308.267639 57.911377 l
+308.300079 59.201012 l
+308.332550 59.960342 l
+308.364990 60.331486 l
+308.397461 60.281441 l
+308.429901 60.006859 l
+308.462341 59.573166 l
+308.494781 59.479259 l
+308.527252 59.804672 l
+308.559692 60.286484 l
+308.592163 60.709755 l
+308.624603 61.422031 l
+308.657043 62.065548 l
+308.689514 62.476681 l
+308.721924 62.865456 l
+308.754395 63.185711 l
+308.786835 63.152405 l
+308.819305 62.780605 l
+308.851746 62.905983 l
+308.884216 63.005402 l
+308.916656 62.888859 l
+308.949097 62.854168 l
+308.981537 63.378017 l
+309.014008 63.542988 l
+309.046448 63.833878 l
+309.078918 64.092522 l
+309.111359 64.132721 l
+309.143829 64.260628 l
+309.176270 64.442802 l
+309.208710 64.406303 l
+309.241150 64.420486 l
+309.273621 64.302261 l
+309.306061 64.531746 l
+309.338531 64.375443 l
+309.370972 64.631706 l
+309.403442 64.831871 l
+309.435883 64.710014 l
+309.468323 64.885635 l
+309.500763 65.156013 l
+309.533234 65.176689 l
+309.565674 65.393127 l
+309.598145 65.518120 l
+309.630585 65.706123 l
+309.663055 65.143990 l
+309.695496 65.087784 l
+309.727936 65.035408 l
+309.760376 64.715385 l
+309.792847 64.427010 l
+309.825287 64.176811 l
+309.857758 63.815567 l
+309.890198 63.708858 l
+309.922668 63.608044 l
+309.955078 63.825729 l
+309.987549 63.500401 l
+310.019989 63.621368 l
+310.052460 63.712730 l
+310.084900 63.777882 l
+310.117371 63.832737 l
+310.149811 63.869606 l
+310.182251 63.904129 l
+310.214691 63.970787 l
+310.247162 63.989567 l
+310.279602 64.004402 l
+310.312042 63.910427 l
+310.344513 64.085236 l
+310.376953 64.131958 l
+310.409424 64.338654 l
+310.441864 64.412292 l
+310.474304 64.832718 l
+310.506744 65.030785 l
+310.539215 65.411873 l
+310.571655 66.004768 l
+310.604126 66.392128 l
+310.636566 66.585381 l
+310.669037 67.010193 l
+310.701477 67.421089 l
+310.733917 67.685890 l
+310.766357 67.656029 l
+310.798828 68.121834 l
+310.831268 68.290771 l
+310.863739 68.487862 l
+310.896179 68.904091 l
+310.928650 69.300766 l
+310.961090 69.384903 l
+310.993530 69.729279 l
+311.025970 70.168251 l
+311.058441 70.458412 l
+311.090881 70.687012 l
+311.123352 71.147903 l
+311.155792 71.401077 l
+311.188263 71.701042 l
+311.220673 72.141846 l
+311.253143 72.290375 l
+311.285583 72.161278 l
+311.318054 71.964363 l
+311.350494 71.695099 l
+311.382965 71.459320 l
+311.415405 71.129379 l
+311.447845 70.981216 l
+311.480286 70.621735 l
+311.512756 70.625229 l
+311.545197 70.619942 l
+311.577667 70.539543 l
+311.610107 70.407188 l
+311.642578 70.349243 l
+311.675018 69.934578 l
+311.707458 69.626793 l
+311.739899 68.965469 l
+311.772369 68.350166 l
+311.804810 67.574944 l
+311.837280 67.110222 l
+311.869720 66.358292 l
+311.902191 65.733932 l
+311.934631 65.104057 l
+311.967072 64.984955 l
+311.999512 64.661186 l
+312.031952 64.281593 l
+312.064423 63.991428 l
+312.096863 63.629017 l
+312.129333 63.415478 l
+312.161774 63.221092 l
+312.194244 62.904037 l
+312.226685 62.583118 l
+312.259125 62.374409 l
+312.291565 62.473751 l
+312.324036 62.665878 l
+312.356476 62.510666 l
+312.388947 62.418755 l
+312.421387 62.300892 l
+312.453857 62.018131 l
+312.486267 61.806652 l
+312.518738 61.796272 l
+312.551178 61.350536 l
+312.583649 61.308266 l
+312.616089 61.320957 l
+312.648560 61.529686 l
+312.681000 61.754368 l
+312.713440 62.044727 l
+312.745880 62.381622 l
+312.778351 62.487480 l
+312.810791 62.638947 l
+312.843262 62.942684 l
+312.875702 62.918964 l
+312.908173 62.966961 l
+312.940613 62.962467 l
+312.973053 63.127609 l
+313.005493 63.043301 l
+313.037964 63.288181 l
+313.070404 63.532768 l
+313.102875 63.675327 l
+313.135315 64.005226 l
+313.167786 64.286629 l
+313.200226 64.481613 l
+313.232666 64.672615 l
+313.265106 64.595139 l
+313.297577 64.559952 l
+313.330017 64.148865 l
+313.362488 64.131256 l
+313.394928 64.119240 l
+313.427399 63.933681 l
+313.459839 63.969269 l
+313.492279 64.358383 l
+313.524719 64.540680 l
+313.557190 64.872009 l
+313.589630 65.080673 l
+313.622101 65.100014 l
+313.654541 64.855408 l
+313.686981 64.857780 l
+313.719421 64.799858 l
+313.751862 64.729309 l
+313.784332 64.545258 l
+313.816772 64.834824 l
+313.849243 64.894653 l
+313.881683 65.077187 l
+313.914154 65.219040 l
+313.946594 65.305069 l
+313.979034 65.219261 l
+314.011475 65.211571 l
+314.043945 65.215637 l
+314.076385 65.251740 l
+314.108856 64.997986 l
+314.141296 65.259735 l
+314.173767 65.096130 l
+314.206207 65.187485 l
+314.238647 65.366776 l
+314.271088 65.329163 l
+314.303558 65.234970 l
+314.335999 65.154030 l
+314.368469 65.103714 l
+314.400909 65.173195 l
+314.433380 65.096748 l
+314.465820 65.312889 l
+314.498260 65.188934 l
+314.530701 65.295822 l
+314.563171 65.465775 l
+314.595612 65.456963 l
+314.628082 65.491364 l
+314.660522 65.570259 l
+314.692993 65.414772 l
+314.725433 65.393204 l
+314.757874 65.366852 l
+314.790314 65.401642 l
+314.822784 64.984131 l
+314.855225 65.010452 l
+314.887695 64.819977 l
+314.920135 64.493690 l
+314.952606 64.515640 l
+314.985016 64.523888 l
+315.017487 64.387535 l
+315.049927 64.291931 l
+315.082397 64.272812 l
+315.114838 64.395569 l
+315.147308 64.200249 l
+315.179749 64.492699 l
+315.212189 64.753174 l
+315.244629 64.730835 l
+315.277100 64.952576 l
+315.309540 65.069847 l
+315.341980 65.061348 l
+315.374451 64.961014 l
+315.406891 64.930244 l
+315.439362 64.685562 l
+315.471802 64.352966 l
+315.504242 64.369675 l
+315.536682 64.408379 l
+315.569153 64.424049 l
+315.601593 64.648438 l
+315.634064 64.962418 l
+315.666504 64.925232 l
+315.698975 65.094872 l
+315.731415 65.361305 l
+315.763855 65.289284 l
+315.796295 65.131187 l
+315.828766 65.055481 l
+315.861206 64.800301 l
+315.893677 64.396278 l
+315.926117 64.265076 l
+315.958588 64.339561 l
+315.991028 64.102280 l
+316.023468 64.002296 l
+316.055908 64.119972 l
+316.088379 64.194572 l
+316.120819 64.243034 l
+316.153290 64.442848 l
+316.185730 64.435959 l
+316.218201 64.339485 l
+316.250610 64.211647 l
+316.283081 64.189240 l
+316.315521 63.976212 l
+316.347992 63.817719 l
+316.380432 63.760391 l
+316.412903 63.665558 l
+316.445343 63.762150 l
+316.477783 64.031548 l
+316.510223 64.028587 l
+316.542694 64.277969 l
+316.575134 64.305573 l
+316.607605 64.445999 l
+316.640045 64.378044 l
+316.672516 64.376884 l
+316.704956 64.600975 l
+316.737396 64.394341 l
+316.769836 64.179710 l
+316.802307 64.368179 l
+316.834747 64.269470 l
+316.867218 64.182899 l
+316.899658 64.190216 l
+316.932129 64.342735 l
+316.964569 64.229141 l
+316.997009 64.105927 l
+317.029449 64.362640 l
+317.061890 64.275742 l
+317.094360 63.880199 l
+317.126801 63.769966 l
+317.159271 63.696674 l
+317.191711 63.320683 l
+317.224182 63.366787 l
+317.256622 63.442654 l
+317.289062 63.470791 l
+317.321503 63.658211 l
+317.353973 64.048325 l
+317.386414 64.413376 l
+317.418884 64.573738 l
+317.451324 64.828461 l
+317.483795 65.080368 l
+317.516205 64.835297 l
+317.548676 64.788574 l
+317.581116 64.581169 l
+317.613586 64.324257 l
+317.646027 64.177071 l
+317.678497 64.258560 l
+317.710938 64.306381 l
+317.743378 64.224144 l
+317.775818 64.341621 l
+317.808289 64.634689 l
+317.840729 64.768700 l
+317.873199 65.021072 l
+317.905640 65.258980 l
+317.938110 65.575661 l
+317.970551 65.962265 l
+318.002991 66.518105 l
+318.035431 66.845795 l
+318.067902 67.076637 l
+318.100342 67.270683 l
+318.132812 67.446800 l
+318.165253 67.289375 l
+318.197723 67.375343 l
+318.230164 67.261688 l
+318.262604 67.304062 l
+318.295044 67.445465 l
+318.327515 67.630959 l
+318.359955 67.687088 l
+318.392426 67.804039 l
+318.424866 67.723206 l
+318.457336 67.680435 l
+318.489777 67.240814 l
+318.522217 66.996147 l
+318.554657 66.398979 l
+318.587128 65.960930 l
+318.619568 65.399483 l
+318.652008 64.908638 l
+318.684479 64.312302 l
+318.716919 63.939671 l
+318.749359 63.607967 l
+318.781799 63.498089 l
+318.814270 63.549248 l
+318.846710 63.885525 l
+318.879181 64.075226 l
+318.911621 64.076897 l
+318.944092 64.303551 l
+318.976532 64.497047 l
+319.008972 64.287872 l
+319.041412 64.319183 l
+319.073883 64.207825 l
+319.106323 64.074852 l
+319.138794 64.024818 l
+319.171234 64.009689 l
+319.203705 63.767139 l
+319.236145 63.325436 l
+319.268585 62.942432 l
+319.301025 62.772541 l
+319.333496 62.434128 l
+319.365936 62.337772 l
+319.398407 62.051586 l
+319.430847 61.960964 l
+319.463318 61.656662 l
+319.495758 61.522732 l
+319.528198 61.312786 l
+319.560638 61.250782 l
+319.593109 61.188862 l
+319.625549 61.090069 l
+319.658020 61.142033 l
+319.690460 61.458977 l
+319.722931 61.333046 l
+319.755371 61.297638 l
+319.787811 60.878887 l
+319.820251 60.307903 l
+319.852722 59.785229 l
+319.885162 59.099571 l
+319.917633 58.630859 l
+319.950073 57.886726 l
+319.982544 56.964767 l
+320.014954 56.594997 l
+320.047424 56.109394 l
+320.079865 55.470127 l
+320.112335 54.945110 l
+320.144775 54.178734 l
+320.177246 53.370564 l
+320.209686 52.674259 l
+320.242126 52.402840 l
+320.274567 52.636978 l
+320.307007 53.290028 l
+320.339478 54.654938 l
+320.371918 56.979927 l
+320.404388 59.662312 l
+320.436829 63.392204 l
+320.469299 67.957932 l
+320.501740 73.299484 l
+320.534180 79.951271 l
+320.566620 86.941612 l
+320.599091 93.880989 l
+320.631531 100.265205 l
+320.664001 105.254692 l
+320.696442 108.713089 l
+320.728912 109.881523 l
+320.761353 109.264671 l
+320.793793 106.336731 l
+320.826233 100.979271 l
+320.858704 94.260345 l
+320.891144 86.110748 l
+320.923615 77.333725 l
+320.956055 68.629784 l
+320.988525 61.061939 l
+321.020966 54.720192 l
+321.053406 50.136902 l
+321.085846 47.927280 l
+321.118317 47.814808 l
+321.150757 48.820503 l
+321.183228 50.608139 l
+321.215668 52.713524 l
+321.248138 54.886799 l
+321.280548 56.341732 l
+321.313019 57.748455 l
+321.345459 58.591549 l
+321.377930 58.946945 l
+321.410370 59.133671 l
+321.442841 59.247604 l
+321.475281 58.943249 l
+321.507721 58.701477 l
+321.540161 58.740322 l
+321.572632 59.390930 l
+321.605072 59.949608 l
+321.637543 60.394505 l
+321.669983 60.897259 l
+321.702454 61.236893 l
+321.734894 61.493771 l
+321.767334 61.906734 l
+321.799774 61.846592 l
+321.832245 62.035820 l
+321.864685 62.239906 l
+321.897156 62.407040 l
+321.929596 62.865990 l
+321.962036 62.971088 l
+321.994507 63.112438 l
+322.026947 63.315010 l
+322.059387 63.288593 l
+322.091827 63.685303 l
+322.124298 63.655029 l
+322.156738 63.868729 l
+322.189209 64.337456 l
+322.221649 64.394028 l
+322.254120 64.861343 l
+322.286560 64.966629 l
+322.319000 65.053749 l
+322.351440 64.908028 l
+322.383911 64.806427 l
+322.416351 64.735085 l
+322.448822 64.484398 l
+322.481262 64.333565 l
+322.513702 64.215477 l
+322.546143 64.090958 l
+322.578613 64.196655 l
+322.611053 64.242210 l
+322.643524 64.324852 l
+322.675964 64.180328 l
+322.708435 64.249466 l
+322.740875 64.301270 l
+322.773315 64.152748 l
+322.805756 64.296944 l
+322.838226 64.095253 l
+322.870667 64.016670 l
+322.903137 63.942394 l
+322.935577 64.085159 l
+322.968048 64.251038 l
+323.000488 64.149818 l
+323.032928 64.018127 l
+323.065369 63.950302 l
+323.097839 63.661270 l
+323.130280 63.617794 l
+323.162750 63.717876 l
+323.195190 63.714294 l
+323.227661 63.632301 l
+323.260101 63.822556 l
+323.292542 63.941635 l
+323.324982 64.114357 l
+323.357452 64.292252 l
+323.389893 64.277222 l
+323.422363 64.311470 l
+323.454803 64.252357 l
+323.487274 64.280205 l
+323.519714 64.411949 l
+323.552155 64.463165 l
+323.584595 64.741447 l
+323.617035 64.854858 l
+323.649506 64.982254 l
+323.681946 65.406258 l
+323.714417 65.839012 l
+323.746857 65.972206 l
+323.779297 66.767853 l
+323.811737 66.940376 l
+323.844208 67.410797 l
+323.876648 67.700401 l
+323.909119 68.115135 l
+323.941559 68.296631 l
+323.974030 68.144569 l
+324.006470 68.457977 l
+324.038910 68.903053 l
+324.071350 69.048012 l
+324.103821 69.672386 l
+324.136261 70.087143 l
+324.168732 70.329124 l
+324.201172 70.762611 l
+324.233643 71.220474 l
+324.266083 71.574203 l
+324.298523 71.340469 l
+324.330963 71.697800 l
+324.363434 71.705627 l
+324.395874 71.965889 l
+324.428345 71.879715 l
+324.460785 71.959160 l
+324.493256 71.630585 l
+324.525696 71.530807 l
+324.558136 71.267334 l
+324.590576 71.188210 l
+324.623047 70.754715 l
+324.655487 70.455894 l
+324.687958 70.040932 l
+324.720398 69.540878 l
+324.752869 69.047966 l
+324.785309 68.419312 l
+324.817749 67.811440 l
+324.850189 67.358757 l
+324.882660 66.783585 l
+324.915100 66.291298 l
+324.947571 65.897224 l
+324.980011 65.436356 l
+325.012482 64.959930 l
+325.044891 64.430092 l
+325.077362 64.087975 l
+325.109802 63.719349 l
+325.142273 63.225418 l
+325.174713 63.022015 l
+325.207184 62.791134 l
+325.239624 62.551357 l
+325.272064 62.472179 l
+325.304504 62.545788 l
+325.336945 62.219429 l
+325.369415 62.084003 l
+325.401855 61.931561 l
+325.434326 61.839703 l
+325.466766 61.748978 l
+325.499237 61.851158 l
+325.531677 61.875984 l
+325.564117 61.960075 l
+325.596558 62.177525 l
+325.629028 62.551899 l
+325.661469 62.683769 l
+325.693939 62.743408 l
+325.726379 62.936474 l
+325.758850 62.962891 l
+325.791290 63.032906 l
+325.823730 63.204689 l
+325.856171 63.164394 l
+325.888641 63.010345 l
+325.921082 62.933292 l
+325.953552 62.889805 l
+325.985992 62.779972 l
+326.018463 62.906593 l
+326.050903 63.198410 l
+326.083344 63.433079 l
+326.115784 63.670078 l
+326.148254 63.782982 l
+326.180695 63.849236 l
+326.213165 63.729565 l
+326.245605 63.706470 l
+326.278046 63.695709 l
+326.310486 63.404789 l
+326.342957 63.560310 l
+326.375397 63.680420 l
+326.407867 63.880295 l
+326.440308 63.995155 l
+326.472778 64.007896 l
+326.505219 63.887051 l
+326.537659 63.829632 l
+326.570099 64.072693 l
+326.602570 64.059135 l
+326.635010 63.968372 l
+326.667480 64.311249 l
+326.699921 64.467072 l
+326.732391 64.535706 l
+326.764832 64.802490 l
+326.797272 64.979271 l
+326.829712 64.871704 l
+326.862183 64.985130 l
+326.894623 65.319862 l
+326.927063 65.410789 l
+326.959534 65.172768 l
+326.991974 65.279884 l
+327.024445 65.537003 l
+327.056885 65.373940 l
+327.089325 65.633583 l
+327.121765 65.848297 l
+327.154236 65.801933 l
+327.186676 65.817650 l
+327.219147 65.756187 l
+327.251587 65.627998 l
+327.284058 65.270309 l
+327.316467 65.054909 l
+327.348938 64.961426 l
+327.381378 64.673218 l
+327.413849 64.761444 l
+327.446289 64.725983 l
+327.478760 64.732391 l
+327.511200 64.810287 l
+327.543640 64.888733 l
+327.576080 64.767311 l
+327.608551 64.740860 l
+327.640991 64.993889 l
+327.673462 65.187759 l
+327.705902 65.028595 l
+327.738373 65.217384 l
+327.770813 65.063660 l
+327.803253 65.086769 l
+327.835693 65.119751 l
+327.868164 65.206444 l
+327.900604 64.997833 l
+327.933075 64.844284 l
+327.965515 65.003616 l
+327.997986 65.020988 l
+328.030426 64.944443 l
+328.062866 65.001564 l
+328.095306 64.863861 l
+328.127777 64.883583 l
+328.160217 65.027596 l
+328.192688 65.193459 l
+328.225128 65.056725 l
+328.257599 64.941856 l
+328.290039 65.069817 l
+328.322479 64.840050 l
+328.354919 64.676109 l
+328.387390 64.622437 l
+328.419830 64.582947 l
+328.452301 64.470284 l
+328.484741 64.499725 l
+328.517212 64.677803 l
+328.549652 64.610931 l
+328.582092 64.624596 l
+328.614532 64.723885 l
+328.646973 64.718605 l
+328.679443 64.531052 l
+328.711884 64.594894 l
+328.744354 64.538353 l
+328.776794 64.270706 l
+328.809235 64.083481 l
+328.841675 64.271851 l
+328.874146 64.141861 l
+328.906586 64.230591 l
+328.939056 64.461159 l
+328.971497 64.756569 l
+329.003967 64.870224 l
+329.036407 65.231339 l
+329.068848 65.439438 l
+329.101288 65.198608 l
+329.133759 64.915199 l
+329.166199 65.109177 l
+329.198669 64.831726 l
+329.231110 64.601089 l
+329.263580 64.438606 l
+329.296021 64.389313 l
+329.328461 64.115311 l
+329.360901 64.136635 l
+329.393372 64.215530 l
+329.425812 64.230705 l
+329.458282 64.245644 l
+329.490723 64.516121 l
+329.523193 64.713982 l
+329.555634 64.887161 l
+329.588074 64.956238 l
+329.620514 64.997437 l
+329.652985 64.813599 l
+329.685425 64.737534 l
+329.717896 64.691040 l
+329.750336 64.522629 l
+329.782806 64.536087 l
+329.815247 64.523041 l
+329.847687 64.265129 l
+329.880127 64.225227 l
+329.912598 64.266891 l
+329.945038 64.179779 l
+329.977509 64.177597 l
+330.009949 64.327827 l
+330.042419 64.218826 l
+330.074829 64.249146 l
+330.107300 64.144943 l
+330.139740 64.343193 l
+330.172211 63.938313 l
+330.204651 63.720142 l
+330.237122 63.705761 l
+330.269562 63.790028 l
+330.302002 63.721874 l
+330.334442 64.112167 l
+330.366882 64.001358 l
+330.399353 63.958256 l
+330.431793 63.793957 l
+330.464264 63.891422 l
+330.496704 63.622746 l
+330.529175 63.541771 l
+330.561615 63.377258 l
+330.594055 63.504562 l
+330.626495 63.603825 l
+330.658966 64.114380 l
+330.691406 64.678879 l
+330.723877 64.742378 l
+330.756317 64.780884 l
+330.788788 65.073479 l
+330.821228 65.072464 l
+330.853668 65.366745 l
+330.886108 65.455132 l
+330.918579 65.328636 l
+330.951019 65.201027 l
+330.983490 64.984055 l
+331.015930 64.948982 l
+331.048401 64.595718 l
+331.080811 64.319801 l
+331.113281 64.355453 l
+331.145721 64.460716 l
+331.178192 64.869377 l
+331.210632 65.504570 l
+331.243103 66.140297 l
+331.275543 66.687325 l
+331.307983 67.058296 l
+331.340424 67.399811 l
+331.372894 67.563301 l
+331.405334 67.831009 l
+331.437805 67.965836 l
+331.470245 67.935837 l
+331.502716 68.005226 l
+331.535156 68.003876 l
+331.567596 67.917603 l
+331.600037 67.790627 l
+331.632507 67.618958 l
+331.664948 67.386162 l
+331.697418 67.123192 l
+331.729858 67.058456 l
+331.762329 66.760612 l
+331.794769 66.138954 l
+331.827209 65.779961 l
+331.859650 65.355225 l
+331.892120 64.756508 l
+331.924561 64.425056 l
+331.957001 64.122208 l
+331.989471 64.104286 l
+332.021912 63.982361 l
+332.054382 64.211807 l
+332.086823 64.388206 l
+332.119263 64.283936 l
+332.151703 64.246796 l
+332.184174 64.311813 l
+332.216614 64.285866 l
+332.249084 64.344353 l
+332.281525 64.268593 l
+332.313995 64.149757 l
+332.346405 63.974228 l
+332.378876 64.104416 l
+332.411316 64.080345 l
+332.443787 63.787914 l
+332.476227 63.536297 l
+332.508698 63.367958 l
+332.541138 63.430992 l
+332.573578 63.357693 l
+332.606018 63.385448 l
+332.638489 63.138210 l
+332.670929 62.649700 l
+332.703400 62.511726 l
+332.735840 62.372658 l
+332.768311 61.948891 l
+332.800751 61.536236 l
+332.833191 61.177246 l
+332.865631 61.084267 l
+332.898102 61.100681 l
+332.930542 60.982769 l
+332.963013 60.818005 l
+332.995453 60.594952 l
+333.027924 60.281029 l
+333.060364 60.094048 l
+333.092804 59.743435 l
+333.125244 59.412239 l
+333.157715 58.906509 l
+333.190155 58.318165 l
+333.222626 57.862827 l
+333.255066 57.247826 l
+333.287537 56.386738 l
+333.319977 55.757763 l
+333.352417 54.956535 l
+333.384857 54.068130 l
+333.417328 53.291309 l
+333.449768 52.495888 l
+333.482239 51.884777 l
+333.514679 51.918438 l
+333.547150 52.552650 l
+333.579590 53.693497 l
+333.612000 55.428970 l
+333.644470 58.375381 l
+333.676910 61.735561 l
+333.709381 65.751328 l
+333.741821 70.756035 l
+333.774292 76.756180 l
+333.806732 83.953598 l
+333.839172 90.642288 l
+333.871613 97.734062 l
+333.904083 103.434021 l
+333.936523 107.750626 l
+333.968994 110.571053 l
+334.001434 111.044762 l
+334.033905 109.441406 l
+334.066345 105.405289 l
+334.098785 99.549194 l
+334.131226 92.434013 l
+334.163696 83.665253 l
+334.196136 75.113106 l
+334.228607 66.846405 l
+334.261047 59.446350 l
+334.293518 53.672554 l
+334.325958 49.835709 l
+334.358398 48.243137 l
+334.390839 48.389843 l
+334.423309 49.964615 l
+334.455750 51.774166 l
+334.488220 53.488575 l
+334.520660 55.220325 l
+334.553131 56.940586 l
+334.585571 58.045074 l
+334.618011 58.648060 l
+334.650452 58.861908 l
+334.682922 58.894455 l
+334.715363 58.706837 l
+334.747833 58.672169 l
+334.780273 58.651066 l
+334.812744 58.619770 l
+334.845184 59.136818 l
+334.877625 59.615345 l
+334.910065 60.177765 l
+334.942535 60.674194 l
+334.974976 61.148415 l
+335.007446 61.439148 l
+335.039886 61.670605 l
+335.072327 62.113892 l
+335.104767 62.239456 l
+335.137238 62.377167 l
+335.169678 62.768291 l
+335.202148 63.091606 l
+335.234589 63.423161 l
+335.267029 63.862598 l
+335.299500 64.446503 l
+335.331940 64.616867 l
+335.364380 64.875656 l
+335.396820 64.890060 l
+335.429291 64.786354 l
+335.461731 64.653473 l
+335.494202 64.405380 l
+335.526642 64.165428 l
+335.559113 63.768299 l
+335.591553 63.612282 l
+335.623993 63.642193 l
+335.656433 63.545887 l
+335.688904 63.654488 l
+335.721344 63.839550 l
+335.753815 64.078133 l
+335.786255 64.279144 l
+335.818726 64.550438 l
+335.851166 64.862976 l
+335.883606 64.954185 l
+335.916046 65.200981 l
+335.948517 65.261292 l
+335.980957 65.172295 l
+336.013428 64.801018 l
+336.045868 64.759621 l
+336.078339 64.742401 l
+336.110748 64.390480 l
+336.143219 64.244110 l
+336.175659 63.804363 l
+336.208130 63.636360 l
+336.240570 63.584114 l
+336.273041 63.365017 l
+336.305481 63.256252 l
+336.337921 63.094799 l
+336.370361 63.199188 l
+336.402832 63.358963 l
+336.435272 63.578041 l
+336.467743 63.812683 l
+336.500183 63.627827 l
+336.532654 63.608482 l
+336.565094 63.776600 l
+336.597534 63.635773 l
+336.629974 63.386589 l
+336.662445 63.414391 l
+336.694885 63.669151 l
+336.727356 63.600117 l
+336.759796 64.037827 l
+336.792267 64.395805 l
+336.824707 64.683189 l
+336.857147 64.978813 l
+336.889587 65.375626 l
+336.922028 65.872147 l
+336.954498 66.187881 l
+336.986938 66.471672 l
+337.019409 66.888435 l
+337.051849 67.044846 l
+337.084320 67.446205 l
+337.116760 67.857880 l
+337.149200 68.022415 l
+337.181641 68.290665 l
+337.214111 68.616920 l
+337.246552 69.122353 l
+337.279022 69.703331 l
+337.311462 70.137672 l
+337.343933 70.365181 l
+337.376343 70.718857 l
+337.408813 70.984825 l
+337.441254 71.377670 l
+337.473724 71.517471 l
+337.506165 71.454895 l
+337.538635 71.534164 l
+337.571075 71.689583 l
+337.603516 71.797058 l
+337.635956 71.951126 l
+337.668427 71.917786 l
+337.700867 71.924377 l
+337.733337 71.853935 l
+337.765778 71.913101 l
+337.798248 71.863152 l
+337.830688 71.618515 l
+337.863129 71.351967 l
+337.895569 71.143051 l
+337.928040 70.584869 l
+337.960480 70.293404 l
+337.992950 69.877884 l
+338.025391 69.211868 l
+338.057861 68.696251 l
+338.090302 68.392647 l
+338.122742 67.763000 l
+338.155182 67.342255 l
+338.187653 66.781418 l
+338.220093 66.086914 l
+338.252563 65.396431 l
+338.285004 65.218430 l
+338.317474 64.967743 l
+338.349915 64.453445 l
+338.382355 64.121964 l
+338.414795 63.960735 l
+338.447266 63.614033 l
+338.479706 63.406456 l
+338.512177 63.386269 l
+338.544617 62.882553 l
+338.577057 62.818947 l
+338.609528 62.810822 l
+338.641937 62.769123 l
+338.674408 62.578289 l
+338.706848 62.367222 l
+338.739319 62.179688 l
+338.771759 62.072071 l
+338.804230 61.729515 l
+338.836670 61.741913 l
+338.869110 61.607365 l
+338.901550 61.811752 l
+338.934021 62.064800 l
+338.966461 62.364227 l
+338.998932 62.719292 l
+339.031372 62.870930 l
+339.063843 63.230827 l
+339.096283 63.382370 l
+339.128723 63.486420 l
+339.161163 63.585091 l
+339.193634 63.393925 l
+339.226074 63.053699 l
+339.258545 62.962433 l
+339.290985 62.980774 l
+339.323456 63.086769 l
+339.355896 63.192738 l
+339.388336 63.484623 l
+339.420776 63.596554 l
+339.453247 63.782242 l
+339.485687 64.277100 l
+339.518158 64.144188 l
+339.550598 64.267960 l
+339.583069 64.458420 l
+339.615509 64.649651 l
+339.647949 64.721046 l
+339.680389 64.829582 l
+339.712860 64.789093 l
+339.745300 64.711487 l
+339.777771 64.609612 l
+339.810211 64.901283 l
+339.842682 64.510933 l
+339.875092 64.543304 l
+339.907562 64.740685 l
+339.940002 64.895599 l
+339.972473 64.856834 l
+340.004913 64.946220 l
+340.037384 64.774765 l
+340.069824 64.628090 l
+340.102264 64.360466 l
+340.134705 64.275658 l
+340.167175 63.976597 l
+340.199615 63.958282 l
+340.232056 64.032822 l
+340.264526 64.180992 l
+340.296967 64.314537 l
+340.329437 64.660278 l
+340.361877 64.768501 l
+340.394318 65.050064 l
+340.426758 65.229446 l
+340.459229 65.351685 l
+340.491669 65.368446 l
+340.524139 65.480835 l
+340.556580 65.342995 l
+340.589050 65.242783 l
+340.621490 65.118271 l
+340.653931 65.325699 l
+340.686371 65.265640 l
+340.718842 65.210960 l
+340.751282 65.317558 l
+340.783752 65.433502 l
+340.816193 65.636414 l
+340.848663 65.767593 l
+340.881104 65.624969 l
+340.913544 65.585953 l
+340.945984 65.448357 l
+340.978455 65.653282 l
+341.010895 65.668648 l
+341.043365 65.609291 l
+341.075806 65.571861 l
+341.108276 65.596588 l
+341.140686 65.823891 l
+341.173157 66.028511 l
+341.205597 66.161346 l
+341.238068 66.192574 l
+341.270508 66.063423 l
+341.302979 66.338745 l
+341.335419 66.288483 l
+341.367859 66.321327 l
+341.400299 66.289398 l
+341.432770 66.009926 l
+341.465210 65.899521 l
+341.497681 65.803917 l
+341.530121 65.700386 l
+341.562592 65.997192 l
+341.595032 65.664459 l
+341.627472 65.603378 l
+341.659912 65.507431 l
+341.692383 65.470924 l
+341.724823 65.541031 l
+341.757294 65.440094 l
+341.789734 65.323082 l
+341.822205 65.252991 l
+341.854645 65.146301 l
+341.887085 65.456749 l
+341.919525 65.499619 l
+341.951965 65.511017 l
+341.984436 65.493324 l
+342.016876 65.417046 l
+342.049347 65.615463 l
+342.081787 65.625427 l
+342.114258 65.485008 l
+342.146698 65.427948 l
+342.179138 65.511696 l
+342.211578 65.454559 l
+342.244049 65.361984 l
+342.276489 65.622383 l
+342.308960 65.658806 l
+342.341400 65.582817 l
+342.373871 65.595024 l
+342.406281 65.495338 l
+342.438751 65.354759 l
+342.471191 65.257278 l
+342.503662 65.422348 l
+342.536102 65.366325 l
+342.568573 65.165100 l
+342.601013 65.290199 l
+342.633453 65.473114 l
+342.665894 65.492973 l
+342.698364 65.625671 l
+342.730804 65.401001 l
+342.763275 65.226791 l
+342.795715 64.945992 l
+342.828186 64.908302 l
+342.860626 64.809769 l
+342.893066 64.573669 l
+342.925507 64.391212 l
+342.957977 64.498123 l
+342.990417 64.364258 l
+343.022888 64.686874 l
+343.055328 64.755112 l
+343.087799 64.859833 l
+343.120239 65.041718 l
+343.152679 65.401878 l
+343.185120 65.480148 l
+343.217590 65.499420 l
+343.250031 65.429321 l
+343.282501 65.301270 l
+343.314941 65.078598 l
+343.347412 65.106628 l
+343.379852 64.962990 l
+343.412292 64.943573 l
+343.444733 64.913139 l
+343.477203 65.071350 l
+343.509644 65.084938 l
+343.542084 65.076309 l
+343.574554 65.174843 l
+343.606995 65.120293 l
+343.639435 65.043327 l
+343.671875 65.205154 l
+343.704346 65.201538 l
+343.736786 65.244865 l
+343.769257 65.293633 l
+343.801697 65.375671 l
+343.834167 65.189507 l
+343.866608 65.032402 l
+343.899048 65.065178 l
+343.931488 64.932045 l
+343.963959 64.891380 l
+343.996399 64.912140 l
+344.028870 64.800911 l
+344.061310 64.609810 l
+344.093781 64.270500 l
+344.126221 64.316704 l
+344.158661 64.017929 l
+344.191101 63.845417 l
+344.223572 63.784031 l
+344.256012 63.490322 l
+344.288483 63.329636 l
+344.320923 63.481758 l
+344.353394 63.284081 l
+344.385834 63.341148 l
+344.418274 63.368885 l
+344.450714 63.811382 l
+344.483185 63.927803 l
+344.515625 64.535461 l
+344.548096 65.099907 l
+344.580536 65.254990 l
+344.613007 65.389694 l
+344.645447 65.800133 l
+344.677887 65.805717 l
+344.710327 65.800835 l
+344.742798 65.703758 l
+344.775238 65.596611 l
+344.807709 65.203552 l
+344.840149 65.335442 l
+344.872620 65.487244 l
+344.905029 65.040970 l
+344.937500 64.906197 l
+344.969940 65.014061 l
+345.002411 65.169647 l
+345.034851 65.543800 l
+345.067322 66.186996 l
+345.099762 66.393883 l
+345.132202 66.690788 l
+345.164642 67.291641 l
+345.197083 67.809555 l
+345.229553 67.571358 l
+345.261993 67.591408 l
+345.294464 67.808640 l
+345.326904 67.901512 l
+345.359375 68.040085 l
+345.391815 68.355690 l
+345.424255 68.506721 l
+345.456696 68.550613 l
+345.489166 68.702896 l
+345.521606 68.892906 l
+345.554077 68.338562 l
+345.586517 67.778412 l
+345.618988 67.327194 l
+345.651428 66.592926 l
+345.683868 65.888168 l
+345.716309 65.125893 l
+345.748779 64.455811 l
+345.781219 63.909378 l
+345.813690 63.347736 l
+345.846130 63.388515 l
+345.878601 63.234131 l
+345.911041 63.164879 l
+345.943481 63.605480 l
+345.975922 63.677151 l
+346.008392 63.877533 l
+346.040833 64.272980 l
+346.073303 64.346046 l
+346.105743 64.456192 l
+346.138214 64.476929 l
+346.170624 64.624229 l
+346.203094 64.612587 l
+346.235535 64.294754 l
+346.268005 64.557396 l
+346.300446 64.235603 l
+346.332916 64.066788 l
+346.365356 64.075264 l
+346.397797 63.923893 l
+346.430237 63.716866 l
+346.462708 63.601242 l
+346.495148 63.401562 l
+346.527618 63.057114 l
+346.560059 62.543373 l
+346.592529 62.803825 l
+346.624969 62.443535 l
+346.657410 62.306881 l
+346.689850 62.058418 l
+346.722321 61.938046 l
+346.754761 61.900539 l
+346.787231 61.850437 l
+346.819672 61.431934 l
+346.852142 60.992615 l
+346.884583 60.368198 l
+346.917023 60.256550 l
+346.949463 59.927029 l
+346.981903 59.730259 l
+347.014374 59.392822 l
+347.046814 58.967857 l
+347.079285 58.747807 l
+347.111725 58.653545 l
+347.144196 57.901596 l
+347.176636 57.163006 l
+347.209076 56.599758 l
+347.241516 55.800564 l
+347.273987 54.753460 l
+347.306427 53.708321 l
+347.338898 52.782764 l
+347.371338 52.195038 l
+347.403809 52.326752 l
+347.436218 53.160469 l
+347.468689 54.626602 l
+347.501129 56.831341 l
+347.533600 60.151928 l
+347.566040 64.118240 l
+347.598511 68.554733 l
+347.630951 74.248131 l
+347.663391 80.807854 l
+347.695831 87.776794 l
+347.728302 94.861778 l
+347.760742 101.009575 l
+347.793213 105.761215 l
+347.825653 108.906876 l
+347.858124 110.326561 l
+347.890564 109.521240 l
+347.923004 106.271294 l
+347.955444 101.076714 l
+347.987915 94.180908 l
+348.020355 85.830345 l
+348.052826 77.214500 l
+348.085266 69.010300 l
+348.117737 61.505131 l
+348.150177 55.418022 l
+348.182617 51.023174 l
+348.215057 48.925957 l
+348.247528 48.830132 l
+348.279968 50.157845 l
+348.312439 52.013054 l
+348.344879 53.896835 l
+348.377350 55.566551 l
+348.409790 57.196785 l
+348.442230 58.555557 l
+348.474670 59.416908 l
+348.507141 59.673832 l
+348.539581 59.843040 l
+348.572021 59.733627 l
+348.604492 59.582233 l
+348.636932 59.341698 l
+348.669373 59.283924 l
+348.701813 59.656902 l
+348.734283 60.042572 l
+348.766724 60.663582 l
+348.799194 61.004322 l
+348.831635 61.405132 l
+348.864105 61.640305 l
+348.896545 61.721748 l
+348.928986 62.083740 l
+348.961426 62.327553 l
+348.993896 62.215931 l
+349.026337 62.659328 l
+349.058807 62.931850 l
+349.091248 63.210838 l
+349.123718 63.274071 l
+349.156158 63.645802 l
+349.188599 63.773323 l
+349.221039 63.911915 l
+349.253510 64.109215 l
+349.285950 64.335930 l
+349.318420 64.340462 l
+349.350861 64.817024 l
+349.383331 65.175804 l
+349.415771 65.221329 l
+349.448212 65.141266 l
+349.480652 65.398911 l
+349.513123 65.169296 l
+349.545563 65.442940 l
+349.578033 65.624001 l
+349.610474 65.658813 l
+349.642944 65.316132 l
+349.675385 65.256950 l
+349.707825 65.154076 l
+349.740265 64.863655 l
+349.772736 64.599281 l
+349.805176 64.520042 l
+349.837646 64.018929 l
+349.870087 63.799919 l
+349.902557 63.667233 l
+349.934967 63.708839 l
+349.967438 63.525574 l
+349.999878 63.694210 l
+350.032349 63.643669 l
+350.064789 63.693527 l
+350.097260 63.999252 l
+350.129700 64.293045 l
+350.162140 64.406609 l
+350.194580 64.367027 l
+350.227020 64.506172 l
+350.259491 64.366516 l
+350.291931 64.106277 l
+350.324402 64.378044 l
+350.356842 64.530960 l
+350.389313 64.346779 l
+350.421753 64.382332 l
+350.454193 64.585968 l
+350.486633 64.596565 l
+350.519104 64.568756 l
+350.551544 64.826088 l
+350.584015 64.868561 l
+350.616455 64.929268 l
+350.648926 65.210487 l
+350.681366 65.493378 l
+350.713806 65.775574 l
+350.746246 65.872055 l
+350.778717 66.269592 l
+350.811157 66.216156 l
+350.843628 66.428612 l
+350.876068 66.621956 l
+350.908539 66.816322 l
+350.940979 67.225922 l
+350.973419 67.531006 l
+351.005859 67.559875 l
+351.038330 67.916397 l
+351.070770 68.141968 l
+351.103241 68.662216 l
+351.135681 69.038361 l
+351.168152 69.628532 l
+351.200562 69.983093 l
+351.233032 70.340965 l
+351.265472 70.866913 l
+351.297943 71.223610 l
+351.330383 71.290466 l
+351.362854 71.220894 l
+351.395294 71.277885 l
+351.427734 71.400337 l
+351.460175 71.447502 l
+351.492645 71.608986 l
+351.525085 71.768974 l
+351.557556 71.764618 l
+351.589996 71.935059 l
+351.622467 72.261009 l
+351.654907 72.418915 l
+351.687347 72.226372 l
+351.719788 72.045013 l
+351.752258 71.994324 l
+351.784698 71.668365 l
+351.817169 71.153297 l
+351.849609 70.844101 l
+351.882050 70.234917 l
+351.914520 69.489418 l
+351.946960 69.002182 l
+351.979401 68.517647 l
+352.011841 67.734131 l
+352.044312 67.492851 l
+352.076752 67.093697 l
+352.109222 66.343445 l
+352.141663 65.889725 l
+352.174133 65.593391 l
+352.206573 65.206985 l
+352.239014 64.655479 l
+352.271454 64.252724 l
+352.303925 63.718102 l
+352.336365 63.036678 l
+352.368835 63.070534 l
+352.401276 63.052708 l
+352.433716 62.633034 l
+352.466156 62.599194 l
+352.498627 62.691631 l
+352.531067 62.925701 l
+352.563538 62.867290 l
+352.595978 63.108856 l
+352.628448 62.808849 l
+352.660889 62.647125 l
+352.693329 62.511490 l
+352.725769 62.203007 l
+352.758240 61.957062 l
+352.790680 61.818741 l
+352.823151 61.839359 l
+352.855591 62.097553 l
+352.888062 62.418961 l
+352.920502 62.743195 l
+352.952942 62.861740 l
+352.985382 62.959427 l
+353.017853 63.171234 l
+353.050293 63.110977 l
+353.082764 63.209995 l
+353.115204 63.202473 l
+353.147675 63.300449 l
+353.180115 63.251751 l
+353.212555 63.646927 l
+353.244995 63.810726 l
+353.277466 63.938377 l
+353.309906 64.194534 l
+353.342377 64.211105 l
+353.374817 64.189919 l
+353.407288 64.422791 l
+353.439728 64.359787 l
+353.472168 64.405014 l
+353.504608 64.314087 l
+353.537048 64.424980 l
+353.569519 64.576012 l
+353.601959 64.471222 l
+353.634430 65.014542 l
+353.666870 65.395042 l
+353.699310 65.377640 l
+353.731750 65.556976 l
+353.764221 65.450623 l
+353.796661 65.290619 l
+353.829132 65.192200 l
+353.861572 65.198830 l
+353.894043 65.118515 l
+353.926483 64.798187 l
+353.958923 64.969826 l
+353.991364 65.106239 l
+354.023834 65.188759 l
+354.056274 65.097282 l
+354.088745 65.301331 l
+354.121185 65.123169 l
+354.153656 65.090187 l
+354.186096 65.263115 l
+354.218536 65.176567 l
+354.250977 64.965408 l
+354.283447 65.098984 l
+354.315887 65.062134 l
+354.348358 64.864319 l
+354.380798 64.830780 l
+354.413269 64.993797 l
+354.445709 65.071831 l
+354.478149 65.026230 l
+354.510590 65.059822 l
+354.543060 65.085915 l
+354.575500 65.023643 l
+354.607971 64.876511 l
+354.640411 65.037102 l
+354.672882 64.953796 l
+354.705322 65.283569 l
+354.737762 65.327614 l
+354.770203 65.581566 l
+354.802673 65.977715 l
+354.835114 66.185432 l
+354.867584 66.418045 l
+354.900024 66.524338 l
+354.932495 66.355339 l
+354.964905 66.291801 l
+354.997375 66.223495 l
+355.029816 66.151794 l
+355.062286 65.993027 l
+355.094727 65.657028 l
+355.127197 65.697044 l
+355.159637 65.569633 l
+355.192078 65.396439 l
+355.224518 65.269226 l
+355.256958 65.089836 l
+355.289429 65.138092 l
+355.321869 64.888954 l
+355.354340 64.717255 l
+355.386780 64.756279 l
+355.419250 64.497581 l
+355.451691 64.731682 l
+355.484131 64.923187 l
+355.516571 64.582687 l
+355.549042 64.601913 l
+355.581482 64.821602 l
+355.613953 65.107353 l
+355.646393 64.934471 l
+355.678864 64.947327 l
+355.711304 65.075539 l
+355.743744 64.952507 l
+355.776184 64.994194 l
+355.808655 65.193321 l
+355.841095 64.997231 l
+355.873566 64.535927 l
+355.906006 64.531921 l
+355.938477 64.594604 l
+355.970917 64.401024 l
+356.003357 64.361298 l
+356.035797 64.684280 l
+356.068268 64.848091 l
+356.100708 65.177994 l
+356.133179 65.527946 l
+356.165619 65.661980 l
+356.198059 65.643837 l
+356.230499 65.594612 l
+356.262970 65.690201 l
+356.295410 65.475166 l
+356.327881 65.264297 l
+356.360321 65.299652 l
+356.392792 64.901123 l
+356.425232 64.843468 l
+356.457672 65.055984 l
+356.490112 64.923500 l
+356.522583 65.107185 l
+356.555023 65.269737 l
+356.587494 65.562973 l
+356.619934 65.413620 l
+356.652405 65.605103 l
+356.684845 65.905937 l
+356.717285 65.551483 l
+356.749725 65.344818 l
+356.782196 65.050537 l
+356.814636 64.721725 l
+356.847076 64.205582 l
+356.879547 64.364326 l
+356.911987 64.293945 l
+356.944458 64.039284 l
+356.976898 64.086044 l
+357.009338 64.341232 l
+357.041779 64.376694 l
+357.074249 64.816788 l
+357.106689 65.050392 l
+357.139160 65.431915 l
+357.171600 65.140182 l
+357.204071 65.323456 l
+357.236481 65.118782 l
+357.268951 65.086746 l
+357.301392 64.991089 l
+357.333862 64.934555 l
+357.366302 64.619812 l
+357.398773 64.872498 l
+357.431213 64.827278 l
+357.463654 65.105537 l
+357.496094 65.063492 l
+357.528564 64.971992 l
+357.561005 64.836082 l
+357.593475 64.796211 l
+357.625916 64.908600 l
+357.658386 65.058243 l
+357.690826 64.766937 l
+357.723267 64.916481 l
+357.755707 64.865875 l
+357.788177 64.770439 l
+357.820618 64.518646 l
+357.853088 64.182434 l
+357.885529 64.098259 l
+357.917999 63.791592 l
+357.950439 64.038155 l
+357.982880 63.988434 l
+358.015320 63.831886 l
+358.047791 63.689232 l
+358.080231 63.750809 l
+358.112701 63.946156 l
+358.145142 63.939625 l
+358.177612 63.896744 l
+358.210052 64.034729 l
+358.242493 64.256630 l
+358.274933 64.604607 l
+358.307404 64.840416 l
+358.339844 65.050316 l
+358.372314 64.949036 l
+358.404755 65.093468 l
+358.437225 65.320206 l
+358.469666 65.493629 l
+358.502075 65.543991 l
+358.534546 65.669174 l
+358.566986 65.860603 l
+358.599457 65.901184 l
+358.631897 66.006386 l
+358.664368 66.055038 l
+358.696808 65.768661 l
+358.729248 65.847198 l
+358.761688 65.903778 l
+358.794159 66.179024 l
+358.826599 66.753098 l
+358.859070 67.175827 l
+358.891510 67.791626 l
+358.923981 68.392937 l
+358.956421 68.474411 l
+358.988861 68.732826 l
+359.021301 68.945496 l
+359.053772 68.747093 l
+359.086212 68.322029 l
+359.118683 68.029243 l
+359.151123 68.006973 l
+359.183594 67.571678 l
+359.216034 67.410141 l
+359.248474 67.399307 l
+359.280914 67.138359 l
+359.313385 66.845917 l
+359.345825 67.070755 l
+359.378296 67.153809 l
+359.410736 66.893593 l
+359.443207 66.649452 l
+359.475647 66.270630 l
+359.508087 65.582596 l
+359.540527 64.905334 l
+359.572998 64.545250 l
+359.605438 64.300591 l
+359.637909 63.846184 l
+359.670349 63.587654 l
+359.702820 63.708706 l
+359.735260 63.805916 l
+359.767700 63.890289 l
+359.800140 63.784134 l
+359.832611 63.456512 l
+359.865051 63.126194 l
+359.897522 63.262634 l
+359.929962 63.406342 l
+359.962433 63.767082 l
+359.994843 63.788521 l
+360.027313 63.916668 l
+360.059753 63.880539 l
+360.092224 64.054161 l
+360.124664 64.059807 l
+360.157104 63.970253 l
+360.189575 63.831005 l
+360.222015 63.819008 l
+360.254456 63.600212 l
+360.286896 63.884151 l
+360.319366 63.875202 l
+360.351807 63.694809 l
+360.384277 63.539272 l
+360.416718 63.182304 l
+360.449188 62.855347 l
+360.481628 62.748116 l
+360.514069 62.378506 l
+360.546509 62.076393 l
+360.578979 61.416054 l
+360.611420 61.085876 l
+360.643890 60.728329 l
+360.676331 60.300632 l
+360.708801 60.156437 l
+360.741241 60.008430 l
+360.773682 59.893246 l
+360.806122 59.709782 l
+360.838593 59.654018 l
+360.871033 59.585773 l
+360.903503 59.112484 l
+360.935944 58.560566 l
+360.968414 58.106697 l
+361.000824 57.401108 l
+361.033295 56.419762 l
+361.065735 55.621933 l
+361.098206 54.973194 l
+361.130646 54.204952 l
+361.163116 53.893700 l
+361.195557 54.216736 l
+361.227997 54.965977 l
+361.260437 56.345207 l
+361.292908 59.131752 l
+361.325348 62.186520 l
+361.357819 65.745697 l
+361.390259 70.490387 l
+361.422729 76.219727 l
+361.455170 82.510094 l
+361.487610 89.014229 l
+361.520050 95.181396 l
+361.552521 100.522064 l
+361.584961 104.363525 l
+361.617432 106.978493 l
+361.649872 107.340012 l
+361.682343 105.306961 l
+361.714783 101.256104 l
+361.747223 95.319939 l
+361.779663 87.855835 l
+361.812134 79.740868 l
+361.844574 71.290649 l
+361.877014 63.692593 l
+361.909485 57.157444 l
+361.941925 51.936890 l
+361.974396 48.582840 l
+362.006836 47.335876 l
+362.039276 47.958740 l
+362.071716 49.486172 l
+362.104187 51.407578 l
+362.136627 53.707722 l
+362.169098 55.887913 l
+362.201538 57.790455 l
+362.234009 59.513821 l
+362.266418 60.134071 l
+362.298889 60.465382 l
+362.331329 60.462955 l
+362.363800 60.570843 l
+362.396240 60.405190 l
+362.428711 60.030807 l
+362.461151 60.209431 l
+362.493591 60.734917 l
+362.526031 60.994869 l
+362.558502 61.819546 l
+362.590942 62.256001 l
+362.623413 62.590305 l
+362.655853 62.950321 l
+362.688324 63.494328 l
+362.720764 63.675816 l
+362.753204 63.751923 l
+362.785645 64.022797 l
+362.818115 64.153778 l
+362.850555 64.078445 l
+362.883026 64.261337 l
+362.915466 64.549042 l
+362.947937 64.649124 l
+362.980377 64.511177 l
+363.012817 64.522011 l
+363.045258 64.485229 l
+363.077728 64.622360 l
+363.110168 64.754616 l
+363.142639 64.920456 l
+363.175079 64.862175 l
+363.207550 64.935806 l
+363.239990 65.257729 l
+363.272430 65.455849 l
+363.304871 65.434807 l
+363.337341 65.563583 l
+363.369781 65.405502 l
+363.402252 65.374092 l
+363.434692 65.089966 l
+363.467163 65.069695 l
+363.499603 64.770493 l
+363.532013 64.590591 l
+363.564484 64.547531 l
+363.596924 64.404808 l
+363.629395 64.330597 l
+363.661835 64.582100 l
+363.694305 64.309540 l
+363.726746 64.492653 l
+363.759186 64.484909 l
+363.791626 64.634293 l
+363.824097 64.598640 l
+363.856537 64.718407 l
+363.889008 64.759499 l
+363.921448 64.737442 l
+363.953918 64.844322 l
+363.986359 65.017487 l
+364.018799 64.626976 l
+364.051239 64.636642 l
+364.083710 64.566116 l
+364.116150 64.705467 l
+364.148621 64.639702 l
+364.181061 64.510048 l
+364.213531 64.543686 l
+364.245972 64.344559 l
+364.278412 64.320305 l
+364.310852 64.403091 l
+364.343323 64.454758 l
+364.375763 64.730164 l
+364.408234 64.836372 l
+364.440674 65.104919 l
+364.473145 65.298752 l
+364.505585 65.544441 l
+364.538025 66.043312 l
+364.570465 65.794830 l
+364.602936 65.820747 l
+364.635376 65.955833 l
+364.667847 66.188019 l
+364.700287 66.682121 l
+364.732758 66.981781 l
+364.765198 67.448906 l
+364.797638 67.839767 l
+364.830078 68.255859 l
+364.862549 69.074089 l
+364.894989 69.333458 l
+364.927460 69.908539 l
+364.959900 70.271439 l
+364.992340 70.554367 l
+365.024780 70.959038 l
+365.057251 71.193565 l
+365.089691 71.304535 l
+365.122162 71.317024 l
+365.154602 71.409477 l
+365.187042 71.602783 l
+365.219513 71.527328 l
+365.251953 71.700638 l
+365.284393 71.898796 l
+365.316833 71.704582 l
+365.349304 71.690620 l
+365.381744 71.896400 l
+365.414215 72.121094 l
+365.446655 71.967628 l
+365.479126 72.217575 l
+365.511566 72.240097 l
+365.544006 72.054749 l
+365.576447 71.760399 l
+365.608917 71.589546 l
+365.641357 70.856491 l
+365.673828 70.238586 l
+365.706268 69.779259 l
+365.738739 69.210251 l
+365.771179 68.505646 l
+365.803619 68.058693 l
+365.836060 67.602577 l
+365.868530 67.062027 l
+365.900970 66.721397 l
+365.933441 66.208275 l
+365.965881 65.771759 l
+365.998352 65.333466 l
+366.030762 65.263641 l
+366.063232 64.797028 l
+366.095673 64.299934 l
+366.128143 63.868176 l
+366.160583 63.162895 l
+366.193054 62.781879 l
+366.225494 62.484615 l
+366.257935 61.728035 l
+366.290375 61.609360 l
+366.322845 61.389343 l
+366.355286 61.687096 l
+366.387756 61.870529 l
+366.420197 62.209755 l
+366.452667 62.673862 l
+366.485107 62.604061 l
+366.517548 63.014011 l
+366.549988 63.283978 l
+366.582458 63.050488 l
+366.614899 63.154716 l
+366.647369 63.118439 l
+366.679810 63.281574 l
+366.712280 63.068439 l
+366.744720 63.173592 l
+366.777161 63.011353 l
+366.809601 62.802364 l
+366.842041 62.747059 l
+366.874512 62.914230 l
+366.906952 62.834042 l
+366.939423 63.031700 l
+366.971863 63.297699 l
+367.004333 63.729771 l
+367.036774 63.998486 l
+367.069214 64.399620 l
+367.101654 64.399109 l
+367.134125 64.588234 l
+367.166565 64.421585 l
+367.199036 64.249435 l
+367.231476 63.951450 l
+367.263947 63.936218 l
+367.296356 63.908142 l
+367.328827 63.789848 l
+367.361267 63.702812 l
+367.393738 63.854206 l
+367.426178 64.019112 l
+367.458649 64.279984 l
+367.491089 64.556564 l
+367.523529 64.683258 l
+367.555969 64.802444 l
+367.588440 65.024780 l
+367.620880 65.293808 l
+367.653351 65.429077 l
+367.685791 65.249405 l
+367.718262 65.301125 l
+367.750702 65.441963 l
+367.783142 65.301849 l
+367.815582 65.165436 l
+367.848053 65.090248 l
+367.880493 65.045593 l
+367.912964 65.044754 l
+367.945404 65.036301 l
+367.977875 65.206696 l
+368.010315 65.268951 l
+368.042755 65.452164 l
+368.075195 65.852356 l
+368.107666 66.006119 l
+368.140106 66.059532 l
+368.172577 66.138931 l
+368.205017 66.234993 l
+368.237488 66.288979 l
+368.269928 66.183090 l
+368.302368 66.109352 l
+368.334808 65.831894 l
+368.367279 65.706711 l
+368.399719 65.585686 l
+368.432190 65.383926 l
+368.464630 65.310905 l
+368.497070 65.126839 l
+368.529541 65.088486 l
+368.561951 65.078560 l
+368.594421 64.985168 l
+368.626862 65.338585 l
+368.659332 65.210526 l
+368.691772 65.581635 l
+368.724243 65.686600 l
+368.756683 65.697189 l
+368.789124 66.064529 l
+368.821564 66.194267 l
+368.854034 66.063103 l
+368.886475 66.092041 l
+368.918945 66.017532 l
+368.951385 66.102577 l
+368.983856 65.852264 l
+369.016296 65.953560 l
+369.048737 65.685265 l
+369.081177 65.260872 l
+369.113647 65.393639 l
+369.146088 65.494904 l
+369.178558 65.223839 l
+369.210999 65.150734 l
+369.243469 65.193970 l
+369.275909 65.276001 l
+369.308350 65.044952 l
+369.340790 65.160019 l
+369.373260 64.859993 l
+369.405701 64.482635 l
+369.438171 64.551247 l
+369.470612 64.717102 l
+369.503082 64.552467 l
+369.535522 64.527603 l
+369.567963 64.651329 l
+369.600403 64.807648 l
+369.632874 64.745888 l
+369.665314 64.983940 l
+369.697784 64.996735 l
+369.730225 64.851616 l
+369.762695 64.854523 l
+369.795105 65.161102 l
+369.827576 65.049973 l
+369.860016 64.823555 l
+369.892487 64.937004 l
+369.924927 65.055260 l
+369.957397 65.202454 l
+369.989838 65.467979 l
+370.022278 65.455490 l
+370.054718 65.625031 l
+370.087189 65.688545 l
+370.119629 65.858658 l
+370.152069 65.659523 l
+370.184540 65.432938 l
+370.216980 65.402939 l
+370.249451 65.193886 l
+370.281891 65.150215 l
+370.314331 65.224525 l
+370.346771 64.995377 l
+370.379242 64.964897 l
+370.411682 64.894302 l
+370.444153 65.013390 l
+370.476593 64.725746 l
+370.509064 64.666725 l
+370.541504 64.909424 l
+370.573944 64.797890 l
+370.606384 64.823730 l
+370.638855 64.904045 l
+370.671295 64.897919 l
+370.703766 64.880516 l
+370.736206 64.736488 l
+370.768677 64.718773 l
+370.801117 64.591141 l
+370.833557 64.705276 l
+370.865997 65.027229 l
+370.898468 64.974403 l
+370.930908 65.085167 l
+370.963379 65.166466 l
+370.995819 65.204124 l
+371.028290 65.249634 l
+371.060699 65.318497 l
+371.093170 65.087601 l
+371.125610 65.075455 l
+371.158081 65.107849 l
+371.190521 65.144081 l
+371.222992 64.963539 l
+371.255432 64.863213 l
+371.287872 64.841438 l
+371.320312 64.644821 l
+371.352783 64.586174 l
+371.385223 64.621574 l
+371.417694 64.298897 l
+371.450134 64.381958 l
+371.482605 64.540901 l
+371.515045 64.571236 l
+371.547485 64.716446 l
+371.579926 64.574074 l
+371.612396 64.752594 l
+371.644836 64.687408 l
+371.677307 64.539398 l
+371.709747 64.507523 l
+371.742218 64.175591 l
+371.774658 64.031761 l
+371.807098 64.030571 l
+371.839539 64.021637 l
+371.871979 63.977016 l
+371.904449 63.836594 l
+371.936890 63.974659 l
+371.969360 63.975521 l
+372.001801 63.956467 l
+372.034271 64.163132 l
+372.066711 64.196655 l
+372.099152 64.336197 l
+372.131592 64.807861 l
+372.164062 65.288155 l
+372.196503 65.463554 l
+372.228973 65.518036 l
+372.261414 65.784248 l
+372.293884 65.799202 l
+372.326294 65.836250 l
+372.358765 65.977905 l
+372.391205 65.855148 l
+372.423676 65.608963 l
+372.456116 65.718536 l
+372.488586 65.488625 l
+372.521027 65.298729 l
+372.553467 65.246170 l
+372.585907 65.309608 l
+372.618378 65.241920 l
+372.650818 65.494858 l
+372.683289 66.033432 l
+372.715729 66.428185 l
+372.748199 66.846626 l
+372.780640 67.471939 l
+372.813080 67.743202 l
+372.845520 67.880539 l
+372.877991 68.127609 l
+372.910431 68.493523 l
+372.942902 68.434471 l
+372.975342 68.289459 l
+373.007812 68.386185 l
+373.040253 68.089699 l
+373.072693 67.981590 l
+373.105133 67.819214 l
+373.137604 67.500740 l
+373.170044 67.251038 l
+373.202515 66.886467 l
+373.234955 66.909088 l
+373.267426 66.725136 l
+373.299866 66.283684 l
+373.332306 66.181290 l
+373.364746 65.887833 l
+373.397217 65.605591 l
+373.429657 65.412636 l
+373.462097 65.249870 l
+373.494568 64.980270 l
+373.527008 64.692345 l
+373.559448 64.628883 l
+373.591888 64.559883 l
+373.624359 64.343018 l
+373.656799 64.256485 l
+373.689270 64.231682 l
+373.721710 64.309448 l
+373.754181 64.391487 l
+373.786621 64.811508 l
+373.819061 64.737686 l
+373.851501 64.833260 l
+373.883972 64.672974 l
+373.916412 64.521706 l
+373.948883 64.398865 l
+373.981323 64.126854 l
+374.013794 63.573730 l
+374.046234 63.266602 l
+374.078674 62.974033 l
+374.111115 62.982693 l
+374.143585 62.577557 l
+374.176025 62.777405 l
+374.208496 62.671352 l
+374.240936 62.759129 l
+374.273407 62.698330 l
+374.305847 62.836632 l
+374.338287 62.488510 l
+374.370728 62.053337 l
+374.403198 62.003796 l
+374.435638 61.661690 l
+374.468109 60.945423 l
+374.500549 60.791943 l
+374.533020 60.229046 l
+374.565460 60.150280 l
+374.597900 59.709316 l
+374.630341 59.546162 l
+374.662811 59.243122 l
+374.695251 58.914509 l
+374.727722 59.075184 l
+374.760162 58.807034 l
+374.792633 58.361523 l
+374.825043 57.926937 l
+374.857513 57.290077 l
+374.889954 56.498516 l
+374.922424 55.671913 l
+374.954865 54.749100 l
+374.987335 53.783592 l
+375.019775 53.458134 l
+375.052216 53.827557 l
+375.084656 54.487984 l
+375.117096 55.775505 l
+375.149567 57.761360 l
+375.182007 60.633793 l
+375.214478 64.078110 l
+375.246918 68.389290 l
+375.279388 73.648033 l
+375.311829 79.850800 l
+375.344269 86.544655 l
+375.376709 93.334229 l
+375.409180 99.231895 l
+375.441620 104.243271 l
+375.474091 107.619164 l
+375.506531 108.964325 l
+375.539001 108.230003 l
+375.571442 105.582954 l
+375.603882 100.560379 l
+375.636322 93.944725 l
+375.668793 85.883972 l
+375.701233 77.500687 l
+375.733704 68.805328 l
+375.766144 61.378967 l
+375.798615 55.286972 l
+375.831055 50.785107 l
+375.863495 48.090221 l
+375.895935 47.870441 l
+375.928406 48.924377 l
+375.960846 50.730656 l
+375.993317 52.622894 l
+376.025757 54.765034 l
+376.058228 56.511524 l
+376.090637 58.246513 l
+376.123108 59.377296 l
+376.155548 59.973061 l
+376.188019 60.178795 l
+376.220459 60.217403 l
+376.252930 59.901752 l
+376.285370 59.684601 l
+376.317810 59.659634 l
+376.350250 59.767288 l
+376.382721 60.014580 l
+376.415161 60.571873 l
+376.447632 61.126518 l
+376.480072 61.430454 l
+376.512543 62.071499 l
+376.544983 62.750961 l
+376.577423 62.902866 l
+376.609863 63.069851 l
+376.642334 63.387562 l
+376.674774 63.650875 l
+376.707245 63.687576 l
+376.739685 63.822697 l
+376.772125 63.912983 l
+376.804596 63.974876 l
+376.837036 64.027222 l
+376.869476 64.217804 l
+376.901917 64.312119 l
+376.934387 64.385117 l
+376.966827 64.475586 l
+376.999298 64.849159 l
+377.031738 65.012360 l
+377.064209 65.393799 l
+377.096649 65.520439 l
+377.129089 65.664543 l
+377.161530 65.515038 l
+377.194000 65.488579 l
+377.226440 65.311188 l
+377.258911 65.147285 l
+377.291351 65.073151 l
+377.323822 64.865959 l
+377.356232 64.813225 l
+377.388702 64.813477 l
+377.421143 64.830856 l
+377.453613 64.861984 l
+377.486053 64.795715 l
+377.518524 64.768738 l
+377.550964 64.679329 l
+377.583405 64.457138 l
+377.615845 64.551781 l
+377.648315 64.325653 l
+377.680756 64.035591 l
+377.713226 63.942123 l
+377.745667 63.831726 l
+377.778137 63.531139 l
+377.810577 63.371990 l
+377.843018 63.283157 l
+377.875458 63.102970 l
+377.907928 63.083206 l
+377.940369 63.236031 l
+377.972839 63.569649 l
+378.005280 63.625244 l
+378.037750 64.096886 l
+378.070190 64.444939 l
+378.102631 64.445137 l
+378.135071 64.599304 l
+378.167542 64.862778 l
+378.199982 64.830124 l
+378.232452 64.911919 l
+378.264893 64.988533 l
+378.297363 65.153961 l
+378.329803 65.377968 l
+378.362244 65.921288 l
+378.394684 66.144127 l
+378.427124 65.963470 l
+378.459595 66.199966 l
+378.492035 66.587440 l
+378.524506 66.857719 l
+378.556946 67.147652 l
+378.589386 67.477692 l
+378.621826 67.741890 l
+378.654297 67.903496 l
+378.686737 68.474510 l
+378.719208 68.758987 l
+378.751648 68.932114 l
+378.784119 69.189255 l
+378.816559 69.487320 l
+378.848999 69.628418 l
+378.881439 69.891418 l
+378.913910 70.144783 l
+378.946350 70.615227 l
+S
+endstream
+endobj
+5 0 obj
+470442
+endobj
+6 0 obj
+<<
+/Type /Page
+/Parent 3 0 R
+/MediaBox [0 0 418 314]
+/Contents 4 0 R
+/Resources
+<<
+/ProcSet [/PDF /Text /ImageB /ImageC]  %/ImageI
+/ExtGState
+<<
+/GSa 7 0 R
+>>
+/Shading
+<<
+/Sh0 8 0 R
+/Sh1 9 0 R
+>>
+/XObject
+<<
+>>
+/Font
+<<
+>>
+>>
+>>
+endobj
+7 0 obj
+<<
+/Type /ExtGState
+/SA false
+/SM 0.02
+/OP false
+/op false
+/OPM 0
+/BG2 /Default
+/UCR2 /Default
+/TR2 /Default
+>>
+endobj
+8 0 obj
+<< /ShadingType 4 /ColorSpace /DeviceRGB /BitsPerCoordinate 32 /BitsPerComponent 8 /BitsPerFlag 8 /Decode [54.434288 378.946350 184.159225 277.429962 0 1 0 1 0 1] /Length 72 >>
+stream
+������������������������������������������������������������������������
+endstream
+endobj
+9 0 obj
+<< /ShadingType 4 /ColorSpace /DeviceRGB /BitsPerCoordinate 32 /BitsPerComponent 8 /BitsPerFlag 8 /Decode [54.434288 378.946350 34.500832 127.771576 0 1 0 1 0 1] /Length 72 >>
+stream
+������������������������������������������������������������������������
+endstream
+endobj
+xref
+0 10
+0000000000 65535 f 
+0000000009 00000 n 
+0000000150 00000 n 
+0000000199 00000 n 
+0000000256 00000 n 
+0000470750 00000 n 
+0000470772 00000 n 
+0000471016 00000 n 
+0000471143 00000 n 
+0000471425 00000 n 
+trailer
+<<
+/Size 10
+/Info 1 0 R
+/Root 2 0 R
+>>
+startxref
+471706
+%%EOF
diff --git a/src-ref/ECG_raw_3filters.tex b/src-ref/ECG_raw_3filters.tex
new file mode 100644
index 0000000000000000000000000000000000000000..570d4f89be0c2467118bab5c3e74e3827482a259
--- /dev/null
+++ b/src-ref/ECG_raw_3filters.tex
@@ -0,0 +1,49 @@
+\documentclass{minimal}
+\usepackage{graphicx,color}
+\usepackage[utf8]{inputenc}
+\usepackage[papersize={418.00bp,314.00bp},text={418.00bp,314.00bp}]{geometry}
+\begin{document}
+\centering
+% Title: Figure 2
+% Creator: GL2PS 1.4.2, (C) 1999-2020 C. Geuzaine
+% For: Octave
+% CreationDate: Wed Mar  5 14:41:53 2025
+\setlength{\unitlength}{1pt}
+\begin{picture}(0,0)
+\includegraphics[scale=1]{ECG_raw_3filters-inc}
+\end{picture}%
+\begin{picture}(418,314)(0,0)
+\fontsize{10}{0}\selectfont\put(54.4343,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}}
+\fontsize{10}{0}\selectfont\put(119.337,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{2000}}}}
+\fontsize{10}{0}\selectfont\put(184.239,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{4000}}}}
+\fontsize{10}{0}\selectfont\put(249.142,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{6000}}}}
+\fontsize{10}{0}\selectfont\put(314.044,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{8000}}}}
+\fontsize{10}{0}\selectfont\put(378.946,176.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{10000}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,184.159){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-100}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,199.704){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-50}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,215.249){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,230.795){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{50}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,246.34){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{100}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,261.885){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{150}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,277.43){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{200}}}}
+\fontsize{11}{0}\selectfont\put(216.69,287.43){\makebox(0,0)[b]{\textcolor[rgb]{0,0,0}{{Raw ECG signal}}}}
+\fontsize{11}{0}\selectfont\put(26.4418,230.795){\rotatebox{90}{\makebox(0,0)[b]{\textcolor[rgb]{0.15,0.15,0.15}{{Magnitude (digital signal)}}}}}
+\fontsize{11}{0}\selectfont\put(216.69,164.637){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{Samples (Fs=500Hz)}}}}
+\fontsize{10}{0}\selectfont\put(54.4343,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}}
+\fontsize{10}{0}\selectfont\put(119.337,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{2000}}}}
+\fontsize{10}{0}\selectfont\put(184.239,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{4000}}}}
+\fontsize{10}{0}\selectfont\put(249.142,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{6000}}}}
+\fontsize{10}{0}\selectfont\put(314.044,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{8000}}}}
+\fontsize{10}{0}\selectfont\put(378.946,26.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{10000}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,34.5008){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-100}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,50.046){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{-50}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,65.5911){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{0}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,81.1362){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{50}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,96.6813){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{100}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,112.226){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{150}}}}
+\fontsize{10}{0}\selectfont\put(49.4418,127.772){\makebox(0,0)[r]{\textcolor[rgb]{0.15,0.15,0.15}{{200}}}}
+\fontsize{11}{0}\selectfont\put(216.69,137.772){\makebox(0,0)[b]{\textcolor[rgb]{0,0,0}{{After 3 filters}}}}
+\fontsize{11}{0}\selectfont\put(26.4418,81.1362){\rotatebox{90}{\makebox(0,0)[b]{\textcolor[rgb]{0.15,0.15,0.15}{{Magnitude (digital signal)}}}}}
+\fontsize{11}{0}\selectfont\put(216.69,14.979){\makebox(0,0)[t]{\textcolor[rgb]{0.15,0.15,0.15}{{Samples (Fs=500Hz)}}}}
+\end{picture}
+\end{document}
diff --git a/src-ref/octaveScript.m b/src-ref/octaveScript.m
index d62a75414a64973aa406e1ea96425b8160aa4a18..e2cff590d43c7cb99d2699676449e0cb52c4a0f7 100644
--- a/src-ref/octaveScript.m
+++ b/src-ref/octaveScript.m
@@ -15,14 +15,14 @@ pkg load signal;
 %Pour les trois filtres suivants, on peut jouer sur les ordres
 % donc le nombre de coefficients des filtres numeriques
 
-%suppression de la baseline
+%suppression de la baseliADCSamplesOctavene
 fBaseLine=fir1(128, 5/Fn, 'high');
 y_minus_BL=filter(fBaseLine,[1],T(:,2));
 subplot(2,3,2);plot(y_minus_BL);title('Baseline wander reduced');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)');
 subplot(2,3,3);plot(y_minus_BL(1:1000));title('Baseline wander reduced -- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)');
 
 
-%elimination du bruit à 50Hz par un coupe-bande tout basique
+%elimination du bruit àADCSamplesOctave 50Hz par un coupe-bande tout basique
 f50Hz=fir1(100, [45 55]/Fn, 'stop');
 y_minus_50Hz_simple = filter(f50Hz,[1],y_minus_BL);
 subplot(2,3,4);plot(y_minus_50Hz_simple(1:1000));title('FIR1 band-cut-- zoomed');xlabel('Samples (Fs=500Hz)');ylabel('Magnitude (digital signal)');