diff --git a/docs/.~lock.compte-rendu.md# b/docs/.~lock.compte-rendu.md# new file mode 100644 index 0000000000000000000000000000000000000000..a8d9f4c07ff316442af018cc8e92b4fe36c2e92d --- /dev/null +++ b/docs/.~lock.compte-rendu.md# @@ -0,0 +1 @@ +,c24masso,fl-tp-br-604,12.02.2025 10:46,file:///homes/c24masso/.config/libreoffice/4; \ No newline at end of file diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index bcc655d66913928d7dcdede3d6b09390249d6a4f..8fd978ecb506d8293ced08ce3e37114747d621b0 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -4,9 +4,13 @@ ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ? +Le signal renseigné est le signal de sélection du multiplexeur ; + ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ? +Si le test est incomplet notre système devient sensible a des interférences et états transitoires qui peuvent survenir (single Event), bien que cela soit rare, il est plus sur de prévoir tous les cas afin d’empêcher que le système soit bloque surtout dans un environnement critique (espace,...) + ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez. diff --git a/loto/loto.cache/sim/ssm.db b/loto/loto.cache/sim/ssm.db new file mode 100644 index 0000000000000000000000000000000000000000..bc2b13265bd400558031e7321ec21effa7784dd0 --- /dev/null +++ b/loto/loto.cache/sim/ssm.db @@ -0,0 +1,10 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 12 10:36:30 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ diff --git a/loto/loto.cache/wt/project.wpc b/loto/loto.cache/wt/project.wpc new file mode 100644 index 0000000000000000000000000000000000000000..9b342093142bd1b298b4af63bdebdead3a3ef56e --- /dev/null +++ b/loto/loto.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/loto/loto.cache/wt/xsim.wdf b/loto/loto.cache/wt/xsim.wdf new file mode 100644 index 0000000000000000000000000000000000000000..51d5206f7011f2f0764fb661278617e58456141a --- /dev/null +++ b/loto/loto.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/loto/loto.hw/loto.lpr b/loto/loto.hw/loto.lpr new file mode 100644 index 0000000000000000000000000000000000000000..afc0a86cf8f820e635f040c3869b4b647d11ec04 --- /dev/null +++ b/loto/loto.hw/loto.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/loto/loto.ip_user_files/README.txt b/loto/loto.ip_user_files/README.txt new file mode 100644 index 0000000000000000000000000000000000000000..023052cab505345c50834e560e42db8c25daf798 --- /dev/null +++ b/loto/loto.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/loto/loto.sim/sim_1/behav/xsim/compile.sh b/loto/loto.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000000000000000000000000000000000000..6fef07a2cefe32267729d1f885d0d53735f4d687 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 12 12:17:09 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj" +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl new file mode 100644 index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..e44fc9c5016b18754fead6a967bc6564c46089f0 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.log b/loto/loto.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000000000000000000000000000000000000..f25b3d74422a471b9b73fe1cc98946e3a2f69588 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,8 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.sh b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000000000000000000000000000000000000..6cf9d7bce70837f011a29a14a2ed2160b5699810 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 12 12:17:11 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log + diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl new file mode 100644 index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..1750ace7eff23dea94a0efa7d220ac7b5683637a Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj new file mode 100644 index 0000000000000000000000000000000000000000..81dda96880eb85aaa245b404ea63eae26efaa141 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj @@ -0,0 +1,18 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/automate.vhd" \ +"../../../../../src/compteur1_49.vhd" \ +"../../../../../src/compteur_modulo6.vhd" \ +"../../../../../src/compteur_valid.vhd" \ +"../../../../../src/diviseur_freq.vhd" \ +"../../../../../src/led_pwm.vhd" \ +"../../../../../src/registres.vhd" \ +"../../../../../src/tirage.vhd" \ +"../../../../../src/mux6_1.vhd" \ +"../../../../../src/transcodeur7s_d_u.vhd" \ +"../../../../../src/modulo4.vhd" \ +"../../../../../src/loto.vhd" \ +"../../../../../src/loto_tb.vhd" \ + +# Do not sort compile order +nosort diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl new file mode 100644 index 0000000000000000000000000000000000000000..1094e45dcf2527b60296acfc006fb85a3e752cba --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..f805be97e846b01993db81f294a8dbf38c19b0a9 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.log b/loto/loto.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000000000000000000000000000000000000..3a14ee624a9f4bdaa2d11739bbf5670fa4d48b6c --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1 @@ +Time resolution is 1 ps diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.sh b/loto/loto.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000000000000000000000000000000000000..6905c3473d472edd69326e1f41b6f89dafb8d0a1 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 12 12:11:14 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log" +xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log + diff --git a/loto/loto.sim/sim_1/behav/xsim/xelab.pb b/loto/loto.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..29fc279b2f7baae89637fe7214c89fab8d652439 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xelab.pb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000000000000000000000000000000000000..5ae6a8bef5e02315ec5e890ce9518059ab9b680b --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..01731bc2777370a2307a821191980ea8684c5cbd Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000000000000000000000000000000000000..ffee61c973c383c188b87776a6f5da9b7f73afc1 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,113 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_25(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[7] = {(funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_23, (funcp)execute_24, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3}; +const int NumRelocateId= 7; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 7); + iki_vhdl_file_variable_register(dp + 3016); + iki_vhdl_file_variable_register(dp + 3072); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..5b05482f2aa378ffba9085ddeca40bd34a4d53d7 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..fbabe8b5cf5ca4ddd0e5dc7778439ad43893ffbe Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..97728e803af57ccf9755f0dfb8e928cbf21d2595 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..d801bdb8fca030804c606cb19944a479de1c404d Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000000000000000000000000000000000000..bbcc4e9975f90946660e860416ba874745651927 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 58075608055361373 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..293a28a7bf3ddb0a4aace66897bc7fd1111f32dc Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..1d082a6ef3d8e5fe896053f9d52eeb082dd9c616 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..7e148fa280f51005b2a098c35a2abc6f317b3b52 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000000000000000000000000000000000000..5b98c649779de167a254338d505f7cb561360c06 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=188 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=196 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=176 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..6d5d5c8505b07ea2f26d16fbb4a1036cc0cabd41 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000000000000000000000000000000000000..4b4da975b8bc078c61cde8da12b19433cfc5a1bc --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 55841 +Design successfully loaded +Design Loading Memory Usage: 20152 KB (Peak: 20752 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 110088 KB (Peak: 159420 KB) +Simulation CPU Usage: 30 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000000000000000000000000000000000000..2fb2e349f232bbe5522acd7897d9a0410892dbdf --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..e5d2978ff1fbf750d4cb2dfd6dc018d5cc84ec70 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000000000000000000000000000000000000..729548d3c3bda76cffabe1bdc9630f61743ccd6f --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c @@ -0,0 +1,152 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_78(char*, char *); +IKI_DLLESPEC extern void execute_79(char*, char *); +IKI_DLLESPEC extern void execute_80(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_75(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_56(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_61(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_64(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[46] = {(funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_30, (funcp)execute_32, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_38, (funcp)execute_39, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_52, (funcp)execute_53, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_59, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9}; +const int NumRelocateId= 46; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc", (void **)funcTab, 46); + iki_vhdl_file_variable_register(dp + 13864); + iki_vhdl_file_variable_register(dp + 13920); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..fa31b9db7015479064ff1a0be503b9c7fe7d377d Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..9245e52b752c19a904d4bec0e4e142a9baa33f68 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..22f7e3bd5e730b05b6455ed7cf0a81853a3544ea Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..02903a5d7823cc14a86a48f57da5905050ac7bf0 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx new file mode 100644 index 0000000000000000000000000000000000000000..ef4016bd7dc1b2af454ea808cdaf03a8a1cf368c --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 7033421105552465264 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..26be82c31fc551249fddbc9f6d53c4334d116a9f Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..f6e0da5bf3a0d5a1798fdf19e02927df0e5c6e43 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..7331b50841bc1d34510cdc20c7a7f6807d5892d1 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000000000000000000000000000000000000..18d7773281ea30df55f6f830872e70ec910b81c1 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=199 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=209 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=122 +OBJECT_VALUE_COLUMN_WIDTH=106 +OBJECT_DATA_TYPE_COLUMN_WIDTH=91 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..1478b6cbfd300ac862205f8865117b7895c9035a Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log new file mode 100644 index 0000000000000000000000000000000000000000..334ce0b0be3cb866b27086d03839b52a1f107845 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 51681 +Design successfully loaded +Design Loading Memory Usage: 20196 KB (Peak: 20764 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 110132 KB (Peak: 159464 KB) +Simulation CPU Usage: 20 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt new file mode 100644 index 0000000000000000000000000000000000000000..3523bba8f96673904d3c511564ada706d0c8fa09 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt new file mode 100644 index 0000000000000000000000000000000000000000..fdbc612e3497473d6b58c7f0c1432b55416f6136 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..ab4f92f69097f32a08922d6a099d5ffaffcf889e Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c new file mode 100644 index 0000000000000000000000000000000000000000..8998a5e51509c604d4a0813c3192324f0237cd7a --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c @@ -0,0 +1,111 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8}; +const int NumRelocateId= 5; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc", (void **)funcTab, 5); + iki_vhdl_file_variable_register(dp + 3592); + iki_vhdl_file_variable_register(dp + 3648); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..ce8b638900c5e2f8dea0308c91c0f991ab190aa0 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..4fe6bd0dff8ab0febf75954ca5fd11baebc60ad1 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..b8009ac49321f5a1711fdc6c6f9554320faaccf5 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx new file mode 100644 index 0000000000000000000000000000000000000000..4927e06e6b0a12e47485c4a086d7edcfa2f08e0c --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 9160281180618016970 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..4386a4e504b0d077108f19eff4d85dcfe3ce0cb0 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..c6b37d6fee3091720e4763bab2e901c92d34f322 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..11fa643b74eae0a6ee4e4ece034c66409ac8ae68 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini new file mode 100644 index 0000000000000000000000000000000000000000..4d2620b3627f13c8df82d396520ab15c9411df57 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=bin +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=118 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=125 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=151 +OBJECT_VALUE_COLUMN_WIDTH=61 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..b215e46679516d2e24ef729a361e8be16f065120 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log new file mode 100644 index 0000000000000000000000000000000000000000..2903829a9faa5b3ee074c16319a677050a3a8634 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 52485 +Design successfully loaded +Design Loading Memory Usage: 20152 KB (Peak: 20752 KB) +Design Loading CPU Usage: 20 ms +Simulation completed +Simulation Memory Usage: 110088 KB (Peak: 159420 KB) +Simulation CPU Usage: 70 ms diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb new file mode 100644 index 0000000000000000000000000000000000000000..9a5542db9db21bd8a566490fb1c97b71ec6465aa Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb new file mode 100644 index 0000000000000000000000000000000000000000..541d4ed11086474a848977030fa60dac6784757e Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb new file mode 100644 index 0000000000000000000000000000000000000000..1913de964303d1c8ca2c86d96ec93a5224ca4814 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..a50e28e6efe91505e426cfff94685bc4ebf6c5c2 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..6f1791edae6bdb3983b960c67cb73874776cadef Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b64ed2eba70c8c6e7c8af25651ea9927bf1da8a1 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb new file mode 100644 index 0000000000000000000000000000000000000000..0dec8d3ac70cc079558b7237d35244dcd58ee2b5 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb new file mode 100644 index 0000000000000000000000000000000000000000..50af9888efc8379691c9e7457cd864ed3e2c6827 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb new file mode 100644 index 0000000000000000000000000000000000000000..5848fd1ee9e56bf1f86c21b91fe763368ce0a0af Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..e3fc45333deaa04d2670c92a6239bf7c1118ce8a Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..97905d997cb0f80e1647ee01686404e4bd7be822 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb new file mode 100644 index 0000000000000000000000000000000000000000..a2f6922e694305b76fbed6fa05cf8f2ef3837202 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb new file mode 100644 index 0000000000000000000000000000000000000000..352b652f5b5d2c5c162bc5ddb2fd752c4061832a Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f1347a61967b024c808c9db4ef4a53c7f74a896b Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3090fd75127f34f69f192d4c934b66d37f2cf522 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb new file mode 100644 index 0000000000000000000000000000000000000000..da6cdab920ffcbd2026fa9a58253e8fe36db25a0 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb new file mode 100644 index 0000000000000000000000000000000000000000..783b824307d6f127c73e40d9b07f6e3a10baa31e Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb new file mode 100644 index 0000000000000000000000000000000000000000..0565c0d1d5151bcb6ff529b96d60532617e4e0d5 Binary files /dev/null and b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb differ diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000000000000000000000000000000000000..55d2b145bd2d2afce1c3b47fef6e3f2dbfc40cd2 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,19 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd,1739358588,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,automate,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,compteur1_49,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd,1739356986,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,compteur_modulo6,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd,1739350995,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,compteur_valid,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,diviseur_freq,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,led_pwm,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd,,,loto,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd,1739350995,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,modulo4,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd,1739352990,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,mux6_1,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd,1739350995,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,registres,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,tirage,,,,,,,, +/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,, diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000000000000000000000000000000000000..e8199b2597fb201d9f6673368b7b003f11b596e4 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.log b/loto/loto.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..b155e40f06a230303a04d2a77f07560e35c5dc93 --- /dev/null +++ b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/loto/loto.xpr b/loto/loto.xpr new file mode 100644 index 0000000000000000000000000000000000000000..0313977c7abd3e1389f97ca920b46e177aedbe8d --- /dev/null +++ b/loto/loto.xpr @@ -0,0 +1,322 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="56083d60e9404ef385617ea41eb1a91a"/> + <Option Name="Part" Val="xc7a100tcsg324-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="12"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/automate.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur1_49.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_valid.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/diviseur_freq.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/led_pwm.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/registres.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/tirage.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/modulo4.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/loto.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo4.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/mux6_1_tb.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/transcodeur7s_u.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/Nexys4_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <File Path="$PPRDIR/../src/loto_tb.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="loto_tb_ar_cfg"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/automate.vhd b/src/automate.vhd index 1ccb931d7fe12fa743f62a8460c95a6d7fb05343..ed4dd6396a03d2302e61780b24af54f092cf9578 100644 --- a/src/automate.vhd +++ b/src/automate.vhd @@ -29,30 +29,98 @@ architecture a_automate of automate is st_end_green, st_end_red ); + signal SR_STATE : TYPE_ETAT; + begin + + + process (I_clk, I_rst) begin + + + if(I_rst = '1')then - __BLANK_TO_FILL__ + O_counting <= '0'; + O_store <= '0'; + O_l_red <= '0'; + O_l_green <= '0'; + SR_STATE <= st_wait_success; + elsif rising_edge(I_clk)then case SR_STATE is - case SR_STATE is - + when st_wait_success => O_l_green <= '1'; - O_l_red <= '0'; + O_l_red <= '0'; O_counting <= '0'; - O_store <= '0'; + O_store <= '0'; if I_button = '1' then SR_STATE <= st_counting; end if; - when __BLANK_TO_FILL__ - - __BLANK_TO_FILL__ + when st_counting => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '1'; + O_store <= '0'; + if I_button = '0' then + SR_STATE <= st_compar; + end if; + + when st_compar => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_invalide = '0' then + SR_STATE <= st_store; + else + SR_STATE <= st_wait_failed; + end if; + + when st_store => + O_l_green <= '0'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '1'; + if I_end = '1' then + SR_STATE <= st_end_red; + else + SR_STATE <= st_wait_success; + end if; + + when st_end_red => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '1' then + SR_STATE <= st_end_green; + end if; + + when st_end_green => + O_l_green <= '1'; + O_l_red <= '0'; + O_counting <= '0'; + O_store <= '0'; + if I_clk_display = '0' then + SR_STATE <= st_end_red; + end if; + + + when st_wait_failed => + O_l_green <= '0'; + O_l_red <= '1'; + O_counting <= '0'; + O_store <= '0'; + if I_button = '1' then + SR_STATE <= st_counting; + end if; + end case; end if; diff --git a/src/compteur_modulo4.vhd b/src/compteur_modulo4.vhd index f2b951f07572fe0ebb6ed5fc58e6aaa9f185547b..c7d26dc03f3e61e1159f06be797fcd769b6da9e9 100644 --- a/src/compteur_modulo4.vhd +++ b/src/compteur_modulo4.vhd @@ -20,12 +20,12 @@ architecture modulo4_a of compteur_modulo4 is begin - mod4 : process (clk, rst) + mod4 : process (I_clk, I_rst) begin - if rst = '1' then + if I_rst = '1' then SR_Counter <= "00"; - elsif rising_edge(clk) then + elsif rising_edge(I_clk) then if SR_Counter = "11" then SR_Counter <= "00"; else diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd index 7962a902901eb77362e130eb770ac5481684623d..da51f58570ad58db97b364cedefbd4c61e3c10f6 100644 --- a/src/compteur_modulo6.vhd +++ b/src/compteur_modulo6.vhd @@ -16,19 +16,26 @@ end compteur_modulo6; architecture modulo6_a of compteur_modulo6 is - signal SR_Counter : unsigned(2 downto 0); + signal SR_Counter : unsigned(2 downto 0) := "000"; begin - process (_BLANK_) + process (I_clk, I_rst) begin if I_rst = '1' then - _BLANK_ + SR_Counter <= "000"; elsif rising_edge(I_clk) then - _BLANK_ + if I_block = '0' then + if SR_Counter >= "101" then + SR_Counter <= "000"; + else + SR_Counter <= SR_Counter + 1; + end if; + end if; end if; end process; O_CounterMod6 <= std_logic_vector(SR_Counter); - + + end modulo6_a; diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd index a689bef6c26f4dd324c13f5d0653dfd294f6d097..1fdfc1168396928d1f8b2c640f3ec71f4744873e 100644 --- a/src/mux6_1.vhd +++ b/src/mux6_1.vhd @@ -19,9 +19,25 @@ end mux6_1; architecture a_mux6_1 of mux6_1 is begin - -__BLANK_TO_FILL__ - - + + process(I_sel) + begin + case I_sel is + when "000" => + O_mux6 <= I_0; + when "001" => + O_mux6 <= I_1; + when "010" => + O_mux6 <= I_2; + when "011" => + O_mux6 <= I_3; + when "100" => + O_mux6 <= I_4; + when others => + O_mux6 <= I_5; + end case; + end process; + + end a_mux6_1; diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000000000000000000000000000000000000..d696bd013a7054636d46a3ef270253eebb859bf0 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,79 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 10:04:12 2025 +# Process ID: 9059 +# Current directory: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso +# Command line: vivado +# Log file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.log +# Journal file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.jou +# Running On :fl-tp-br-604 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :800.016 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :18731 MB +#----------------------------------------------------------- +start_gui +create_project loto /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto -part xc7a100tcsg324-1 +set_property target_language VHDL [current_project] +add_files -norecurse {/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_u.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd} +add_files -fileset sim_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd +add_files -fileset constrs_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/Nexys4_Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sim_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source mux6_1_tb_arch_cfg.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +close_sim +launch_simulation +source compteur_modulo6_tb_arch_cfg.tcl +close_sim +launch_simulation +source compteur_modulo6_tb_arch_cfg.tcl +close_sim +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sim_1 +launch_simulation +source loto_tb_ar_cfg.tcl +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/O_counting}} {{/loto_tb/DUT/tirage_1/automate_1/O_store}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_red}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_green}} +relaunch_sim +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/SR_STATE}} +relaunch_sim +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk}} +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_rst}} +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} +relaunch_sim +current_wave_config {Untitled 4} +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} {{/loto_tb/DUT/tirage_1/automate_1/I_button}} {{/loto_tb/DUT/tirage_1/automate_1/I_invalide}} {{/loto_tb/DUT/tirage_1/automate_1/I_end}} +relaunch_sim +close_sim diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000000000000000000000000000000000000..48b483d4fd1acd34f81bbefbdd11295c3436ad12 --- /dev/null +++ b/vivado.log @@ -0,0 +1,678 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 12 10:04:12 2025 +# Process ID: 9059 +# Current directory: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso +# Command line: vivado +# Log file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.log +# Journal file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.jou +# Running On :fl-tp-br-604 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :800.016 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :18731 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +create_project loto /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto -part xc7a100tcsg324-1 +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 8417.758 ; gain = 215.410 ; free physical = 8917 ; free virtual = 16352 +set_property target_language VHDL [current_project] +add_files -norecurse {/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_u.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd} +add_files -fileset sim_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd +add_files -fileset constrs_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/Nexys4_Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sim_1 +set_property top mux6_1_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 8614.754 ; gain = 0.000 ; free physical = 8539 ; free virtual = 16074 +INFO: [USF-XSim-69] 'compile' step finished in '7' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb] +Built simulation snapshot mux6_1_tb_arch_cfg_behav +execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 8614.754 ; gain = 0.000 ; free physical = 8398 ; free virtual = 15999 +INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source mux6_1_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:13 ; elapsed = 00:00:24 . Memory (MB): peak = 8696.043 ; gain = 91.332 ; free physical = 8248 ; free virtual = 15911 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source compteur_modulo6_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8820.000 ; gain = 0.000 ; free physical = 7508 ; free virtual = 15402 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb] +Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source compteur_modulo6_tb_arch_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8849.957 ; gain = 0.000 ; free physical = 7523 ; free virtual = 15409 +close_sim +INFO: [Simtcl 6-16] Simulation closed +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +set_property top loto_tb_ar_cfg [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +update_compile_order -fileset sim_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'automate' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur1_49' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'compteur_valid' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'led_pwm' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'registres' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tirage' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'modulo4' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'loto_tb' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default] +Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default] +Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default] +Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default] +Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default] +Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default] +Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default] +Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...] +Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default] +Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default] +Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default] +Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\] +Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb] +Built simulation snapshot loto_tb_ar_cfg_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source loto_tb_ar_cfg.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8955.984 ; gain = 65.777 ; free physical = 7474 ; free virtual = 15396 +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/O_counting}} {{/loto_tb/DUT/tirage_1/automate_1/O_store}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_red}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_green}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8955.984 ; gain = 0.000 ; free physical = 7519 ; free virtual = 15384 +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/SR_STATE}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +Time resolution is 1 ps +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk}} +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_rst}} +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +current_wave_config {Untitled 4} +Untitled 4 +add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} {{/loto_tb/DUT/tirage_1/automate_1/I_button}} {{/loto_tb/DUT/tirage_1/automate_1/I_invalide}} {{/loto_tb/DUT/tirage_1/automate_1/I_end}} +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +Time resolution is 1 ps +close_sim +INFO: [Simtcl 6-16] Simulation closed +exit +INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 12:19:50 2025...