From 79d7e73e9c06bc77a07a93fdb4557c5ac64c35ef Mon Sep 17 00:00:00 2001
From: Charles MASSONG <c24masso@fl-tp-br-604.imta.fr>
Date: Wed, 12 Feb 2025 12:20:49 +0100
Subject: [PATCH] Premiere seance loto

---
 docs/.~lock.compte-rendu.md#                  |   1 +
 docs/compte-rendu.md                          |   4 +
 loto/loto.cache/sim/ssm.db                    |  10 +
 loto/loto.cache/wt/project.wpc                |   3 +
 loto/loto.cache/wt/xsim.wdf                   |   4 +
 loto/loto.hw/loto.lpr                         |   7 +
 loto/loto.ip_user_files/README.txt            |   1 +
 loto/loto.sim/sim_1/behav/xsim/compile.sh     |  24 +
 .../xsim/compteur_modulo6_tb_arch_cfg.tcl     |  11 +
 .../compteur_modulo6_tb_arch_cfg_behav.wdb    | Bin 0 -> 8156 bytes
 loto/loto.sim/sim_1/behav/xsim/elaborate.log  |   8 +
 loto/loto.sim/sim_1/behav/xsim/elaborate.sh   |  22 +
 .../sim_1/behav/xsim/loto_tb_ar_cfg.tcl       |  11 +
 .../sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb | Bin 0 -> 36282 bytes
 .../sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj  |  18 +
 .../sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl   |  11 +
 .../behav/xsim/mux6_1_tb_arch_cfg_behav.wdb   | Bin 0 -> 8951 bytes
 loto/loto.sim/sim_1/behav/xsim/simulate.log   |   1 +
 loto/loto.sim/sim_1/behav/xsim/simulate.sh    |  22 +
 loto/loto.sim/sim_1/behav/xsim/xelab.pb       | Bin 0 -> 873 bytes
 .../Compile_Options.txt                       |   1 +
 .../TempBreakPointFile.txt                    |   1 +
 .../obj/xsim_0.lnx64.o                        | Bin 0 -> 9760 bytes
 .../obj/xsim_1.c                              | 113 +++
 .../obj/xsim_1.lnx64.o                        | Bin 0 -> 4496 bytes
 .../xsim.dbg                                  | Bin 0 -> 3624 bytes
 .../xsim.mem                                  | Bin 0 -> 2784 bytes
 .../xsim.reloc                                | Bin 0 -> 280 bytes
 .../xsim.rlx                                  |  12 +
 .../xsim.rtti                                 | Bin 0 -> 383 bytes
 .../xsim.svtype                               | Bin 0 -> 16 bytes
 .../xsim.type                                 | Bin 0 -> 6824 bytes
 .../xsim.xdbg                                 | Bin 0 -> 464 bytes
 .../xsimSettings.ini                          |  50 ++
 .../xsimcrash.log                             |   0
 .../compteur_modulo6_tb_arch_cfg_behav/xsimk  | Bin 0 -> 26808 bytes
 .../xsimkernel.log                            |   7 +
 .../loto_tb_ar_cfg_behav/Compile_Options.txt  |   1 +
 .../TempBreakPointFile.txt                    |   1 +
 .../loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o   | Bin 0 -> 34560 bytes
 .../loto_tb_ar_cfg_behav/obj/xsim_1.c         | 152 ++++
 .../loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o   | Bin 0 -> 7088 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg    | Bin 0 -> 24336 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.mem    | Bin 0 -> 4909 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc  | Bin 0 -> 2536 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx    |  12 +
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti   | Bin 0 -> 555 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype | Bin 0 -> 16 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.type   | Bin 0 -> 7016 bytes
 .../xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg   | Bin 0 -> 8160 bytes
 .../loto_tb_ar_cfg_behav/xsimSettings.ini     |  50 ++
 .../loto_tb_ar_cfg_behav/xsimcrash.log        |   0
 .../xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk  | Bin 0 -> 45456 bytes
 .../loto_tb_ar_cfg_behav/xsimkernel.log       |   7 +
 .../Compile_Options.txt                       |   1 +
 .../TempBreakPointFile.txt                    |   1 +
 .../obj/xsim_0.lnx64.o                        | Bin 0 -> 8744 bytes
 .../mux6_1_tb_arch_cfg_behav/obj/xsim_1.c     | 111 +++
 .../obj/xsim_1.lnx64.o                        | Bin 0 -> 4336 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.dbg         | Bin 0 -> 4504 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.mem         | Bin 0 -> 2741 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.reloc       | Bin 0 -> 359 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.rlx         |  12 +
 .../mux6_1_tb_arch_cfg_behav/xsim.rtti        | Bin 0 -> 318 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.svtype      | Bin 0 -> 16 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.type        | Bin 0 -> 6824 bytes
 .../mux6_1_tb_arch_cfg_behav/xsim.xdbg        | Bin 0 -> 592 bytes
 .../mux6_1_tb_arch_cfg_behav/xsimSettings.ini |  50 ++
 .../mux6_1_tb_arch_cfg_behav/xsimcrash.log    |   0
 .../xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk   | Bin 0 -> 26568 bytes
 .../mux6_1_tb_arch_cfg_behav/xsimkernel.log   |   7 +
 .../xsim/xsim.dir/xil_defaultlib/automate.vdb | Bin 0 -> 9348 bytes
 .../xsim.dir/xil_defaultlib/compteur1_49.vdb  | Bin 0 -> 3787 bytes
 .../xil_defaultlib/compteur_modulo6.vdb       | Bin 0 -> 3642 bytes
 .../xil_defaultlib/compteur_modulo6_tb.vdb    | Bin 0 -> 4257 bytes
 .../compteur_modulo6_tb_arch_cfg.vdb          | Bin 0 -> 1223 bytes
 .../xil_defaultlib/compteur_valid.vdb         | Bin 0 -> 4018 bytes
 .../xsim.dir/xil_defaultlib/diviseur_freq.vdb | Bin 0 -> 3604 bytes
 .../xsim/xsim.dir/xil_defaultlib/led_pwm.vdb  | Bin 0 -> 4608 bytes
 .../xsim/xsim.dir/xil_defaultlib/loto.vdb     | Bin 0 -> 22871 bytes
 .../xsim/xsim.dir/xil_defaultlib/loto_tb.vdb  | Bin 0 -> 6833 bytes
 .../xil_defaultlib/loto_tb_ar_cfg.vdb         | Bin 0 -> 1091 bytes
 .../xsim/xsim.dir/xil_defaultlib/modulo4.vdb  | Bin 0 -> 5004 bytes
 .../xsim/xsim.dir/xil_defaultlib/mux6_1.vdb   | Bin 0 -> 4127 bytes
 .../xsim.dir/xil_defaultlib/mux6_1_tb.vdb     | Bin 0 -> 6683 bytes
 .../xil_defaultlib/mux6_1_tb_arch_cfg.vdb     | Bin 0 -> 1171 bytes
 .../xsim.dir/xil_defaultlib/registres.vdb     | Bin 0 -> 6312 bytes
 .../xsim/xsim.dir/xil_defaultlib/tirage.vdb   | Bin 0 -> 22425 bytes
 .../xil_defaultlib/transcodeur7s_d_u.vdb      | Bin 0 -> 10392 bytes
 .../xil_defaultlib/xil_defaultlib.rlx         |  19 +
 loto/loto.sim/sim_1/behav/xsim/xsim.ini       |   1 +
 loto/loto.sim/sim_1/behav/xsim/xvhdl.log      |   0
 loto/loto.sim/sim_1/behav/xsim/xvhdl.pb       |   4 +
 loto/loto.xpr                                 | 322 +++++++++
 src/automate.vhd                              |  84 ++-
 src/compteur_modulo4.vhd                      |   6 +-
 src/compteur_modulo6.vhd                      |  17 +-
 src/mux6_1.vhd                                |  24 +-
 vivado.jou                                    |  79 ++
 vivado.log                                    | 678 ++++++++++++++++++
 100 files changed, 1961 insertions(+), 20 deletions(-)
 create mode 100644 docs/.~lock.compte-rendu.md#
 create mode 100644 loto/loto.cache/sim/ssm.db
 create mode 100644 loto/loto.cache/wt/project.wpc
 create mode 100644 loto/loto.cache/wt/xsim.wdf
 create mode 100644 loto/loto.hw/loto.lpr
 create mode 100644 loto/loto.ip_user_files/README.txt
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/compile.sh
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/elaborate.log
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/elaborate.sh
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/simulate.log
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/simulate.sh
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xelab.pb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log
 create mode 100755 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xsim.ini
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xvhdl.log
 create mode 100644 loto/loto.sim/sim_1/behav/xsim/xvhdl.pb
 create mode 100644 loto/loto.xpr
 create mode 100644 vivado.jou
 create mode 100644 vivado.log

diff --git a/docs/.~lock.compte-rendu.md# b/docs/.~lock.compte-rendu.md#
new file mode 100644
index 0000000..a8d9f4c
--- /dev/null
+++ b/docs/.~lock.compte-rendu.md#
@@ -0,0 +1 @@
+,c24masso,fl-tp-br-604,12.02.2025 10:46,file:///homes/c24masso/.config/libreoffice/4;
\ No newline at end of file
diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md
index bcc655d..8fd978e 100644
--- a/docs/compte-rendu.md
+++ b/docs/compte-rendu.md
@@ -4,9 +4,13 @@
 
 ## Question Loto 1 : Quels sont les signaux à renseigner dans la liste de sensibilité (si vous utilisez un process explicite) ?
 
+Le signal renseigné est le signal de sélection du multiplexeur ;
+
 
 ## Question Loto 2 : Que se passe-t-il si le test est incomplet, c’est-à-dire s’il ne couvre pas toutes les combinaisons d’entrées du module ? Est-ce grave ?
 
+Si le test est incomplet notre système devient sensible a des interférences et états transitoires qui peuvent survenir (single Event), bien que cela soit rare, il est plus sur de prévoir tous les cas afin d’empêcher que le système soit bloque surtout dans un environnement critique (espace,...)
+
 
 ## Question Loto 3 : Ce test est-il concluant ? Est-il suffisant pour valider le module ? Justifiez.
 
diff --git a/loto/loto.cache/sim/ssm.db b/loto/loto.cache/sim/ssm.db
new file mode 100644
index 0000000..bc2b132
--- /dev/null
+++ b/loto/loto.cache/sim/ssm.db
@@ -0,0 +1,10 @@
+################################################################################
+#                            DONOT REMOVE THIS FILE
+# Unified simulation database file for selected simulation model for IP
+#
+# File: ssm.db (Wed Feb 12 10:36:30 2025)
+#
+# This file is generated by the unified simulation automation and contains the
+# selected simulation model information for the IP/BD instances.
+#                            DONOT REMOVE THIS FILE
+################################################################################
diff --git a/loto/loto.cache/wt/project.wpc b/loto/loto.cache/wt/project.wpc
new file mode 100644
index 0000000..9b34209
--- /dev/null
+++ b/loto/loto.cache/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:1
+eof:
diff --git a/loto/loto.cache/wt/xsim.wdf b/loto/loto.cache/wt/xsim.wdf
new file mode 100644
index 0000000..51d5206
--- /dev/null
+++ b/loto/loto.cache/wt/xsim.wdf
@@ -0,0 +1,4 @@
+version:1
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
+eof:2427094519
diff --git a/loto/loto.hw/loto.lpr b/loto/loto.hw/loto.lpr
new file mode 100644
index 0000000..afc0a86
--- /dev/null
+++ b/loto/loto.hw/loto.lpr
@@ -0,0 +1,7 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0"/>
diff --git a/loto/loto.ip_user_files/README.txt b/loto/loto.ip_user_files/README.txt
new file mode 100644
index 0000000..023052c
--- /dev/null
+++ b/loto/loto.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/loto/loto.sim/sim_1/behav/xsim/compile.sh b/loto/loto.sim/sim_1/behav/xsim/compile.sh
new file mode 100755
index 0000000..6fef07a
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/compile.sh
@@ -0,0 +1,24 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : compile.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for compiling the simulation design source files
+#
+# Generated by Vivado on Wed Feb 12 12:17:09 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: compile.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# compile VHDL design sources
+echo "xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj"
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj 2>&1 | tee compile.log
+
+echo "Waiting for jobs to finish..."
+echo "No pending jobs, compilation finished."
diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/compteur_modulo6_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..e44fc9c5016b18754fead6a967bc6564c46089f0
GIT binary patch
literal 8156
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*{VU6URk5*YyH
zG8iyISofJAw7DRJCYLl|U|@h@d}c61CDWnysf$4c&?pBdez3?(W(Ecp5Q_suFfdG!
zfzT%)6r6;5hvB&tR7it?A%%f~A>74D&$TQyuLLR#qhRV^Km|OYG&CGNgEMm#LLviP
z72G_1Tonuq3>msER+X+vX9bzb$iU#mz`(%Fz_1`V#3kOx-`&%h0ThrR4Dul-0|SG4
zs5*msggS$|fjWb_p*n+llsbcYxH^Nnk2-_8hdP70t~vwA72ZfDg;Hje0s{jBD+9v<
zKgW>JAV(jtlR*CZ|NnnI$SOw$1_l9SN5_Y`I*0fNffX_`EC9O)q!8o}5Dn4{!vFsN
z|3CNt|NoXy148|RJ>C6WU5M7G0WyVwfdQ5-_d@x1p|l*-HfZn%g@kxQWgrw(njrzo
z0DFgl0ha8+(dFXgu3%tb#sG?@FaQ7l-@(AZaD$P7!GMQ>;Rh1~Lk9~3!v!qZft7(_
z1}g(Y05=3L;AUX>z|Fv*zyracln26~NCja~1VdbfAhQ@47=jrX7&d~^2Ll5G7Zh_t
zm4KYh$jHC|!VKVGW?=aL|NnoGG+2%S!3T+fFw`gz6PyJ=kpkj?Xh{Z$DRNL6CeFqH
z$u1x@stgR^__0M&15yXF7lc7-bRdb3!3~cZU8tG<c+}`YQXWGD)J~8+APlkxghAmZ
z&cMI`a$h1IHEIkD4D8Ub0O=D38N$H8pulz<qo4qV4=5Z!W`l|dP=NvR6R3m$(I7b`
z1_lOW1_lO@8j$(`*5hIzHmLLg(IEGL>;Yk3s9g_OkBfoygTe+R4iW~@APiHp0ICKQ
zHXw0)YCvIwPYuW&ps*pN1{5|hH6Z&y801dmGyoC<so{gh2gBM_Ay9aN#6fE1vBV*=
zS^<zkX#9Xm6Hr<QVNe<cNx{`V3l{>J1rh_9t4P3HklR6OL4F10C75|2F_?S7Vrx@{
zK;aG&2dM>NusBEnnl3<Y0E?|n6*7W~gVcfY99SGGhHkDER2-xhlrKU40%4FI5C)kG
z@(aVca3NTA08$G|gCKRFGzL-!QUg*8N>2=@!-YV`g2X^H41??j=^<4-z9heZAvr&{
zpd_`lC_Xnor8FnsEWRX(A+abqgTW;<1VfSmrYMmiCo?ZKKHkIx#xR93%%BV-V<^Me
z0_xCU=lG)H5{6*s_@tct<ZKYbIlnZoB(=ylKgEn8I4IsZC!4`DJ{e4clzKu{`p2Uy
zgy@49te=sen_8@&Y-Ey~SX`X1?^Ifpnpmn|QlMLwk&>gEo0_U0>Z+TYnv$HK2NKQ6
zFUi+UEh$aOOw23Mg=;G=O4i2`8hT|JDGd6h#YJFi^i%VSOOg!reO+DkA@zrTS!P*c
zO1_bSk%^w6ei)de59OsKmL%$f9H9>~ytpJK-pIhfLch2qF)t;tC<S74K~a8|ennDg
zW==}6zNMw5rJg~&r(a0Cfr)`>yn%&@fqrIQaY<rMPO*MsPELG5PGU(~eo<~Q%r1x)
zeWL85sy9kfD@ro+>EQ`vo2cQ1%+%CWSX89M=j5knCdV5Znwfz7lEa`+lNcdjCoR3D
zo0OkYIS_tBwwSse%qz`JEy_%eFD?P+BHBk9riC=~5OS!|I4L6e3fWfL6{w(82r0E8
zZBS6%1;a4$eGCi?$qWn(&5R5TT8s<~0Za@GZy6aFbeI_!jxaGWu(B{PEM;b3xXr@A
zP{zW*5XcI_Aag)wz-SN~R4>B##L^%=pmqbOZ2)R>f!b7{_8q9VC(XdX0BZBeGB7ZJ
z+Crdq3#`2XvJV+^KpR5>P#RR9Bg-SR=anIN0wBv77#Jj=G`c=yKC(UuPy+(geudJ=
z>OiI=w-1o@fjbZk3=ABgMh#lq3ZxGd9v~Wo@##Z0AEXx4h5^wajI0kmT+sD_+d3dk
z3=9m&`q0A#T_31@4b_IC4?SGa^+BTttQFZl15m?_fq}sSN~7z8Mh}KQNV`D6#mOBk
z1t(zf!4C~k4N$`lF3Z619hAV3_@m6x5Eu;sL<rO(n4_#g5CWj`Y%Xk&je&uo1XMW=
z0(Xr%VKf8=V+dp*H;4wK14o#f(917qvy7pF@$H4(ybTH>3=T(J<{sr-vnKZVxkA~)
zL4v&!((D#oa(nny#GT>}OPqHuz8n1FmcT0IXI?XJ{0gbJdX-tH{%F&(lZzhzd+<3!
zZi}#jLjwaN6AOm`LB@a9vaJRzGb<S1&M0hIQYv$7`TNUTFPCmDR++54_=v^Q-q6Vw
zvyXIa@!R5Vv@^xx_=%+xuc)0<F;rPAoNIY=3Xi4R9BEHqmC2I=iynJOtIs|y8T9Mz
z*+2K@AAkJ2zJAZ?cW-LGeYUyx_uszXQTYoEeoM&4?D=%|a`;)t3eDE@cejfLTJCYK
zF|Oyezf)=?BfD8=+SfI*4<>NFxO<q5MTCEIB9qkdn+*+bzs?lY=lRR$?&I*%L|Wj2
z&G9x)i9bi!Sf=Q2PGpMu{hVFoxn4pAyR=$Da%I|)`1@L=eFs=ymRz(ur6_Dr)yca<
zVRdV$f1$_0Uy^1InnJoib~vjou$?Ixc_QbfQoF)}%?9^B{Vbf(aB^*qgTq?^X|)B_
zExbDvVr{nh7o1>OQ*yI`dsEbnW9~9R8$PQ_7dWnR{mazubKseM&H>hqVriE@{W&JG
zX?Oa{gwmP3I~1-i3Y*>fC|+Qj+*2{(0E=U6ENkYb9A^1)FPWLCEB&Sc<6HSOw>>4<
zJ(f)3M;Ke8tN#nNi%bz)Kkx48XOr8xgLG%HTc$9v@o!`lPuaTRQ?N|Xg{p}lbCZ6U
zwfi_c4K{nw6d_%<?XPaTNKailv!2J+74L33vnMfK(*`-nEa%XVIzG*asyQG{i$3eL
z`y6<}YxbaNjab<43C>)*;x{mgFNw;T|9k>x#LwBhI~1<9`QF&^Qp(PV(YkyyNbZXK
z!wH->ayBuFAGx)G^K!W5l7z3Z;GkQ3-XevG%YGBsZCmXvmn_(x-?)rld`XnZY8fNO
zUiO;}+)24NTK-Lz2@0rc1iRp;Si8@G1;^Q91hNmSP1*l&LesVUoCB;Y#hkS-HO^=_
zrJHkrHBxs?t$e#kk6bd?Kie!)n6AaAGwXG1O%y&RU*K`@(sQtux$zb$OlpuIFk4vm
zcxz1x)2{N3AV=repHURv@QFvdz%fkv-}c&8XRfk)iD2WtTc$9X?S~q-tiHhG;H!Ik
zO=@>2Tupji#y7L!5HmF3ZVAf-U8tT1cJ>dob{~hw!r*|r_J5W$m)HJHAbU)>uU~AO
z(QuFv91ms-YxLViw(x<&ji<l(1WQO<GQ{1tmRl}KDCq+m_RF~4=fJO2uwiER_V@Su
z9Jn+W;v*|i{AfrQIIiKH9Z)^fnQPbb8x7n+y4%i!5?>88+;U=`OlX?h`a1I$IQ^ON
z&1^X93=X)QsupLiu=(JCyYZ^%1dE7W8pHwD_C1==bdMDragX+cqGxvg1Lb0nMHk|q
zO=!BL1y0PLCE9%sJWv6L+dTC>=M`DkZU#H;upubD=|law*P?pM{@*hHPZ=99etcMO
u@}Mciy8fTB^{YSGc8xVmKUqF;J`p~lKEYpMz5}wrhx&O3>Q|)|HUR)`Bh~c)

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.log b/loto/loto.sim/sim_1/behav/xsim/elaborate.log
new file mode 100644
index 0000000..f25b3d7
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.log
@@ -0,0 +1,8 @@
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
diff --git a/loto/loto.sim/sim_1/behav/xsim/elaborate.sh b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh
new file mode 100755
index 0000000..6cf9d7b
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/elaborate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : elaborate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for elaborating the compiled design
+#
+# Generated by Vivado on Wed Feb 12 12:17:11 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: elaborate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# elaborate design
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+
diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..1750ace7eff23dea94a0efa7d220ac7b5683637a
GIT binary patch
literal 36282
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C+LGUXval5*YyH
zGR$Cvus$<GXyarEO)hD`z`y{*_{@M>$B<kGQI!m}SGgL(f1CrQ>ml^wLI@2bl`~*0
z>d+2O{9uD#GBYp;f><0Nf`Or-2|}NMP;in7%39n4WoR%kq%bfrgu6KDxt68ol|Y4I
z6f~F_0-y{Js01{=JcBcH6+$8dTov3reOwg`3=A38zP;GECY_yuf#EYF1A`X>0|PSy
z!-C)tmv|q4cTZ;qkPAQ<6q1|_3=Ha_>I~`;>I~`z>I~|J>I~{p>I~}P>I~{W>I~{0
z>I~|->I@*uy^%}`rOYS=1_lOJ28IQGjv=8zjy_-~f&BIV|NnZBRgMe{3<AiGjt_Hn
z4)G5HD`aF?0Co>ZA;=#f8l)M7|NZ~}fA0VP|1F^gg!%=0y8F4h5UmmBGEct{S9e#4
zg$xV~4KSD0Km!33<ngW{jv-)^LC#=hU|;|RdT~j7d17Wsd|F~=PHGARj9XlqoSa%*
z4B{u}m*$mZ=A}c}xdn+uAXafneo-ojk(!qhpI(%jng`<)rKW&AtO4>N0|NsrZ|;Ti
z??P!gXh?)Z>2fFyO)5blA)Zi`5DF^Ia01HE26=;l0ag@%la`B<yMlp%83QOuefj_Y
z{|*KQh8Y|T3;~V|3>5+l3^&vn7!K%S;t2)}3_pw+7%FTbSiz2g;e;IngM%XkTQD#%
zfN%!`0|N-#L!5*{o@HWS$Y5q*r~#!?1_lOED4qvZ1#&hcBLf2nGk`;gf#LuE|NlYK
zU^xZ^A0!6CpjZOYAPizdy$p^;X$FWX0#F*Hh8G&ETnr3gHAYZc4oMA29mrk~2ASss
zNh1vEc+|KsFfgz{i#(89L1ut3$P5q$nd1t{B@Cd%j7yC>0|Nsa0|NudPLLju89WRO
z3?d8+49W})44|OPhWa5I>UNMCkXle$2NmxiHK5WHRB|;SsR0!bN(>APAa{V&G(*i>
ziKGVPE>#8w1|O(g9|HpeD69@4sR4zv8Uq7E2vp511_lOh1_p*VNNPZKX)`b|L_yUo
zWME(b`GbQI67C>3gD@y;Kp5nnRSXOap!gBOqh>P$0|Ore1A`16HCq@M7(j)%8Xh%U
zAq5J90UkBm7$8-E6&^L9{3FD`z~F*M%`OH824MyU20unfi30LBD4g{e7#QNA;ad!i
z<6JZ~`V0&VSx_~g_yXmbek3)Za0XSgrBF4K85kJE7#J81AgKYR4Pyod22h#+#pPTE
z1_p5k28PE-YCz_gf(k~cIm;Lr7$g`N7``H@0l6C#S0D^BX9EKRgCr<V;!(4mfq_Aa
zfq?-OhM>|EWCjR>%m87KIiN5E<yB55MEIbq*$IksXgK3C2Nce-ps>bc&Ta+<22fs?
z#iM2q0|SFRs3K)zU;yPIkXt|)<X#X4l_#L^1LZdZJZeCBM3I4k!IBA*Z$V~&FvtuL
z1~o50VGPQXPI%OS%mC$mZ#-&1W~e~j3<^__Js=FS2ZTXs6y#=5-VR}c<X4b92!rH7
z7?egq%@L5hWAUf~)jFWEFb$6yP%{Nozvbgm11e)Q85kHU@TiGkU|`T<U|?uuf|MU1
z_ku9Uy&w!K7eVPARMvFiQ3DD;9R>!5$#~R&!bX>Yfng34q`U>$1HvGCKp0fUf!qtq
zw@aBI^%qDUghBEk3@YP5X&IDn*D*ozB1j&DLGmCBD&s);7?f|f<52^OPeZ7iL4E|8
z0m2|NKp12W$jzWS>;MxaPlMz^7$gtEpt2IA7nJ`&X%&<PK=L3Ak_Tas8c>{@FfcG2
zVS?m)kUR*3<Utrzo`Ui^sEh!)8I*28@*oV72VsyJkekh*ZpNhs<YseFxdGDj|Nnnb
zx&xW>KuqEfh(^Ysx(4J=Q2hW(*C0DU<ryeXfM`%ygVF%VZ6GxuT0l(V4~Pv4V-O88
z18UWOkXaz}7l=vx0qF<TvmiN;7>EX8n3@Er8c^L1633?oRHqVB1FG9$YCw(eAZXnP
zDzg-%B>sT>2Xa4%2DJfF85kHqy&{+&K>h-iJ)m*}M1#~!U|?VXwVyz0K;<Gx4M-l8
z-$69U@0%DH7(nGGNDZhw1E~SYgTf6&gW4@;85kJuL)`;17o-LhFQE1Whz6PSje&vT
zA5;y94^jg%1LPJE4blhl9|*(35ENe^dqH(ONE}xfg4BTOc0y`Ebvq$7pt_xq8c^L%
zNDZi7BBTaX=fKoNGBPkEF+$3EP+0+rQxFYGhaeghK9!6N49!StKw$_fGeG$gM1#V0
z0V4y$dZ-$Z9*`PPc!I(bM1$0vW@KQv0aXLygVccXF(@uTG)UiDMo9e(3R_sbgUkTM
zIfw@71Gyc9LFR(u1|$wjf1tV@Bn}b-(I5;{1Iia5HK4j3B#uuFp)drQ14^5O%s~!k
zkXjH%cMqs;2Z`fz52$X(rv?;$ptvBU22@_+Qv=F-pz@E98c;b#NDZiN$EOAqpM=~D
z%EO?#9iKTMHK4kkkQz|kPDl-?ZYQJ$RJY?(11eKMbr&Hupt_xq8c>~sPYo!4g6ejB
zYC!oDRNvrJ14@&i{7y&>sGcOGhLD><`J7PrfYcC5tDrm&s(0|Y2c(9On?Y)j-3-cy
zAPlN!L2W@$p9jPTVUS%Qw}Hwa1|B90P~8O*Cq^wuAF*md`GQ!rptyyp1*HX$n?U^{
zn7cvtg5CQ^(*l%lVQN9`Q<xf%7`op;ZDo)+$Zv$yg4(DswV*aFsN9CR0b~c<4Lm>1
zL2XQ!T2S18>T!@AATf{|K=~Q$UIqpRP@4=S4w47O5k9rHAcfF+9#lSq?1c(}$74Wl
z0E_W3S-3$ZKy?f#4}ry@VjwpltM!A5gVciZ5<az&NNPcO3ZGg~9~z__WG=`)<ah)5
z7bFIv8F+r0!$yxmYC-80IebCk3|IR{(*io;3m$U;)eG3%05O-z0yO3Ya|5XC$EOz5
zW`n5(`4gL3aJ=y_S%BJhFtwnu6ntuzf)qmI4Ky|cY6F1$1kwYFZ;;<0=KeHai=;LN
zI_3mZ3sM7D`|pQ2s67Qz4hpkaG_@c*;A(k(n#0C0L2BdB)Pmv#uJ+##^P?a`q3#96
zA;>J48$j^_SIhI$9MnDqDF>OGfMza846gRy5A&-ag-~-7p<{P2b3y4Du9oMg`Ew+-
zNoeMR(lcD`zaQqH_Af{|$Ue|41;{@zb3y4Du9jcR0@O~1sRd03AgcwXXSmvbKg?M{
z5d?KFsLhY87Nib@L2<&suVul9q&5vY4hVAtC_Tf~{`+AL8$SlQHyt`Q2U815&v3Q;
zS{AUf1f&*ZKPbI`(>Ao925Mt~-GEe<fYgHG37=YhsCz--4pI;0f`SI4ECJ;OkOW9A
z2;)<03Y7$@1H}`R3(}5ZF04EQsRhLoKDEwJNswAlJRzq^P`(C*88}@2{V?}JQVSAC
zRtpL*kQi94$xrh@B(<P;LRJeZr{QY<{V<O}QVWVFWVN6+5nQdwPxE*rwIKH)s|B?~
z;A;Q<Fi%5L3vwT_T2MOzuGZwIIcOXb6qulJ0J#sU6(j@?U$EbJm@G=6k|4Dp_d&T>
z)q<ykpks`nJdaH+IGyn@S#&@pLFR(;Jd}%KE==tds3b@&D9=N=Sk*3rN`lma@;sD_
zRqZCIBuFhN&qKLb)$W8!g4BZYJSeY$Fi05G4grNP*u5q{%|YX{AVWZEL3tiIE<pVT
zxLPSIi%TE_pkW5e^T=vJ?KZgDNLvd~pAcp)D9<CS1&zbP)rwkK+(R-KG>eL?7StAk
ztBtg^c!H!h6Rn(-fsV<8{U&N<@d`;TXzUu<T+mntvbi6S)Pm+Ukkx|5Y2j)`tt`GF
zsRhjnL%AUD!qX(!4LnR1f1#3~@Xf_CZv!`%hslBkoRA^&9C^?=8c>-AG6FOX3|AXz
zYaxK7HXltbXgnCMR@BM@R`!ANFsPganFn?(v`hu51&i@8S-{FZkXl0JB&^K^QVa4Q
z*bJz_=xr_qsCz+bLFFVUJ%TVu52y_basyb2sFj5tm;s)t1<zN2)WO05)CUEr1&8lG
zL%82SWe&((ka<uiz~cfehU7PpT0-S7XsjG$4oEGi`~{l<5<m(wurqm>EF8cL$Q%vG
zZTQr>BdG<IQK0k<^BbuD2+|Ms8z|nO_JQ0$Y+VRa3yNcqnNX|1;Q%UU!R9hBFa$v*
zKw_YD4#J=?2Duy5eh0Y$#AeuMXc2~_7UTwGwV?JpT&<{;MHG@+kQ<QIg8F`NwfhV$
z;*ivW(iyT^P(KB(R@BNO2}vy|ogu3Q_2=Mf_ZeD%<^n*$2J#yyJs_(E^`+oyMXfBd
zkjw?82T&M*Fv#zq{t;a5K0}KFB(<RQfNU<Pe*{tsRw8O;Q4VH6=GxH14AidzsRh}=
zAZlgNfTR`_W+3%2H-P2<Kx)BCO6@G#!3>DGpfE#L3z`dns};4f=tWWs8e0aX2Uz^W
z@+O$i!(=fNNv$(<{unHWl-9v~9wv(=NNPdj(fG`Tl{=tx4)Pn=&!EUbG8Zg{ls7?Y
zL4E^^<50UE>IRTnP<jAyVPOVpKf=SI)XriXND?}y2}%zj_rlbI<~`tQk;)yAxuEm|
z(h5=!atmnw1Edxl4yAVRatCB4v3>)oCFD0)dlIA;<TsGr&|m=PV~`ubVmwS1u=XTK
zEeM0fp^9N~3>M>Ive*am40L`Rl-9xGIMkj*QVUA!_|%?9QVVw*nz>*lJWLk1zzoQo
zAE@n#&0Me&9wrM|yAR|qP@REKEv(%KQVS~o@Tq+SwgBP=P`v|E3(CtNC&R)F9LGFN
z@HhsA7qM{+QcEa~UqjshQcEa~KOm_E`45zKK^Ww2(0m&_%t7rEB()&3LH>fN1<kv`
z)t1^>!1@;;b)Yy#RtuVc1E~cYCu(H@>tBG>g2a*4g682sVqmqUb{4Sy1xPI@j*-=Z
z#(v;xMXfAg{R@y<P#hzx1<lLB)t1^>!1@;;wV*ggRtsA116M0*WdZA7fYgHWF|u0F
znisfQq<jnt15kMi3Rh5C0AW~q0E;1&Q6RO1(!*bHfI!xf5K0dmph$wQIRT{y5Eq0&
z_F6&Pv|!^5Y%Tae5>U0E^Z-%=aw`bK+zVFA!vt?ff!qrUGf-IuPTNT3FPM*%)<I!I
z$ZxPVB1kPEzrn%*q!#2iZ0-e%@i1A4K>Y?%3-TLsyjdfMgSfecERtGS9E1D^GRp?4
z7VI|zTX;SOrAK1@22xANZyHcHfYcK5n?90Skl#S=1jQK$!{QAr#=~S`hNKphhQZ<>
zg-G!Ri(^M5wV-&$r`88aEvSwIg%2#uKy?Wy+(BXt;^r0+NNPd-caS(ttsPqUg5nA4
zJTL|F4=68zFjxRefW$%JPpW#5-B2UI6v!Nq83QE_4tuamAOy%gpy0yg9*|ohDj_6D
zJt%CbC5{~DAR9pDgWQPAJp&~UaudjXFbr}hzHl2TcjB@al>T9E2hkw+gVf>@$K_vK
z;-GO6khw4nG9T1G1la)-1JNLHP}&(Naa`^v)jc3Tfcyi)Ab;V@7r4?nC=J2P0MQ_G
zKyiXgJ+<6Vs(-1ao?7k)g%K#cU>Fo`AUEO?k1xqDV93cY$&W8dVn{4va0v|oa~Tqg
zk~0``GV@a7<IT)q3=0^;63Q?)WGKljN=#3UhcOru;~^ppx%nxjIr(Psh78I1xdkPu
zrA6^jA-Gf`LrP{@W-&-AtthoH-Vl|~;FOw?SeBVzl$gViTUucjZy0X~WiTYhLqr%#
ziW2jRlk-zRE`TyqON-2l<5S{G5t5mCB~V*U;*DS|7#*Jo^^T#r0h|T%qM-#`%)$^R
zYheUunZQ|Q42h*B`MHTDpwNIZ84}~+%%arv%;J)w)Z%y}1~{7`F&@E&hg4Z&PA14p
zr~(X$@n`~YE+Ui-<4r6PL7vEvlbRA=P@Wra2xGw<Yz~W8LknY=n1v~vWe#V-qTL9l
z%or{L^Sv=#3MOI>3nFuvlsQ}qX0Zj_9GGHbnEl2u>rG&RZUhrCf{7TLF(en1fV@=<
z@*ybAC*_xx<mWLY<>VKZrZObwWXBsC800b(6_+p+$0w$xF%;#Orl&HLr52SiB<3;X
z#iu0}moVhT7w6=cGkC@)m6m|Cd&Vc_<R@n{c*es`@QeqU;U8~aoSL4SnpfhISzM5l
zSjm782~N#PO)g>Zj|c6+@P}^82zCY;0aFa&F$6n<qTB#V8$xL#C~XX-O`x<XlnzQw
zOmR#}DFW>b33iSz23wb!5|o+_Qc#+gSpuR<Qu9DmZf0I-F^G{@ni~Sk79f5K#NANG
zf;|ZJCR7IOfph~1WeA~+Ae1qLGJ#O04F2&sP|xNdyjzr-oSzG_37j+&(^En0)I3-k
z2ZbF-Br^}3#KE#@nP4|3rWAo>@=9}4i}K@>^Gk~o^T2*8f&>*Lpdi5n2_#4mfdeQf
zJ`AKeCq4*7B^Q*$=cJ~9LjcAGg*k(NyfbJ=O=^*Eeu^1Ga1e~k;15X&{*aUq90amI
zuOzjI!86`~!86{F!86{7!86{N!86{3!86{J!4nh%4F2F^h`}>HuQWF)wTQt#9vl(=
z@z8hx@!~;+Pq1@*N@f*|nOB+%X8Onb=BI$dGbJ@SKZU_F9v&>7@u_(!4E_*jfHna6
z$3u4g1P8?jhd73~GI++9gIos+HP85z#F9i%Jc3GEP?-D2gT(#gK|$*uUz}f5l9>wf
zE+{a{5_1?lLBSIQp~65BnVJ$59}w;fVTOU3pg@3!V^BOuD=19C;i#XHpPO2&pKN53
zn^;_&ukTb^l$uzoUs9l3mXVU9o12=dAL^=`o0^iGp9c~JRWZ7$C8a5uiFqZuaBam!
z$@(Cv_>v^OvWyf4{nFwhu+jRddBr73hWfs)uKJKYN&01(Wr->IMg~SEdWQO8V2(bN
z2lBl>$YOnvfyE^$@kRy)7W%~{iFqlBMJW)Y3ySiy^ed7|Gjmdk^(`$eE%glIJ^e!B
z4NMG7;|(lK4D>Veic1o6a*Fj6b8_MfauQ3@@{4kdVRk{Z=o4iZRlQM?T2YdjPY+KZ
z+e8g7WTvL3!lEK2J|{mtGdbSS(98tnmmCItn#2eJJ89`H-K6}K%7O43vc=T(Ah<Bj
zOpY%u0p}vxM;fMuH1iO0sL?nnBKZp0R_Yd_pp?e|E<LGJFhkn$)HW5?8m6|num(mk
zwG9ThV?bRLaD#<brh{7T)HWO1@~5`3@Bo2!=csKyN}rJ0hC_Od)HW5?35EAOsciuy
zsKFH{sG9?xHw5+VVHhmPz`y|7j}y+ozyR9M4O*k;!o<Mvkdc8wfti6}2NMIsPi6*&
znam6f=UEsSvRD`xTv;J_CMyF22)}1#U;trvHU<U|Uckn{0K)&+7#Kh}ik*Q0gg3G?
zFo3Wi2Ll5L=W#GFfbbCx1_lsT<z!$0VUYVkZiCSvHfSsY#wV5r=>d)1gT})_<4K^g
zZqS?-Xx{;79t1Rh0g?x;xdM$xgVqLtXwd$55M2dbR|ML#4AS2YUEc`WgAAId0?ns`
z=DtCDtw4LJKzoW-GcYiK_6>pd1%cM7g7)=*_MCwBi-7infcCL~_NjoxK>JK!;-GyX
zpt*a{yftV(8Z^%gn%4u(*Ma8NKyzlGIV;dS5NHkrG`9g7s|St2gWLg{uZOt>v>pfK
z9?+gH(7p#>&|Y5#1_ply1_sdi8lZh%VW1-+Kzs2S7#Kj|09wlnTB8eEPYYVF1_~3<
z`ZCbEG0=K2&^j+r_<+_#fz~>K)-dHUFff4D9D$CM0PXh$?F}mj?Vn^|V5nqZU;wSH
z0<D<>tyu!CMFP#Ug4XST*0+Gxmw?udfcyuF6PO=C^L?OsJeXfW>(oH&&p_+SK;xp)
z(0#Wcb75wn%fajg*$G;20@DK%hq;GX{mAx$%z*g=WG~2UkQm5JkT}S1Ab)|<7-;Vb
zXm1KE4nX@$Kw*u`KOnuJH7}sGETA<iptUEUH6@_6BA_)Ou=D}S56GAUlsG~A$DuU(
zcqg)2WVQe(k%RVCLuqt<=zN&oA5it+h3IH&*+6R0$0b2xAglqJ9AjW$Fo4qN=A)0_
zg7ko}0cfHObe;p0M%M?*=OA_HSOPR@#=yX!0Hx9OfyOw|^?>=H0)v5pfdfjT>q8%}
z2J2y9V0Zyl{{c#)>w`uQOgWs^0A*r04{}BXj0*}&Sa8F{V6+YaeW0=prWTn-PcI-l
zkmaG#gDeDQqo)^iebDFut3@Wz(+j#jX!Ia!0khH53%WjNFoV@16X@v$T_0$E6Infs
zjUIpK`atu$Ftx}udOAbb2dXQO)x+6P55UqHx;{`H1y_s2SOIm&1}KfL4>S*sq#VxN
z0d>d$D2=WUw5}Si7>RKL>W~Xi8eJc#UPV$4XKsKxWCxT+*9V$UhAT#5%z!#%0hC79
z2U;7Bq#Vv{fI6fDN~7xo)g^GnNQ?}qLkgfYx;{{OjiemT41hW$0!pLn1Jw_3#YhYb
zs6!l}G`c=eeS@SN&QyRpL<35r>jTwAaK%Ur4yZ!}pftKZ(0XYk<#6T)sQMpJ8eJc#
z-h(SfV%&gw-~p6I*9WS*k(9%k2cRA}0j1IPf$CVeVkE{2s0TJcX>@&{b^wxcI8y-B
zaD($u&w&A%0jkfDq~S~v0{TF09Jpd6273NOuMa@=Kaz4d6TKWn*9U6Hz!f7g(8~#Q
zeV}#<l5#i`y?#K~2WnHn6(cdw%L#OSptcQ?ayS#coIuwHY7fB`BQem+33Pp+HWZR_
zI1{~`K-ULqcfl1SG0@8ibbX+<8j^B26TO^3*9U6f!4)Gh(CZa+eV{fWl5zwStzJRb
z2Wm$m6eCy@pc#4wlt$MF>YE@`BUm2L3>^Ta(e;7)GYHiPmH{+FTR>@aeV{%NLN$UV
z0nN|~P#RqysNaN8jl_axXbvcit`F1~K~fE8zJRL#0Hx9Of%-3S#Yl_`(1PFwlt$MF
z>hmBehckCT3xWes8eJc#F9=tR#8?0=2v$I8bbX+{6_Ro|vjbWXOn}np`au0LxMCzm
z0kj~ffYRvtKz%qQ<#1*Mv>-@;(&+j?eO$O=B!&aDAn<_F==wnYVkG5orUtYiFo4qN
z`apeYxMC!R0JI>GfYRvtK>cqd<#6T?sCouyfq<?Lt=SIOw*jht2b4zFhwThIkY@C9
z9=*Q+Ix_{N4jrS{E9m+_rzE250rAoM0qFWb;}IZr=or1-gRT!WhJmgJ#Qy*-Kz=}J
zbbX+450E-^jNb1+?+>9><8bZh;exIYG`<2?jKn~%-_iAf#$=F`!<p#uhprDamH=0b
z#JB-1Ab&t<bbX-l2PEZirUhto9h?U_9|pz+wR=Eg7BD_CZ9_mGXuJtoJ&cXsE<o>J
zg2qQ+YLRL5b^*FR(6|<|dKeqMU4X6+w(lRN9!8_L3()m}#?N4Ck!kdH0lGfWm>aTs
z7#qD^fUYkPrVx!r&qwI`K;wI8TEJZN{sX$cV6ZAo0$m?^KOc1NBBm||2K0Uhy1r0s
zniv=u(EA<e`aols*tFh&R{Rg3G`c>}7&kV32cQ-I2`G)O4>WFvP2UP=#lHbcqw52W
z^<mS8UQVEoe}KkfvFSrEm(cZr#&5CdL+>A<>x;pr3CnmENFVZ88)&Q;o7M@?hRqBp
z4bq3K4s;F!G9Si94;N@R9wrSwn-b1JVxWf$x<1feZ6xJzCVIJxt`9U04p)rCK<^)+
z>jRCQBPoY7(c7))`at9DaK*?BXuA{EZbjDz8ly*64r8OY%hC0L#`R%pk!kdHIl4a3
zTmZ6q7#qF4gsu;?{~M+jnMQA)q3c7dcHmkwpdF_ID2=WUv@aa47>R+N&Y;6Sa223=
z4mbyifu7FL^?~L_kd(uj=;4X34>X?wSB%6!?{}c<1I@u8DTg!B`yJ@|K=U?m#YhbF
zeh0cf&|D9aayS#c-+`{L0Im|1f!^;x*9SWr2UQmX1A4y$T_0%v4qXq3kKXS<*9SWD
z7NiaxqxU<|^?~NU(Di`$=;;hPObC(!W6+!#n1M#1r!#bYpm{blEnqHsIz!h7nwtYF
zL?h7C8M?j-H1%*UTDgR-4>Sh|SBu05fDT+lKxuS+pm|p$<!~l?ctVFy;qsvKgy9?{
z26}j+>jRy+j-(vUL@z(l_0_^vqB79)AG*FeRON7<1$1D>0ZOCmgWfm*R}W*LhYNIg
z7$(gCn!ko~kQnIUg08O-Ni8xHy_`qa2Ro+<Sr3SfUe2TI1Dz)gQiqPw$HCC`!EQQ0
z*9Shl8)+U7U0*AP28e(Hbf8NEN~7y*gDArwIiUSh0Vs{G543&&LlbBY9&%-dixXN0
z5jKCQ3~h7?fDWiZG9U|=2awc^GDkyTq=tYhG~bL={|`2QgUYkHNR6|&-ofU=QTL37
zz~Bi1T;no>$Au%yCFtdsX7|YQ|EO<ALx7YJ0IhK*Mg6E++J*qA{F;N*{>ty6t$m}W
zkA}cd4uSVW+3~~B?V$1tx&L?==fK}E^!%uoMniza5Liy4`cdVy4FOR3h1~w?@1(7L
zqo$9Bz)%i>S)dFvlsz!a+zyHk<nrs`==j$#i{Vl4P%{KT=LAsGyiv0#3jt91h1`FH
zt(S)_tAMQ+tYCb5!BB|NP=f7($C(>UYtL!O9#J%Tw<x4YdTAj;dI7t*K&OFb%z-^3
zv+w5bt2e7uyt4J~nbW7g{QY~Y&68vCp5xR0^6?$NmD#%`?#fEBBd6E$U-~)m)S2yt
zvgMAq=6_=R^vfjd^g`}SwdSvzZmhfL_DbLDcrybd6AOocf<pr##-IKJ!csom%oU7p
zXV`WGI|>}XF1g>&wpUC^J>$Y7mf7JeG8E@DHHo<&IOKFtL`;lp=OZa@POhj3i3^7g
zDT#G)d2QHm;Sp0*goew7LrxrGkHjScJ~(if-@kox`hV$d8}74z|GNABnb~vy2p6UM
z6rPodnO<+a^$7FtrD^te`c*PEa+TePZ=AT%P}@a+e_m8ng=%CN<9ywS$bVKf%ik58
z-zGo%q6F){+hO18+Ge~pIr{Y0?o|x?LJnTn`Vq#OC1SZ|FTe1|1M)F@7@mAlY5TaA
zd4lngMwwH0y>7Mq4z9bptb6mR#Yecld=qK&@%S34b5UWod-LK2b0$<g6APQj)cZVR
z1Jl{{855Y+esvW%)VNsTOq#Lcq@43dkHo#*d!t9>#T=(*(HC)U%_1*sU78m=xRpKb
zR8ihtnfu^Z{zgengCEUo+6H&RY<8!%FFEjnLrR9#Xwz)JB?d(k1C86ydNkhhHL>7&
z;wjw2@QV9Jv&ad%g$Dxz<W3!{+EnqE<8;u1joiFp3o4m-gBFwu^7b_u%<kU0ep0LV
zn)k`ZS>cZ94Q$#M{`RtIUby`zuAq0~(E^bZCo0#}hFWgoH4XePV0Jm7K#Vsmp+c57
zDB+6;Zy%GSW&hUolP131@y<wo<4m@#oWEz;?^~!bL1eN7;}zpKj$A3nFFw%F;7?7i
zWnAsicudwLqVbuwiAUo#e-jI?Lpgfa_IZivZJZay_j*a0XbInfgIp!&7dUc#S-0?D
zpn~%Ajo(6_o^V|=Kf(A__`wIvQfm$rWPX%$o|nGlK!!TV_eFBq@v6$+Yx)z7jY0#g
z``O$Zmsm(JUdd194^pV^WOH|{)R2BHAK}VnVkVYvnL2lkL$STo5{K6&QZlTGX5!iL
zs@&5f;*X|XS=V$f*(9RzUA2iv<Gh&->iq7FJ#&uV3h(WG6Zh-a<r_ZD2X#R9%kc&!
zlyLL*F;(Wv@>n|0E57e`PQkLi@$ShG$G6f_GOR+`Ldp%l+Cn#!1u5KaXLE0yGwFzi
ze`fRjBW6n+9&<^pari6<a)B(w1vT-i{S7St_01NSiI!|z-}ZJR)7`}x6PWf|npkk9
zJhAz)FDvJX%@6a9l3!ORY-nK9Hu%%RrfD!MrujH1{Lk-PKWU=s^W7UIXKJmO3raId
zvONs1rf*=^oM7wFym*1ll-{q>Ym``}x<P4g?c@!9K?_PHkR$(mA}I2ovq`OS_%126
z#NoX%EM!I9wq5ueXt`0$e8~X?=Vnm}IrnA}iC70v$~>3+c6CZh=hxUAw`E*e>n}cl
z#(I4se~`lMm_Piwd**gDeF`wU_Rl^)$d&6!zx%H#W)p~jALdK{U^kop+oOW<?bP+&
zEP*0!*Q@_-ef26rr|D=$<-w#a9AcGcl_IuC9!oHk&~a0j;0fzi;8EKsp(FNCbn(%I
zjXV)7-RIWqKK=Z=`VZYVZ_YeCu(x<dV)WW~>SxZIsPEo?{p<O+=gU{vc_x1?Wax3Q
za5&6)gx#da!R|KC>;6)vqh?ZW3--7j=3Jt0(&Ml-@9e6*x67GsT1vSo>{<Ab<<X|Y
zBFYow7e8d_YH1VH5N}KD685OhkZ63x$E!YJt9wG1Ajj+si^hu1Ho+727Ydk;zS4N-
zxWLBsFy|6+kbzffW!cUjkDnmBD3RrmvXq~~x!%Wn1x}QMl=4csEy&plGEo|2A``Fr
zguROsSq`0IdFQAwZ$To<r*xA!4jU~vgL@oy_O}Upe9w?*TxHA}JjY>MYnvcPc!ove
z50J&zt#+_CKH}k34%oNoAxqGVHcht$R!)aGQ~Wa|8t>f?{y$gwg3iKbt`!X=pg;SW
z?k64IzzC{kHu4@a5NLh)n2#gi0E5Sw2WHX+9LyC=U1uhWPO#w$4scIm?3nAki&vvg
zyzgs`f05n9ZI^zA@aN{=EY;o=_3pKLsrQFJa?hR$ziRZ)sNAy5KcXsg&69&!4@9-6
zmvWfwH(!|aa~pS~*v<AX-(((>uk8UFU)J$7{+j>)Uf}wh0uBs}EJPDO(i{2b&lC&*
zx%(n#vw;9xg0#1*`itQ7lF51x1o|FzS6ejAs<_l7{e#u1$X;BpCNwn6zWnDUxvzD5
zw*URHvba=o$%`)auUDpMG?~=Rv+P_Tw}1V91zl&!y0Rnll`NJYF;OSB4iwn2@&K2b
zF8lim#<v%(`5YZZj(x1`bboZ!Qzh%^mT3vBW(xxR7KcW(>w2U~dSwT!$WLNECj0zY
zWb=QK8T$7v*U2Zp>O7tKJMrV*`g>{TBz_m0zb*<ss94inz5iRv5l;o>SOsM>xz`;g
zHM6eYy;SfsiaB#fTJ*dXGMPVqTygYBUoU00{*>}GW$T(dUqcQr4d-3*@X^|TGu}mC
zs$1aZWacb-<5>{%&4;QJWcBjg-gcP8)b(8DyFW)wi{sPu6MU-o!zvv~3jF9s^Y3*s
z=RtwLke5M$$Mql^$7Y2a^>$888axfVR_)W*f3$B>(U*>CRr^2Ze7&hkbVw?E@K0b=
IC}zk50AsQ6r~m)}

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
new file mode 100644
index 0000000..81dda96
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/loto_tb_ar_cfg_vhdl.prj
@@ -0,0 +1,18 @@
+# compile vhdl design source files
+vhdl xil_defaultlib  \
+"../../../../../src/automate.vhd" \
+"../../../../../src/compteur1_49.vhd" \
+"../../../../../src/compteur_modulo6.vhd" \
+"../../../../../src/compteur_valid.vhd" \
+"../../../../../src/diviseur_freq.vhd" \
+"../../../../../src/led_pwm.vhd" \
+"../../../../../src/registres.vhd" \
+"../../../../../src/tirage.vhd" \
+"../../../../../src/mux6_1.vhd" \
+"../../../../../src/transcodeur7s_d_u.vhd" \
+"../../../../../src/modulo4.vhd" \
+"../../../../../src/loto.vhd" \
+"../../../../../src/loto_tb.vhd" \
+
+# Do not sort compile order
+nosort
diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb b/loto/loto.sim/sim_1/behav/xsim/mux6_1_tb_arch_cfg_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..f805be97e846b01993db81f294a8dbf38c19b0a9
GIT binary patch
literal 8951
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-v9y(S&X1XBi#
zV2WWL6NF9^fzafV1`G@gFpSR(W~k&esC{9QPysZ`!HFL%@{*Z>fd$0k01*rf9&!-+
z1cZW<Q138^LFE}V7#LC*7#PA`ob+7FQu9jSDj^J*`WFx`g9ns`hNEY2X0Ad=WPq!J
zo2QSff`Ne{!z%Ah*=y24ZjobTVDM&OU|?ooSP&fI5+Ca0@9yc$018PE26>T_fq_9i
zRGmRRLY+a~K%GI|P@O?NN}WMHT%AGPN1Z|4L!CigSDgXm3<U-T237`!1%8epp+Sy5
zU~@pO`TzfaJxJb>fq_8)Y8BX~_%K)J5dR>sLPmxKAhlqFK#D;aEWp6P@bCZs|8xKU
z|L=w5R<HqJo#Z%G18Q_Jl%5QwuRv*N=mmv@ctS-W6wFl#P=+0phN=gLwu_Uyf`Ne<
z11OZg{Qv)d2Ll7c1V#pi2fPdn8B7ce5v&XhH`p+-1Umym0y_f(11|&z@G>wg;ALRA
z0L5$!3=AMF$iTn=!U7N{p^$bA3=D1z3=DHwA;Me?3=AO51K~nKmyv;i5$tX-!SMh8
z|NkIq5D$c5e2^FjLyZD4K`8|s<{%Cz++`RTz-EFn0Z1=M97KckfRYYKjV=QNSPv-7
zL2@89APlkxgh6U7ATi5e2sIBR55gdM5C*BSVu0izQ>b|$c@PH4gD}WGaYzyb`w1i_
z3{t?rz;J=93znatBH*OT0Lq&neIN{CgX{q%V-OAUn*;*`gFFKR14tg6ak;wWKx~kI
zK{O~Af$RZcP_%&zpTN~62htDn6G$8+2BJY2rX~QY2IMD@I4(6HJ3xMdsR5}2VUYPs
z(DVRe!@`vh>VF2FW?NA9g{f6WQwvfLR~y)F3rbfowJK<8LFU8Nnzq}5+yqmril!E1
zCtR&>yDco;fy_`tQws_^xLTfOTTuAJ%vDEI3rbILwZ84PLLi0EbO1_MAbUY}fcypW
z3tTNvv#m6eT1_-_LD>zi*0<eO7D=rZG%bS61_^`W0IoK4sjVVN5SlhXc7ia>4WP6F
zQVVhqC@w(Z1X2zPJCL2oYC&RfwZ84PAUDF)g3Liy3(CuIwLHzXpu7cB3o-{;EjI%L
z16-|dyDcbh!PJ7xK~@WjC%9UkW?N7mgQ*3XgRB;mhT&>`+ifjD3ZdZuG6xi1uy6pW
z17TP=*dwV0nS*RDDE>ieL3$Z{+igKgLGccvVHgw#AUy*m4t5*NF$@ggat7qzfpQP2
z_LA!U_>%krhTPH$vv|Y!k|c)2qT~z)m(UQX5JO@-M2;aRGcPqh-qegCxu68fF*0Ug
zU~n~Ha5ZFbHDYizW^grOa5ZIc_V@L5^mB1#2xf53$!743H(>CLH)QaPH)8ONH)imR
zH(~IMH)ZgQFHX&2@CTdEz`&rNk)NAdte<RTlABmuoUiXxT9lets$Wu|Tb7ZMqnn$W
zsvqjAo12=FoSz30&B-sx*G(-cP038mE765(D=tdbhqyStBuTF<BZWb~w73XtxPEG0
zaY>S)zOSpRKBP9+FUu@TOvyJgFf!3I)DHu5^r5_z#F9jPkk$GiBa2H?;*AUpEcA;@
z67y0Li&7v)7Zl}Z=~pC`X6B?6>swk{TIw0Zd-{dM8<-fF#v53e80cr_6_+ID<P_^C
z=H$c|<Rq4)<rn1^!|Z}+(I?6-s(Pa&wW1_5pB|n-wuu^E$V^R5g+)b5d`^CPW^%lt
zp_vKDFF6eQG>H)ccGA*Yx=Hydl>^~7WQ(cm!MxJk)S}Gf_~H_9E~0&;VOmHt4<Uyd
zjgumhuaIq}b}<S{d!XtNR4*fAkQfMWVPIg0WME*ZWMp7aU}Ru$V`5-<%*eo?#LU33
zi;02ZH!}mnY-R?Ai!2NbIV=ne?yL|DG7F?1MuXU(x(>!CmImnowYNZRaT^8(22i5{
z)JFo1CV)EZptd2X%?E1ZgW7YTb~3E32C@@|IY5Shy01_g+@66+qR~GJ5CR9F>Q6vv
zbbZKtWPKH&h93h1Lj#mXRtGX2xgJN>X8>vhGB7Y$KxuS+ptcG~KL{i1djVDd0ZOCm
z1GUvbdO#Ri-vUrWl7WF?1(ZhD2MT|X9uP*>7XfNiGB7YCKxuS+pf(;z4+taca{x6k
z85kHmpftKZXfT7cF(B*H05vv2BRfzUT^}@<G4!FwJ94;#6hngx#6+<VJ>JpvL4ylJ
zA9}o_>w^XthCcLoN7n}pE)0F>@s6$!8eACq(BmClAE+G<*2KVo5-#ZRj;;^X2f(He
zJ>JpvA&-S1$2+7Yr{Ln`4l@-(!{YxO)Swxl0TqZ8m{h|UEdUFT5~Cq78Umnldo&aV
zRS1B}v$^oO5(b90(ei6h#m}f?23H6aA~$FUm(xb1YtYLtSbMyJ@$H3;ybKB)EDno0
zcl~$iTPCJv(QvocS#E{iBVW(bFCE*eEmwXwxV-Jz_umOZ3Jwhnj7%&X0t6ZJS;ba$
zG5oJ!d^=-*_nc6XHv99P_GY`%k`fmRu<I(_@DV&H(ij+UL5U~AC7^(do4eIhP@vnu
z<$_Wx^G1(}Njf4TQxsjfbd46YwjSb$ir{eWQi!rxuwX&!^LzQ{c7A_a@Q-mP_w2hi
zdp_Hp{(blEot*1m^B<@8J@xmyyOI6VL*2^#-uF5?I;ZrjTnuh>s}G#D;NsGnX`3Xa
zYCBuM?2WRryTtzW{omuq-@n=Q<?E7yy2}wo0{nj8LXw{x_@JX^!SXbFlaFq)RO|sQ
z{$tk-4k{W*PEOOB*<<>XYqiace_fn>juRu7ow(FIQ}+G*oo8Ph_@n7lz!9Rhca~wK
z9ZPrk#x9wNecfPHZmBn2SD)W-s`)Gbj0XZMeAq7coVt{#a_>)b=#vBAF8+&lXn!Uk
zGBY(x^76aNHJ=t(_jB?!o}6J4d@|+a!O%6I5-Nm+Wtx;W`%GNA+-z5Gs9X>K@A>Rz
z$uQd#CkIEk^7+IcV`*P-LTB-&H;ZnnuKASkk5kQpMQidwlf|1#Bs#^V*C?@1t50ll
z4oI1{GSz3b@TbSo_r7#iY^W0xmSLJ~n7M4y$w{-#H=JsIE9z6g(RIeib=tgJ@3_}|
zTCls7ldtjAl5k_S)9NV&3jgn2HMTO4ffyASS$6S-OjxDCz7CM06oa!XbY$Hgopc8|
zY5!5MlY&prNUKkn%Ko|i($Xb!!r`7fdO70h!B3?K+g{bK`IJ!0EG)w`)pLEC&ouuN
z&(hZ@v2SyKcTCbbAZ3l@)Ku}e^A2e7?-DmWs2DJlXPM;GrH3`XAKSjMOGcuu103AT
zrk&jTQF_g%gg@457A$JXmwZ$wtE%(gWA;DN{8AEO*&EX}pAzaMkt};;6*iIi^n8PZ
ziW)|zSLp1{xGMXghi{F4Qj_xnBS{})E${ZgcfIKwyJT*}9f11m$-N5R)iy8o9b;)f
zkW!R?Xa9;xCnq)E-*Bq=A+vj81~iofOV2%~#eXaqkwg?Hf8Wq0Go!8#>}F6B*(|^D
zRP)dMNJ(T$_2cC4hlCZ`r|Bm^<E<#)rax3}&HAJ!=LDmj=I`P|PNtkZ>$~PtLbWtJ
zk!-Wx!=GNVpjrsYZx2M*D6zM_hnNG7v|vyW*&?Npr}uuChfQR@?9K;uHz*)2K`BWM
z6p)jvAOCZm>N72-rXf^LXa5mM0HnNJxi&9xD*Nl^--X8nR`^tzCU2^7GE)Xc+jB%n
zmJ6=7d0}^qrQIRbXhvGdG`_`mr1u}zDoXgFu4cjFnl>{^?fbFz-|;7I{a-12A^v6-
s)PMEoqfe%s{PX6vd~V}5hv)^m7sN8Sx3Jz~LgW5F%=(Y{SV~b90BME2sQ>@~

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.log b/loto/loto.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000..3a14ee6
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/simulate.log
@@ -0,0 +1 @@
+Time resolution is 1 ps
diff --git a/loto/loto.sim/sim_1/behav/xsim/simulate.sh b/loto/loto.sim/sim_1/behav/xsim/simulate.sh
new file mode 100755
index 0000000..6905c34
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/simulate.sh
@@ -0,0 +1,22 @@
+#!/usr/bin/env bash
+# ****************************************************************************
+# Vivado (TM) v2024.1 (64-bit)
+#
+# Filename    : simulate.sh
+# Simulator   : AMD Vivado Simulator
+# Description : Script for simulating the design by launching the simulator
+#
+# Generated by Vivado on Wed Feb 12 12:11:14 CET 2025
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+#
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+# usage: simulate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# simulate design
+echo "xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log"
+xsim loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch loto_tb_ar_cfg.tcl -log simulate.log
+
diff --git a/loto/loto.sim/sim_1/behav/xsim/xelab.pb b/loto/loto.sim/sim_1/behav/xsim/xelab.pb
new file mode 100644
index 0000000000000000000000000000000000000000..29fc279b2f7baae89637fe7214c89fab8d652439
GIT binary patch
literal 873
zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv
z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC
zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e
z-^}Eqd<B=(vdrYvVmziGJ8uCK7f(=WUS4Kix)sdR#>U3Tj(yF@^@35qw75t=BR@A)
zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d
zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS
zX--K_W)euGI5oMnC^fS{L07jpFR`FFBfmr;C%+^=z9cC=u_!({Ej>OdH6yVMO{*TN
z8U@{){B#A7Tk?w%OH%bfJV+dxm{=kQk`tGBXmMs<x`Jx4LUB%FS*k)wMp0^F3M7pf
zo0}sC7c&>51=JKvV^mXQf=d#MN<an`mn4>CCd2K{%+CWSH)9hcB%`3Fm|7s4;>;!M
zoS$2elUkCRqJYB~BManI3o*vn(hS*{d@fJFd<Ex>#Ju!Wh0Ht!4;LRj1)t2kY>?{;
zic-rm^Gl0!DizXG^HM>9lcJEHl%<fCnUh+qP?E2ZT$GwvlB$rMT9lWXBfu)fY-(wN
g?s5}jBar`DD_9{pfsc#JH7`XWC^b31C`Et~0P7b7=>Px#

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..5ae6a8b
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "compteur_modulo6_tb_arch_cfg_behav" "xil_defaultlib.compteur_modulo6_tb_arch_cfg" -log "elaborate.log" 
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..01731bc2777370a2307a821191980ea8684c5cbd
GIT binary patch
literal 9760
zcmb<-^>JfjWMqH=Mg}_u1P><4z_36W!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_2GR@+6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py
zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I
z$DEuP__sMZc=WOy;NRxu=)w52tA(*qnt`Fi$?5Qf3E1T?5s+7wLFjh`o8Q%9Q34ip
zJPh#|lnjLjcz{Re<?gHmSHrihZyotP-gdqR`_ZxUm<N>U*?HXXn@8tykIq+MsqXBA
z*0+v(z+uks`Skx;pU&rC36D<a0*}t)KAr!3Iv;^WI<qT|JJ*0!b}nvUU|=}j+`_=X
z@c;k+|Hqm;K;qrb3B4ZwTMxMKd%o!G?g1;A0Fq!}U~uVNJq0W<1FFZP+quG{vv~mn
z1A|9*GsrxT&gK;i3=G|1vCif>Aa?f}1_lOj<aRG%U|_I3!QcNAoE&UH=0XC%qdPmG
zdpF3s?#&?Ux+jC|=<Ws?0CqWq*?B^{8Kj7ReKSa&f13*{1Is@f*7AJ*Z3jG)S=xOV
zfBb*o(Vbn;U0u;#T+=-n<UNQ1V8>`XSMaX~`}vp)D+AMOcZl^9h}OdVS_Gs85?YKP
zCKz{vP49+!utcVNGRV`67a&0ZOLY8wBLDyY_vy{9XxI%7ZT^;d3=9mO-L4Ft-M$<i
zoy}W7LD|_2ip*{>r?YtjI1CTK!*B-!1H)@&u&oH9dooA?$UcyAn0@um=>F>N2FXJM
z1jIxH2>&+kj1C`GhHj{hAbTuNlrHt@t}Z~h(AmMG)7!%%c{U`r?}Lr<=sXHZXC9rm
zzydCv-=Q=tCBE=zKEeUdZ?UMUAC$iw8A184tHq)gXZ{HGXnX^Tc8|`>o}K@EdaE1^
z-x_|~1uEBkI{$+$v|%g__UMdJ3GnE44(Rrd=wjjMXi)*BD39I{l>i^8yKI<CwLr=^
z48Os2b}@9gsCa->allnEIq*+8`kKk`ZRZP*#v>rBqd}!b?BNNB{OZy8rh<`y0ph0a
ztb|_I|D8>sRENmHO`y0w-ULdxpcLP^3gn*SO`yC4%6XklAd`<bfzmoe9+aVuH-Rz>
zSRPUWbh@apAc}w^C`Euv_im7tj=k=TuC4!ff=uD}JmJ{<|DOxL|BFr@6_4bXFul+$
z3XZU6urkD>7o0F$I(LH-#c^=b1DWE|xf+xXjyHo+B*-yc;B4N}3`%atn@@n$fYK*8
zOLaD%0T&Nf7#P5nP4ka`9-Tfa9Q=JxnHU&)Js3N?FMt&sfof|#z~6C?2~;zrs0jG<
z=BPx#QZy)XB0z)y|2D8)9WJ0a1r-vY!0g@(3e4`wpdjq-1{nvA{qANEQyW~8@vm<N
z$%2cB4i}X`pU&^FQm~^%1r*{Q-Psx4yFsk(&7iRFo(u|cEXIM9@UL$M$wG{4QJD!U
zz(6KKieSgi;~w3s!6hF!0J}GD0fowBP^e)s5ERDz>%n2_(ow_80P-lrw;r8yR16py
z7+}6`Q7Hf=E^z5cj>lV63K<y~d^*2-^iBaA3=Su7V0O+?0dWvEX+UfuJ4`eYHh~NV
zVNjmJ7V@2QR6uDQQtIRL7dUP~r9MazN|d&!JYWD-JJ{k7Y&9$~V2Qnlkk|u-3CI~>
z<sBH23Q6P;W4gORN!#*7iBb1taPq#;2~KOUl9s>E>+k>nuSJeGgHkvsc^?PMgIGS@
zn?WhVquWP?!=szgquZ0iqZ3|^g0h!KCu%wR4OWhJ*Ql_7UDs^`jw}Wba7hFza8S!q
zkM1f5kM5#~Zl{25uMEc>pfa)Z&`Xf@;4FnGuL4{<k2`jr^XUBS(fQZ0^Q>?05?cl?
zP#Sse(s>AMS?ht4bdTP>0%8mdV7^E1-XB5;b^<4;xU*65=)C9B`N^g8Cqz-_Vb9(@
zx)NZcp80hCf{1pbtMlo6?$LP<F5h?*V%&SUX{Z$i)C&;zhk$B;1W+>V{OsBJ&vU;d
z0|Nsm0|Nu1829LQ&gk|oXt3d6E)Dkle;gs>*wxkns#=;4F)}bX@^5czlVM;;>uf#%
zGOu^{4^V1qJiLODfngUjh~0V5qwxsHGEhjzImX9=o#NSB&t&)w;<w&v4a0ASmkdvW
zxh)6yTb400FnIRXGs9Fs+Ckmjp!jdF;b7u#ZGos@fvWKBUG_i#)L!BMxq`VFRBn27
zwy1y#R*&N?DxlQF1ai$@kefgSut(<_l^dW&LvLHB3@9R?83B}z7{E$9Tfk|>V+TkJ
zC?S9h`~WhfcZv!qAAyU)XHZ9%czHG-XGIC7K9peUArwqtgI=x%xvBX$JHn*yW)x4k
zsAPB?cTp*TxG_hi;&_V+s1X5nVQ+|v1d_8nJCC_^*Qg|T^p>bZfOK)dbP0I$)~IlR
z>wK`ij{Mu%ppod<<;K(jj$IF|(Fs=X0&OUu#VvY!Bp8%G_N&2@7g(=HH#mN}yFvcq
z-!8(D);T$YiGiWZg@dW1Ig5#b!J~IKD8@V*4+k(I(or-x<$&`cjBpGK_Go_N;Q>y^
z9{Y6|7{GA{O%)#9;F`4?TwQ{iFfJ+%9-WV1?UIh>8K9!JcQdFG_GmoZz{tR0cxfjn
zARTu^NQ3h7caP3{U^njq@ppm<P$kfL&!hQ>N3>%cx{E;F4~U<2QJr$E*@20Hfyt-0
zSi`4xw+0j3CRf7);0XH%wg#e%8SaW7T3}cBbRtVL!KFP4u}C9yS3F<@)mgZ7Ti7u{
zrNPZHbiaVY7~vm1bpO17`X|AsclQO{{sAdNxadU#nu9^o2>;A5L31!j8ll_5f*I;y
zXkdY)5xOg`z@!oW0hQc%un1I+u_}mxu|j}RnuncZ0wV*11p@<v3{(!3<r$p#1f2LJ
zocI)+_%s~(44T<`SbJIenERQS?(u1Wg(bjBI2af_7#J8Lpn5-o@|z=)UMD^SM?MQj
zK8I%Z9=2ZAK9+vwHYVoVOdv4>CnTdB`8XIL?f|uyK*oXk{tR%l9Qicd`3^8PLycgn
z2DwWC>_!2IUXVLLbvsCJFAD<$LNC}JkUQM@HZY?&q>u%>Lj)KY7<51xgMooT8e|}-
z8_U4J-~bid2NHw34Q@V~Kbe+bGaVFG9Z+);(ZkD;&%m8;0y8MQAz{Uo3kpF*kUR2m
zxbjV4W<Jk|A<n?SAi==E@C0ghFw~9BOiaF@z*Pb9LH02)Ftmc$PJ9B6d=f5v3C+xX
zOiX2b5?~K;XfQA^fHEvd$w3eSj%W!-K80pT3fK%v7y?cpGeHGV2-HkMYC%Tf!fcET
z3@i*E|6`M91{ZOdGRzF1NJbUsXJ%j!VqjvJzy%Qphc5#I!viLWI0G+492_<b3=I3B
z;t5c3aJVoqFwBFBe}IaE{l>t+Pzx15zy~o0CLRbCS73#RgVP@a1A{eGd;_Spfs~di
zq3(pJW?%s4IR*v>1E@HRF9%g11r-;7#w|?zC)9jU+aIJ9CVmPk9spG@43cDEU|0zi
z2lWm>Lg4($z`)P}6Nd&VOno|3d;(M)oF^F=82&IYFo5z1$P8qB8i)8iM(pbOaEN>0
z5dVQgJOhWlhj55b!C?+4xUl)_2oCkyIK)BCL2TxL`T*F(YjBuTi^Ki?IK=1T5Pyur
zd^cw7@dAo}Z0`Ju!+ahb;-E4an>nwc>FNSBUx3R~1_lOuXkr2-Hw92S12tX$|Np-d
zhj=e2!Wckh2Ll7h&3~ZkK@A;{7LYlhdJQHHiWiu;7Lxe~k<0;=_b~OBk;FBT)Tcqq
zJP-wHF2mIKf&`%ML3aN+9O8^1%~;gm$04o-@(@(LD3W`uk;IYR>5L>Ug{0mahxisG
zaU~@6w~@pJk;LC2iG%7FSU503%R!Jdvig1`apd@#jU<j7U#pSCk>l$+k~ngFy+IO3
z4u?%_pjd~b6XbZgi6jmx(&6rB$F5!*NgP>y5Ry2u`Kxh=-$D`x<sF#4qM$|)G=7oI
zaX}JCRv(Nc4yvkP<}@RTBb&1yhxj`rab)wsy=16+kj*cG=37wwBB#S<Byr^M??Dnr
zPKQ%)h#yB12i0jXfBi%f2engR;+)X>9^@WS=N=|5ibGrvNn8;rJ-Z-@BfCEgNn8v`
zeF~B|vidG0ab)wiB8kf)nR6b8xF|Ow9fEq5Fn=i^i6fh%k0h>&WWE!UII{W_9O6%q
z#Kn=!`GzEpY>qA{(LmD)vO67+#F5okBZ-6BtT2BqMG}`sviB;III{V7k;FlL8<;tQ
zpu`Gw53;@fNaD!mR3V8YtDlJ^&X44tqe$ZFNa7EX#6eS}F!!*63JWam(LoYNHpd%>
zcoq)vP8{NEafn|<5=Zv$A0%;P_eg_04|PAXxD^iZNF3s|IK&~T95R>zsw($_2&jD^
zIS?0wzkmn^1_o$x1@0MugfyV_B{Vs}#dV<Kur>%tEeM|l5ey6rpfrPQJ_}SmsLVtb
z2eCmo21Gzd20%u@+R>naI*=4d41{6r<tHFPB=xZV0j#|aQVYVc{(%d$y#W#fVOaa9
z2TdH-zj=Ws4(s2rfHWi73+vzLpozo!HxX#!u>K80W_D(LaY1QuMioPDYHo5tC0L{^
zBPA!kI5{IVr8FlszN9EIuQ)NeBr`uRzBn^IFEJ;+I48fHL9Zw^CsD5?wW0*1w>Y%~
zp*J%xwWuUBF(<w#H7hk4A^|tApeR2%wYV6eI<+h{uLNdvL1Iy2ZfZ$t5kfvEvA86@
zEHS4v6}zJ1g8Txo>J%6Q?1AKr)a2~=qQt!P)cE|g_{_YN)Qb500+3^qb0HcMb28KO
z7)tUBa&pRYAx2c@CFW)(qj(x*8dws<h)*mkO00}e&d)7KEJ}?}Ei6sU0ZV44rl!W{
zmFA`vWhTcLm!!m(=7B;hH3h0hFE76&RnOfoRJXV!F*zHo2W%k3`^kwpIY}^aaFl>m
zq<|s^%mS-LwY)g9DithOT#{Q7pP!eLnU@NUmeh>+G*Cc-rNLT@GK(|w(&JN8(jj_q
zyEiYfBt9`Eg+Z^lq^Kk@i9xTpG8asPBfKa-C9x!t0j#|s-Xzf=EiE<KGQPMpDc%yR
zs0B>aGSNIW%`iC$tk#?%wIVgSv?Mj&$Q-KzGlT*&tO`sK3QVyoFhM9VVL(pB##ogb
zBa~xTV1!khAtH$3NgUZSP$EdoiBAJ1;^Nd2P#hazHOK&3m{35;F)=Vei#QZh1fT_8
zKbj=8c!8^Rf=<ywo6T@>$QmF9hDK=l4wHbDyD&az=?18c2IIr%22i7lfq~%%v|S8p
z=7EYNSUC@BBY@NzGeM?^L2@8I3?G5o3sVOZN4HCl3DO6E$;0RhP$QFpfdMqb4iW>|
z57LWne-0zWevleud<SYjvKWYs9)33=`oWVKAbA*m0M*}s<}sN4Fm+eJ<76-%g604<
omO%9))IyN^K|u-A4+|GH&>%KK8=RFO1Ys(GJPnOw5EETL05Q7%kN^Mx

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..ffee61c
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,113 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_25(char*, char *);
+IKI_DLLESPEC extern void execute_26(char*, char *);
+IKI_DLLESPEC extern void execute_27(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_24(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_3(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[7] = {(funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_23, (funcp)execute_24, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_3};
+const int NumRelocateId= 7;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 7);
+	iki_vhdl_file_variable_register(dp + 3016);
+	iki_vhdl_file_variable_register(dp + 3072);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..5b05482f2aa378ffba9085ddeca40bd34a4d53d7
GIT binary patch
literal 4496
zcmb<-^>JfjWMqH=Mg}_u1P><4z#zbjU^{@B4h%vJf()Pe<$eWwbpGDS&cMLn(Jcy+
z^5``MQ7=G*NAK<v+zbpbHUkfo9eo(2)1&zf2a4+EBOF*Ic7V)+7y?rg8Vu6i`P-xO
z>rMs+hK5}rB~ZgWdTl{Gh=$I0o%bOuWKkqG!tl`GEQSC_kZ}x-U{Q~5u)kp*>jrxa
z#q{PQ3j0$SpuPg@f!Ni2gd;lkFhfOgX0BdJW|4k!er`cYYH3k?ZhlH>PQF=uNm6`b
zQF2Cna$0(PQffwGnLb!;QEE<pG6U)QipxqW3sOnfmt0g<oKl)w017V#GVIMw&1J|e
z&dkltWymdNU;rfps8N(r?#|9u3L2qFrFkW#3Wmmd#(D<2W~E@RQJs;Ao`H!bR2Xaz
z3j+g#00RR9D+2?AyI&{+69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{z
zS%GL*K7nSY5<U(Fh#m`&7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4z
zfng<xcI6XjV{+t^Xl8cdQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWA`8RE
z|JY=ivE)=PutHEMfEjRtAIxF{lgI=lT-Y$;4eVbAR<NIt)ysi7tPHU90_MWPfq|7_
z155x)doeID2qC!>9L5X`3>wh*f+~Ykb_@&*f()S0hX{ef6vT4Fp*|RgcsbNwn0*lc
zFfbJ3P~Qku4>AvAA2?na7#O;6s9%Re{0P*XGLRv#bilyCa1w|5yEw#|7$NByY7CrG
z#3Am4Lp%zHcn%KnW*p+v8A0)a$laj41#;9<9O^gV5Z{eM{1^`Li#WvZ;1K_bLmXT%
z#vAG-GwAs^8-NHy5Mcx&j6sA6h%jY{clYska`cJ!cXJDN4T%qNbn<bHXGkl}OAbj)
zV#v(Sj0YFci6yCEPFY4uPJCKsPHKEvVo_#dQch}oQEGZ-aY<?sSiU$luQ;<LvnrLL
zI5W322d1q!IU_ZtG$%E_peR2%wYWI7I6ko?z9cg@HNGmfC?BjfwIVgSv;;{BLvCVb
z9#|?PHL)N*GcU6wGcgBbHpp4UsU`8C3M3`7C_X1YIk6-&KQBHrExsr<H?g1~H3h5@
zq^P(o9#m66TwRb@k`bSmm<#a@NE%ch#DgjX3<brRxlToyDe0;4>8T~*DM{f)nJ{lA
z7o{eaq{gSD7H6hIoCq?iC^^2QC^Io9J~_WMuLR-%Xehvx`jzGefhxSjl2p$W2AG$P
zOc6{o1k)VBG)6E@7{HNGQk0li42q}t)UwpPlH|mkoTS9$YzDA+Vlp^5j1hTCi-CcG
zn}LDh&wmII2PI|(22j1h0ID-!;;^~_l-_xe)Pu?|kQR_QsEGhmZvqlzU|>KOcZG^G
zF)*N*4=Nu)QXuu9G8$%197qt0dy=8zAoYSs?#V?G7eW%B1QiF_3(^BKe<qSRsJ4KK
z&x4AC)Pm{;nD`1LaZn=<CcYjj4l*B9yTHV^K*d4kh#|RWH&h&?9#opZ)E|I~gVclK
z0w#V6NgPyH!^9s!#X<21s`p{yFOkIgknH_{B+iK>{v9d~au2B1ftm9MDh_fFsP2G?
zbAc*kX#7ecnInQEE{!A(H3nP*%ECAd4DcEbqzzK1LL@<c0kJ^h@*oBjgZvI+g2WX-
z3@C=NLE?%~F%ShypCEB1kN^}LKxvRTtd0W-!OQ`L0|<k}K-dOEK+_>e99Ex(pozok
z%^WmwSUt#~S6rD}l9<GxS6osAp)+8tqSTy3y^_?55(d4L#F9h?y`<t|2EC$u5C^2(
zP|pIDYY5{q=z;Zu6&9uDFz6-c=jNv7l`!b#<(H)Dx%-9c7MFlZ0SG@muT-y~D8C@J
zsH76AH8mqXtq4^9LETL$1qw${*n;u`vRRNm69a=hND@f`jmrQ^JD@ZNQx8-60hBly
z7#Ipbk-)&f04gg$dZEJLb}UG(8Uq73t%1rg5Fdu)L5g7<BpO{<2bwm?)o%#3-w4SL
z5HkU4(Nhoy3B%kEm1Y3>8^lJ}?+W!dq<xDp0HhYggVFv_`=QD~QeYea6##`TSO7|(
z^JAd;(fywQ)emcrLbWr1;v2>W(c#dt0pt%5ABGb^l??*}1FRec#UIG;APiCi%in2G
z`(gPMBnQGXp!S2}2gHYAn7?6kK2$$U9!4L5>WA@RG)y0iU(W#P!=Wob0Tn>^FU<Wg
z{nMfLLzTmX8D2mI7QjUy40QdV`U(^$=;6l!s(cw37!n}b!08`U@51!M!Vlyhs8vvv
z5UK+jp$SkLRL+9x0d)N!F{l!VMkx6LnxWaz3<uc<RRX3!buu>n8Bq5x1gk<2==uRb
C6Tt@n

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..fbabe8b5cf5ca4ddd0e5dc7778439ad43893ffbe
GIT binary patch
literal 3624
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk`4HU6cOh|Ns9x7#J9CFfuS0@Gvm^U}9kCU}0dm
zfCW3SGBC_wWnc*4hTsL<3=AK*85k6JAee)JfdPa$85kHqn3(|+WHB%>1T!!&Y-EMV
zaWOD3fG{_N%fQIMz`)1|!3<y?1H=FS|Nn!e!Ey`;K1d9Np+<q23@i)`3`}4agq8%E
z$H2fK2c<z$AbB<h2Dn;Ps2*D+H6V2$dqEhaUWb8!0puSyJZf~IX8Pk%qsPF&0CH~x
z)J~8+APlkxghAmZ&cMI`a$h1IHEIkD4D8Ub0O=D38N$H8pul!q49bL4Ap1b!05TgC
zKKxK~WuP=jPKkkm!5A8EAoT&P$HhSE1)*Xf_kipHVP2@o4_J?j!NLY44iW~@APiHp
z0ICKQHXw0)YCvIwPYuXDps*pN1{5|hH6Z&y801dmGyoC<so{gh2gBM_Ay9aN#6fE1
zvBV*=S^<zkX!t2W(*`JgfYK;P3a<89xDd!JkQm5ZMFQr6+zwI;@+&AW!OR1R!Q2ZL
zTbn8bN|PXQkXjH1i-QE9=>p^iu-MvEAtR_bNF6A@fyJR>=;m5M#X)L8`4Z$W5C-W1
zVUW2Xzc8E&7xF+-3rd3^b)Yl`QU_84QVU8?45!0|KuSSkAR2~2c7ybgDjr{wU%-%@
zpIcCpT3QsJo1apelW!JZlEjc$l$^of5*mUb$pBN7$dHqnml_{$Vgh5B!Wd>yhLJIp
zVQc|)Xs~m9QE>@FuycG;PJVJWh~b=Hnpcuq<eQ&j#t<A7@0^p(;2EC`CP7L)p(_33
z(G^1UK@8T<$j?nJ)=xGv$xSRS&ewM;ElN!+)h{W~Ez3yB(alXw)em*m%}q^7&d&ph
z=H!>;>!y~Jrer4OmFU8?6&EGzV+jqtvWyf4{nFwhur>OrdBr73hWfs)uKJMrK))=r
zEHNeD$iT=%&rm-M%+ZJPQW8rN^+Ar%2N_;mk`ixZU|^wNT#}fVl30`iF}k2AKTE$N
zsWdYurC8t6($Z4TAl}n2B;LTpz%<^#!o)y7Gq1QLF(;>3KQSjKz91*DBrU%vw-{y@
zM2kLAc2U(EC8-r9nfdha1hP%k@Iq#4YAP%$QsQ&+(=(If4GqmqKz_+#(5Fd^5U`V$
z-qKCVPpKRTzad*pT@U7!=B5^9CdU_-fO8S;BMs9+nt2F0)M%U(k$i=0EA0wYP%4C!
z+K@IVsP2McnD{;h28Lt?28L!v1_mug28IA828Oqc3=BHV3=Bt@7#LVt7#Nl^Gcep{
zVPGg@VPFVkg<z05ATwYzhz+V2VSHj~kRDLG0n|1CwYflTDp31Qih+Sant_1<)aH|g
zwueFO7Fc@&WFIo-fHsB%pfspHN0vus&nrXl1VENEFfd3!X>@(ad}MtRpvDHM{R*X#
z)qzY$ZXY1)19u)k{SHv02CZ!c(gz9;5Dmik^dXxMQVVLsfM^g#)`uQ0==#8I9grpl
v1_oq(=;4B{57fSfYD3Y79xmwmpwR=?ifo?&sNu%Iz+eHT(e**22SXnKNwz!!

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..97728e803af57ccf9755f0dfb8e928cbf21d2595
GIT binary patch
literal 2784
zcmZQT12y6hffy)lAPwP<lA|Fo8UmvsFd72134uBP|NjSJnCToa29y?1XJBAwfZ#9x
z|Nn;y4|-~f48(~AED*Ya@oI#3|6><{JnPA4o-qjVH%@Rkl{!&CWnRkRjC}2c=7`Se
z2~5g*)70Pj>KrXhvA!v(r%>3c%&x-MA^qskMCC`}AB1-(=<+M(1;p>xerIuVQcH@-
zl@&3ecfY>MDz~(C%3-gUX5bf?+4TQkprX#@t-5@^g|YYI!V8^kpRnoVXM~3wkCHTC
zpQrglwRDEI_r?2KmG?_mtl`@8%;MT92H_7r2d~QsFG~5f>3KYVahCj_t+JL!qa+>(
z*#v*see3<sD9N3lEs_Lljs(w~aoT2|?$ghO8`d)CF8>_F;+!y}>Hp8p*6&HH!|!g}
zZX~?=UbnvH_J1qN_~QaUCo7j5uKO9m%usbu!$V$4ZtE`Bj#5EEB@SlS-s6{#h-Y_2
z?XNDnz4!C8+joVXucyq{yz%khfp=@)oorHkepSi(%Z_l)>CaO$1*YW&ispJpgmF6b
z#9C>D^N9wl1^6tDIXUBSkz{a=AEQY~=PX~n>4Clqt(zJ;mNP8$2r=Bea%)M6gHmNr
z&Y1}tYg@KxeVVh@t2L<m$l)1L&gpAsM0s9!yXvdI-sQB~nb+I;&Pf?Q46%&65;`%o
zaQb=KH8W0|r(RrFU3KNpgTL3~S3eKtu1@e-oA#37)}1R{Q9lkQ)J%V|R(sx@rh}qF
zq09@M8;S$&vaAbTU6#4?pdxR0g;&Vwu&)hEwS710rrGX0)WG&dbHjFyjrU^@Mb20H
zH#MV1ko~V?)bC~2tgLoL?!Nr8L~85ww!^ce{IWLsq}WWYbH4hkauZ|G|HM;v-9{^)
zo!ZdEG}q5IYvVqtM!gw}_~akX{KWiw;-^D@BRRi(n8CR8{W1Uk6y})u%ROA4@A1xP
zIXPwB0pXpD1ygoj%SyP+eplM3qLJmtzX<~SzHce>o3HdFp=`GNzay)@l*?+h+FWFR
z_(a(0Mr_)=XR^=M-<O+{?)?0xW*|twO<#QZe*N>p@2l_3Z@FGNZ+^CyOGov+)<ypx
zv+vLNU*^|U^<92`{FlipkIc`Bx2$-*@4MhXdo`E1Ino;+?Y4jRedCPho0l}(>{oNC
z-+#s?FuCpb{%4hS-p%~MN{kQtTdrq1&KLaW^n*`wuDaum56w4Tx<0g+I{5~#a=lSc
z-`y`~zCOBl;O*VFyVHvv_{uJw9oMteBPjn#^+x@m%6V*pC-+(R{Bk^>Yu0}|$%Hf2
zhl!Wly!TjAXR#rV+ojUmxoL4J&m!(DTkU9ech|z1(&nwk9ZoYX_J&!1nWRz_H}A-$
z*%mK%*vbAlT&1z}@>_wA)fYJyAJ*u7Wi<Ckqq)~><IO7Xbfa^c=WwJ?I-=F1?Cx20
zt>oT|k~x<fiY&{Q9lY{+@wLF!cQdTsYaYBf>HX4q%_=e$?uH+Js;RBMBo~ujdVlU?
z2d|T!v!gpX4&R-U8rtzI@=5#~)xM8X?{4qk+3|PpvaJ0-r!;@+H;hpz+<qj~JND}p
z-JIs%I;G54UzL?dx;iTz(G{`S9sl?G>=heR_PKt}HJE?tj?Ri1cO{Btb4_;}1pV~-
R;{HFnvM=aAJKOK_T>z_9L_Yuk

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..d801bdb8fca030804c606cb19944a479de1c404d
GIT binary patch
literal 280
zcmWe&WB`K-#=VoZOAa{*xCY;1`r9E>=NjhbrlhT`J#nIkicn{aWMhYmlCrY$M30n|
z6xGdht((@qO+342_u-R!%sJ0Sf2p?0`m%0LgskTJUs1so76IoTn(k?Oyzm=$1@rU|
ze_Vf?&N<90vp36LGP`$&J?oyCcfLIjPOZMDo^$?po9=m`Z8p|7K3UxObY;WS%!JdI
z5Apq%owMow`kB98f4IvQvmyQXUp~JR@0<3DADp-SU|e>Mj$O$+L9_We@BLTKsGi_=
z)@Qx+v&!0(Y1dhI3GFrKU*!G8^GoZ^f8W2`t*kXS`q5J-u=lC$7nk%me*#O|>x5z_
m{g3?8_$SVK(?+eGKko-WR9u!;q5JWF@_*4|ag3>NjV%Dh%Zw%f

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..bbcc4e9
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  58075608055361373  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/compteur_modulo6_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..293a28a7bf3ddb0a4aace66897bc7fd1111f32dc
GIT binary patch
literal 383
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG==(<={x+a~Kfq@~Mk%7UBfq{XUfnh;#
zh)cYWzq_Y10}}%S7&9|4FmN(3FsO&BGpI+XGpHM=GpHM?GpI+YGpL8FGpPHhGpKv0
zGpOsTGcYnRFnA-G6iS&<3JeSktPBhb{2W6<gB*Rp&S79+VEF(4e?7=5M+OE40c1zV
zhq*e3_y>U%GBPXxy9cBY<QEVP(hS1?{{R0!_y7O@mQVvi{enH+{ajs$)~Eq8g@J*g
P2ukmT(s!Y>9Mm=dErUO!

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..1d082a6ef3d8e5fe896053f9d52eeb082dd9c616
GIT binary patch
literal 6824
zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj
z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7
z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y
z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w
zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq}
zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx
z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT
z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T
z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK
z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P
z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4
z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV
ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6
zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN;
z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp>
zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa
zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn
z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa
zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH
z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq
zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J
z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_`
zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~
zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_|
zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB
zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a
zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$
zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l
zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3
z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy
z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf&
zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+
zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$
z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(2k)iW@p6*CkRGvpOBlom7O7Bdv5CNtz_<}qY|>Ijg2P#q333*;6MAEXaz
zC&)?lAOeJ;;^4Lph!0W=!k{)0h!2wowVgox7a&7H^#LfI{Qv*o!3oq_gS5p!@(ibD
zfxH54vw`^nORs?P0Vuw~`~&N+O*DhF3Bh~@!$7@LJPaT<G6uB;Kvu!}wIDYkW2jmT
ze}LKmxb#88y8-4WWb;661#J32YC-xy7{o@_17d^P5FmY^^Z??+Fi0&3gY<zghz*hh
zwJ~t12g!rfg5n9L9@NGlMLj56lA<2eW+6pAsBJ@vdQjUP6gHr74Uqp}7#42m@eA@d
zC=5Ut#0ItbLHa>`3YcCHU6h#)8n;MI12uveAbkRmI*=R;gY<*aKZpk9N02zE-vi=9
z)6aiU9|EKg-5nscAU7b#1xO5r!Gi&yK>+CZ40s>_G%x@fNB|8k=z@9ykhVCue+1JA
zr9tH_$Q__^10)0*Hv;iN<t50?puPzxoI!mUko!RH1epW!2PiB-eJ4<ufZPqjF#mwk
zF-RRq56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%6
z2T+)RXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILtC97sQijf_EkHc<S5%taPQ
zR*M|wpuQb7)PvF#%syoEL1M`KHB69xJhARuOQQO9B&a7Qk3lMM28Q|2@duE9U>M{t
zSbhifwL#*b{00hZP<{i22`ImT(iAAaf&2{0Z=kpX<u_2cgYp|FpMmllDD8qU$Xr-{
zTL85eq!(m941?@|u|eu#{DlP6gW6u81_4a{A`;bu`rIJ*;&KmiUIV!g)b1ojJ*Zv=
zsRxAvNIx=0HXGE3Cq`WsKV+N;mwQ3xBZnU@^`N)}sRdzV^~iisT!Z@b8(0_^e(*3b
zWbiUD+~8$kP~c-=aNuKLn83%t5WvsC(815ZaDbnI;RinhgN6VDLxcbWLx%tZ!wvxk
zh8F@13=)D23<iP>3=x713=M(|3_AoF7$k%k7&?R)7<LFTFnkbVU{DZdVDJ!TU}z9#
zVAvtd!0<tsfk8urfgwVKfuTc$f#HM*1H%sy1_ljL28ISv28JJ^3=A4#3=9!s3=AD&
z3=BKO7#LoNF)#>-GcZ_)GcaU;2DKO%7!n}OEwEcbewzX1gZu#EUqIt?fD$kR0|Us<
zAbATY9~2HCeg>2e3L6lA28<6Hj05%IpnOpHfaHHb`Jk`@@ijmdJgBb$wbucSp8@5A
z!V9GS1e6bR?+j1{&j1NOusj+cJlF^7=R?H}Kn;3O-w4W&fbv1%57IvYjei1-4<0l`
zvd;pP(UJHWX#5#y{2Nd{C~iUaaexLNL5&2cJr+<tD9*w1VjvPJ{Goi9do!SXP#OTK
koB`#-<WHdSe?a-5Gy+nu08JO5^aA30K>4uvtU%)f0L;9AP5=M^

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..7e148fa280f51005b2a098c35a2abc6f317b3b52
GIT binary patch
literal 464
zcma#Z%*o8FP>2Z5%vFeRadKBMFl4AIU6by>z`(G9fq~%yBLZ_WFff2H0}^0_v3ZV*
zf!H9-z;j%TnSp_U2_y#<XT`w=34_#w^noyljjR{MW&<hWIW7jG7#Scmh|K~bpx8kK
z!a$Y>*=fOiT<l*x1H%iryoC@%B?ALHjKRRb5FrlXFfv#Of!qPYxa2|p0%7d(2={^9
o4zquTFwFdNxcwl1H6-<c`5VB#V_;xpD2LmROCDr52xF560AWxlQvd(}

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000..5b98c64
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=188
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=196
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=176
+OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..6d5d5c8505b07ea2f26d16fbb4a1036cc0cabd41
GIT binary patch
literal 26808
zcmb<-^>JfjWMqH=W(GS35O0DKM8p9?F<2x*84L^z4h$9yybKNuatyKzYzzzxEMPH+
zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&O9(+kVKjpPgb&ik
z3SugN2nGfQG+I{#A`YXG^?~h!c?gY`02|7{0Hcxhfx;%k2V!nU3@ZHr>hB*=8m12v
zTp)cFP<<6peK1-9qyXf6C=ClwkQ+hR0%{&QjpkhjbbS#}edx3c#Mulm8e|7ZDEMhf
z3W$wv4~&n?z5u8`8Ap&Q3=HV>9EdOjj0V{O5(<1;k^%}B5Sthbjdq40sC~HNVFuLS
zFdCFjK<4Y`WG0!J=;x&9=49rTR_In(nCY6C=oRPd8G)q1X&z(;DEi&~LK&DE7!H8k
z3eyi_gOU`;c#!;+&nGwA%-5QDg6q@$2ete4N&}@gfz*J^0O<j#0T~QZ4~<$7mjT4q
zW?%s4Nr?X&!Qvn>5aVL%xm*y93vXp)V1Q-`6eZW0v5QZ~A#R96To#lTQA~z#L~*F^
zV!&>1I}>*CJ{;yu!{IMbSi;gC#3c~&C=T_TaM=3+hdViOn4^Ou95&%FrxJ(1+;EtG
z8HYLlaj4J1A?}95oNOHK>A_*n9US7GxWW^M_);9=!Z^%#!{N^JILt}I;a?dX>M!6h
zzZi%5**L_X<8c3a9Oi?{J8b#%9uD=YIP5*h%)kJxx*-W4M#g)F_{OKC7Nw?V7MG+J
zh4?z><maV^BqrsgGQ`KH=jP|d7ndX!mBhz0fR$oZn3<g!UzU-Q6Q7oulNw)^Sd<CU
z9}iQ@0Fuv4O-+r@E6q(U%1n+gE=h?m%`47K&r3~-Pc1A>%mJ%OE=o--NsUiQ1<8O#
zQY%uEOG{GY3ySiSQ;UmJi@~B`yOJ|fle6QC67$ki<MY$vGxJhXE8_DD5DF5DiV`d1
zlk;;65{puiYys=e%u6jQ$xO_NFG|fyO)dfJO3cYj&jYi-N{W*+Qd3HEQsYaC67z}^
zlS?u|fsvV>mzWcumRMX8Uz}Q!l$e}t0M>%re|d=|@rfxZU{zp)Q_E8GO5#C&Ow5Td
zNGwXsO)W_+0?QW{lon?|Sg9rP#bxm&l?ADgU@b^2$%xNO%uR(zm*kej=jY{Q=0W+X
zCGkbc@g+qdKPBgv=0Us!Hm?e-1Ig;-#GD+E%faF)m3fJ|nINqQUxL(vC5sE7Aqo!+
zcud46mc*B2=BCD1r55Fb6@zre!xdr)wc?!oa<Izc%-qr(w5ZNVO)QAd%*zDDN@f+r
zS1It|C`!%APfjdJWdK_OHao2}FF76@mMH!yPA!Q~E-EXIPbtkUz!r316LLTiSeBSm
znu<Llz=}{)P%+F^V7a2q;>^7C_|%kih*Lq<RupIEreqeygPjUWEtzTYMX9-o1qG>)
z=r2yqE6yx|nF9|hXqbY7CIuYg#hJNIMVTq-sqyKlCE+Pa;YFFCBoZH=lbHm{KA;?#
zo0yr$5Fejhkr<zrnU|OYGB!6gH#xU}AvZO*IJE>sB^OjO<YXolrDVoK13kYeK0CE2
zFEvN6I3GzW31S_JR5GYxW5(d_<LTraZ=`2v0%sZ{m_~Z04Em+TMfw@}xvBc8dBr73
zhWfs)uKE#~IhlDC`em7Ai7EL;21X`&hWcS(jy{x^lbNKSlUHG8qL;6)rw2kM1;r2%
z{q*EyT}wS<Jp=uu%sh}3xa9>Zwip?h7#JypFcX*=7#Ua?Kqi7r2DPV{8KhYlV9joZ
zDHEr$fqF8kP_ZpwJ~M*>lwT^9$;rUL#9$3-v@tL+z}oY$c65O<#M}aCdmScj02Sv*
zfXKtdVSEKN@dpMFbsA{m1%?oD12l05NOP3I0!>^%52D@yO}qhWegK+yfG$M+jd%zR
zYHNbpwh$fz!viF7ZkQkg1H%g>aZp<pCiMYH9M)C^$^AeQR|g3|u?N&Wp!ORtR18FI
zhy#%f4D8T0FoegzumedP)JBGhA3zcZwWVR=Cy>OUQ3#g0fFus`Ggy#;f#C*{ILL08
z_yZ(ySX_eSULc8cf&`%W1ClrwR18G@KoaKx3BWKkd_Z>c!NeFC7&wr``H{p0ki-R%
z#3hi#k=qptNaDgs>NSwWLH!n(lmU{sC`^!nfx!YvTntIv0ZCjON!$ZTTmnfv07+aD
zNjw5cTnb4%0ZCjMNjw8d95!YEk}g0J2lZ7zVjx_BBrXRMfZ_%uae1g1i0VKRNA6!t
zKoVC3DTLw~NaD!tu?0xt(4qmXV+E493Rna}Y(NrMg$RMk9Z2G8U=av$07+Z}A_OK+
zAc<>&MIgilBylZ>&?q??0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbqTBGr!y~
zkLEWV9^I@b?HL$6S`U;k{lDPRe1zjL*th>ptLzvU{;Ss5F);AUJ23oL1@SXLaxWkJ
z|NsBLYLOiSLk4Kr=H&%2KMTYM4avMb0Oluw_@JSfmm9$RC=ees1oLtMm>&e<gNB-3
zP5|@0Kzz_p%*zHa-wDJA4Troe0Q0Rte9+L#%LFjr2*d{sxx5Sj^R+;H&``@u2QXg=
z#0L#oy)*#xr9gbpkjqO2FkcA72MsyB6ae$NKzz{9%S#3@p9#bV4Y|Dh@DJqQU$zVk
z8K9xAmk+@FPar;M=;h@FF#i>Z4;pfLc>v6R1mc5+T3&7d^KXIppdpr*3&8wKAU<el
z<>dr0{}hN18d7=L0OlV8@j*i=FAKo@T_8Sa2<2r0n7;|c2MwLP3;^?2f%u>yla~%)
z{vr?`G*t4^0L-5S;)8}rUMhh3lR$jX(8x;xFux1L2Mvk5WB~J<Kzz_p$jc9ZLH@4-
z@j*i%FCT#UMIb(C=;P%DFh2{#2Mu|=JOJh=f%u@Ij+Yz2{3s9~G{o_80hkX`pEd!M
zpg<Uu9u41mc3zD0=zQeS{NzJGh^yfN!;>DpwkHG_82*bo+cGeGNz>z(ZviQ1@aVOj
zXv@Ih(aQ>Qw}<7A5>bzCRyL4O>wyx^{}1@(8$b#VLurp*+eDCLuPMkK9-99=IzM<E
zd}r@*@CUO8<1vqm|4KwWx>?WKK=J~|{|9OO@-7SvF#RC+HQ379GB7ZdYKM9>+pe-<
zU|{g*d|JZe(QUiUhJoRQ=KufyU!?s1|Nj{4R~rTf#@NIB@+kUWmjD0%AL<^*aK|vm
zP{)v9kIu`-oFZ77s{$BH?R>hc9lEPMx{H0fgF~8s{qOw2?{cj97o%h6Hy3`F@0Q<P
z`29Y(bbfrH`}hBU7wuvX7yk9dA-;_NUiLFEF!=NqM|ku)dw6tncyv2(cyxLvcyu~v
zbUP<>I>&T-=kTvT$iLn@#qvabif8A4&&J~h(hLj}Ab@|HbA(T?$^oD5Y=jHCy<=V+
z{`3ETH%NO*=OOK25B~MRA&&gpLPQyS8UHx(Zxd1K2#&BkQ6khGoWppb(>aEJ{UQGK
zrz}s@t##~Ti*}50jCG82j6ZzL$%%n~o0Ee_FUtY`ZC;KZj6b_t7#pP-7&@Gs4o{eX
zUH%dQd1V=den+tRT`d+RU_r;j5RXC0P<Vg`cywOw&Ps4KeB1igk>BHO=ld7ufB*mQ
z*m=yO^ZkpIKmY%Gb{;qU=FxfFqx01Zk>CIScV{QGzIEIKN=`2Po=^Xu_33>6Li^AE
z{~n#r1s<KpeLDa7bUu2)`S<_-&g_cg&NX0_or^&U@OU#Qy@CpqW6d2P@owjYUXTB+
z2VD3)Uvzf&FfcG2Z-(Upm(JBwzydQE7{G;)N4Il@M`!Z_P*Uq|2ASv4*}Q^*fuS2L
z*4Yf2KJM;b!@$4*j@<4g3=9mGC;0n+f|G--loTw2J-V|4x_5)D>)s5qu6r`bj_z)d
z0Wa?V`u`uo>^z~}3{u3uz8NIXzs-e}f#shKYk5BZwgaBYEbTswKmI@P=*}+auCC}V
zuIZi(^4^PiAOpaT(RQxjUk~>4F&9<_rq}M!@W;@SjYA9bYY~tZNN6#Fm|)xuHoY6_
z!4jG7$skWNUVsDvEYb1ziTwZn-={abqG2~UwE0`+F)%QAcDpiocKdR8bT)4R1!ZS9
zC^EajoX+MApz!QI01v|*3=9mfmBF?mi0;WC1t9xC%3=1^JEQxnyBj3`qW9<j|J`7d
z5dp%#%{!yRhm`>$-rWtd$MQt!QlIYX0)z{l9XvX{Jv@?ULt^{>i>6<ogmx5?&OADA
zy;%6`|9_Xx?=LLD^z#>nU<EHgbvHb}#iFKuQ2ugc1m(Z37K>V(`6Jk)@eL^2JvuLY
zcK-9}t#UAYYxr#!sFL^T{QrXE=l}mUjHSUIoiQo_9^K9X-QE#hEF2vzDxehQ(Ho)?
z;M4j2#l-*r|JyK^YJrq-7=8ok)cg7We-}fCi;4$G6$eOFHb@nd1OJqxubB+rcE0dv
zJOZ*h8dO@u9-e^6uO5wWDi}dUEyzvXSqZ(a|2vyNsSc5Yn?P}Wya|+WL0PPG705lu
zn?QL9l=C{9Kqen=0;P3`JSamQZvtf&u>AWMXTSgd-|3>l0xAL$e}IaBBk&?X@caM&
zF5SC9Ryy{&GrG3^-w85>-}8iH^Z$P?{QfUGc~m@-UxM_C{s2W5IE#WK>=~#G`2Z5^
z1t$!b&fTE&aU7iVK&H5Kt_G!p<ISKH335yqIGcAggOc0v<`W<_p!5mOQk~6bz{SHA
z=%iTlkAEJWJ}MmieNUMf7<xSzJG(D{6&!(TYdyf<agPa9Go+{p`1IzeM8HxsC~_h|
zgaH3Guw5N4pg08;5}?5B-V6%N?#ZAa?Cu5`_hQ#KP?82QwZSDB|N3T-EVzj1a8U{L
z>HH2V1%rS6|KHJ~0t#`D?(B^2-5^%?W>DC7PX>iJ)VORU<3LLI*EfS?A;z_+%mfu+
zAQNA`VEhFtosWBTuLhTV-~jC2yag00lR=>dHBbu4Ku{R-uLp;zOGgbW1IVKg-+FY;
zQ88d-V1W6$MWq0gxWJ_&4v!!FipS$EDus*;3_hLTJ$k2r4Spf?^Z$QvV0O+?c>yX^
zpyAu1q5-i9cbJ4D*@PubG!Zs|41TfV2iPW9$nOMkp&{QnM+KC|A*DVJf4%$yD)m7T
z3M%zMicq4oMdbkl0|WLre6bd!w;7~Y8&XlA#@<6n?191r<ct^pzJn75Ql#>L91Bi(
z-C$$7yFp3Y@<fSI_hfMLzR(F4hn2MaeO`b6|9>rVycv|jLCO0#SRTak>D~-V86Mp}
zDjXi&j2_*d93GwUauk%kJUZ{cu=)zhnvinz8>k$O1ci2u3d@TRAkWySym;{iqykhD
zfeIYdvecuy%E6<%D5BdbpxZ0MaR;bO>^$`H>gWIe!C4AXUIn;z9(U|K=h6Auqw}w0
z=ULz0CAJJ)pfvK_rSs5>$zT5eZ#__w?$NtfK#YOmMe*nV|2=y5{t#kdc##faCvbv_
zI~x^`&U-GMpIkbBzUTwH?67C=9$g8rQO|rjf4!Ii675D-7xwx8f1l3h9-a3<@)aQY
z#-kA9-os5ptteg^eF1p^;{Fg&4Uhmzrk$TXJO6p^2lX#NeJn^Z{{7?s{~q1W8QtCm
z4K^IirNN&6k3(ece*FL6v8$~ERJAl8Vq{=&<lo-bCd0sx*4cajWM1#?AE4CKcz6XP
z1H&#*x6q^Wo=4*mkY%8dj&qEUeX0KW|9{WkdM3kfFM2>$^;T;belxsec=E;CkN^L-
z9N=$R#>l|n*;~(S`0Yg&NCl)F)ZGn={{|ZlCjQnIhzb_NZ!bJP{{QdWyX=7gsJ+Ai
zas_iUXhE$<XNwA`VD&iOq5?`ypiZmDUXYtW1+Yiw8kHNMMni8~rwk|}pcw&_j=;-u
zLE1t2&tnHj3n(FgL_iBiLFpZokHAIYvlktoK#nf)@@ziN3JIodAHc!XhZ0OZgo5b>
z|EK@|U#<qZsrfiN#H5@Lph5}7Q!XkQ9>-l&3c%j!%u%U0-l76(M1Wn`8=@isb(Y{q
zQ2lnyrMpHY!K1fCB?6?21Ex#Bqqjzd16=37a0fY;e>)pA5*@qTm^#3*>wz^oU!;Eo
zwM_oMu>bJ?KU&<Pw?~3O`C~t51{;#RUd(<EN?YLg>Fx&ki+{TaM_T9P3?>GKE*B1_
zj^->TP=9YXD8@V*4+k(I(oyuww;w=>7@QL!gkxB+NAnvG4{$p6*biE!3-Y1iw--hb
zi$DpW8(dw2nlLUZ4j!G4K<$#vpa1{wXr2KoYI`?>Dq)Yt!wrlK42G9>f&$WUM}#ye
zFMs#wyax`ST_FBW5CN(LI`4TjAMuEGjC+~=9>qnV?gzxrpanNjr!0T>|NpUO2POsv
zCZFD74WHiK8ccATTn!I^BkbRc2k&9ZnBlJYp#^q@Pv^fE2jS98aA}W1xbz~pG(va9
z14dAt1=8INmqzHeuw#NsgPUV7<5B$r3SoqQKnsqb{<;1Z<j@yT|0MYI?!JJ{KLTJY
zLCO#=deH!P@JDc{fTR&FnqdNW@Flo3LbrtlGt|K^Hp8V6x+|{0q#^$4fA{}Cs6!v@
z(fNBPXo$(9SCm^9l)6k=co`U8faE=Tcc0*9V0fYY4pctxX5e99cp?7o|NrR2Af4c$
zvtH9{AW=b(3ednAlEhw+1jqaT|G}lki+jjQLP05$qw}{%=T}fevSAmf4)f?WE#n2b
zz!pTkc>4xa6}{`c54GQR4amUnAkkji8z8pyJDA~(Z~p%e4bEZ+a0D60;0U(Tqn9`L
zKgi{xzF^9f2Ta-C0qLy=nbUkkVSfr}CD+C`Fq7D!&Ww&lcoO6>kON2YXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz>p0A(2f|(XaE1_Jp2ED4iv-qAWwmII_afk7U_ew*OjD}
z7RBf0r<CU8o5hzT#U~aeXT&F`rN<|wW+ayBgVlofZ-I0|Fj$Nf{l#UVop1~!>jUis
z18oF@SWCM8+|*o#%;L=4++2p-V(5MoX=ZEC@GEFCpXL7l|Dch{l!yQSe_>!?D0%q*
zKWOMV<<bBDJd6wsS04ZW@4?8x@a5V6|5F$l7+RkH|9^pzfkEWW|No%9rY3Lx|2JS_
zVEFRp|Njam28NXP|NmcMVqiG({{R0EObiSmAO8Oboq?bN8aiQSV0ilR|NjzZ1_t?0
z|Nl>5W?-=R^#A_~W(J1nPyhcPU}j(dEg%4Sm9Z*_fw4k>QJROHV*<!N1_lPu<o=Ea
z|NnzVRTx~@L2DHlKojmJ3=9kg_x}G+0Cm&(1l;%}y!g4xIT{%3rL47#RX}t0U~@rR
zm@*#x{|{PZ0kQ*xEkJ%|U|<M%`2Rm>h>O9APr!*!!ii78iBH3k&!CyDhqafbkGY?T
z=^md3SXctAgoA;>gMonoG!ozP=>PwZAiEur^g8hwIPzII@;NlK_ptS{_ObLcw=pr_
zW&(*BI3XG3$Om!{$Q^$e7#Jd+{{Ih}>t=wP<;bVu&Ub*Z8EOPmHOO5GU^fat^n%=B
z!N|a{=IQ_cy`U8+aJ^uAK<;qo+rW(CkU|#h4gm!!Xo&H{%m4pDiz`531Ue%DG+^}S
z<^TWtKmwTNqxq9*2{zLs7#J8HFfuTdy!!t?5k0&d`3&6oCNP7-8xmGbxu6h41i2$0
zhb!L%X6EyZ7~-IFHW(NfY?v4rI^O*M9}IP4GZT|9C~!e(B!Y>7;mn)=|64(FPJ9B6
zd=f5v3C+xXOiX2b5?~K;fcBL%FflNgy#4?GAXJ?bNK&C0k^(k^5{7^i$V||H+ZrYY
zh8skv1sMfe*m8%7fg$tV|NmAX0areOW~LI5QQ)-sgNcEm{oVinpaE$H4?ckaJ`S+B
z05bza$GiXkK?_Yl;!%7Y450L`!OXxg`Q88jE1_n!F*)){G&8&KDKPni5{Q8lC<Yt~
z`4l2RK7ghakm6B18UmvsFd71*Aut*Oqai?P2*CEo!}i02=FmW9J_XI4LYJ|C_~3(;
zkocfI`XF)80$dR74r;eCFo33EKwS7aUZ4fMAOQtXrO&{?0B#>3?bC;~(LilTkUG!;
zSr84|uMcW7gZMD{umAq%gZK-e?gK4Q2Jv5jrimCBz~`QU_$Q$9pasVu{zj<#KnspR
zd{BD^M1vOif@m0qYGarI-3Jb8=7HoYpc1h5J=8h|&;nqP`~uL*WClq81jM(1dK~6%
z@Jeh31_sb<Do7q?Ka2*6f$;DD5dSeUK#l_Y2j%|&t&E1OIt2S47Ed3b^0T1wKcRe>
zJs>lwiDBoRp_^$2T6xUCz~Ba@!=Q8;lrDqPZBTj|lwJm<w?XM+Q2H8_eg>t#L1{M7
zie?4|1~DkD2BpoQv>TKTgVJeGx(rIULFs8wdKr}72BnWd>1$B>8I=A8rP;u}F$M-P
zD6Iyi&7ia!ln#T^X;8WhN~4DxAwKLp3Uqa_^C)2FPr%NbfSoS^J5K_p4|a}(yR);E
zf<|akX<kXGf}t_!d=Fi-QZU!3&d5a1z(f-&4AY672Bkk1hL8WzWkKl<bfQNMG`~Qj
zjRCaK9-Dg5f_5ebeue{R;RDhK690fEZp;8W?Sr2IR$jsE_XL+sk_-_E5D}33K&()(
zxF`dxJOPP;FlY@h$b4A&2NDBe(DD#Q1`+r<A|NpkUJgDN5!1ixafsvcFKF_YkwKV2
z#1&*JD#jK*$G}R2;OFsyxeN>pH^KfAhnG_@@%LbHF$VN}dYK7SBug+bK*I;79<=HQ
zG>!t}!)VY74?zYA2G}_$p#D8bP5^v<7C*xRP-l~YfdSlKXJBAZ1B-)HpyKP`^RW0C
zVCPnX$38%dpuy_-8DQxW+%IQfU~mVEqnZKY#p4h!VFdXLqyiN;gVpmh!14pQzs|tG
z&<7QV<ri>2nSp^}CP<t~fB{xd!AzSE5@+CNm;ma8GcYiK(kn;~a(*8_!w2YLDWLcU
ziJu0m2eD8wu5-tJ;!w{E4mVUYKs+%V;-=vE1*t&AfjHEImhE7R_f{qb20;cv&|#ur
zgP_$W!xS9mti>U|9fx}^GJ($a!AyssRj@(~{0t$W49>v703I)3U|@I-HlLpXR-S>!
zMi>|vJ~3fGPmLFRE*n3?2WWhO$F)G_fyKdILLppmh)06O`56kJ=D^}TA1sby1eh}w
zhxjHO;^&ze7zCLl;N=zA5C#T@+c?z!#vu-Bhk?vNuO~EEu%Fv#hC|!|hqyNm@lvoj
zKLe~D0*^~EFfcTL&t*cC!!UpKfYrlz2pZHb1I7CVXgI_2(Q&YPeuf5ULI96#GB7aQ
z1&br}!&t9yh|98K4+kf(I6s2{Xt@^y0|Trb8UYrEnTnt*afr{wA^s5T9)1Q!XnF>Z
znK3XhEW@FGCo3plNHQd#mCyTdsK10m{0=K5|4Km5afRhy9jJS(q3(gT!(ZVrhlvg3
z9?bN~$p#8feg;^(16F>DfW;Bv1!Eb4#rYWop!EfKY#3C|L&Y~h;~kd2V!`4t-3YoE
zhj=SkoS&f_>M!tkA_D_M7f76m2cvSB01`(Si(myO7nK<5<uk;`Cnjaamn5b$Bo~zw
zmz1WZ=_NBD&S#7-$&F72ozPg!5FekCAD^C+pOlyrpHh-vR2-jJTEPICkI6|bNlno+
zz^(#xR$OL0<Yc?lypp0yhBVLtgrL*%aw{3)<B@pa)A&%7mgE=Y<do%tr%~b!^^zIl
z<K2QBeO=>S{aipNM8>=Og~q#jKm|Qqf*9i6ef*sqed7Jy+=5+0;zJyrd|cxh;$0&B
z9DO~V!6)7oBOPT3I@2#CF^K_unqon`NuohoT57Uod~s<~yanhyyW-Rm2iSRZpp)mo
zCjmmwW;6!R)L=U|FB5h^CGx3#XvbT^j+!(wW`Hxz8Nk5>bB<-Ad1{(rauV1%Mp#A7
zz+M8M5C{olP=tWapM;+th<V5*_LC1`2QT722NHZBCn9a2oZSiXG3ZD_v@;>W4g-f7
zD5TL&PmK5Uk1sAs$;^u{EruRg2v(2#tW2;AV8?u-*dHICl30=mIXjdAdh%c@B4AC>
z4lYEAQ)uL)gtjRnT=5<JiFu?V=-APMcoee?!DfMN!FnJm#(|xvF^M>@6z9>I@$n(P
z&d^K&iV1Kqf{&`ibJ`@1!&BqqQ;PHBGZOPsa$qM-q8uU#KOz(TtWS7Yfa4pQN1&&U
zf=}XvCv#JV`1qvaVrXo^9gO#kOf2DQf;C4#jz<O0|ANl%gqx1fd6>tmg3>Z*@;3$N
z@tP0^p_CYw;D`ok1x*8kia4wXg5pjIh^Pi1gbF!b6D6(2r{+PA_QZ0eDdhN4ztY?w
z=;@`N5Vc6jAsKcQYkVpw!ys~q83V>)pKyzfv4^xd*6=q52Lddvp+}9T7J~}Y<bq1L
znT93|kOM_QN3epmz#|B*Cm!X<R|dV}%G{E~BnG|Wk|GG50b^z6m82FGFzDswm!#^Y
z=auRe6y+DB7L`;Y2_=<g=A`Imra*-poji3x^&VJxMq+UWgI-EyUU6kEgf1y!0Lzr6
z78Pga=b>=oix~8ZQgaeP8lbF#oDv2-uvhd7a!T~l^Gg`?N>VFI81&LI^D-Iqit@q1
zt(Test?@EaiWuNLh*n6|2hjmzL$f@C9z=UmaWR8la(-@ZYF-JVGAEw}Y6pVG4q)Sc
zpt*mLX)qc#9tjcyVUSu74a1=3DrjsFrXP0hK8yy9YrxckXxR85hz-JK&@n`qe)Mzy
zq0J?bQZR<K@4y_;4iq%~uyGg|jcz}>{%{5chHwA>=fmuWjkCgNnEjxGAV7AayB~BN
z1!#;FrXMyA3!@dF7J|YE6m~HEuyN2#1_tmQ6fpg;aa|Y<>Mwxog<+5xFgA!TgpQHI
z^uxwQVKitCAEXZEewaEKUB|!xI$i<pf7rMyjD}q^f$n~gnIPN?9uESIQGs|246t!v
z7!4Z-hS`tq|LIWuP7v)3uyJJ=4I76B=?9HHqw8M?9{)j%gQMT40ZQLcqrnt3I>2lY
z0on%&3R95zAie@L!N6$n8WoTP6ob}VfS52EG){)BzX3Xa2cu!`1?d4{7#~K1)}tWn
zhm9M-Y0!xtAdOHAvlq%`*oNkR*mw?%h7QZal*6Vq;C#@ARgnF#^uPd%a40PUl7y><
z4$>p>L0c|CN?`hN-J=21f*$^tpzeq1-{A;R38NFB<Eju*O#AOJFff3oB4Em3=OM%H
z*?@&Jx_+2AXyY7cP6wtRHf~zr1JMCf2jL;?hlzvMV1d#OOh0TK5_WG2?4A@*{sEZ*
z(+7)3&@MVq_`&qU=3!>|fmB0Jw1F_eBuqby{tFF1n11+pX8>3^11$eTco^M724?8Q
z3rs(3JUIfYA7&3sKgg{xHi!m|n}Wok$2o(9z!;_<`OIaI1V}A94U2n_I1G0{?SBDv
z0H_`Ujg>&T46yPOH2w~AJ4`Q#E{Ft?3=CU9kpp3ZNtpd$4g+ZH08;+K_%K=pIxZoI
JrU8x1005g*!zTa$

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..4b4da97
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/compteur_modulo6_tb_arch_cfg_behav/xsimk -simmode gui -wdb compteur_modulo6_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 55841
+Design successfully loaded
+Design Loading Memory Usage: 20152 KB (Peak: 20752 KB)
+Design Loading CPU Usage: 20 ms
+Simulation completed
+Simulation Memory Usage: 110088 KB (Peak: 159420 KB)
+Simulation CPU Usage: 30 ms
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..2fb2e34
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "loto_tb_ar_cfg_behav" "xil_defaultlib.loto_tb_ar_cfg" -log "elaborate.log" 
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..e5d2978ff1fbf750d4cb2dfd6dc018d5cc84ec70
GIT binary patch
literal 34560
zcmb<-^>JfjWMqH=Mg}_u1P><4z|hcwU^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi
z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+
zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)}
zonm>SKE<>1zh~odh6V<P2@t@)%{juSSLJ|DcQ(QW-QF=^o4P^TQ#uc62Yc|Z4-RqU
z-xeau;LG^OiGQ1jQb%xv<%trZ?%*883!Tm}{Ob?#uRmpZqHe8Y7hAMrjAN{0oMZgq
zV@^&C{M(!yJbGCU@Ne^S^kDqi)xsFqz`)Sq<aBt#1nlyQ2*`g%l6M4~-_>H_(g-rt
z@i4?=P%;!A-~k?;m%FnPTn*p0zIEjHc-#3N>_^AWV;)eZXXkOlZyue;Jvv{3rMj~d
zTHiYE0f#xi=hOdZeLA0mB|JKv3p_fH`*i;E>3jqh>CCP;?py;_*}1rZfq~(8GbjQ4
z|NsC0vE~ktc(-#xugCw^11|iYFFLz>z)B{7Bp4VNTsl`z0SnAvU|<00@#uE0@aSw_
zz`(%Z(cKI(&!e+>1p@;^H(0E*c@Bu(y@r8-0UWvAOBfg!EKl(F{{$xoTadYs0PyI}
z4(Q$uvaWkG$hz*yAUnFdK?Z<b4q<kl&~64P;$PnklIP#%!pgw%&xW-;pMTo{&t#T%
zAI2a5A9!?U7j#!wbQjljPX>7pVgT4N+RhdH>%o3L=EBOr^x7R_{RE=5FuxW7X@P_m
zBZvvc-C)zZp&l%e>7ESoG~)$G5Wo^0f1k+z|Nnh@vnv{QgF~CYWgY_qgJ-uZgJ-ud
zhev1g7En-jc7r0b8_el!-T)561Mo21!N9=qS{ZCBg6N(MQUJ0Kq#R~ny)(MMy1PO0
z&;S835dp%#%{!yRhn1llY9q)V%M+zbeY&d)5H56f@aXjR@JOBwiS7GfqdYo~LeiN>
z=Pj^+OXqhe4NHkHJerSi!1G%yYU&5&FGogD{_AS7@Wq)wf;}4FfTG=_^Rj2>KcC(z
z2gA39-*$n@HJ{G^U<+*+OM^W+V^jh>x}5{My(79<I67KXKq<<jH$)}C2kI^x=29(?
zG7iIUFr8ft9WE*!AXOZ2RZI^2Q;xo7GJM<l!lUsB$m(cNX%TyPLbsPgr<ccZCl`?A
zJ3vJ9Po^3vFbfnbo#*}^xcIX9A9MNFPA8X6FAp>iBl5dP<C_Xb1_p?qy0a2`UH^AB
zfl@7^1ZV=KmE%pIgb&IwovT3pIo<@yi=bTC*#vUg@g`7Sfyje0<nbm@W&_JZN{~($
z6&6Ghas;Iaap~R-veL2Fozb=R|4xu8{GKNqoB#iF;rD;h$)n<t{1T=Yn#I8p{tQ+I
zdGvx4mP_YuP@*~xPP!mdTsl{S($Vo|P>KdQrVCt5bTosK>+$9jAT^-$4bGCC&1b;H
z%M}I&aAno}<DW;Tj|vBW-%}<AhF%ZG&h86f1xKLTS`YAd++zaOEGa4iKD{|A5umUK
zVNm2ofCvHpZD6}PTtI08RLFn=vwJfrFuNy%g0Q<AWE?p4bT@;T+TfCqe|<AZ7F@)1
zxTpmBbbj}NmZBXkDxeVe=+4gQ-VI`PZw7^Z_he9rV=)e-gnxZANETvTi^@z;0SGb?
zQiMBp9{1>84K6vs0oc8H3n)}3gF+39fuJzvUk?sbmyQ}%29QS~zV+ywqhi3wzyR}g
zi%J0~ae+%$ay;ImQpm`_;M4itqjw6}U~o8r1G96E3W$TSNdsaN*<qrIunA-^2!rw@
zwvg|fqXJ6fkXi$uzrb+|sx?50P@=R&<pBez+QJrxV5?z?0ZZ&Xgv1^wOhC>6EAPOF
zR7fI+7}MPiO4^nuN{qTEgOm4#PH<X-mDK!wUVs1pe=Tyn8I;07$@@519>ntL-V90^
z9^F1F93I__9^IZC9-Z)V7?iy{I#J8vZ?JN>yGDft?7D6naAYxffJ-t^frDBWdvsSh
zcyt#<bUOufdu2F+%dyTwFG1FWveSfPP6Z73)F8^U0N2jrj-BT`IzM}K{&nm;>)X4;
z_6G+j4Lx`1JOp-3>w%JVkKVlw84L_yzDMs~13Lsef&)|>+NgMR-gD{v<kI;QqNww*
zXYU?e0Zx!n&wM(6K}5UJ)%kQj_vpL_mv1}@G44IwG}MX+>P7tSU%?Nu6xsdJ9=&^a
zq$1qR!H>ty2f*4%aWlj?0&WIJ43xm{{v85n?&oir3rhUGdo#iiepnERU<bq?*ctH%
zc0&?^El>ny`*iB4`1G!o0Na9aG$evL4|{a8sCe}5(YOGXhX;dCCz?`>m;)K;fuss+
z%s~RcF)TR1qw}-pK0O8o25trh22jNgX@hulJ7;uz7c|&#F!Q$_12wmL>lh8cfn9g3
z*?^gWfytwHHz-SZ^lp}5hWg2~w~h&}C;+Nx1E_@S-E4uRh#9V^0IKK(L{S8iA{Mx!
z2~b4}ObiSjy_+lGikgqHBAk4zc>^;81EWXp?g)^&#=|q1LCNbLBmm;TY1Xs1jvZ>O
zM{l);;Wxueh9|+EYB|8)a)_0I!LzrH1E!+8If03Rp}RYSiGiVuh2!4|8&>}Ii!7ju
z;($jlFDRdTB(pSnFrN7Tz_YiG8>;VEGswZr9=*FU9Nb&S16PE{>%4G9ctV;Ft_V*^
z^FtMRbT{7sRgT@D@*5JXS6CPrJe!XRyxa<6^wx<$l|q~kie4<v7lkXr<9so=B9QYz
z0f8l<h{F}(alQmp5xVo4_*+Fmu5LaiiJkzMU;g_0|G#H%oh(!@UjN9!72)xZJX{gT
zKcJAo;vWULB0T<4gevmrZWdq!B`pa?28IS34krFqUuJN0D53j@<)s$HKN?WIc>SXZ
zSA@quT5v^p{G$z51o96k60u}t9jGFY?q(0Le*z%>Vdih0&II<4?#o?}(!&O-6yki4
zd03K{EnE>E=i9**;c>n_ToK6mpg6<gd<Uo^4@lR$yBpMGfJDbOMzHf8(W8Uy<?P?!
z<Yf%i3-J%g0xbS9fhz*}2jpoi1)V8e5gz}T!4={0k2zEkx__AYTc3eqq4}5vx_?+-
zp8f^)j~i4kUjMkm72)xZ2V4;z|9HX`;qi|bR1tdiXD*fUY(D0V?iZ$)|9}4f4=wKx
zdo~|aL07@>^6t<7|2><J>AyVu^Z$QHH3%m`!%4xQ3bFIQ=RR2m1_mD7bz&<heIV*Y
zNB-^YQH=}?X`Rhmm>3uwyW7JW85la7H-M_5-rWk2>TL!S1H&#h2F%(Lq&)$m{R%{T
z3|RXGh;~rk^61^X16@0;9tCMngJ}N((Vhg>{sE#L+?(m$d;?uOtcC??&w*$cVFo)s
z1FT&DRDt&Ho&j<E4|MIU(AWWKFM?<{foRVIYX`-?NAK<f5bdBw0+QdGkFg;l2V_D8
z#Doxt2_;|?KuN=+cQ-iCG#&=EAiySgblw9GDG*Vm%R{0GsY(a+J>VIgnZNZfC}Z^2
z!RjoJ?#+mb(vg4r^eT|Mj79hyyV`3S85oRQ_?Y;&9Z2K6z~2Juh=ZJ73s%Bn=-4&A
z9;771kcofWr8Le%{4Joi1xQH)LP-;v5)MWN29T0wgpyV?C7@0sNJ$$)Ne7w|P!a(t
z=|m{$hATOh#(9vxB?A-|&BtWH;f@GEFbkAh#K96E7lQ^SL4yh1-5|$xi*R&K1|?+v
z?JgWF&=d}8-GCyt@h~V+zfAcD&Q=omjJUwWzyLD>l*&DNcY|E*(Rdh?uwN=dj6kZ;
zJ-VCGTmeeZFe5-I-J^FmIB_=~1|{y7_dqpe^D!xWt^g%!m=U1V?g35UjfX)A{N-$j
z5z_dK03~dg5ug+gOXQ7*L5cij1jGm#d`5s$Hp~c6l7A`s_y2!*dt97>fdSOKL^c85
z9xt`@{C^A~bG(^@3Dgn=HH~+JMln1Z4}V}}VA#b1>a294H-BO6bByM1iK}OC9jrjX
zs6a~9J$vh5<%LIgvjw=ecVJ{-Xtv>CEfw@^KF0bo{Xa_S%Z_j)ijHm;j{gTb!Oni^
z@gJ!a4s{FzjaGtkD7X~<=doV}9uly0iLkkwg`?9&CF8h@N)9u~ue~8E5}v)=9IQe8
zHb^(dv-4PYj!J?@Z;48T$8i@Ga8P;d04WEJt9f*~s0euU)~IlR$3wwZIP!02OM?{L
zj$Lj{9nG5{g(zr<!2^=ocY*YKblwB2ht4l}G#&xDJK8Z0F-!}pOFZ_g;_(7F@Hme(
zgZe$puh}6CP+Q^$$U}{X9Y7^;=e=lfNjCw}U-f8w0~&4c?ELQ8`OjmwBm)BjAGoga
zfDUSScDrXdcKbs+aH~P4{XYyEy@ZT;K!eExWC#POLh|TrQTYH;)jI_|NCX?r_2@j@
zy#_oA;?cWB1=M)}1)~BhNa+rcMp!UvfPzs05scl;j-BnGqMv`eGfSFdXZsOQ>C?L(
z<T202<10WZ6r|s$^B;0BzT^TGD!tXPWa`;lkKRiIDfj5Dh9y<c?sibXJ9hU&8*j|~
ztw%x5>#c^RSKr=cphV%=-3}_wJek`;r3%Q$pc2vJIApjF<R#C&ASZ#m<kPuE1=Pqt
z-lEdL$iQF-HgJjxs2~Q9X+8tFf}!O=Nxnz(VOB)I;tf%sPKY+_fhquUflp_SiUcSq
z1zv9W_y4~~;}K94Aq_iuG#_S1ScmB)@C?K878TIY9mK#j;F0{}kl|O*pgU-|-T=uL
zAm`+$RDd!`fyZ$c6^OPR6%LQi=P$MXAu=c=J*&YJ8#oLw3u#by8CJICpk$r^SQ7$N
zgG16Zyzw<*0yKSxfXV={H#$FqrZo3SgJyBSsT-aukw+&$v!tF#EwQfl382Z4W{}m6
z-R(W#mITO6hye?*J3!t9`@pmL7!#tXa_nlK3fA8PX-Q24>+gX0V*x02HXbej`2(ci
zqw}6e;}MW!K~WUv7#|Dv6>>?6In3}0(&B)n&hF+8kg3egpo9i0krF^zECW=0d+q^Q
z0!fc4Dh0<~z-f{J6oCSsz1u;F8a5N-*?GJ>M<oIji2<NU1Znr_OaVtCB3C+gvv)Rw
z!oso3ouvaDM7Tl)TCRZ#c0}NSCM>}vKgh}8b^$10x?DI|I+{V@<<YwvOAvuW87-VZ
zQ#+929@N}IF7ElkQ4P_9+%E&oO1Y>wpf~{{=IGJ+2$nQDAW7e&yBSo(ba#V#eIQjW
zD&PXVcM3Q+AgS&IXYCLb54cz}sDAh8-3=-%JRo&CB%VPojdp~LB!SW`ru#u<J*xXb
zdb+`*E+ALX$Ne`zwMOr5Q0?r|c=!N_2TrFTw;;P8lAl5IB3y_t@7@fm9=mrx0BK|1
z4K|B;GiYcH-1goHDj^|5-QXy)VdQTCg)B@P2MYs(2lH+QFoj<mXgUX`%>b-^w+5KP
zr>!InrX>Qbc6R`n!mGs?rlkR_c6SAs!mXv{K#AP3W(76|22hV3)L`^zJj}rc9!-Q)
z#SmGh*AGC+0FEKyxt)Q50VzB|eOG4CP!A|T!CB@68=@V224pHcscm3`_7Aa_j}Y@g
za~G)Qg95v|8x-<j^9$G!=9jP|w+kcKi7+2Dk%?+PC|0_=K~V%YUxEW+z6@yEp?7x#
zs03>~%)mi}`JnM2RP#Ym*xe0^Pq6t1kjy^<F&|tsHXdF<jQP7U!XFgh-QA$b2b-V4
ziEw`oCpdgSWrauM;Q&q|+z*;wM0NiH7Et`I0Fj`sk^mRNd=W0N`4=GJ^8>&6koFz8
z{!0L90M&p0JokfIcLLxH3M=f9M(0a|J^vpE70{5We8;Y~8Q|LM5TsYxHVxDt>}&?L
z#5{U;gIZr6jfX+rg*9S58jpZ1L#w~)VGS^hegc2XGH^?-9@YRu?<+9zx3)l3z-l;X
z`>VSdT%t0AJ9VJu7pVUXYJP#r4^SV*V=t&-4XW-uI@hRxN-NLawwaBfd<UI)1f_ij
zu+q*JNUIc7Sb>^z3?KtxEm%<F2dRP6a-hV^v-vo9t^giP^H73m4xwNI7j`c}4QbEj
z<KUhR#3VFNxu|4-YHv^jfx)9QN2LPPfP~J>gQ{%_BxiYc9&_og!RVcI=HTj+fFcpn
zCjrGS_UHt=(*?S)11)YrbuPTHnlNDkq&xw&=OD=otQVy{$G=^KBdv2XsKwCb!ok$h
zoCRqIfnp4lUqJ03P@G{eufXXU)S3gu2c(Mk+%Ltzz#xb-HCLmj=AGbZ`UFkQ+ab{e
z>fd^Hx2*>!jb_mBkY{(>{6^5CpuXi`!R`m(4AguBM8V5fPyp@%H76nUImlVy(GOUw
z4PUCi32L=9A7@77weDun=)DIscqkv#aDzlW$b+8yLAHQWvQK9XW+H%gzhEs6kIthm
z-6hBg0b~Y9Cwk}9quZ6i1G4A|?6XdYKf7H8JUYSQz`xy9BCWF-6hK|BQXS2pfI~E8
zko^yiY}k?`kH#a2z<?(cNcvEOM;KTO%G5=d3x`xkGiU?|G>igjNi`mB03{K4pA|E`
zDd9IyyrUW1>+RhQO=KFNh6q;kK;yNJA;AIQZtx$^eV~F;2%5B@{r~QE(9o-6cR#4-
z=E%Q&ipnlf5;7LyaO|3+vIjIm7Q?~BzwL7x=QaM8tqkCyxG5@oA&MA5iuQvQF~Svr
zx|v{;4j?Hygr;aV%%sCeijJZw0xgvRn{*6G(FwSs!{9;2UU0fQ#sf-sa8H5CamVg{
zP{H>S6mh6s7|(8Z1IKQEi`NC7&Bs`fHM&PQcKav1j_^boe)a5b2X$c_yZb?Ay|(gf
zJ_cUn1-B0D<?en^OYyagXY(;GWHZ}A@#NUu4=S&_MK~Ngr-MdWARR!*&UPNqJW%g`
zP-E1y@i-`9g4>Zko&O*M^)aBuVc=fV1W0=tWErHe@YwIcz`!63j!|&h>vp#AV0JbD
z<uwmbF&hBt9(kaQLqvc|Sq`)e2FV*9y}Ln^ZXS(?LDeyAd<<-`N8=HYwb71o@Wc-<
zA3ags-~q|@-QA#s)osJE57ZU_8`9Z40hIK6cZ0?wJQ@#IfJ#SDKMZ^62rGZR@R;wB
z%);T3c_2M4EzP6zpvS?N_8td+C^4SsYz7U6d-U!G1-eJ$VFhNyfFGoV3d(oj`~g~z
z?AiI%v-7`4^S%sFVO_%Ec&zmVh~>G*7izh0Z;8r(<XCM5g@<EzFDTBtWjH*Vf3x$q
zuLYN;pg?EvNamU0!FcfhLy#uOdPT>s_VbMl42~VG??8k2{M*~lg3`5PXX_gfzq|cp
zBLl-r&^Qo^o@=OjuAu6<0Mavo5wbP`ZqHp*J-1QyTnFiiLD%yHRnH?-J@-I*K!pT~
z+g_vUd5Nm$DM-&3aPow$9(L?%|AeaN1FD`kAU&XUd?@z(MAh>hRnKRT9?;r56g>=0
zC}I5%RnM<R28P$s2o24@IZD+XyV}`Nb+I-vFr*=3h_Q)*;kBw`S34i7G9FZA93a`w
z))$~D>)zS`&)%&UKnai?HnRn?7E*XXQyQa3FKat!SiG|plmtPIO~?{*P%?#MaC-r~
zd>%CL<I%iN0+f47*pD@XTJQ{>dm^!?R*&vx(8y$WHz*r+>wwFy=dEu`tegKbmLxR)
zW#R`-s6duYId-)xH!(1DG|yuJm-X$6Ag^^c&jE3}+hv;=7+x-e`NOfRT@*#JFp6S+
zP-xYFEM;))YL`J#DTSg^45Ts$tg`tpb15i<wNR96peR=XDOYyvYBxktq>rLVy9qSG
zI0Mv|?X9lx=-mwJ;OxQ@2aw<cMZsp!kYZ;uC|E&J02;4CF7M#s-}na9C4dydp8F*j
z7#KvLsT|ViMecvNp|;rgx3?KVoCz9v0ZraPS_Pm<#9fe<J7~xZWIoIZ;KJXt7hmHm
z7t*1CbvfW23Q#@h!Q2dP_jk6aAa^LhBb|FeHiDXANF553CiD&kSSh?i0n!2!0UO*q
z1w5LH)N*e*P-5%Zd>mZ0LPErme|wu5A%BD8|K&n(g?=17{Rz?B-HhT7SRVr72vYkH
zFkR?PN7%duxCq}3atdfR12hZ|@-S>R18>g((jHWXCkK!rps7MoD0O#({J_7Rg(I!A
z8N2|i7re^Xqw%l<s2+q`f~cG(Oqc+#pTH^Yujd|hxY4lk^jI?kGXn#&Pw#F}nd#HJ
z`31-@P~Ei?<WX0{13sPqeL5iv(>!}?R1k~Qz#73x4u?`$7Z+*=c$5@}Qdq)+DmA=>
zLmjNg>(LEPlaN4h<ljEk0+cqaML0@KK|Cu6kFmrV#Iu3%0zI3LKngE#$U-b*GQ9LU
z$g}wfxc3UF0HG4#9{g)F&*mfGw1g@L3h&oap3O(VeN|LBP{^Tr0F)KM1u{4<!U|-h
z@&MeV0gXq1S`h`XV)+7y;kgIYE9PWiU_fs~Kt`y$A#D|A$gpZBXbAMUi;4%xfv7Wa
z0pMX=NS5e4+WdosznznjfuZvS`dT|s^#bvcV^_NixQP4)D!%!*w>yEtva|UMh}+$6
z2P(~F&>I5YC^|e*bhv_ayn+^Xa65ufbOfO2@B!%njZ2`oDiTFUIEs#7kd8KVI}%WI
z#G&Yj0@VlM2n(A3vV!Z7bQC?QD0&h>dO&qZ9*UwI6h#@}I^+Ym4%z(y)Y0nQe1nC7
z0edw9if&Lf!VIZKK*<0;?FnwiBc?vVNeEJ2Y-V6!0HqG-YLR2jGgu)5tI)~n237_J
za8d>*N#wRNL_cUzKZ<?_=)f#gzXlrv10tJa>IZe|Q1rh*)qeq`AD$&J^>0IW{{(gv
z_gAnpFhC0gWX+)R4Z6Oz^Pk6lPzphEg=1G+DL7v2IKa)2wqi)U*nqg*ZTaAMv49MI
zf|}}}Ha-V5UOYNcCiTHV4JP3E6_io`fSUUp3=9mQ_R|Rv!*f4qXcXiK-`;Yh#=b{)
zvjS-BvKutc3+|7WfgA%Wt*pV^3J906#MPC5`x2F^CeZk%4kIW%LUL=Gqve|tN0092
zAK;O0(0KG~dxSJ-$_6aa!OXz$S`9^F1y}+!z3`gXqq`Z@j_mFRO*(*wYF~hdf<r*7
zPA0$MU|{HS;b7}%eg_E`@MvQ1<_oZJ0T-Ge!y!%2W6dC6gVO6CNP5lSU|;|hbns>m
zsK43##sggY{PWoF#J~U^TZAT5lyObi<PFk9Q!{9g&!=}YXsd%q<6%&p2TcZ!I|S6h
zYY<?y>Mjs}Cx`%T3IRu-2l7M=IQC%uK^IhK9BT$GDq-^ItxoW0JPfMT;HeB$e?szz
zE4m)gqz{u%?`BZn+^2UpD3qa&16P^fVbku%nn5a=p>mEpF0g|gjw;Orm)>9olLn8Q
zq0|<T`W)gOcXaoFrZbs*dW$uDdciX`P!rJ|15$=?OveP6ZQ!Uq)(nzHI7UO93AD)u
z;Z~3|Lid4lSfmlUcYJ2XtNRcOrZhr#!$fvWX@uPhavYe_2;C22c`>CCx+7fpFr^W?
zCFbyBN+WbPoDss5M(B>{7RQuE=q{+1#FR$p{=w{uDUHxAV3mp~jnK^?SAi*w(5>KC
ziz$uJy+Ne`Dvd~dkd;p~CPAGakQIzoK@5x)0*ul;>>QvW2@3`W1{sh90|Nu74b0%g
zC*Z^<;l!ul#HZoNXVA>n!`jQz$K21vbdOI1EGz+50xG{47#Jd;dOw2NLXJp!o%jqK
z`79jy9GcmC*m_y}So)dUn3!)ffy4})kc@KV0~PEbcYyZEfs6yKhG2l3<;bVu&Ub*Z
z8EOPmHOO5GU^fat^nym%K%Hlh-d<314z3q$56B(vd>fci98$=F-65b^1RYRK%D}(?
z8vh2F2@(U1{ejr>AOf@{nt_2K1gdTyNDR|XG`}+~!Db_<q?`jaXA^Pe%)n*N6R0_$
zUJN4q;9-F7o_pBL0mXw3C@w)0KA?`c6Q6)1pM)cyLNjw86Vo#gQ^1Lj!<A2g`2{PC
z=g6l34HM8b3&<OwjyyCif%Jk?5c6_IP|AX7w?O7O!ekuz6igTx7(i<^LFRl15vXnm
zh1u%Lr@)jB<2dpu7=Xq+pl%0sqoHBo$fp2KKrDUCZA?r%_!J;^LDCLrU_b`c7h_;x
z@CVuD$S2Uu#N-J|ls*g$44^SekT7T<6lxwg6KFuvw>#el)O7WN5nHG_@_`zrAiF@#
z2atKeAOd2SFUT%XK3W2G$6HW5BBv)uJ_C2Y4UC|?2+2oGYeBgSksTdD5wd}iS(*_;
zoPmKs17sH{UobE*fM$L{@#4rQ;m-Gg5ge(jU||SVt3#w(P`Lq`@dg?140Ure6SD~;
z$Tb2C3?To4N4*#r7|{I-lA8jx2b<gmJaT97$i2WL#{wSp#dHfO?7_<c85kH6LFor0
z>?bfIg?%nY7`yUKU}ip#HS9tDtifR~D1CuC5}@z{Es;Z{FL0T+0a4~nM=kSQ`8F^z
zGclqHLc;q6)GlX`q2TmY3rb$#xL^UTdtqQ;K$ipMdC*D`ke2hHcmx;lpgO=2WQ9R9
zYY!y<?nbuK32ZJXZN)&%Z3P(s$*bTD2P!bik<u0@EIOcS13_xR?ql)>83dAB0+owF
z$c2IAK<+yLm0JvoAGrI_>nP@lOh`2g$b}%if1r9xL4HGvTSUAvr!pex1(gn<bYTH1
z13`5G$X+zP?tCceA_054n1(Z5Oo5sWnw<f~eKQl2Gt9pmpmLxwM^JtR*LN6oGIJBM
zn?e2so#pZds@E8+n=c@hBh1oF7><UdBLh&S%)r0^KB@y8Pvsz|fzy!>9yw441r&YQ
z^tC|sS%DOQ%`5?%30fe%04kRTl7plnP`v?4LQ$|J1d2;ge+Z-mTt}nD2U1+hAcqSm
zE<tv%fclLL3=Bx=)P*krTm+WE>;R=h9jH1`eFBM>EU-I4Y25=V2O9f;#tX=u;QYZ<
z0jtCq7<53rR;b!yP&`1=EL<&fDicC6$U``A86yJ&3&Y3%*rb`kT|G=0W>Du9O}L1S
zfkB9YiD3h1KM<^LXJB9`V}*zx08LRcFff4YZ3YI0n=BA<2T*`8Fff4YVFm_<=`eB7
zL^T5g1Gt`LU|{fqiVJ|I{b6+}0|Ub`W{5cnP;qcQ3bGd}{s2^o!0HDE28L%)_c(y|
zE-^4Lfa^jA28L{?xB;{Q2Cm0I`4TGr0ZsfI)IA$O4HHnA2g>^l3=BO`aR$&7K9arm
zQ1JvbanOo1P`DjH6W<MWzXE6|8OfY%sCWaKcsexvAE1dRK;7>EN}#a%hk=0sw2~TR
z?*`D)79{f<q3#5A#6eLEt~VGM7)+qz7eI*=<X?~^0|UcfsCyJNAp+pCpMilP0V-Yq
z6$h953=9mnVeZj}s0Zg21_p*usQ3k_IJo>~U|?W{iW`6uJOcv*xa?+NU^onQ&jhGA
zxZDQCJ5=03A7VbZOlDwU_yl#&0dS^cV2}po8BjYAD*nI-q8?mUg4_cYH!y~XgUd;f
z{m^i}0BS@sFfbTH&A9+|r+_&`J-B=VrCX?Y0GjwOs5>X1iSK}lUx12(%Q8^<go+D*
z3MmE#25>pXz`&ph6>orwgUc-j28QQQ_iwO-xCa)_OQGTpP=let!QcfIFMx`J%O3^?
zhCrx)8LT1ZfXf;N28LRw_y=ei1nbLug!&h>zz?JYnr#^Rq2|NdLD1l40PPI|r9)6U
z1*r%3uNW8@tfA(E#6TF_cVu8-*abBo#+L(0GB7ZhK*d3;7eGQV@h8ym0S$yAi!XwT
zgEr%W#G%C#Lnu@nv}Fn;4(@k?rc`0#(Dolp{Y9w1CP2l(eM?aJ#K^z^>eqqHK*n-7
z#6kTUZ0fsls6WJn-FzV&;-KY>*vx;7L;YDC_Pzm?9mpPnvM1pX7sX*t91eRy#V9s+
z_Tf<f6^HpnIMhetP_K?d+zp30%W?R(5{LM49O892>|Kk)UeH(sw(tQhy~ZZq$cjCk
zfYL8E^`PB`*u+8AIyUhl9R5;+=0^k2(jNu}2JjdL0|P@NG{1a+ii5`_7#JATpyC@q
zXKXMqFo4Gx7#JArq3H{hy+Hk$KcJcF|NsB5#39}bN<a*tHVp#<s3?P}hn3$Tb3h}n
zFmX`602u`m2Q8g|i62BV$Blu30VaMKNgULEhl!^_>j4l2>Sn{ldqDzF_aM9f91d|t
zkY+6E@8b~H0y!M2-Ui8?)=1*W?sP^H2aSls-06)&d<&AeACmdEk;Fk&56ql*Na6uV
z>KUQ^MvyeJ`twNQ$ngbgC&1zbIlf*asYi}4acCb5WIl3y=^%+Chr=f%apZWBg!XMf
z=9nV6-w{b1S$#H=II{XlNaD!qU*iy$g7!~9_JW!mu<#5;5=S-%*4IH-KN(3qsEGnI
z=P;5uvN`{8i0eV?b#(I`ki?PA--INNoDL5oi6e*q86<J!ba(}aI6Jhw0J#U$MuYiF
z8%f+7DZV0+#KV!qGm*qWW1}#0N^ywyA&G+)0>acUK@vyy*ES?^P#X}Y{s@vdvies@
z;>hMpLd!vrf05m<i6oBfekUYxWOE{r#F6dILJ~)IX9bctvif;Q;>hOTL=s1K=UXIk
zWOx3=A<hb_V4&p~vU))r;<7lzHF1c$B8elrKORXO*}nxy;>h7sha`@yehHE|viZl6
z#6fj8EImBNA^sOhJQOM2a)9=_L&FEz93>=iWc9j8;>haVk;IYJ2O)_gtIt6aM^;~j
zB#x|p9+Eh+`jtrH$m(|>i3cJ1_cW3?vikQ>aZvRMsuE%IPRyXa-B`j$7D*i0JsL>j
z$mTmCi6g7`MG{9=pN=GstiA|I99ex2k~p&Z=}6+p>JQ-%zk?)>Y|e8eab$BCL0c}N
z;e)K62T2@Ry&94@vU)=#ab)%0NaD!q!;r+0)mP&XpM*nv4U#yr`P-4ik<Gt|B#x~9
z4w5*s`fo_$$m$uPjb2bWjI3T7NgP?d8j?7&dT$)!NjSu7ki?PAZ$}bGHh&?KII{XR
zNaD!qk0FU8tG|dOj;#JQk~p&ZZ%E?E>J>rzm!RnlS=<^)9N8Q<BynW*2}t6|>a&r=
zk=3^%i6g6@fFzEreif29vihw^;>hZ6;Sm3bL!2A5*#;U8$mWY7i6fhDfFzEr-Wo|9
zS$!yyII{W#BynW*RY>B<>RXY-k=3ulA$|~t_-!O{Wb>aPi6fg2-nxP%e7Hd!RH!(z
zdQ~KGWb+M>#F5o|A&Dca4@DA3R$qogd<G8j+c?C(;}F+|R#>3&Bm}9xGeZ(bc25?P
zII{XuBynW*E0M&J)o(!(M^^s=NgP@IXC!fC_3EOaUKyl+jjY}jNgP>yA(A+<`Whs0
zWcA%h;>hiRc}U{O>JQ)$-z0``C$jouIK(+Y=Lum+Z$3E0`*Db0!XYjSo#z0>JF<Ji
zaflb<5TA=AjvSsVki?PA-;N}X9G=IJ#F5oM!67aNosU6xe;f|+J|uBFq;!4|NgTAR
z3)UX~h$N2euOCR_pdo0OdPV4b54wAzk;IYndpnXivVWK15I>J3j_iI8=s-8fUS#)M
zAc-Tp-yTUE+5P@V;>hlaLlQ@huR<hoWc5>Uh`&V=2QAu!h1-85ab$B;pz~xPe<Axf
z4@n$Z{bnR_N2K`uh$N1zULQK&1~MNxd{U9bk<~Zi5Z{a>j%?0BBynVOSfLXE==KUA
zi6g6*M-oR4e<LJuWc3wD;>h7J4@n$3ot#7xM|S@OByr?)at}!y*<MNL#0t8<e38VF
z)i)rCBabhwLlQSbipN7p;>hY>Ac-UAFJ9<8D9Am?_BtSmBb$?lB#vxv6OuTx`V~mx
z$o3vY5=XZ81CluMc#*myqFz82kHaB85r_B@9OBQA#F5=82%X<W4<COdapd$+h$N2e
zuk}dc$m%a5i6g7$ht3Cs>_t{@h$N1zJ|9UOS^Y#Lab)%9k;IYJe?$^TR<948hemf#
zDv~&|`g$DVN0G#l?Y)2`j%?0-Byr??{Rv4NS-lA8m?A9gfhkDhptUfta&;M!II=l+
zk;IYR`2k5BS-m!NJ{}Z4$mK~Wk~ngC(uyRGY<@42IC6P%5J?=_-v3DA$mSS>_M2e|
z2VWfGyOG3^%{hi7j%@E$Byr?;d5t8Ftlk8={s29E;*i9V!)G0mII?@TA&Dc0&qE|}
zWPACc>li@xBIj#EBynW*@krvx>idwyk<}+_A<89W@fIB7b8v`n!6AMLhxi8^;?~f0
z7$E;5hg&R?II=sZB8h|6fWY#_HY9Oma~>jzBb)OZNgT8W1ZIvbbe#vfdmNF(k<IBq
z5=XZ87?L=$`WH}f*tjB;%kUe@0NIPIUSAh`d?n)$pN>QPG!F6qIK+)X_Yh#okLftX
zXW$TLg|3eQ`3pIG_>shs{ab=Vd;t#evpB@R;}BPbE<^#j2ie|EBynVSPDT<(R{s=-
z_<tPY7NBD*q2Y;azB7_IviU(s;>hJyDv~&|`lm?Zpt)>Vdj5_ij;vl0x-bS54#@5?
zK@vw+pN}MtTwlyY5=Sl%_aliTn|~Zh9JxIFh$N0|uPUf<h9%tmk;IYJ*C2_9A+<9*
zki?PIFGmtbR=*iZ99jJ(BynW*_mISq)&D{gM^?`Un$(2)3t7E44)GKm;te>&=im@O
zf+UXY9&XU2G8Xr^BZ(uIx6x2>SUCoo>jjlmc~Egsx<dBX0vzI(aEP;j8tYixV}?UK
z6^Hl*Byr?)cne7!*`12e^>FC!_e2s0t@DTFuS6tqWOF)^#F5o6L=s0<e-cR?S^YyK
zab)#upv4W)@Ih9ujwFt(z6ePiIUF`4i6g83ibGrky3P+hd~|V$Hz0{4+uMaCj%@EK
z9O5sL#6eL93x842Vh(6HAg5<TByrGw7?}D%BynVWbCAT5+fl7Z;>haPBZ(v1dk%;A
zCmiCUph6Jpeq{4)ki?PAkH#Thg+qKM4)Gm0#INHJ|As?c6toBf>V9N*n&1!*#vxvW
zLwq6*@eMe{&*Kn(heMnfH0h4T{W>_ry>W==;}8dxi69IrCqWo|3JTOdWO2|5`XD}Z
znGASEJV-t0#5IsObh;ict^=BsMp_dGQVYUoK?L;Z4v;<&7lc`$>Y>A~aC81Z=eeQ7
zX>jrV(D_~H@CICbGIahD+MR`qr$guGpxqj{xE6H23fjDdi~oa)Lz_!*@vl&Y&}s@U
zt_y9aLW?E1cq&vJ8ohAwxlnOX-x)a^u0q8@Yd?_1d7<rBP##1UcZG_>>NikWf^aQV
z9JKZfS$zhyJ_D6?$l~*$;-Ix0$l_O^;;{ARAhSW33slH~*0+NcLw9h4#2ujG=<xzo
z24A-hQVYVc^~Ing#6W65Vjv7#NBjgNh-5x&eKc$xGe|86!`5Ge?+Zmz4_ki?I&~Ig
z6i6)y!`5Shj-W;shpop19TbTy4qK0D0Md+PK5U-{=mc?O^{{;&pc`J0#bNtA-k_P|
z03F{4oz8}=9=6ZJ2AV%W+CUh#&!Y!T9JbE`w2L3v9N0b&7Er~A<bK#b573Fd$m(JH
zJYvw)!}fX9pozowd4SHCLN*7s&jYr866AIehVAnJofCqr9=6Zp4Vw9|eI6Xpb&nu3
zKp3{q1AG!alD}a4JU}OgAe#@{=P?J(9N0dOH)!IpeIB57#mMHs_Iap4*H41n0>ZF;
z9tmjT4$%I551Ke^pT`O`ao9eOBWU8VeI5+Z^^+hwK^V5r!v;+pw$Eb*nmBBq#}+hk
z*glUBXyUMa9wyKV0Awc!!}fUupozowdE}sp!}fV}pozowc`QK_hwbw?gC-8!=fMG8
zCkb*32*dVy7@&#6_IdcAiNp4JRG^8&_IWU5W@pA17nBxfR59eH<|Y?Zf<?+QQgY&p
zlQU9NN^?@<ONtWniW8GdGV}A|i!;;n5_95<bMng>^omk*67@<_D@usdl$Kar0<xtz
z6-h&8UTRTEW@1i!QEFCdGDHGyb3svla%yog!uZs()VvayBMK6W5_3~aQi~AsIf=z3
z@nwlQrK#8zWu~U4#^;shrWR!;#}}8R#FyrQe3Y6JUy>i6nOBmU4mGW~Ain@?YzmA4
z4wK}J)a2~=qQt!P)cE|g_{_YN)Qb500+6qgb0HcMb28KOz*@mN5{rrwE8~;%a|;rS
zQsawDG7|F`O7aVGa>{ZMii%PpoRrGE#N5neln@7*0#=FJ?dhq7rHMHN6y+tB#3R&!
zOpQl%8$uGdO%OGDdHE%&dhULqy2T}l$=P6w!3IL2Cpj@ECkZAFPF!FWDWGHqW`WhB
zT3(!4l?s+CF3By4&(F)r%u9tPu+)tBG*Co?rNLT@GK(|w(&JN8(jj^<+*^_lk65rS
z3<dCL2Zc&vN(zHsaY<20ViJR1ab+%;2B+<!{FKC!L<ZyxV95YBtRUVb(I71?HQ6%0
zxHKu=k|DJsHMz7THQpS-G(#{=5lj;V)7TQlXbY@HTOf=^FwGE569m&3Zh~c^d1{(r
zauV2a<_HrIOfv-26u~qxhq(d81T%yQ2&O55X@X!Hn_)G<6k!5_X@+2$BA6x!rm-nj
z!%Pr{A(&<erU`;+Y=YGUV}uC^rWt~1ieQ=;qePMsR`ZMy<{_A72&O55X@X!H8-Y_N
zI8DRLJmka%Dw`5>;z0#xd~s?CC{r24gR+VtR#zAzT!CPkA($p`Z77M?(2xvgV0C~2
z+yNE{ra6LXieMTWz)V1O3#>;1YK@SOIYCDyV$uGh79uzW+U|hxAlJpi*dQ9TmIAZ|
z2u&FStUpr>?QeqAf-toE1!96RNE+J21M^`-0kp#s0iA~fRm-4y9a{Z^_r-(M%7O0h
zg|_!Vd>GyWGMIsZAq6G?rP1w@WQMHWf=a`w6J-!4=!h;53uHe?FS`9^OqgK;QZE5o
zGy-CvVNh5h3xW3OGBPlL&ILmjW`OBm0J0cK24+7@XdV-G_bY%l-huXugB%S#jSke5
kgXxF$uLVK(B*P3tqIZBc88I+0?0{+jxf^5@lnW1k0QL?ZH2?qr

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..729548d
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,152 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_77(char*, char *);
+IKI_DLLESPEC extern void execute_78(char*, char *);
+IKI_DLLESPEC extern void execute_79(char*, char *);
+IKI_DLLESPEC extern void execute_80(char*, char *);
+IKI_DLLESPEC extern void execute_70(char*, char *);
+IKI_DLLESPEC extern void execute_71(char*, char *);
+IKI_DLLESPEC extern void execute_72(char*, char *);
+IKI_DLLESPEC extern void execute_73(char*, char *);
+IKI_DLLESPEC extern void execute_74(char*, char *);
+IKI_DLLESPEC extern void execute_75(char*, char *);
+IKI_DLLESPEC extern void execute_76(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_49(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_30(char*, char *);
+IKI_DLLESPEC extern void execute_32(char*, char *);
+IKI_DLLESPEC extern void execute_34(char*, char *);
+IKI_DLLESPEC extern void execute_35(char*, char *);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_52(char*, char *);
+IKI_DLLESPEC extern void execute_53(char*, char *);
+IKI_DLLESPEC extern void execute_55(char*, char *);
+IKI_DLLESPEC extern void execute_56(char*, char *);
+IKI_DLLESPEC extern void execute_57(char*, char *);
+IKI_DLLESPEC extern void execute_59(char*, char *);
+IKI_DLLESPEC extern void execute_61(char*, char *);
+IKI_DLLESPEC extern void execute_62(char*, char *);
+IKI_DLLESPEC extern void execute_63(char*, char *);
+IKI_DLLESPEC extern void execute_64(char*, char *);
+IKI_DLLESPEC extern void execute_65(char*, char *);
+IKI_DLLESPEC extern void execute_67(char*, char *);
+IKI_DLLESPEC extern void execute_68(char*, char *);
+IKI_DLLESPEC extern void execute_69(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_2(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_9(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[46] = {(funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_30, (funcp)execute_32, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_38, (funcp)execute_39, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_52, (funcp)execute_53, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_59, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_2, (funcp)transaction_8, (funcp)transaction_9};
+const int NumRelocateId= 46;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc",  (void **)funcTab, 46);
+	iki_vhdl_file_variable_register(dp + 13864);
+	iki_vhdl_file_variable_register(dp + 13920);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/loto_tb_ar_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/loto_tb_ar_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..fa31b9db7015479064ff1a0be503b9c7fe7d377d
GIT binary patch
literal 7088
zcmb<-^>JfjWMqH=Mg}_u1P><4z+fPbU^{@B4h%vJf()Pe<$eWwbpGC{$H2hg(Jcy+
z^5``MQ7=G*NAGS8GX@42I|0UyJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l
zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp
zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`wnUy>hRk`$j<6rY@y9-ow&kyxe=
zmMu!n$xjB^0>WSs{OXI#N-7IdL5dj|h%rC8sH`}pG`9e(g9!6;Q*#+Ii!*a`a~X1r
zL7G5O3!+EyAP50>XJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&40bFF0|SEq
z0|Nsq0|SG*Unm0;1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAg
zfo7%>J`M(m9t)5d0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m
z<r8RQa^#a}W_ICIVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fQg!7KV@ivB@%H
zDZ#kF3PGU&X21!4FpCXLA`_5sVPjxN7KHhiftA4kO<WGlXJtqL(+C0_4h*ae6A(gR
zmKOs9gAkHC!C}n6z@Pz*FR&sc!j6G~L689y`VheaPyjG6Fu37RAB;o19BMDjK5+ao
zFff2JIw+k$Oh=H7Q1u}5K%x-;FferCFn=8m@gq=k%0Py|(g6bl!$}<K@8S?=VuYk;
zs4;L#5r?=F4)G`);yF0Pn{kLwX9UFyB9(yh7RXUcaj4&bLwq+5@nbl|FX9ltgG2l|
z4)ISo#Q)+D=U~Df{=zuK<#32=;t)5%A#RUD+zW?zC=T%i9OBtH#LIAqH{uZQ!67~!
zhxj5K;%jk;@4z8`7>D>d9O5@|h(Ez0{vL<;FC5~m%-GY301k0!9O7y?#0_zX+u#s)
z#~~hsLp&CTcm@vfVjSWVaEQ;tA-)EOIJlt~Z>X2dpy%Uk03r-Qgb|1^1`#G8!jvK2
z-N)a_(I?*D%`Mn9BtFE^$;UOGA+0noIV3TOAu~HO9^BkaEJ+1($}&=N;?pv7Qsc`K
zi!u|Fa#G`qQqwbwOHzx#^2Mon#hE3URjCZcnYpDoFm1)j8L26yIjQjlMfu68#l@+`
z@rfnzC7HRY@l~ls`CzT76{*RkB}hsbauYN2z)~5hi3RbQd6^}di8&y%LCz{pEr|y;
zPE#_A;&bwo6H7Al^Wrnp;)_yq6AKDbQ@|QQii*qPLG53Ns|ylKGUD?Rb0NL~NrPIu
z@u21|hJxbET&JSUl=Rg2^wg5@l%(*YOqe&5i&7IyQsYxni!;+9P6QcMlpJ4Dl$n?l
zpPXNsR|0VWG!$S;{YrC#K+X5Wl2p$W2AG%4%@Irs1k)1Ev@n1(&5@Xf2vH*h(-^@t
zK`>1bOfxvs1fjqLp}+)50m5Y_2$z{4TxN=JjxiDw;T&Ux6~+iFj1g8CBg{2Mm}`RY
zn+d`&6NKMP5u&C@q6jNY5muNYd|`^P!VF=B8Nx6#gkfd~r<)<nHA5I?hH$!>B?CBb
zloTcA6@#)*d}>*0UP*FdPEJx{ayA24JTV!ZN{vu>7AQPRP-6h8Eu+Q2z`)JG!0_ik
z1i-r0ObiSRpf)*79M<**wI_Ix)PvfGAR|HIpsp!Qy$MK=fq?;C+!ZR0Za%2}36cV-
z2epA==EQ*n85ltQ1QhqcI;bG^f=KSkg{lXM3n7V5f{KIe1<Aq8pNS+6YK_Ch=Rw6m
zYC&ypnD`1LanRrbOng059ArMI^$ru?0u=|DBZlOj-B59mdQfW(rv3m_9Hbr;7clWt
zNaCQr5KR0LR2&qKp!PCM{3Vh&ACkQvki<EW#J@wuLGA&y>S5;mfr^9N18SSY#JQmD
zeUP{mk~tzs;?hXsP-DP73|Sb5fdSrw0BM8tSs;=izkpaEad{8}ia~w{F+t)AAO;k}
z*dTF5s2GTXrB9H!5=a1wVf`hLIIIr=k^=P&K{QBQ1;l`2$QTm?*!`+dF%abeA{Zdz
zYEU+ag7rH==BR@Npg00bgT!HO0|~+UX&`Y;kN_0J`l%psP#i;r86cx45PP*@f}rsi
zC>x|+2g(Lf4Il#QPF*M)M0J1&s5tVt3aoz!GDjb(21LyO5m5E8Fa!xL01;4eLns?W
z!SV~p93zkb6mNjiAaP?T8$^Letf1z=$`Ft^EMI`sg9p7pf(#4{C!jP)+ziSFQ5Qf2
z)Esjt8${gz5m0ehT!VxjfC#8Ktc(N+fd|i^;;=p{NF0`rK=xXL1fci_lm?00K-pjl
zBmgxBJQfHRWMJSx5(o9Ep~4ITNaFS|K?Vi}2_$h?ngmHJAc;GI1fW<0N!$r42BHj*
z#GOF`P;7xD?gAA9Q4UDrureGZ?tvr@>idJlKsW$N+#MtU#Suv2u)G73N<b3#1PMTK
z29h|ejsQu)@;j)!0%4FC2*bi0BnHB;I12$uLhBKbIBfhN2TdF{9>AbiT$x*vn8cu0
zTv7y~GhnQu)SN`UlGKV42ECNTl0*i*q~c-*y`p>&2c+Ck&jOWe2;(y7f%SqF7NzDe
z=q2ap=BDPAFzDswm!#^s`-SQjmw>8s2tPfqRIi{YzaX`!q!Ow%H6uQ)2-LoWx|>o8
z6po-E2Gv=}X2H^yJV+8r0*%W6D&s+Q08BkhX$GhgXJB9`00lM!0|ST)(hI6vKx$y+
zIH=47iG$i2AaNLu2MNMBNHn@IsEh-dNv?iSSp_l^xoiSi2TPCJK^!CuvJ1oo=>gFo
ze}mZQ`o*FChK%!pR6;OFEr<o9{h?(7R5?frj8&ilps)oCKnZky3{*e5{~e(EVdHX8
z?F^v!hOt3(IJAuh@&||y!#6;UDh39I0H}qa_yhSJgh6Ux@s|d*AGt07$!~x<2oygc
zJ`BVB4Wsj+`eE`g`VLe-j1Qw>`e6Ke1_tn$DAc<!VTK1#{pkLMxgVx*I@Er2{osZx
z0|NuBUW2Je*AHq}f`SM={4_v~Jq8B&_$w&<K<#6gepvW{{DWaP$X<}4p!N}{aR_a%
xgW7B8`axn)ufm)NrdNO(^$ZLQyU-*-_JI{Z2~aenDFMrLK;6F(%tH|9`T=rSZSepA

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..9245e52b752c19a904d4bec0e4e142a9baa33f68
GIT binary patch
literal 24336
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk`sbxF-F}|NsAYFfcI8;9y_~aAaVp5MW@qq0Yc?
zKo=8FFkoQ#Va&i#VGF?ub_@(B>=+mv93j|(fq?;pI~W)kK-iuE6P#sYV8~!*V5s4Q
z$cZvAFo5tp2$zA8fq{XM5rP@OJO+mU|Ns97NrUAW5PXmr2(y9+C<d{apllE=4Kj~`
zfk6ODgQR#F7#P?Y7#O%17#KimjG(j}k{XaYki8%bQsczHz`)GFz@UytjSB+<0}BHK
zg8?2jt_%zeAU9g#QRB|Qz`(}9zyPunWH-nyJPZsBA`A=+$_xw)prFf!`XL(Xc90s7
zS_K9M1_!8`Y6b=d4h9B>1|&5gKPWLUFo4_vGN&1;b|sP;P&lhHFfjN)<@%subqGlf
z$Pa1^3=APqHM1BP7`Pc27~UYM0okR^z`zg%RkM(RfdS+X4n|10gWL?lpfCnukb71!
zFff4PM+lFa%?u0-d<+Z>GI-Q%VPIh3XJBAZ!=q*^G+h|rQL~MKfkBXgfx!xo8c_Zb
zVqjo!!J}pu0|SFF0|SE}BP8vC{0$0cJq8Abcxd<*L*qCXO^rSS149;64Jf`q`K2F8
z4Je#JX|5EiW-<c<gBSw?!vQ2UptNDkz`y`X6QFcImw|ynoPmMiF_IdPIi}F`0#dV#
zfq_ASfq~&Gk{Xb^L2(7bAT=8p7#Ji$c@mGB?F<YIQqV92<vWlWAPh1CghA$j!Vr{K
zIhhdQgRW*LD9)kbjLRHQILm^<8jm@<85kHqd0iHdnmr5*4Dz7-&IHLrAh&=p$h{y8
zDo;S+2g+{-c+`OMh#~_6gC!Fr--65lVUQUh3`$#|Fb3sGCp>CEW`Od(Hy$-0GgP2%
z28Aie9uNlE1Hzy*3UV_jZ-+2J@+(LlghBEk3`(OOpnSu?zz~Z^jVH(+1_p*SJZiic
z7#K7d7#Q;Lr~#ERnhXpK6?oJ{FfcG^F)%PRGC|4@kb6NG<X#X4m5ZSC4k~N9@TdWW
zpAG{9!(=>aKw+cHz`!tv2~ysI>;YkrJs=D!<3R2O<=dr9kh%*b55gdM5C)ZTptKCi
zx9gZ7c@ZQJ!XSAN29<H3d<@FB+wrIY#it?E%^*L5%m87K86XTY2jpf@9d>{TlBYrP
zAPkZRVNh8K(hJJ}ptK4~10Z=22FZgkNDU~hnlLah9ASdwdyqT`gXBRNRGxzJI;e~Q
zxfzshLGmCBk_Tas8jzdKpl-&c2IOXQP`Lrp^#A{VP`U$|^FU1E4~Ry_pt=U+Pf+~;
zO4lGeK;;=IPk?ApScB34$Za4sAX-37;tz-o3S$rrG6QPWe~?)q^B0Io`~m3))w3Wu
zkQj&tVVIf(s2Wh+4id+w22`gKQUj{nVQRb?7#M<}bt9<EQjn7P1M(lp{U93D21sRK
zU?_vC0r>&sFHqS7DmOqhNX-NW1_n_33FJ>uxd>7Nk_Y8?5Dn6|iGhIuRDOcgfZ7Bg
zH6VFVxPfR;yX7nc1H*l&T_AHoYC!P<YEOV@kU8HN7#RLR)qwaQH6SxUZUNCCeIWmV
zFf0r~@ddIMRJVh~afKmB4XAD>qy|*C6H)`J+X<-w)$N4Tfa)beYCv@kOid&s149xc
zq^t*(6`(i;(V%n)qCw$P$;iOajHCt>hM+P7lrKRvC|nmXGBB)%ssZT%sR4y2C@euV
zNX=<R28J6@H6T7n4JaRj;sQj2^u1+-)X$)>g~dC_3{aedXplaT+d&v)E+}q5;-K^g
zs@p;0ATbaP!Z0<Ud;wAes@p;0_|y;zLy$S3v`NSu<ZuS51z~jefa-RTI6n7)>UMl;
zK;Z|93qoo@<t08fpu7hv{|Ko8m1Bg|fa-R9YC!Qx$jzWU4657lnFCS-s@n;v0oCn<
z)PU-CLTW&DJ3cj_G6ht35mE!H+X<-w)j9aofbu7(ZpWtvls`fB4L&uXGzrS@gw%lQ
zNkVD}xfztt355?x4WYCO%JZOl2cLUDY6!U*qz2i|pnM3zpn4Y676kQqKztAe*#&YN
zs0?D@VX^?#T_AB{)PnR8s}__mh*b-UTbNo<S^&8T)Gva$8)Proy?-<<K=~G?7Suk4
zsR4<h`wcX101^lJjgVSU8x^J&)TRZM+b}nP?0~z0=chTSjR{i=iW^Wp4zdFz266)^
zKZD)Nz`y`%lYzuR@}M}vr`8sv5L(ZJ%4d+hP$BSm49E>&F&-uhH>d=tjsfK%usBo<
z<OXE5eo%3cT2NlXr#2EvEhtanQw!=tgOr2J1=)ujZy^7I#6UCy&rkD0kV2^6K<O1Z
zd_mz1SNliPq6tYYs9wP428g*#7N9XNkaCb4KxIEZwV*Z|OfATt*wljKjfcqs)V71E
z1&yWPQ@a$T5b8J3*bt}<0P+(^4=BDteuJ3%(|j$G+8F4V6HF~g4P5QNALgL;6i7M9
zZ?R}<L3Y5^^87U43sMMmLmZk~P`tp^{`+Bm6iF>84nbzY+yIIfxLTf{=AiZ|NIA$2
z325em#NcZG{V=}@QV4ZJB6REyW-cf_!`1TqG=GkyHVMsKP<n=|{rAHh)cyr22icbl
z9fO0J3rf#$wftHZpms7$Z3>!NP<n=|{rAJ16%;{G_k!B|pm2hj3sMKdpg3XR*RtS4
zQk#ZmE+{?2)&BcoE{CKx9Xd7#GZ&Pe;cEG{EMR2`$ZsI~LFomYwxRtrP#Xj62BfkC
zq!tuU_|)n{-2e)Aka{Q=6f_uR2`DdsBtU9G7@t~Gs3b@oD4w8Pkai4nVdWV}EhwJw
zsda`*g4BZI2{}!I@--;Tz~S=mhq)J$T97!hT2Od_#K3Azewqg&sRhLovRY6%4Ojc`
zhj|2&T2MS8s|B@*;A%~Nn#Uul1-TDdEvOv=SNrdWc^Z;hko%C;g4z*qwI)B!LF161
zzyyT@$bC?)AR&18g8jzBWKjy01gQnN56Z==wjL@8QVYuSP%esEa602*vgm+Hg4BZY
zJd}%7?G&gaNG&MOL%CShE`v&f)PnLnl#5mECa5GxEhx`JxmeZigi3<cg7Q2luYoW~
z7}O2{g)i7|CO^$V<Fg<`Kx#pG9yu;R{RX&NDJzRhAOoP|yP!OetQOR6gR70SwE*=A
zVdjGJJhEEQI4oSPsFlS%By%&+%05tA2(C8L*5V10+DtUHGSD%3u-`<jEM6h01&v)J
zy8$%Tfo$#vB(<P<4P>>Taay=qQ7emYNNRJi%%Q;5@-SKaMN*qfKrIV6Aw%Yb@}P4x
zpfU|)1ZW%>Zf>Nlg#eP;d^EM7@nE=GQ7a2r*$2wQpmGvq9w=@=7`<%-EBiod36+zu
zHWx@ODE;6wR{`o?kXleV2}+M34AKK?!-CukRw8O;p$BF_=F32N9;6Ny4xm0LNG&*y
z_Zh<d1}bwv=7P)v`3r<``3<C&Q27fQD+ieYQVS}7v6%~YCJ&Q^1DFAsqXD@MpIUb$
zwV*Nzl)hnp1N9$4`oVq!#T&>0(77LC>q3xwL2(Q+6KWN>oCKA#U~@s^eNYLI7$}{C
zFer>c?gq8rL2dxC8TJ`kgdwQ~xdB-%sQnICD{5sCg`^hb24uCMz8_reK0}K*B(<P)
zhO8FUPl2lywX#S;QVU9F$ZA3TIk?(=h8Cc?08p@j{02%7$ZA1-DY#luD~l{7b3y3=
z6b2v+@;j)11XsJy(4qiIEhs%8n+xh6fz*PPh+0{cgBg&yHuNw9^{YT?L3S{RT3Iw8
zsRe}@NIlFApg91LTCkE*JBxNO17a>H%#hWB<^teqMXfA)k<^05mO<$O7XPq(0p{~C
zS<FOI>kOSg2FpR)Tp)A7d>$r?B}i&P<I(ucg_S#?bPn<x*w3KIK{6LC#=~R*D|bL@
zL4E^^<50UE>IRTnP<jAyVPOVpKZ4u~5@RT}v)Be^K;}_E=>g<km|D=h2V5;uxdSp6
zlzu>3LFz$n0nLAa)PlpI)DB+mfXpP;Zy>dV{03`Jg4BZi2C^F(4B&hWasyb5hsgrg
zo&>1{VX!z<F)WV3VmwS1`#_$7&X0rAI#?Ws+LK6XL1`VI+Ve<i;ci1S7p#Pb$>J86
z0h#jywLP(!3s%CzWC3gUf&2xkGw`W}wfjJ7LFFGlwU59SK->VTcR*@Ec^TwnSeSw1
zn1=}-$Dr^cHjY7R3B~bis2f0P3B~aTB()&_fzmDrgWL_8Z-a+9s9l1j7GyTaUof?x
zc{jM)QacM+{{o~A6vxPFLGy1QwP52!tt?>u3y@lnII>#MJRC?2thUt70@l9(sRhL`
zvRcsC4_vLNl?AMS0a6Q!V`R0Uc{#Y+QacM+{{o~I6vxPFLF;|sYDKLqVEqe_T2MYl
zRtsA50#}Qak3nGoDo;V-3Q7wg3`-ASF{CmIq?S;6_zMmY$T|{2>45_jNzgSXp!5LZ
zf-uNlD`=Y*Y@C6u1s_NPsuq+UKx#m41!0(b!D@M!;O!`odqH6aD$BrW8>##S^O4dz
zC~OG%4OUiz)DrR=EF3^;L4L#LUa%MslZ6P>Zy>cGzaht)HF7wJn_I{tsfEQc$bTTS
zY@lkvelxIz=VMTMB-U>rwS@ep0d)gNEg`?@BdG=X4dhNxoPjVb-oRo!OcrKHYC&lj
zEDlnL6mPINc0^JOif4RkeUQ|G>NrsNz`_hvmw>_@B*q|aZV`c`7Sw+SiNn;|p@lCf
zo}kVHQy~9<@)8Jx1)u~-92EYfst4H(H3Ce5%mJA(P~zaQ2fGA9fZPKLE?n*bxfP-k
zLW0zT!iHMn$Z-y`0c1YNjkw%1P~sprf!qhfAa~*mw}EmeE_*@gALe!t4RSw7EiQ3f
z{>3E@8W#bX3&SAuLH$FJ9WXHv4H5^Xoq-a^<$hA#1M&mNKQIjP7ruOfE1iSV5X=k^
z4KfE5C%Dv8%l)MKms;wn<$h2Yfx-)hLE#2+BQEjylKcXOocxmf_>v@s#3BZl&=4?}
zA+abqgCQp~FEu{i%nZh`fH5qg40A(<lFXvS^wfA5gCQ{<BEpcHpHiBWZx(OJker`e
zP?B0&6b}`GOC>U-WR_(XgQU`mQVZh^QTYr`sTql7nfXPDISjd_6=v~<@rF<aLt;Ec
zgrTG;F|Rl|KLz9hC^NOR$h<f{CB76PnVDAtwbdlv2*!fZ@rh9H7@8ZvSuigeTEN9D
z3}LbsMsSu1oMpz4SXz>wn^*!04H%OlF&@q=N=?r!E-6YajyGa}vl$ZO5o~x!l_ln6
zg1m$(z>pY^CIIImLfJ6h#1awYi3~ZZDe(p6x$%ZD7R<rsuy{4JFoua)n8I1+a271u
zjbO@*;UX~K8^fhwBId9lGKWc-!=+#rTfohMDK>`LZw#~E1QzH<FcBk|h_M+%azP2m
zTg4zBg3^3aerZX59z#-2eqm`ULvl`byrF?XE<;gq2}5ywVp<wQQGRK9DnnUnQ3*q0
z9z$MyT4HetLtcDwPJTIqXM9p=2}rwVd{Rz+ayEl!Jlq7&c#s+X@#e*;>A9(SB`%r8
z1v!b83<#0n)ST4h5(fYHqSO=y|M>Kx)YLqNU}umKFvSoaNU$h1-2h4(LTMu?Z49MN
zptLEJ4oXc-aZE`mN-Zu1i4=paOHBz%O$TvH^D;|7bP2@L+|0aEu(G_;+z?o{0LiC7
z+zoXs*n?1SLS?`nNH>5`h7igKLK#CS69{F>;2)m@^=uBpyG5zV`MDsQz)3SPJr%@G
z&4Z<JP}qS)GV{Pm94wob2@a^llp>H!UTJP>QGR@KerZu+9@tMskf4GD6eO4+fdmO6
zZ~*1Rhk-Qb#0P<><bsm;oYWL>2*B8&Y{uXp@0?$nSCU%fo1bFF5F7;KGWbJMf<GiB
z1P6ia2dQK5j5lELj5lQPj5lKNj5lWRj5lHMj5lTQj4w{jVekhRLkynrd8N5YsYML_
z@!*K?kB7zsh!+nke1e_hQ!=Yy%)HWEFw;NYH$Mdwo++ux`6&#Z@$g{rj8Dx2C8K1J
zb2IbO8T{jmOY)0SL2eEXaSU-~@Qg1nV(^Ryg_>u4N@7VOC>}v2Ehx<W!Q%e$prG}S
zFU~J2$pmW!1x8t74udBsc!D5Q7$_oBQ-b0H!hIpkFfbDo2=H(WiU(;0g()~3^)vEw
zQ;YSJjZAVAi;MI1ol1*R6HE0=3UtddQgU>2Q&aUrU3GI)Q<C%ZK%$^3MmM#jG$k`J
zuS6HFt+*&zA0!oDlB8Fbk;0%~T3iG+T0b?fxFpF?-`CYuAF{_tzbvyXF(u!~z{o_;
zP(KXJ(TDOtzSjp?tPe7<xFjXs$iTotzqlkZFD0=k1!8nTQGS+wMN(;IPD-)9rKP2%
zo<Y2)Ur4-xiGgXnfrW{Ier8^ANn%b;v3_DsPJBU5Vo6$lQEoBJE{GO=qU@roH%d|~
zN;325;R$4$sNsdo)YMd1RHVe`<fmsQ#~T`&nSlI~!=O)-7$IOMExo0il%G;L5Pn0p
zn7SSW7si>%@x>+JTtxdw!?ciQ9zqT^8Ye|0Um@E{-9i+U@)*FSCv^&DNIRa|rovjo
z)HWB^z$m7+!QgfbsEYz_u+YkMP^+EVW<y*4)HW6#Akgj{warKA6H?o7NUxFFrouX*
z@SZ2NEr0|yxZ(tLbHMY4puRl}g9RBF7(n}R!WkGC${86LKx_0|m>3uyGBPkIFf%ah
zU}9kS$;`kolbM0xJPQLu77GJ|D=P%gWMyCg;rFZz3?S^z#=ro=3)mPKK=>aU0|N+0
zu`@7$@J4n91`rnHU|;~@JPrm15I(}ezyQLkoD2*g400dHZ7>?d28~6)_{7p6J)p6B
z(0Dj#JP9<`4Vu#e?K=R?gMj8QK=Pn9SD^7|(AppnT?E~$Tm@ZM1lqF<(%%kU-w4`+
z44S6`&8LIrzCnAfKzpe`dx};wFff4j4T1Irf!3*l_Vs}FoPhR=fcArc_OXEWser^l
z`%GZspnV{qxqHyOHE2E>G|vp0*8|Phf#%jgb7r79E6_X;XbuE4w*eZf2aUml+yR=e
zhq(o`9tY$e(4H>Pz6W2>US9?V27d+yh5*pI4+aK?Fa`z&P@IGIJb=Ohw3ZjNMi;c6
z7PMXs6eghcWuSFqp!Hy&bzY$G0j-Gwt#tyeVafyTr(|GYC}Ch=0PXh$?F}mj?Vn^|
zV5nqZU;wSH0<D<>tyu!CMFP#Ug4XST*0+Gxmw?udfcyuF6PO=C^L?OsJeXfW>(oH&
z&p_+SK;xp)(0#Wcb75wn%fajg*$G;20@DK%hq;GX{mAx$%z*g=WG~2UkQm5JkT}S1
zAb)|<7-;VbXm1KE4q#!8%ReB!pfxX`wJe}DDxkF|pfx3+wIZN3Ah7fS$`8nx1C%&H
z`^TX)`gkX@T4c5WD6xa~RYPfXedv6c-XBo);LZPNYuP|*(8nb~Vj!#mnjB+bU@(Bv
z=;ou3--7gjumNbI40N6Ylt$MF%I6?;=vV?YX~w|7pa7-O^?}AX(e;4&paKI_20&?a
zedy!WU_A^B3@@PSKR{`8ebDHEDTmV<piB(s!A_ch2!O&87TgdK1gS$nAE<0Ys7A2R
z(+kKBge+v;Au=DvMo%y3`k>JRQx2!m(+j#jX!O9<!x-r41zjIBm|@D{G<teL*9V&4
zgeyj3pvND&KG6Iwl5#i`J)NQJ1JxC9#mEe(2RNWKx;{`Hg{&OLUIBH;1}KfL4>S)B
zQ;SURfI8#=lt$MFT4RZ<9>zWab;t!Mjjj(=ufo(K(;J`;*#V`|^?~M-k=4W4GoTJx
z0Hx9Of!2n@)FRUjP=|CtX>@&{x&&E0jGX~>NCA{a*9R)EVQP`-0H{MEpftKZQ2l_c
z9>%tSI>Z4=qw53JH!!uxv;x#28c-TtAE+)uRu5xyKpi3grP1|))=R_GBGVtB>VH6K
zbbX+D4_Q5oeFN%&2T&SaAE@qzsYRv_Ks|5*N~7xo)v?IxVeA!94{U(a==wnI0GL{2
zS^(5=LlQvN2V#Tjb0ldvQ-pv%P#XuX7>R+N|Iq6LQ2mdj9L_{92hsI`+A(m&NDTCH
z0$m@dor0ts&P1;t(Di}ZRB**e4D@mWT_31zgQOhJL@y`M^?}+$aK%Ur^l}1SAE*t5
zq#VvfFDKCTf!bYg#YhbFaspi+sI7*i9L_{9C(!kQ+IMipNDTCP1zjJgO^Bo%!GzW)
zuzCeuAE+IPP>f(rfM)0!P#RqysBeN$jbM2|GjsryM%M@G&mdGISO(AxZ2_gx^?~|8
z2-OId1T;e{KxuS+pnelVH4+P&p*f&5x;{`}1W7fV`2wo`1C&PB2kO7T6(cb&KnsE!
zP#RqysLz9>9M0SUEeH-kX>@&{z93vN5@P|hAXovV(e;7)R!GX>%noQlFab)V>jU-2
z;EItL1<-<^0!pLn1NGsMl*5@3(1IWVN~7xo^>N{fkr)opg1`exqw53pi;<MWnHtc7
zzyM04>jU+r;fj$M0?>j$0!pLn1NFa=l*5@npz0Z*1p>N0v}QY8-v+4q9Z(uwAGR~>
zK$_9ZdG!7Q=*$$5I&_R)ub}I5MArc2qxS>Q^?}ACph{6F^mY%rKF}BjiUug>1GE77
z0j1IPfyO<cN>M2Eeg}Gg2(222>qQS2bbX-l6}Vy~273LDt`9UOgQOhJM2|moeW0-f
zxMC#64QK)R14^Uo1C2i*DTgyHK%48}JjnSlFfORw0~)h{@sVj80{TGXO~~qDZ1i>k
zwEGW}2A}N*=O8iA+Xd+QK;v3S%Hd4(b^*FR*uH<b1}Fo)U4X6+G=2tEibA2c3()m}
z#@tXeKso5`0(5<WP<7}OdOkwe2Rg?IT@Q$l-hV*X7YtH_1*7Xj@8^TgUBse|0lnXW
zt}hguCI$uu^nM4rKG4`DHmx_H75@V$jjj(g-ib}$0cgd40!pLn1C85Z)3*Xz@o#|A
z==wn8s@U|QmlNpYAE2>VZ2Hj4C3JnD@mp;A(ECT|`eLwY!ZMx((uX|O1{y2IrgZ|e
zVKW0tgY+S*1D(Tw%!jej!v)%nhe?Cari62l80g`Gt`D?V8%a5wiC*ra>jRC0!xbYj
z(ECT|`aomnNXp?%^mZ${KG1kOTrn~O+U|t4ThaA_#^{li!`SHUa&&#5aebItWE#C)
zj;;?h7l5oD#zt>1q3Z+f|AwhWrqSDH==#vA9k|vEXve7lN~7xo?F)x1Mq;3+Gw84n
zTm@*J1I|HWpr<o*eW1A!B;{}>dU&Gi1I?$v6(cdw`yJ@|Kyxri%Hd4(eh0cf(7X*?
zF%kp4-+`_Vw7(olIh={!??BgA09T31K<{^;>w}$*gQ|;x0lnXWt`9VShpq?2NAGu_
z>jRy63sQ%U(fb|f`attv=z2hW^mGOtCIm@=F=);V%s?a1(;2!x&^#NO7BCk*ouTUk
z&CP)oq7mrn3|(IZntC`Ftz1Ia2bu$ft3_f2KnE@&pftKZ(7Y>>aySz`JfXv<aCy*q
z!f*}}13f&^_0=G$MP{OxpXmB(krgAc(eod=zB(ke$V?09z>EWwM%P!5tQd)n9xl+~
zVYmv={570|#6S-hbbXCTYLS`f<vhAR*f~|mdO&RSavohD=sanVI&_Ra4u-C;1ziJ-
rk2Vg5uCEoQ4vkiT4s>ZiX>@&UXzF2H4ru>W07|3l1Fau`sYRv%1Nnk|

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..22f7e3bd5e730b05b6455ed7cf0a81853a3544ea
GIT binary patch
literal 4909
zcmZQT11#kbfgNQKnxO$ok5Z!{Fd71*Aut*OGzoz@|Ns97VVL2<Fb0$sP-kFZXMo@@
z|NsAo3J-d!p$X!|y*v=Qg7IxkY>rI0sNDKw^=IZPtWM5}%&bB|)qA%k=c|S|Nq4ib
zc1&q;t9()Qc<wFkxc6n{Z`DpY>mGC#6zaMq9>TiShC%a#04LW&cZY=+K7_NfEERgh
z*xByEp{c*1WBR9B<KndUG3VEmzrC5AUfy5w?9Tk+_kZ8*eE#oDY_(2y?U!9nYZ?P5
z^2FaxjjYYO+L;h?HcQ0M<kTGpxs4O~a~JT5rN+0a=uLV#JGWhyMVQ%BUNds8mSkSJ
z{ZalKVlOyfPLf`GT(x#@(4VB7y`mLQZDRTogqW2i`8Hmk5WYoKu`F|CfbglL_D;1D
z>6IJSJ6%bRP&s_^?zFA3YZK<aZi#Z$erTOrx8;&~==SUWSEaJsU(R;Ak`|$I_{;4E
zU*T&HZf!lbTrgvIXV&&vbyt$MukGAtviOjp&?39TN|Jn$^*f&&^~>S@(h~B<?RBAN
z|D?5j(IK{RyZaXKF)K;FyQ!SPfA0N(mUkCwS6)BALQ*(?*UHK}(&sCV>#o{%ufi=-
z&1P<OkASI!*hz!G+v0U+ZFpqGwPLGVn|Yzl?XO(ox56j?y>jn$)n6XjiM^`dj%_ym
zUAR^-$+OMX=uGK~=s#u#io#(Ew`PS#UpITYec8S2sI3gOz8-)4RW6%Lmv8U!Uq63s
z<+>j_OMk5PSXSD7zim$V?V@@SQ>$fv%%0S4QvTjKp|0%oZ};`{11IM&znpDhU;TJz
zV_~<}uFl6dC%(=-VX64xmZ&LT;nLYs=ks1ZKU*Ggt-;EotzJKHcGzK?$y|nW6DRWA
zU%6wg?XCOaYr9s3b=c=*{ZqN0#H!!KzIMg@zQ^xXvP`b}|62I1I@x{QZ^h5L8*;X!
z3QYC#?Mq*f-1*?s3WqH33n}(n@8@27y1nzrt}e;B^Q*5;H2U-1F6(mEjVl)IYYxj+
z#=U-2SN3L~R7B*q6Yoz=FZ?zq+Q-f>C&vDJbERDAvogz><tlPlv~|R?^4(_Wf6Lsk
zK3qdgfcL^~!OQv#6^50cu1tKh(SNQ*t=59r{yU4OPTv1Nb*KM)yREAhJa}~9vv~gL
zhQozlD^tFz{@QR#{nwg(`|5<4I{)P|H{YInfZ+ru4<FC2#<$GOXC^($IG}8`s_JG`
z|K(XJCRg3ls`)l*y394ZyJlI*9yY~SxjQnw<{V~sTOY9bw)S$(<&$ePB7I+&TwS*O
z$<1RMXJ6l2S0Wv=J=)mHcgsnyxn1F}t#qxA@t(geHZfd&%GIZS^Cf-f*Z%l%@nO}q
zRfYkZ7B$~c5N>4lik&Jwqv%=N^_RxW*X_RQbk3>K^FXD+6|T1uQeI!$#c%XIaXh)H
zjqO+4HqRVy@4nuw{^v6u2wvA@v*&tNQ7`jmeK3c8h*taxk!R1cPd=ZVym;}<CmUbB
zd^z#SaWSz;enqB{8&{X5-aOZDvCXc-C?&Gq#cOVr%&OAVX^WWBmQTN>{>zW6RPsV;
z3fJ#byw{bNi@n?~eDLuS7MqzrJER3{=jHA!n#ZVpc86E&k13^%cFM`SMb9|csLcD#
zDn5O?WWLQz_bP!iALlR{@ApkUr4}~v*ZyGb{i<H?W0-wqp9?P8IEiV->h+p)a*Abi
zO8!<Q&$%3sGLvh@bJ<{vi&K{V;}1*YtuCrnKG&V_YlG*USO0^i)ywAjuQRv#e#yP#
zGxxlf4LVQnygst~#l<&O!e;+>pYW**f11}@ws`qZ$*2XvUo65sVopDPk-z!hw#ceY
zc^mIvivRZaSfY){FR?>4;?+WD%2PDk6XvKq<G*s!Z05nw3og7^?GpXnUG{zXLXSQE
zjUO+!8SXh!DIf7-!?yb`E%vj!KH9@mr+s5eY-e!o^V#(~H`>NG*cH|>?-9-sdd_i6
zc-NA*<-2V6^SeIU!&N7JL*<;vE#Y0?Rv-Jeht2iTAHfRo8!G1lw>-Z*U+S@n-J=@L
z9{ZbSZNk2m*}Q+JlovXM$MGE3ejh%+S?S|GrfIe@r^*(;nNqv+W?ji+iTtB>#rGyX
zvT`@I{x^5g^QiN8CYLj*-0Ig}Bg|R(qRQT0EacI?-)tY^558yGULBwOS3BXC^uH~K
zm;aPLSnG8BCv%3-bNd73&AyLn)NcuEW&fVpH~BfsqbGJ}b~{hD_`bk);p*%Me-uSJ
zKgTEhGfNXv|LgPOnv_MR`=ck7ZTpYTJmP6DEcMql`Trk7k<QQd5570}K3X!LbL#a2
zKZP}f)Wv(gH-QCWdk<(nKcpqnS<J!kev*^z&CLyp+<VH5Zd_n=xzDkW``?N0(UU*B
zolA2GxpwdO%;Uzh1Kf7+PuMqyaYb=-b$!adIR|IdzwSR++V`{Q`q4jg>p7pD{-^%=
z+vf`p_v~e7tFq=U;kd}R<lfI4Tbpf;mcLN`(&NqV-KV|Nd@pAW!@mQ+#U_7t+v~sM
z)9eNJi)?;IFARwM{kP-ip6LRo{^XTb{@UrkKYs4{uTC$jJnY^omAvFX`03Z4DygoV
zd>*!t^Jjzp`At20Zgtt$_s?!7oQ>IB5&uu%&6#TR$^TB3wd!TQceXlLz0l+DV}=vI
z%_dt^+Usxm6m%iKbdG$3QsF$d1BM=8!IJFW&(Fdap7{BdgW=cvYVV+5=cfMpC396c
z_WcWGN8fdpGT)ir81rQo$&_maq{VUmn%(!gS?-(?!>9MmK98Qf7k5&>_QCw0o^yRP
zo5R<=v8TSLRO>3FC;hUu)mmj5`~H?sz~pktnDj7%zIFxQU()sMLQ=ez@o%R2oVXpe
z?;ig<^*8L_CwqEK{u^-refm0<=iB=x|K=<#vY%<x6I?sL((kb5{_IoL7hDq_|NPi}
zVC6jHi6!pAo1dG;zSsVluzlz8qS$(=Z=Oz0Tbfzgg8y?xB{01gY+aZAyiQjAyYQnY
zb({DvsrcPf<~keCdyf0={U7&y>v!`sysGY=^4?6de0%l9!pQqS-|b7*%iw&s_s;hH
z%)9sUUwZQ6)4$Lc8@|<Pz1(%><NIc&kNX)Oyl*$@wD0`Za_T<MujPG{x2c|+&!q5O
zYO=+5PLC7W@%)djJij=7;o+a3jTqSOy`O4zWkFqD*yk$+%U_&qy7kKTM(6oe*9z`$
ztf+hV>%8sVCH5D@Tl4C7Z?v~zcKvmjmm%bR@sd4yvt`roO`llduKIfN<OQ~~u3qlS
zttv@V<ansD$fo}vZ`qT1Sw(wx>T$iS?_c)V29#IT8R|GLsraqycB<Y|Eh_t5eSycE
z|7-{TPEac>+Q&6FlKFX|hS2kR#t#fBy9>GWPN^S^S^py6Y5SJq-FerI161x^XZ&(_
z!tBQBb?RIG|2+2k^7RELzGkvpt-7b~{qyX!B>O(+8&=zSU#7fMS7|%^WsZ+c*wybd
zp6r<PJ)~y-omMt}r>=dorEgEZTiEe+eZ$NHl^R<<Pd`|=*<?=4<c?x}u6yj6Lh8>K
zUg&xjZ{>Hc^wE>w-wc*$&dF*2?EhkGdegT%jXmt!F8p2dUVzEMsciPV84GXLZC`c1
znx$w;{3qK9GE?PSwY6kJSyx2vtzK9m_(#j4_2@gz5C0FOZ+!5VXOA@dai$-xAMRRw
znaloPvPOXYh<;;!lYGNvdv3dUT@m+BtzKCRL$p(~pKsdfRrc-T&Nr6TcH8F^6=jQ`
z+7`O@so3Y8UiVhre4gDZ^yudsr_6N*vwfGVtFJk_bWyA$%gL~9VOr5~rPrJiFP=+N
zS67`d@xb4>1g%`zgW|$5d%s*+AurTga?Jnq5><2KXYFc#E-VSv4k;=wyB0osQrYF1
zh4F7E$j|!ZEUCRcL|e3YYt_TfEukBqb6u7CXKAT@w%0du^U|c9Y5Jj?PVa1Wx|g5r
zBPBcC?6~ONEvC`yD%bWNW-GIcuG@3sP}#my3qp0CP8ai<{PowdwKa)515&;F!`>W!
zC3)##;mb=uT0&#2`WIbT8CukR^=6Rl^(hYXg}!R8Jh0tQ?XjtS;QJLK()KA!pLx6P
zo;6E$w#KtnSA$+1T-r4^+Ux46!>2$0Y<;*Wce$Ux^OJP%Zl9+&_-}7rTBEo<Li$^G
zsP^VZsmdA|OQ)?8UH)py-iWnxy_efr+Rn8KZcv}&uPZ*S_~)e?FK!(1u=*;gKIv1^
z&luh5NryGVJnWzRpQ8N0DnoizS*+c@F79o)+!>`}8(Ui|&InyS@?mGtKd0zhfng10
z*VmUR#a%BsZn4km?pKX>QK>7tcE{E4?B4z8!K9n(Ze70F`TOy@+tDi?ue)a6vHjKY
z*!qO$9lMLl*LUs?ySZb-ec#Z{Ki>r1;oY%pUco9}ncV^t`|Ce6yZ)IbX#e(cP1K2>
zul%|1`#%!wlevF<V!^t{+`9X#T)yppzWJiQyk_jQ^=2opx4(*vJCT3o)Bb>v>mR*-
z%4-zavt2#1+5X~uj|I{d21_Q$g>Ra&AnKmyGS5AyBIK1-%r9o1@lIIXC^ola{k;uh
a;oMqhx2t(X9Lf~$-=7@upMT-bz1skp>YaW7

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..02903a5d7823cc14a86a48f57da5905050ac7bf0
GIT binary patch
literal 2536
zcmaFB$p8iwjC*HTZ+RRh+ICj^<CB9GMw5>yDhA%0Wz+E~X(dNz<IGMrro}Tk585a*
zadJ6JOk_B{)O)IyM#!#`mqAOtrt8J-DtUQH_15P7_3yT3MrN$7{{H`MZJ&<p+}gN@
z->b{liOf#)zFBTF@BZU$O~1ceZ7*Qdf9QDsadidH_qqo8z6YOrekWV(Id9pny`y3A
zZ`-(o!aLg9`73HT(?4*%vwiXL-tIi%9sKzZdCq;g_r9|7<D-t_ix2;h3;X@G?nU9&
zc$U?FlOo<uKb*Cn>E7i!<A}HB?N{rvBi?Rryjr(c{^#dAwe=D2<qu`u=Lq|qw&9j}
z`_+A>ckEy9H*S9ZflH3hW?!51j{nKu+n?Xzd!Q<Fu({nv?l5-+&-;fw>&{pI)ZEkP
zT~T%Lad8Ew{)0b>{p%mF?rFR1ZnLjFdq?@nzaJOpF;{T47Z`l0V0vD_^<DmR1>^D$
zEcXtY%G4h}eg1*zokIs7%f%nk-P8KpzoLfy{KF@keupb>|E)YP*0M+az5J(l9j~7l
z*zKx15gqY2m3{So7W<E%PPGe!+4UrTJ<bzWzb4^n_S#QU_Y=Mz7hUsjNAp$r?;CzS
z{;>RC&8vRKHUBJ{uF4BX{55R9D$gD9cVp94`Rs_l8ylyd*IiR{@8GHDwrgtm>_0re
z|M9Q#j$iA38&~i>FZi_Nc=pux^nx!_j)#9>i93Awz~jI3cb<K~c&GZ-UuBC%;R^2O
z1z&om>pu{UIe0kTHtsO*odbKH+uS>3T)~|GP&dZz{nOv}<-42M3qCgeR^HK=T=8eo
z@#7zO&OHcx@liA8kg81FmkMU_0&f1ePe1tN4p&$3^RKVmdGK@|a|NS#!D<`dy>oWh
zKKk=3v8LehgxSjH^5l+H%UfmJyf`eypA_-<Ajh?efCEzeY8#F<Tdw(-u<%n4yL80g
zQts9J`RX5@U;a<(z^nC|YicA9pPDYT?!<Jiuzg!L?0YVB?fad>ulV=&|EatpuT*jJ
zd-uaXqWa;7vv2MF`82V5`-WT9?5p>F-*C%Xrn+{oKg;V{qlllg51+ap^mOX_4WG&x
zwd<uLe(r9Yx?eit=k4E9?dN~m&aYkX9Pv~7;Hmo}VgLFPpT1`a`{$SV^gY+QjO_>W
z`EFNptllde@pkT^toNF0zUd`yEoWZ6H#*|&-o~r-+c*4r|6%*T8tz}-49nN=s^`kD
ze=GCv+}euLBS+ucuB(}Mpz6Nmn(wg(s_yfyskwFN)bfYN>*{;|Gp_k(+>|}fzVY$<
z%Dqy4JKk#)@2_Bf{d4<<dG$Zo_18b=Pq3}$(w={O!>64gwa31DeKnnTc-H;t8=if)
zUGsDA_fGr%XWu#IecERzv*+2<V+DNa1@@nQFy$FNKPi8=tJz+*h&%gF>>;W8?;CEd
z{=V{hc(v<?yMKji%Kx)u|BXEmRUck(>htvveD_w_oe!*iwm<&x#rbo$+IJ_uJzo@Y
zKK?+}Kgl)!jM}fpU)yjioq2UF+s^-We|Ftg+|$Or!+-CKVtw^E`HxTZ<*xrK<I1kJ
zZr-|Y?%}BWdWmcE!#7;pZXNOZw(Xj)Z$C`?zwg?1#x-Bxa$Ngm*0^=w*@wIDOYi%=
zH}UQEtcc%hb?1DFc^dz>GGt#<XdPpA{j~$P=C4hBd){orxAeXGfBt=x&inmAs_)?g
z-~RpwVtNn$Ma#`UP+Y;A{^#A7{5U_Edv;H*3i@{+uJ}=&{`ERXSpC_Auis_D>enZ}
zRZrjWZL;o~&mF33K6mKudHlDm;OjQ~>o2^w+g`PP^lJ6tipu`tpMAGq#cj8`KI_Ze
z(mvBa?VrP5Ygi=Ibw3pS9JlDx8R_I5$9K#)Z20&TOWw)bLhCB}pY{B&lm9Ps&GObG
zyZ+aA*6h0<`8?$^`<zlev&sn;-%lsb?QTuCT~|D(;=!8Y*-z$dK9{+ueNL&KvCaE6
z#WU`)rqA7-lQ?(t8o6&f&V@d+3HSM*``~7({`Q(9xxM=vOW$p(xbj%>Zynp~J4@w)
z{;#?%bZzJ9FB=b6FuuN{`dnt|vVRdZCtok^m%k=|(5k$1zC};_hI6rOZx(NTA9v_h
zy8gT+|JZLVzmp|z%R6Ul{<-;O*X%5-kL}$1%&c{*f851&UHK1ezJ5Bn`O0zm!?)bu
z_rLo7|K(Hm>v!GG@4OqN*Rb_GpT_$8{SVrH9e%!3{-52N+KQr(dY*LiJ#qfObN*Pr
z%AOx5b9Mfqzq4)3zZ(C^j`;oKYIj_o<4>_`cKbMO<2KBjaroBp^LkY^Co2LE-a76d
z_i4wsw--;JyR|$&@$KiVdBulzRr9g0NjG0#@mJ_S*EOs9pR10qK9kFweRt22dGpG7
zU;h<bqb>d;eZ#f6zZd`dTmSR@in_nEKDQOAt|@&vrSx{&$1M$8&vEaJKk;YYfy?Lr
z?n|y{IJ-g6tp4ulr5_}&S?Satew=vlZ^GHnFK54t7nVOb>$&=!@}m`@hbG^S)2}<7
z+h^ahm2bww{{5edxGR|1^LOt*WZz)AzUs^V|K{I!<lmb)&GOQhJ@t>)U+{hY%Y4_{
zlHRz|s@i40ug89Vmwz}Wwd$lzYSl-F@9TH_TtDN!?0EXw*Z%}>)x8$l^|qvG+x=7Q
zclW>Bv`h2WsrrsQYg@PVR<@Sc|Gw7Ko^RMc)9>8u>whn{3ftEFaQQB0dGU5|)zO;d
z@0l~Aea_Et_nCf1avAqC_RGo7m@X?HtG)a?xa#na{C}#+`(L_V`1@M#vT5?Zmzs}v
zznru2R>`Ry*;Z*hCb9<eX1+5nn|W{UrPmvZOQ!#fKBj)T_?X&d$;T63DkgWmlzZI%
z@~!6Hq!@$x&$C3o{64=(ICfHX?sKh^#@g`{f|nhC(*9Dg_U@Pezfaw_{dx3Y^}7An
zX0rYL>X)wky?(Lr%hc=lO^T0x-EL*<9&NSk{qFmh3j5!cS54b-KS+1yt6M?2MQ67z
zjhe7)TS)XG-K$-%-~BI3Z!?dH_S*Wwarx)j@3xoBtbKCpQtH{8Q<r+5{B`}3YSOxm
zC6SADUDM>t|L-~-q;k@ybpOr2rt|MiwDq2-`FY+_j}tPPnwx%KIUUh;W&X}>TV_02
zr>pzud~9@7&IQNi<=gM%XKws?XzNnflQXh21@D&aUwTw3H#1P|UHwAilhfDfik7|D
zaQSQayZsrr>_W;Twa&b8E}j1J5{MnO<y+yd(|?M8Tii|El_X;#d)xhmp7-1%c24Dy
zyL#R#mrS23dDH!c-sOe0E$@tM6V4dzwO?x96aS1~BG_lW|I29~kNzpnKJm^dmUUT-
zzeN0*_*?tm85wVy{#x(y@8Dm3pX>kVFFq^1?=ZvcPkYm94%^<hIC}2qzq7ja3oQRG
zX_vh?-{@C_^Zwfx^@ZO|v9mt$$Ii00+O7WnWPZ&uw{=gR_?KLMJ=Oe9-igB!(M#Xm
xk1Mu5#JA4sdFSnEg$W(H>vq&v-h3+{-8X0X`<=1IcCO2hX?Fi%52^Y66##WOnHB&5

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..ef4016b
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  7033421105552465264  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/loto_tb_ar_cfg_behav/xsimk\"   \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/loto_tb_ar_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..26be82c31fc551249fddbc9f6d53c4334d116a9f
GIT binary patch
literal 555
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=So`*3<C=7K1_p-Dj0_B33=9m+3=9i`
zLtNs0{M|jB8JHLtz?hkVfq|2Ofk8b~ok2Z9ok86|ok87Dok2ZHok2ZZok874ok870
zok3k!oq>^ofx#Qeq)^I?Qea?UU}a!f;O7_;8sz8$b`Apr1H=FS|LZ|kIWjOX2p~H;
zKFrlQ#6JkEkda{l*gYVHAiscUkY*76_y7O@x&QzFw}cuH>KE+k?&s=4v__cAJpDpk
z-CZFTGB7YSz+6@X4Fph-$Ge6&hJZ~5IfIpffdLfg#U=6OiJ2wwX^ELRsVNLFZgFXH
za%yogh@YHanpcvUmkwd)79<vdSj8pzMX4Z0YF<iwdQoa>9*k3zngaH)2FQmD3=Bn3
TdM}i|3#H|tArTIx%b_#?VSH_Z

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..f6e0da5bf3a0d5a1798fdf19e02927df0e5c6e43
GIT binary patch
literal 7016
zcmXqHU|>j)U|>*TU|`UYWMIgUW?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe
z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5
z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz
zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s
zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k
zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn
z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh
z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno
z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM
z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a
z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04
z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t
zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3`
zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E
zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll
z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN(
zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R
z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+
zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y
zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3
z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au
z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;!
z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W
zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N
z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~;
zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHhLrWa
z%nS^w3=9mN%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L
z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^mx+RjC
zfx(D@fgzNcfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`-
zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm-
zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5
zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R
zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1
zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8
zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM
zihm{shD1>OGchnEf#RQufgu?b|4a-FDGUq@y-W-Ysi630Vqi!E#Xl1RLpmt_nHU%{
zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb
z@z2D-P{_c*5Xr>APy~v9CI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9
zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D?
z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p<
zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I)
zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@
z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+
zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9
zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9
zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9
zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV
zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp
ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE
zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{
z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=;
z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj
z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ)
zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak
znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ
zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q
z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z
zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ
z!Ri<oJ~)H)|EXtSNGoP2C}zkjW+*LY$Sr0lPEBUW&CFxS0M!v7{h&G=WERLRAU;SR
z)J~9->OlkuL&d>u9S|R+7KA}<A`l-Y4{AGs_%A?)g6acMI{E+qzk?H~wFYU6f#exZ
z%>sD^+-3vw1(sd`<pWTBgZT&6Uz=zKX%m9^42FSvr+64ZY-9{-3xKSG^=m<HLdH<F
z82$jY0dVPqhIa$ZPsrwh+6vh8fz*QZfiQ@TtOvvfwIM+IK<NR*hhdOf5C-W3VGtW6
z2Wn&BQV)^`sRhLoOg*TLL5g}%wj@P8sLeu(dQjVj6!oCCJ1A^G;~F6U!7wb`(Bl{6
zZ%`P3Fo+Fm^Mmw*`V=s|Ai5|s9W-u{ng(hFF+lnRAax))7zXJFrGF3&%8wv%P`?Mn
zho+zZpgsghAG$k0YC&#5jth_&41)&)K!X6#@fq+y0BB$UG>`xqT+jve0w8U1aQ_IV
z5lVx~TaY_I<pxLyG;RdqgUU;gn?ZdOP&kA7Fd+AV+zB!V<PT6-g8ELNFafz6gkk;x
zrDKpfkRFh^Fbpyq#76fMs4WXp4>AKJkB(vPL>C981CTyq?E$rMLF%#DgX|_y`Grdz
z$Pb_}0nzC00kw&7=>w?+xf7c{Q1Zd052PNKK2Vz*mp+hvAUTkJ5E~hT`fQ;11DT5~
zj;t0r%t3uSYN!XLDVTl8=7YqL`D>UU{di*Cx0Xcp>qt;fOdf+&;0z4&q2muA|G+TF
zU$FcR>T83<LHP|7)}Z_b3KLL%1EncYegpX#l;1#c2g+}ta0lf#P(B0YH&EIIVUW47
z{I&pUFGw%Qd>97V0b_&I!}tpcs0X#ZKn((z`b8wF2lcr@?#1OE<h%xQAE@0)ih5AJ
z3{npY2atYbjBGZj4^ND`EPlv15ia+F%tsDCT<Sq_2~rEf$m)^##U=6OiJ2wwpayJe
z3ImK=T$-GmT3ig`C+C;um4I5h#U=5{`MCv&MIh1QlKi4n5Cha0O)pAK&4Y1@QbF}I
z$PUn$6KGru#D-x|`T>OjC@w+u9Y`EB)&b(%LdX6=V>_Vq2dXVVVE}5kg3=$T-UR7~
zr87`E1&v2+U}0eR!Nb6i!OOsKgO`CpfscW~fscV<0v`iI06zmm2R{SD0e%LCAN&jq
z8UhRq5dsVh9RdstI|LXQUI;KSNC+}87zi>jL<ll4Gzc;<>=0yNkPu>E=n!IH*dfHg
z@Ii=yK|z>-!9$pVp+T5|VTUjS!v|po1`QDgh6oV`h7J)1h7%$T3_nB|7&Jr~7#c(w
z7=DN{FldM|FhqzkFm#A9FzgUxV0a<Mz#t&bz+fTHz>on798ksr&5=Nwi(vPH!ea)M
z5Ap|ye*ulp0m^_33=ANDgXArsd{9_`_!&?>D11Qt88AL*FcH)Th4MjR1d{&&<%7Zt
z#Mb}?G^noxwbucSp8@5A!VIMT1e6bR?+j1_g#i+VV0kn?c(4*QP5~7+0Ch+}eLE;W
z0?G%)0Z9J@H2w)RK6ua*$vz8EL50N6K;zFq<KKYtLGcT+j{`J#3Tos)?XiIJLGccj
z7Xy(<;Sc4*+?xUAgVF&=<qRkvCVv8r{{zYgr4x{P1yI@mg%4ER1ImZRX9XG`0M5s%
AOaK4?

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..7331b50841bc1d34510cdc20c7a7f6807d5892d1
GIT binary patch
literal 8160
zcma#Z%*o8FP>2Z5%vFeRadKBMFl4ycxF+3!fq`KG8w0}wc?9lYU|=}KfC?C4Tv>@f
zAT|gyK-o+nIVhVM%4TO^U|@l=L29{?*gQ}+2Ll5GFO&_U{{R2~A7myn8>AP+2Z@8&
zAPi#@vKQHWkUnf~0Evr&EP%RS49aF>U|<kOV#^}2m66z*NNjy1wkebi3J+^28)T*(
zlnn|W7bLbf5<3Wq9SLQF{F(q|gD6~K1#>^hUm&|-VTJ5RkbaOj$UW5XBgignVGFVc
z=1!2BB#;0!oRg8*DM;*8C>!MVG$<S7&P*hBJ`%eWiCvAvZbV|YBe8p-Y>;~<BeADI
z*&qt$W@I)<J#rX=+y@FnkT?i~*dQ@jT!YwCK?Xqm3yMFG8jxC$9E^<|Uoi8f85kI5
zK=pzsZ0-St2{qyl<QABlL1x0zCo&sXS_S!KGsuBZKW;%{Z$)Aw`w?Ux$nT)=fTd^T
zd<qiZMnEsfURYRx*dXN~GeK-@X$d5bEA7GJ9i#?1J?sD(3iTH@_k+~K(lE$Rv`(v}
zxB=ugSUv)=cM{<jSonbA9XYS<B0?{&FvM0Sure?(9Dte$VjqRF85t!0fS4c*as!A4
z;S-<=ih+RvSsqlc{1BG-^QWGHp~4=d3RNByA29g~-~^2-53(1O&Oka9;O1X32k}8z
z7(_tv4o?V!k>QFtC@eu3mpsT!5XL1Bau*2Wk_Y(<gmKA(+zrCG<U!#E!r0{z;cLdg
zzyJ%M7vMyU8a|+afXPn)XDE<7B76iH7#J%4Xj*_mCcy(lLgYbV1L6rF$?tFni9qB*
zVFTjvBgqRO$%DcMB+rK=pWy})f#?T?4Tz_UB+pO+5`oBr!Up69T=Jl>0l5K}JgA%l
zxdFR8BK%Ys7#P5H5Ca2)M;6R}ggmHy01Lkb;LHSa-zQM8f)z_KFfa(%8-c^GBLFPK
zz{v0kp1yF&gWL|nxa2`@2Vq?Dpzs4>T=Jms17Te95SKDAfa)ih{|o{lqR8&YB@c2t
z2;-6mxgCUY$%EVu!nou?ZU<rP^3ve&2Dw%SDgEDoyI<M@ly*QEmpsVrAdE{M<aQ9o
zB@c2t2;-6mxgCUY$%EVu!l1S&Ec_e5jYUxULbxB7Jjm@Jj7uKmb`ZuT4{|#Q<B|ut
z9fWbogWL|natsU%8?IS_<3l4DB!cRGT=F2dgD@_6klR5RmpsVrAdE{M<aQ9oB@b~a
z1A{zL`niC`{kY^oZU<pp@*uZ^FfMtJ+d&wYJjm@Jj7uKoenlkrGo(XA85t1i2bVm^
z?I4Ux9%2#$gDR5w5jhZ1Wb<*!gUkkDT=Jl>0byM7ps)d9T=Jl>0byM75SKDAfckW>
z{N+&q5k+=CE_smKK^T`j$n7AEOCIEQ5XL1Baytm)k_XjqAdE{M;u8i2Eu{GQfyIBg
z<UxJ|VO;Vczkx6=d63^g7?(WAZy=0I9^^L=#w8E)pAeG&IN<F!MEb@h5Aqub<B|vY
z4TN#YgZu`<xa2{817Te9AiseyE_s;$Kw~Db^m)J)B8r?oamj=H2Ew@HL4E^aT=F2l
zfiNz4kl#QUmpsUCAdE{M;u8i29R>!52O&1#`XQniB8u!k?DB~AmmYHbhr{$^m)F1|
zFNvi8fj_2xBP4l;IGDU7*u5a0A(H%zSda*)ev^c^FL23&;s%6q$wN$HU@$>4e*?G^
zjcPtFd63y4j7uIA_aKZ*9u)T=j7uIA_aKZ*9_D_~I2kPcIz&N485t1agG(Odb`ZuT
z4{|#Q<B|ut9fWbogWL|nxa1)&Wne&-PaxoaWO<O=K^T{QklR5RmpsVrAdE{M<aQ9o
zC6CSh4FueeEDv%!2qT-1EDv%!2;-6mxgCUY$%EVu!nowIxqkrx_an=L+z!IX<|E64
z+z!IH<Uwu+VO;Vcw}UV)d2H@KK*0UT@*uZ^FtYi`@*uZ^FfMtJ+d&wYJjm@Jj7uJy
z`yUW+Ke9Z??I4V7KC(Q>?I4Ux9^`fq#w8DOI|yT!$6o$tU@8BR>kkjOycE2AS72aZ
zh=&G71bE;NRQ^iA%XeJzAisk!E_tYt3`$7l`-2RKC?f;Hd|dJ%vq2b_JSc2I7?(UK
zY(W^8JSc2I7?(V#ZU<pp@}Th*5XL1B8V3epT=JX@3=ANQOP-5?fdPbZ$%DotK^T`j
zXkH40amj<)Eg+0b9yD$R!nou?<6a<)OCB_C2Ew@HLE~;9j7uKWZUkXm@}O}+5XL1h
z!oa`)!nou?W9}e~OCB^v4Z^tOLGu+Lj7wgEfq?;pamj<)Wgv`89yD$R!r0{z`ClC=
ze|^Y<<u8Q129o>?=s+Lx__G?4ya!zWH~9FTHj?~?BAEH#;NyGP<q`HHmp>iw@&_Sr
zfMouM7?}Bp^2r!Uo&(-KM3f)6<UwTz2;-6ml^r0AOCD5qfG{q3P}u>(xa1)|VPF8w
zo$iNn6yW322>0WX2e}=Damj<+4#K$PL2d_O?DB~C1<AwPuW<Jv;un`Z$Za5uOCIDl
O5XL1BavKO^lLr7bE2Pf=

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000..18d7773
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=512
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=2147483647
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=199
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=209
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=122
+OBJECT_VALUE_COLUMN_WIDTH=106
+OBJECT_DATA_TYPE_COLUMN_WIDTH=91
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..1478b6cbfd300ac862205f8865117b7895c9035a
GIT binary patch
literal 45456
zcmb<-^>JfjWMqH=W(GS35KllEBH{p{7z9>984L^z4h$9yybKNuatyKzYzzzxEMPH+
zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&7f3)vVKjpPgb&ik
z3SugN2nGfQG<u;EL>xvV>jT?Y0Fh@vqbKk}#9=hDK2X?b^g!g%=?t)A7#IqmG)x~T
zxIp?Wp!(2h1&{)e^Pw~>JV9;*VGC$@qSI*JWkA;#0o8|2yFi@J0HZ;6fP{jdmZX5#
z==Q+)xa<pn>N6+=nZm$;PTv7V6axbTj0V{OQW^NPBn1>MAT}`=8tn{0Q2WrsAI84{
z^*4-$TF;=LlbK{@qMwtZo0FMWTA^EEVWw+lqF0=+X9Tt$<SvjMpy+e=3uRzxU^oC0
zgXsscMHm>s=^P}#gjd|)%r~}-?8}|0=`;P-c=*a)2dM#>0n!6f12P!o9B9;nxC|h+
zF#`iQPeT0P2o?v4ffyH4&*g$>T=+R71A`D2$=@v4#dqTn55*xa3rdSv%xA%&{sl93
zd#5vC7r(}YU3?P`^H1RLFDQ&*X%gAF-*Kpq#^KJtINT|Q!yFwP;gg2Loc%b&=i=~h
zFb;bk;4sG!M|f7^FvkstIsG`?GZTk7d^qf_#vy(fNBDoip<V}vdlYcECkuyqMjZAo
z!XdsGhxx@g!p#qd`@i6DPX!Kpm*Ozz6%KJp9O9R8*t-&k`<Ym==SM3X?%avP-f$e^
zPgxikl#nwhj2-V8;v1imT9lfeSzMA@6yocglb@Fwl9-f}$`Bu)o|~T+UtE${R1zQ0
z09J}sVP<w_d|5_HPJCKsPHKEvVo@eYe>_Yr16;l+H5bguOifLV&nwMMEy_%eFD^-m
zFU>2?OwUVAiBByoP0RtSNiIrFEJ=+|Nd?J(MN%tLlS@ld;|q%NlT(X}Q;Wf(V2hJ8
zQj@deixTtFQ{(f~;xqG7QY+%~3lItti;5B}<CF7q3lfV`k!%6$&df_KD#=XDi7!gc
zN=+^S>q^YYOwR+ez)FgfGg4DZb5i3=iW2jR6O&6aK_Qcwo|l*tpO#o$5?`EJl9ZU7
zZ2;DS+kbh9CGm+VDPUD#gHy{=^Gf1DeoV}XFGws(%uOvxEdt9I7nBxffLXZBOGgA)
zacW6?aanvxWkD(=A_@{qGUD?Rb5p@)6qn?d#OLSbWadHnsU`76$?+vcAde^Km*zqI
z4YsrjtOLn?$%#2RAn$?2Q!4Wkb2C9&5kUb`3zjS{fCf7}#Ng2tpI8!Kl9`(tUzJ*v
z4^|A)6%SX4C1{It^2@<0i!*aebI{TQST}0K7MElsLSii=HL)N*GcOYqubEX4FQ>o*
zv?w(vKRK}kA`CVMpDR!zIKDWuD%B9|D2!++$%m(82C%7MFQt{{CC7u~86_CO3J6&X
zwg(im$wg(w@hPRb1=!*iY*Y>?8I~pHl%`@&PGCi7!37H$44=R=Bq+fm(im=aCHe80
zc_pdo(6kG-tSGZMGcP?pH6<Mq&>(+Q6ldn9WERDP!ylB1GSlLVQgagv3Q{4tqBu3L
zII{%iFnIVtQxP~kQow1fI5XF&C^IEJH9kGHBs?W4yeJctbK>K3GLt~13aHe|P0Y+=
zh>uUMNQ_U*%uCDx8JnA$o19y~keixYoLT~+k_##sax#;OQZnPA$t=GpK0CE2FEvN6
zI3GzW31S_JR5GaXYR2I1<LTraZ=`2v0%sZ{m_~Z04Em+TMfw@}xvBc8dBr73hWfs)
zuKE#~IhlDC`em7Ai7EL;21X`&hWcS(jy{x^lbNKSlUHG8qL;6)rw2kM1;r2%{q*Ey
zT}wS<Jp=uu%sh}3xOELG+8G&`7#OJr5oR$nFfy<}%>}ig85x)v>{%IL-7JPF6Q{9(
zdaj;Ou`OUeGeZcJUn-T!$-uzG5D#kLGcYi~`U|jr(+6n(*Z|s3gNbi|igQc=`HlhJ
z&w}w4(8M>yLey!Xi95tW#0}8I3!vf_XyOd<5cLjd;uj(y;vQ(?0?`oh05tIcsCWdL
z_ynkU0-E>*sCWjNxIhfV`~o!b2B>%in)n822eSc9oB`ATWnf_FKod`ZicdfjKL8b<
zfhMlt4sp){H1P(g_zE=f2T<`1XyO|TAm;2q6F*=M5kG(??qCBEKY=c83lYD7CN5wH
z5x;>Zo&XhpfF}L`I?(b0P29l|V$KINaRX<F_zyJk4^VN2i4b{MdUo)D^3lXMctOMk
z(8LA2A>tBf;um}%;tFWu3ce6=4K(orsJH=|xPw1Ly#<>11*o_Knz%s#M7;-^_ynkU
z0GhZ%FhqR>n)rbbh<E~;_=7Nrcm|rdK{!Ob0$p4WBHn-|{sAi9fhN8|AEN$7KZFL2
z0YHl+u)qT(aUQS;gm{4@E(j3<lOK@8VPgm&i62Pfx*!24E`YiR)PLrKih-ztJ`l;k
zzz!XofbbX?Dv-oM<t|LT0ZAM*#sL%WKoSRyhrq-qAc=$g3=^M$Bo4A0CcXek9F}%L
zax0L;IY9zYya7p^3n~Vpb|8s!g9M=X0FpR#$PX-Y0!f@7ECL}eAc=#<cp#z-3^$O(
zk;i2oAc+gZ6f-a|yg(8MjVHmRJ|KyU!UP!@7=9p$iy?_KK;st_X5vWV97y8Op;NG|
z0Ft;QSOh{yAc;#sgutW%lDITj1VU&aiNnTnK{5tN;-E1kkQfMCAc@O?1fbXfNn9Q(
z2BJKW#F58$0+7TNK?<Qb0!bXXpP7Ip4z0q$Ix>*NRlp(;q5w%;6(R&CE0Dz1z#<T$
z0ZCjPA_OKoki<2>A`oH%lDH;B2u#jE64wHYK!^oM;@S`)Fu4Lr95faM7Gz*x*nlJs
zEqcIGJCMYoMGsi~0FpSg3IvOvKoU0ui$I7ANaBzYQ;7H|IT`|^Aut*OqaiRF0;3@?
z@FDP-U+$Mj^BWG2Zq}3e3=AHv2TGX!U+`!?!f_bvxc{bBc?=ByRc-PZ82IHK82+n*
z_!%I%mk<8`|NmdrD35_51Jpfuc>&DV0`Wmj|Ca~Ad?gSc)Z~A;0nC>I@j*@fmkYpr
zArK$brFl64%;y5}K~4Ub4PZVKh!1MEzAOOqf8{bTWPqCZFB8E0Par<1Y5y_+%zp*q
zgPQa&9l-oYAU>!m|Iz@=zXjrhx=Jq<!2C-fKB!6mQUJ_91>%FcIxiW({6io<sA>Q5
z!#|LJcY*kzCjH9?VE!f$AJoNqc>&B{1>%F6_Ad{B`HMh&P?P@U1~7jXh!1MYzgz(3
zPXh5lP574+!2B){AJlYz*#PD@f%u>%`^y3_zY4?$HPv4xfcZrrKB$TQG62la0`Wmj
z^Op`_eiDceYLdS+0P~|jd{9&Tr2?2A1mc65;4cNhd@m3m)bxJI0OmV^_@E~D%MX7+
z{<i}0K~3$K55RmQ5FgaUet7}R*8=fDP3xBjz<ebTAJn9NxdF_V0`Wmj>6Z(@d?64Y
z)P#OH0nFzD@j*@JmknS(6NnFLGQTVU^MB<qFl2z5$}bbZ{7)c0sEPbC0L*^{;)9yT
zFCD=AM<70^N&M0P%)bTVgPOuG6~KIu`ZVZh2m=EsKN!CC?7SG~(fP=u`N@ZX5Ld$k
zh9^CGZFku*F#H!ioWsEIB~6cCz6F#A7(9AyJ@XhCJbGE3K$PW=5>bzC*0vl-R^t5s
zfM31=r0_75_UN^h1S#w_1*Llr&3_)9A3P4ev-ddogV}@en8(F`B_bZ(tiDhKIQ~CK
z<Ck|~V1Vfdxv#<YDrnBXR6Eq8*;Xrufq}uJ^Jxi>N4Kq64g<pr&Hw-ZzexH2|Nk-8
zwYdxojIoFL<x%v%EdT%iKh!;r;f`UBp^hQJ9-WtuIYqEER|PPZ+WB->J9JljbQk+{
z2ZuEO`rrA3-{n~IFGk1CZ!Y{U-z~qp@cVsm>HPTO?4SStU9^imT=>@)hxju7dkJdx
z`}7t^c=S4Zcyx1kbUSc(bb2RvbUJ5rJ12BH$8>w=@UK6}zur5=@<e@#XXk&<#^VeP
z3=9(>fPb5Fgio)^0iW({gbTX8V_qcx`TxHgq&=nckan;K|N7t%NB(Ugq71%_f1LQY
zi70ghM_8UH5$X=kVZ6}k9K*l<5dZp9mM7}gI(D%|JH|N1I>tH1A3o;f#K6DJ$-$$S
z<pBRSFGml?pIt4Cfej1{9ZpV%CrrRDzlebRXC!$?u=!mr7A}n-Lmdx8JO(90;Q=1t
z(RsN$E5X(9ZR=Y{evh}E?_b3K{{P>x^O#5H`xh*K{{Q#vJZ|{Sqw}~&=c^Y}e*OR7
zot@D7)^QIgIl1tAKK*~zr}O!X)4%`!_vmyk@aR15)A`S*^U;f!fBygP%&s`@Tmx3w
zxfqlHk2izTE2uy_*4zOS?{-e;_4wa<z=hxQMQ1l?6#IBHEEl+RuAaicz;L{I1_J}Q
z5cKGFuJGt=UI0pJ-OV8LJUW|KFfcH5gT*?V=YZJVYZw?9z>(X%gn@y<@&teXPX-1C
zpI+Npp0Eh^=*|x4-VL&@do#$o?#UoKy1PLJyr>6x63py8q1_Bp#J?Ww2L5d>tPCvw
zY*@?l`L`YLOlE2KVf^v`fk$_CL3edUcX3VkWRUk>*ntcHJ4V~Nf`2{O&&OO?8JJ$X
zL&G0K3pWle%&$d2S|Fjt2x5Y9H`w%Us0T}Ax+jA?&3FM41h7QM-zW0_|9_v}?23ln
z;Lzr8na9Au;MwiU;MwiV;nCT=1r(H>-Jr<q26H-_H-N+N06YwLFfcH@RtDROAi5`m
z6oBjlDTmot?~LxR?rxC$3*Ddp|968;Mg$1|Ht&oMA65p4cy~9*9?KJ@OMSYl3lJ`J
zcJS!*_V7ra4T<ghFOq(N653HnI`inf^`i0D|NkzX-(PTo>E|yP!3ti0+79si7K@tt
zLHWy(5tRSBS}c5V=8s^H#y6m3;n8{7v-6)%Z<T}LTf=X=K#dHa&i^k~{P_RhhOso*
zqccV&z@ytapxZm5i-n`3MFo_iJbFV^0(?5ZznJ*{|9>0iQZ0}&4#RICo#%f1|KG*X
z;iBRJQpEvMl?_tG<iJ1W=xZj!x1BFM8jpaijs}$$v4<ygdpUG^c^r3g0a?BSL^S_o
zs*wV-K(W$!?*D;{FPr}{mw)Yaa_RK)K=Uvnzk4*ksbB;Z)gV80XC?Hy{_ku8rCLM@
z&;&{=$D2S2ACyHqSAqO<ya|*SK{>ax3FNZlO`yC2kq2eS<4vH<29|&SLiYRr|D7%>
zETAIf;CE0Fas*z41b+Mf-=%vu$V$gvcShIN|2sjZ@Oz$cZ2texh2Q^0Cy$Cp@=K83
z((j<i24`__gg*n7K@)!b|L@TYPFOCTyFuyeI5_EoOmXR44N6DHn?WfW<d`mSG11Ws
zO0LJ7Pk_{b(l<Cub~c{@7cW<!gU8K3{&{rzsBrN2J!N8G==EUi?7je2a0IHY^#Fgz
zJtk05lA<Eu)0?9b0SbE%21RZJh!Ei42DYoi1(YU0g$yV#yElUZvwJcq2)nyM#=VgG
z2Fem3rZ%`F<X_(mk_8tr9WE+?KAqovIv>3d{`LQVM~ez5#67yRGrD(!SlydJVc$I&
z6yi|hPJcxh2U5bnz8NG7F|I{rCa3@enfU6(!JnYg{kTW>YH-O34#4isTR@>Q85C+z
z1FMh>1cfpGdT^M!bkwjifIJHEtw-k^6$3^F2AHo~R0=?e3tYP5@VFw9!5}3l9&b@8
zWMp9Q>HO}|I|Xd;i%UQL{|5(V=Ny$6ph5{6zAY*m5Sws^$@VXJ!$cEd6Ug8f84#Ob
zAzumNLPNfDjtVG^Luw6>qq{L9)DvVIIBr3;21pT_&p>P8u*cyGUXb2qNMgVedk-P8
z2MQCAGhXcb4o(zEk$MIs0#10{U}L(wK}p;4M2S)NWN`An&<PfYmDK!wUVs1pe=Tyn
z8I;07$@@519>ntL-V90^9^F1F93I__9^IZC9-Z)V7?iy{I`6-@^#znQA?5HlP&xb)
z<cAs+mKO~mhK<UL3a|=LNd_u#P|ISE?kWe5?xKipr+{v+3`cM|)_Lfq>F59dLD^}-
zF{c6s9BMXx`Tu_cqC5+5?L6+-dCsHrvq$G&$Ii39y-RF=aDdX#bC=FTFPOjl|KEC`
zB;BKTuR{g{!;8(IK!wL%13LzW7t0~+2o6wjXrtoMdC#TulS}8%7kprsANK6sqbtA(
zGU}O6=dTwMAkl7Qb<;lm|L@cJ+@tdzNdCv?|NlK2k3x)l4>t|9B6_(A>_z<UU%?Nu
z6zqQI&tUgQd-U$zk;=gE;`2wa`#Jd0-5dq7y%X%_17Pi7H>ZF^QQZ9KBeI)aK=O@8
zA;#f!^S@8fXaUC!h`{gu9RhIoH-7@TpTA`;1E_bhHzSOJ;e|fL4+|n07+xqt*a0yN
z3@^kX?2LE@h8Nrrc0&>a!;AkPz<w4e0{Q;~$oV=dKD}!tz_uV9{URI`pPh$2x>-~_
zdiQ8t0Lz1eAr>4_NJ{U3je*6S9Y|y2QILTiod{KEG56ymA`~3Mf&)A{KYQ*2O<I6@
z^`MIV`-{}~|Nnb*J7;uz7c|&#F!Q$_12wmL>lh8cz3_bh|NpUO17-#WCXe3Tpe*6h
zyBW0K;06E3|NlLE>zE9`y-)!w3V<rw04m{nH-i?lzj*Zltccn0+l&A2KqeJH6}^Bc
z0<D;TaTKD6#qisUTVO>Kpo&22^*wqwgO+i=SO8Mge2f+1<V|388<-gw7(IG-M=&uk
zcr+fK!3;`X_aFff_c9NpqqmOT@Y{>#cc8ea)-e2Lc**eOizV;=|8F_K-*SkRfx)x4
zj>GWVi$su$?&bt028QnL3?>GKE*6e|Cu~^x+b^<!DvARhy}X)s3=AI0ER7zFC;mV1
z?5*QA{Psc<>=2NHnLT=UBRly0d$8Ae48Of#0V~4h^-~Z<yoTRi+<yxSA8aAL6rzaF
z@Y{=BU`5zMx&fky-|*Xusc%8?*?a?3Id+4}Z%C|OVPRnKY(6INaw~|@TPI@p?L`*Y
zJdpE2(TnVS1BiK|hTmSef)!zNJ~u>>nBlh<3SdPb=Ys+QIiWmz2M!2v!*4HszXACX
zoAVDs6iFC<dvWazrt_KjTSY;xZayXnNdOzcCV>(F^UGg<!4}9GetR(sER4-R2@nh9
z48Of71uMelA8UvrdBbln0>Fwu{sDyyvVX)NiWCgLz0d|L!sefkZ^3R-H2n604dNdG
zMo`j{U}Ruuu;F0hZ}nvcM~4!`KM!AnLI&g?mX}%(|7aL~dvOXZjLkn2AQosEetWSL
ztO%QbvLTAJ48Of-04u`gA2*01ZNqObqQQzl{sBcIaz<8!DAF<f_QLEnIDS09{t1Bi
zhnc^1IuqDGx-WM@N)H>uZ!fsP=7F3KG7mX<U3>!$A6vt3FP^;uc^#Yc*FY568Gd_l
z5UdEB^V=bc><z!Ym;+V>ay}@|ke#0dQRHCw?M3-3u=7DplJ0I$lK~PP+Ze&lcZ5Vo
z5ZEM8bg;dg{Tu95W5aJRtii${|9~t&_75Y(0u#e;FT}u#K>h)F8o8jm^BQcDso}R5
zA76qzjm<yXA&Sflzr8pER)ozzlOT%B4Zpou{u0wa%>1p-K(Ww#%mU({Ca_5$|FFJ1
z{R`|0H^Xl)vcbaG{9_2Qz}@iM3pcPLZ2sYaDDp7;_CgV?2%CSNzXDt5Y548MpBErc
zWAo1;h$1h;Z!fOD0Q(2E^eUC|Y(C}<@yj-_DImWvz5M_4|9@zCf7rA6m<mM26u1h8
zmv?{u|L@s+O#kKKpNNVO)EJmBVFGA4DHv2CcK-L=2O7l%jch}V2n4$VsZMMKr4LA*
zX!_#+e@Fi9?NN;k3~8OsTbLLa9J|}Y8W|Wmn>T=}qTb!0of96tn`baFFzf;i$G<rE
z5*!Mk+Vb0Ti1q}C_A3zWF<|W%AlgBB%cFPm4!Cwu{CW1)f$GuiQ0-|D?Vvp!j@|7^
zVC^3u+QGe<-p!zGgAm)DA-02R*b1oj9Ef%iX0YQkz}f{s6=?778IZd92i$fRh;~-P
zZ!avN+KV9CO(5Fyz}i8v@6o&a07N^ekpQv%=nHTZut7rh`!k65D<CF>KujnBn*d50
z9=*H4d8Y9&s09Hw!K3pYcu3)8HOM4LmA>H_N|k=`8Kg>=heQ*oO78=yfQ+%hGdeSW
zD`*pvXKx*-&dLF+*o;VOj{MuFSApDREW+p5)n3!cz+mLU$Hc$wKpN)-{uWS292D`j
zU?nVuj$PC1K}tdlnfSL|O5;4l-va8Bfs`~Llr*6!;b3H704ZrkC}~Ag0_r4!l(Zp~
zbf75#B@vL4PK1(fxRO(8oCo<^Kod)z&BtWH;SLGFs;B?|gIS>5A`X^#k@ggv;Xu3O
zy1PM+>lWeYoD53H{M%hPSfD8!)VcvhY~x{2qJEk34;-fw5F?ba8gYS%fdOU&D3yEk
z?gqKqqwz2(VZT&_7y+%&A3VY43Q&TE839V^9=*H4iM#PIC~?2M2dXKXk4ZsXF&C>5
zphOKb0+iZ4pb5P3Ferh)oDDHT8e&8gRwF<O8)gJ3#lsSL<6%%De;ENWLIz@lI94M-
zDH~=4D9OJR{rmqvygd$@p#sgKK}@*%7!(xnvZvJ2^Zzl3%<jkk{~vGW08J2rdnUU<
zqZl5Ihd(efFzf<N3wm_Io4@`~;U!KB$iQBB^LOcEP+lo<g%&7jAX)T$QmXFRTL&sH
zJRq_b;M(4Sk%6JvhJ&?K(6jj%>&x{2D5Wnu#F1j?I=Wdn{vYTBJNu=_f22}4)G-V+
zS_!I(!KLs&kNu#zEl5bbIQIw?49y6eyID9oT~soTyQt)VrbI!bkP@D~+Z?Px{kHEf
z>_8swJl36~lHk!>q7vb8+(iW(R31A(%0c659-S^K0v^3JDjeYP&=<QOfy*McG)Tei
z*yYC5(Yy&#h=PU~K%ELuDG1W<(RuI1@5lfDcfNnI1>~~EBOrH2JH{b~X+d>~$9~Xs
z9MlWkAcfsXUH}Ik=dor`zlZrXJA?shOZ))EXX9Z9Pzl_5FZ$)i$0*|k9*u86qYa*&
z-#t73dF%$wSb}C*LBo^xUo3t2|G#Iqdxm4TKePk48f4o4!w~5<kTfKiJV1tkx1D=*
zwy1mnsp_2q9wY*d=KcjmT<78LHQ*sDkKQdRpw0s*7!^QM%pN;HH5n`zH9*0r013vD
zhyVX~Gdp&+gNlCs?anM|j-BmCz$Nj1kjFe5kFNlwP>_C~&VS(W>wNzr_u>ElFS$U4
zN^do&V!ZnRlw#{)y|jN1K*<zRq<ZvLgX+HBAQj#1pn!Mm?uRzsnE6|ef_+jAO0P2>
z{QvLUy9|^l9J|{=#hE8_JE&{}`506pdK`xg_kq0RxfkRlke7Tq*QkIR`NvyS8W<TE
zK&$aQdZ&O#>%e20&tBAnol}zU(R`Q{60o`t{{P1tqCTC^UaSBm0jz;40CIs(XO4;l
zC@2NMGu$4HM?g`8H0<Qje3%_#-M;((|6_UyJi~CjMFli;2QhFBcqIQgWDpQE=nl##
z22fvAg52DBxI0Is0+dM#JdU%dK(ytkaCme+f2s8kkwGEp88n>?No+5y?}M`>C^(U-
z3Q%_$R<`A!WS#&}<^csUs0M$z_W{Caa0?7F9uop81Hj(s{0y4X+y|O(2dx=^6eq{;
zf$W5rhWxFdSyE3(OKkPM|NkAk+9!Y}Lz+QWJ9fACfLjtEHz5Wr!0rHf^MxfS1vMXI
zf|#8R(LWWezX#F~n+Vq50rAHIQ0i<vTmbS1NWVwtJ&(pCAjg8DD9$lH_T}^Ypi~QP
zn#q8iiYRAo??DpqCs0!yQkwp{3mV+*0GZ0%3`%IA5-9<c#WFy}x91*^C6M%(qEc|&
z1)L@sKoKb5*}EN-s6jI^Tke4-CysaLs6>DwF#r^aAniV#Dd0$i<jQ+@LFt9Pvl$c?
zj$Q679pE6s7Ang3!R6YWyWmP4I>zF67ZmVdS9W)UoD6OkfC8q=g@dJ|85CX~y}Pjl
z(TmHVfItl=(9{m3xCb@2kc)drRKK`?2W%ZEZ$p}Epjjyw6$g)QBqu<`96dT8feNgg
zhu{%qP}2A4ZUz-G-QA#GA4pY;3b+98odV7cAl36Asyo4DMTm+ANK6YP)(ooOJ$iS8
z3JVWNoeqg-kV~T-<6f%VgCtx`_k+rMsQcw1?g#1V29LUcT!G*HYaWo|{(BJBDDKY!
zi8X`HU-0PN4XT|z8V?_U)FB>?M?h`?xj*GD#Ql)`44M}KE%5>M|8D>P-@O@BJ$CPY
z0Mf?18*CQyW>EJE-1goH%D#}H?iW6HKy!!uEufHvY2#pFVDMnx4LZlf1BW(Is5a2Z
zKTMkeM4JYf!fxBW+aTLY(qLL5z-o5~fGO-+RztKH!?ZMj)$XnUQ`ogs+y-UR61ii|
z3TzAvpdLG@!RXO=n1c;Gni&03?GC8d3X)}d{Qz8U!3aorZf9U%fQF~^EpQqJg<*F$
zC_uqk<^&s}9ef64Dm<xeV1xD#!R6!J+mL9289xDHK4|U&YX0t<VDmwN-Q5ied9e8f
z><IHq*g+%Kuy$btJF@w<*v$t`WJ1l4hL{hEmF{j(6oJi`;6Ru!1DbZ|-5mic!5R;P
zcIbgq3E2I2Z((&mXgmmN{_h)L_k*IayBidrVDk?knSTUgKDcOXJiLMf+5NTH&EJg(
z|Je}pLGj()4T^lQ`5BxD_vdhe!v|DWcr+dk;6yfG7Q6YN=|!mfts&+wU;z!~tpJgr
zu95&3!h8`fu=y7t;qwE<{Jl5P!Uw7TO8{vA)qnpy_k&t@0t^fcpgQXRi{sZpVFs`N
zN`pQBABV`Sy$-7V+Gc=juS1YtW!p4Rf3UL|)DrXP-3@Aec{Cmdd3P7I5$n--1Y{Y!
z{!+gQs=w+%4X_xHRfzhl_BtqQ@V6`jx8&+U4KOQ^3beig6Mt(9L<Ok*C2{>fto_y9
z3@%Zbn?dabQ1c7ae+D(bK;;Lh596^H<R(yc=h3-F1yovj_O{Jz1m(LH6>!@Vl=i{L
zxPY{S`qq%PJh)YZ)Pe=o0MG``j2j?Fmw0(L9|zZC|6jCS0|(PQlwg`eD41UG-}wLk
zC8#0o*?b(_v-$tR<{GH>M)8!3N(QL*1~m{EJUVk!DnJcL=*&E*+LnMii}5<B%0A}O
zU4zj(>C8dtlc<B7%fB7eCjmtwq)!5hUF^~MBK<mOCCUF6^4CBUc_?uUs&nCm$%F~e
z@&weLgCwsP*;hen3miX?_8k9q5stLZ$)FZPmkS3|M{^dW9R!LoP<{cmgFtZx&hKxp
zVN1`T)*L84AXU8Qe$Z-4&<amTn*DhNWFsOqSHn~D{VU*T+6j)PPter79TH8T{;g+s
z+j?-)Xa)@rd3LwWZv-t0>RS#L?0x{wK+QKm6uf)|1>i1Fa}rXYgPa8({fK>OcnzNF
z(?Cu_r26(NpajI<aud{QYd+2lakt|YP}GA)?>(5CK|}eVh8ra6K_2wn53&W6l6^XB
zFcSe%FZBn=w$7t2-6hBg0b~Y9CvxXh4y3Z%mB9nD=n3qzPKZCdT?ITk!QsHa-Blv3
zvl$dXU9M6c&7gooG-Z(e|HAGnD4~3R@$E7=p&$YSo=hP14QM$kB*I>JgOqlI{oCCQ
z@_d&Ihg3&1Xaoo}i~?#&H6CsNB@s}c_3st5^Z+prw44=c-tSAG*he)_yrUW1>+RhQ
zO=KFNh6u>Kwdm%7#%mozf&;+a;6I-GKm{deu`no#zQ34v3Dga42MxVCcK1Vv(59&D
z0wp115e~<$IVyV^85oRWIGFgieNN-N#^17)0X!5pMP)BU5hF;^ey}1&xFS$D(~*Ds
z6qN%=iVmSEnhi7QFp{F9Xo^5fWxysKLsE1CuIMm$kg*q>?vC+5JazIS*i)c#+_AeK
zRFJ*|MVu$R3$p^Oz}>*H+u!1KfoJnE7Kp|P5b+4dZvTYW5uVM*pu?{fVDWZP7sj!>
zA7s{RE6?U*;5A;~UqnG<!Cvm}2elMm%Xl^)<ARuJ1Ca&AlVf*3sJ!kL;c)Dn4jN^F
zbO0SY+j&6qK)w4xjZx3W<Di5IZXx(|{(}tE$AA`xz1(=||NjY)_B6;cNMYfzAGBc_
zwA|b9+l%uTKx<YlJeZvgKzYprRLll|x<{zv5D}nKmIEn+xnKJKACfmbdUu0!YU5#0
zbqpIHdtnAjgpEf))<!$V!4p5ceDq{sV1T;8`vN$<f>M5WHz;9s+i>gywFO=<UIf`P
z0hIK6cZ2#Y9*u`9K&2z79|kTR&tF8#4Y2YTwD}uq{_FE-=6fWwaCl@MNKZ>k^XNS2
zaquN*ZL<>NiOy!wV7N!`Zcw0mG#*x9Mhy5xzf6Hz1<oI!^~j!`Up+hjdo=IM02S6H
z9FE6YPk>mSdq6wGL6-aWmZ<!P#A@1kP!ekeg@<EzFDTBtWjH*Vf3x$quLYN;tRC{9
ziEo}69*hV7KLlxd{=)D)sI+cB-^jq=*wOk9G>Ff?z5OgGT|0KRz5(&O+fOz!FuVkf
z13~osJqOlv4OPz-R6Q3!dL}SJT0hTUoP_APi>l`~s-Ej0Juz@SQz3eupz3*qs^=a^
z52%oUxGfQ)=QXOHm#BK4g7kcWw#=Tt(1qyvgsSHQs-8C>J)m`b5PN=}1&6^;R6XBO
z^?U~D0j<4*=s60}!_b5h*8foT{Ay%icpVMVFy-w3|INQSO4S{^+SyTcu{JR<q#<I6
zv5A4<wW?!RJ0Get9#mx<Alc4V(D`$oy|n?Jy<0DU5+G<}$&2Ub{zDUzEXbXZl*Z`M
z%i0ba7Vm5YB|%VQ6S9OHxj6z|4+UC24;uLKXx=9Q$~`6Q$C^Pccm~fsk<hG&lv;P3
z0cROd>#(~U)FSNG0he9RTi=#gH~(cUNofAd#1EQKVR+GV1{~PRO$-bj&GT5mWqrFM
z$ZMU=b3okgcG)HdhL_7gd&T1+ibYWr3!^CJ2ZdJ63sZ<n85EULC@RH3DuZ5dpZWj4
z`7d)RD1^08lxv_UR{<$kcI;|5L{X%VqDZ?5G{HCn)R*n8uJGvH4C>(Q0>?q}Sy&v*
zJPqosf`She1)KkYmb*2Bf)x}6pz$i?@(xG;1GGN|w8sXNnEt<TKMe|SM2pQ0-eS`|
z4IVvjGlDo1H1YzPyo0m~K$D2Oz>R$HkQqvsW6K$M<Ll)qlxaM!(~!njE~G;N>T(=E
zh18({)q@_)&ES#i&K4Eq4h6VRuoq+_s2PUTp)hGe?@)l1cD6u<xj}PLpn8=7)WrZB
z+&cw4nhI^Xho1pCsl?W^`8c?0{r|%B6vW?Vg#7*D^y&ZqUoHe!=*PkJ@BbG+PJ$Ye
zDE@%;At27e-G|tF3R@onrVF|0`1mB)g`hqJ?pS#Nia(dm|1X}N1UCqgqX?2pAniBM
z_9IAgcwr4v*$oc<?rxAD__wogq;)of7hv^*SNVE09(DlLgP@22*Mp$=gXVg8{RFP=
z|9b8L?M#9g4cd)y_XH^If=>Np_UYXXDl>h0H@^TG2CBPuf;{SKc)+Lgzfb447Y3lf
z=&ex!EmB*8&<IX)Xi8b3N<m%RN+hKq1JRV;ItfadH7cNl=Z8>gcnMA2Ca5}4k5}yk
zD1#sciX;E_sTQELVJ*T@VhZ9}L3oTM&LEx*j2GzHd<0T>y?A&W=65E;ORs}Gn~#8d
zug_ncKuCal@UP80n~#9g((@N<kmNw&{aVVi`3ShL`uxQNBsolvf=UB$f&B8t2}Cmm
zsXPETX+Yx<pjJcytXRGPVtDQW^@>5829b(o#p9rW1CLO5L)t3L&ER3xPS6nOaTgU2
zkOLvTcS!yOEj0%X<Nkj!^~C@GokyF0u<*BYGBPl9zVPVf{VE1(azNMGfeNDMFZLY+
zm*y_uBJvxk_~zf<?gR?U&SuaFkdEE$cA(N+2Hp_pf#~o?(cy`r!xg0C6}(E1g6IfB
z(Gh^6!v~}TG%f*gl?FsdB#Mr36dl1J9c^$sz8wYoBLPK69Ey%8P<;>%vEcYoP#wYw
zu0zsM^rWKbNd)Nu)ggH(igHjCWq|9D58ygv_Xki%t9SDa76t}LH6n8yR*eK51tmvN
zbc3o9W=J&xN(LUVX-{x79x?R^&f$>qVlx8+11NQX)_;MGnZXJfScOhjH?T4=fRi#f
zPl3w_<>TN)2+_X<q5t#|kTV>h1G7;58f**<h-?nl|M(b^eo&_lYJWFa{|i+87eM;q
zSpuwoF|z(`2>ZRk`X{iXxW9s(fdN_|fHkK<G=tU?LD$!I{`1%mN+D2JupR+Lcv~qr
zUhFu)&5*WYNW9p9xZQ2};CQis41R)|>Yz402Q*$hI^mQ0RY$=d1&8(o)b`UKP;(!&
zwHMTWIssyM?gtHxf*j%7TMlibRUQTxRtli8%Wlv(FStKi267Cjw6X?sD<E9P5?5FL
z?Mqaunn2^5I*g$7`2U6Z;s5{B94+6JIC^w9|6m4<go4JSU)w{ZB_I|wfF(MZ85mxx
zK_vbk0^7U-ECHHcc+Kn4-3)3+c6WmZ%t1q`FTg{=A)r+!lizSKFm$<auyr)QgM<rs
z;H`J_1z5Pe$T<Q_uZs?W5(>!Ip!E6&l3qa@ok0a1yx9ZlZ#KX20M|bMJobZ5EeDlK
zhTmQ!K^zAv^-w3DKqb`o7s<!}|L<r94f6T)ZU$|2@Mt^?s`H@9z;TCwI(Q8NXpO-1
z!~g&90`Yf(2+*bwaP)bACSq0}LXJM@cpT{PHmEah9|U;=w5Wv1qqjQ2qwz4PHUy`#
z1&9Ct2i2dDJmSg#I$saeNqVsytOqpd!{pPu8PqrT>D>(qWvJu8RpxilwEJIhAc0ge
zL**QITwn(~93*`YF3kj&-e3lk29KM)+=b!+gnQf(?hyyO2Q;0@<kMTM;nNGAv4NV1
za7+Q%HjpxeV?gKBfm>bwUIfCW5suLiX98`q0lC!(E{)KA;2d097%q*_z2h@8O!pVK
z6OnWuVu49tg-auJH%w%QNpFKoBXldsaloXf!=(|rAH?#)q$}Xk2;C7bd@$)qxHLky
z#2kK@v=v+$p}XOX5KLMcE{)I~(Jc;>{&N77yimiupjr|peHSi`(EWqi6(+q8E{(8T
zz$z6cJs&QO(9IxM0h4ZkOCxkE_|?Ls6XDVb-5XRIpwf`UXM5oPf6x~0V2{q<J3(6*
zJbFcE>Vf84OmFcqFuVZCd-U$sFk@hNadAJWU$Hv@#6G(J|NrR2Af4dD8hTCFfK=}X
zsQ?|-fFv;)Bq4V2|9?>F&G6#P0m%9$u-?#MknYak9-Uu7GiD8-X)OkiUQ;p9rj}k?
z5cQ&aAE>|euJitjhal5?ZHqt#P6dhf+D-$pU+#w)&cE;f|Ipwph5$#9aSV=ND?NI7
zb3u!$dPRM~lqnCGvh4!tl?9p8d_-Y?3aG#8zYk{8SCC!JM>wKm4@36ggB%JPY=fLa
z0oolkibq3WGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0M2?5ak3p=;{|Nmy&|Nk=E
zAsEJgaPa^C`G@}h&p-VCzx|Q_|NkBN|Nq?4|Njp@`2YXwga7|EAO8Oz`|$t&i4Xt(
zKl<?h|DO;4|JQx=|G&t~|NlWdE-POC|G(<x|NnPh{{IiUO+zmwvq(QDza&4tBq=_z
zC_XtYJw7QlBe6^$EDOHs1m+j82!8d&WuO~mpc;r!54xBHbT0{52NCLXL3aQZXXfVS
zGUS4;b7BDX*BPamtwDFwSurp$d|3DYzW@^h!-b9i|64FIFg)1!|Njq028Iip{{IIp
z*LT?R|37GjdBXPp|3Q1b40ing58A$UV9)>m3Cs)(5BB{3UjmvJ+WY@MXqfT9-v9qW
zgNY6M{{IKfcQ)+*|386+fnmb2|NlXAy#lBI|9`^5z#wqu|9=@)28IV`{{IIpCowqt
z|9=iE1H*>%|Nnzl-wRy$|NjPPnaQR9|4rB!7!F+e|386^fuZ5@|NlK~3=9{p{Qtj(
zje)`7>i_?sg}oE5{{R1kje+6A)&KuN2f7Db`~P2toq=J)wg3Mu*cliEuK)iZ!p^|Z
zaP$BF4eSgI4{rYde}<ibVZrVH|3QmsKivNRA2jakaOeO35Do?g0nl1=4hDt=_x}Ii
z0~*D>|Ns9D4hDvV`~Uxc0m(o5|KEU<fnmbq|NlXYSvNfW|NjJNjQ839|4%p>7#2ML
z|6hTNf#Jc+|Nm3C7#I{@{r}&<#lVp8>i_>aTnr2gUj6^S10?_I|Nkpo3=9FU|NsBP
z#lX<;=Kp^SZUzQ{xBveqa5FGCy#4>bhMR%m!rTA<S8y{hIDh#6{{}Y$L+ywE|G#iE
zFiiXK|34281H;Y_|NpCi_RTUdFo34=KnaAgDu{uxLV!`4hn)kolmjFVIyCXY`v3ny
zs}C7m*g*>r89<x8Oc)p#ZmjwL{{d(Sluy8oPr{3zyPTte!CuN*%UA`pcnoYV=<16J
z>;L};1qsLw5Vl}oU?^c=V5r#e|37HvmcfZnz===7iBG|aPs5SVpqZ_QwU?!jxu1#Y
z9-jtSSOTmBG@cAP$D4tHVa>+>|38B4c0|(a#Ao2hXW_`_(9GV$*2~(*($Cz+#C)3x
zBxc}*WRxQx$UPu;{9#~VXxQ@qKWKLf1Kcb}J`H!i1B}g3Bbcf|?ot4|Q2?SBwBiZ0
z#Z6@E|Np(9={2}sustAmxbtmbMsY|X3wDQq0u{6rH)7}i|Dg4VpfCc7f#%{<cK-hl
zI*1Y^1{!f*!^pr;u=D@_eINl$JJI~kv;>=tAalMjGBCW@`TzeW;>?+W%N!df28M)P
z|Nnz#l@Z|w4+C`f+{0!LC>~mv7#JjW|NqYjS{UKPC*a5@;mD`Z%-qMs^bEulaN^@|
z<x^mO!3yI!@_`QI1o`Cv69dDWga7}77FIyh5=bvN1u-vY1f?vPb_-;lBTUATPXUyk
zIhYw3RF3`s{~Z)2sBQ>_+3L!tz?2T-IPxig&V>i9gM4%B|9{Z3251;K@+p855KA9(
z8xzwGJ_U$fkhB9@r~xViJC6VV55B^~kx!tRiOCa`D18_h7(g5Q_Z<KKAG9zHY92Tf
zXh71pJKqP?boGJ}Tc|qnfhGz;c7b*@ygB**Klqvth+V!QyFl0E{9$HbFgW%9|69<^
z8ghDa<TG&R+rS9Qi;#T8v=)@R5ZTcY6d@ZJnWY&q#6folF)%QIb_yIh{r^8`B|a!#
z9Qh>N`93g$BXt!l454aUSQr>0&Jb1$Dvvg>FfhD1^Z&my)XmLI%qEN=*9b5$fcy)Z
z|CBk0>0glC8x{tJ1RQc4tPBiGaL9pn+r7Xc=fKLqpmQF(eKD*I3<X%^Kw)3O%D}MY
z{Qv)n=;atV>?bfIg?%nY7`yUKU}ip#HS9tDyu!-BaN;7Sn?UL711kdq&!zwWL0c9P
z=?h%uZ9tTH(^1PjSH2C5%uI}^f{^eAP4w1W{{J6*oensC)q;{2I4)w?7#KESkpt!V
z3N{9YCzt>KKM#sWZ~+gh0~|qC7&No?K=SWyWGkJ(=7J8w-NMGeP;vGD|5ij^1!p)=
zfl-c>wm@O=fQ^CS&ei|_13_xR?ql)>83dC1!^Xg%aP9wpP(1|-i)JRKFpwO`eG=>p
z3@O+C|6dG>AGrI_>nP@lOh`2g$b}%ipti$}8~^{8g8YV-uMqLdoXUu#7gRcc(gkSZ
zTISaO|I#3P(e%3Wp`?oh?CD|}&UEnx)RwvZ|Gy_9ESzEf<=|jo5V`aJKd9aT<yUZh
zhfyapHzB(j<X_NrOfDP@3>A0(|2M|!<_k#W2(vU3hNB_rsDp!nq2&Jm|KMw)!0}WL
zavC@tE#Y8bn1MqMv=M0!4!JuV3=9wM|NjqayF%i(1Z*a#4Ffv4jpf1r|DbzmLH<Rm
zbE05L2o#qJpkvt{{Qs|mKQ3jE!vz$VAUk3>85kTMqNGz7z65X)SO&8Llnz@s85mYP
z{Qn<RpFrH11$HMWtuNqYVA%8U|9{Y06p%ZeKpGXA!TE!!0#=DJFn|ttKEuhtpz!Gb
z|6)))K+-ItE=*-YC<fg>&cML%hm(OJ`3W?9TtW2^Bz!<^KM5`dhQ=rV|AW@+GkEX`
z1n_Zy+jIt83=B<A{{IK9FawE4@o_MK>Q4_Y28P}z|Nn#TQDt!D6KG>{<dbM-cHvWC
z@&{*XCr~}*P{^kc0rCN~B?U@|qj)p~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz11N1Yq}_!|pc+b^k%G;RMYyK@W=n@j+vQAQ~nQx^o@G=LZoC3=G*&_0Z`S1_sc;
zH3I_!=(IVIk|{|bl7Ru-&jyW$g7%OyFfhR80zh*WAQhm~20%3I{&mn;D2NY}|N8HL
zK8U}78ALKLK&@o}9mfI^0UZehqCp!KKs0DaG>E<mRR}s-9K;8WM}TP11zjK-hM~qX
zL_qJ`1r0HP<San*A4u~^pdoyaIOupp5N!b(OkjZAn+)P>Km!KmZt#g}(A^s#d6@k$
z8YBk7zyCx0$H)LWz=i>IRTtR5FF?nfL2m5<^I`Gu0jj<ZD*qG82amCU45Tu)fW{lT
zv1ZWtc7xJkP&y4tmqF<^C_N2IFN4zCp!6{)eGN)KgVNuiG@ByCW-%zO2BpoQv>TKT
zgVJeGx(rIULFs8wdKr}72BnWd>1$B>8I=A8rP;u<ehds^P+AR2n?Y$eC>;i+)1Y)2
zlx~C4)1dS+D7_6zAA{1@p!72+{S8V(d`~>d0lI1ii}DSz5J87H2wecB8R8-Q3!p(-
z1_lO!Xb3+5N>6~&7ofBNcu<*vp#e&708Lhbw77u?28IMEeE>=;fVN|R<|Co>11P-#
znqUu@L-aY=KxyzmDFcIm9fY3%r5}I>WEmJ392_Cy2H=5t1_s!D_ptlz;rH1?@2`j7
zR}VUEfPsMlb{{?L{(0Da^RWBnVfV?y?vIDv7Z1B19(Er*#1#w-2B5_Rpfv<g8g|dS
zyR);Ef<|akX<kXGf}ydVv7UjhSt*!nRA*$OXJDcU6^5CFod)H57KV@i(Pcr??2HU7
z3^mXK44PfQr$t~>54s4DiGiQN0X)EoFb$*+Bwm0f4!TnwBo3=5U^+l!?2HVO3=@#<
zlLyI#g6j@Z23R=`5(8n--DMziVD%1241__aeK0bJFleBaFUuJi7_hm2Jq~fu0TS5M
zgSId*G6*yD)Pih7#n{w??wMd<5MnSWL{$qqdWVrg99}=bWZ#4RE5?9cKCWj5RfQ4^
z3~2cfG?xrI9|oo$MuRqz2{K49C_vSNjuZyTfldn%V&G>`0Nselz`y{zds~eW<Vt=9
zSiS<!e}eXNLd9YE5j>B`z`$Sw76+M#iZ?Su%n^XbJ9sSw0|SFUSUo?30yMusvl&Aa
zR9pa>&SCTSpxcH(?u6w#n0soV>S6f{JWs{Iz|amBM|BH`Hy?-i7DiBbf>fa5!#LEx
z28;7Ez{)LXal-HoB+ewj0Be`PO#1^8XW(ZL03Dymz`y{?Cm=Z?CP?@cfF>)TM?ZkX
zHNfH^7AkhZAs&W99CVl!INYG+GI-99fq|hEte&3%RxX0)|3T#^R2)_=g6G&lw`xGe
zVdXe@j)Z}MVH#K*)r}zDd>rEYz~cN24bbu#7LO;P;;?cTJg3gUzyLZ#8|;4Q<?^8P
z4Kn``R6PT<{D+zImI-@2a)Q$#NGB==ohpMZzt}P}FbFaTGB6ZC)I*zT3_du_NyQ<a
z4;JTVfYnDZcUD2gVf6vDnqz2%i9^#rc&!Qp1H)u+euuaPnOw`vz#ssc<%8yHm>g)g
zF*bi4hnjyZ5uy^BEg3-T$Uxx?tB1hzrwj}Xx0x9jur(3BLCt~H=is?ZP+7$SNzbr)
z96Wc(z`(!*6)%9MfAIPv1_lNtusFmm$fPq4anQO5kUKwsCJ2%8Q63KUQ*elH1dH=C
zz}hL$W&*<=usE_yAncng3=D!y67c#6BFez<6o-0NR!}&=R3T_pR){(1?I$C!dVYAj
z29{22q2jQ12zWgR=(rKEIKo^QD-4Ht0uFId{|XeIu=WagJpls)Lm5~-KZ5|YeFI+a
zz`(%J2o{IghM-r2#rYXv?HcgBKLZ29HmEqPT?4AuLAnmIg3>Lbeg}zx@L8yOSo;uG
zu7cJlVoT3|q3U7nJn$MQ1_lPuQKle&!P=4Fd1_Gk$_9!Teue;OIt110AbT~T;@|~(
zpmr8Wkb!~09xM*!AW=Rz#7l9AuK<hlGr-!J;Ppui3=EsW;z$NVnK!`V{0y-6GkE@*
zfq~&MR2<fh1+TYaU|@I$7Kds^qSV<T{!M_^@8I=z3=9mmU~wdcP-Yws@n#(2%W;T1
zgWbc=Pz)_+VC4X4Jt!z%VC{BTI^T`MoO57tet7#Emi}*o)|oO$GR%Os524OyxCd4b
z;~{9!`V4I82ecj)<em?@5Vf#z17i+IxWU@>u=WY)ECX!jctF*|`Ul{3Nem1O!5ol!
zL<id5gViI6Q1u_6<ve&TAp-+LK2#jmZ-CX;KG1Z&78;(g@s296dWhSR$w{DfvkaK!
z)l9H@eg;^-1H8tDfq?<EMg$ZNuzm-49SZ{k!+NlKWRoH6GhlIk23Y?MmQSxk#V0`9
zGvKwM3=9l!q2dP6d<Sd)Fmr;!8Db_fDTzZ|8;7_LSe%~$)_;Sgt8l0|tRD$phr+<X
zkOUQXfI1w!{*ZxzAr~tC5*p6n^%x8c3`HPuCLWB&MFmJ4+2K(3R2<?A!O2A>hI;u7
z@$rdCneiow=?uw5CB-GBX=!@N3@NEasp*-;C8<U6CAsm*Ir(|1#SHQBDf#i~Ir&M6
zIq@ka`9;O?iKP_`$@#ejIjJS7DS8IjRm7)d=4Hkw78NB{#;4|$6jd^$6(#1T#;26#
z=2kMq$0PCLGxIV_P?VPB7v$uW<uZVly~i8sB{Rgwy9GJ=y2iWuxx~ja#K*h)g~q#j
zKm|Qqf*9i6ef*sqed7Jy+=5+0;zJyrd|cxh;$0&B9DO~V88Wjo<BLmjOXBnMax(K$
z8PZDgl0y=c7&22+Q{xNbO%e^#(o&Nx<BLm^;w>0bD^in7OH$)akeDV6xv9CusU;5X
zKAuj_@kV+^dZuuNrsh~pGBiY}ForYDj2JSDGjpAaGE>r1<I_`1!c&sMi!w`6i@?q-
z%Sg$IFUl;=%uA0?O-WB>h>uUt&CiQ3E=epZiH`@X0XsXdG&i*<GdaGvBqhEyuQ)S3
zFEu4TFR>&(wXifX2X39YF#|X*U@o*wG*3-4Oilv()d;Jo8Q2oALm&|ditWUr)cE4e
zs#LICa#3nxNossbD#%)}NJeU60XQNv6LUbSU}0!(4tI&M5rSz3XIdD5^`{i%7l0YX
zsU`8nW$~cR67gx7IjQjli6t5Fd5O8Ha4ja52&N%JNl{{6abj{wW`15gl7uNkyl04S
zJR(hp_&S49bVy=S4#X26n~IX-ONufRbK;ZpOY=$?z<vfB2udOGsb#5oCCQ07IZ27h
z*$nZX{-D6l%!@BAPE7$j7OXxqFSV!yWJXbHR%&tySRyeeGd&OPN>c+k)65vf!A1~Q
z!tx!88{^|s5=#;x5f3&LY+F%kE<<r<ZfQ<p2_i(y%o*b2lPeNIF%1rXtjW|I;S6&V
ztTAtjuqQbqH90%JC^0WRH9kKrJ~J;RwIUuCN68tfDW#yeDaubyEiT4tmLb?I{7E%2
z1t}a+(;8YTwg8)!Qkj>Sn+b|DM4W>{g&{sZ#Mc>GJb*GgNDvZz@t`D{m=j-+2r7S2
zav3;zl_eHsf|5i$EDk{BS#f@RMq*w{4kCn25GmHg2<(>P)V$)%5>Q$PSy)_9TATq9
z2eGQ)5pIe|lcq?)TMP?AaB71lU#L@ybMnjK`prxbObdqi_@v@uXp(?uF-S;*y_}O+
zToPZFm{SVMtm%0u{)VYHMoI>zSfdVm;(%mS@V+Nd+5`C-ckGtr$7kl1q^2X6YWVz|
z26A|DY6&Q(A>3ySiFQy<1=R&9nMLtA`N@gkyq=jBUzD1gSWu9f0uLc`L|_}6fMWw3
zDnwfhF5wJeVS-XESb~iMxdgN`3sjF4pqEehLnIxNqVX$2s3`^&h_EO#F$YyY$+-ns
z^R<aFLwsr;Qcfb|Ux-(rg$TS9$5Iy>BBH_+QY)l@Qa3!jO^q1*N^^r!!I6^cnSxTb
zA!<)Eq!bD+Q{xeZYAUFZgBxgus8r1?8RFw}GLt|x9H{EcP0Y-Ld*2w5E6lN1V(=;%
zrNT1?dmR?61(4z^wHO?_1(k5)4IzmKBYBtP!>d}jlZ_E+&Kyxl7@IPH9LxZ&6Ty~(
zQzB{^S6q^jm<N`G#~9p6@rfnzC7HRY@l~ls`3wwt#g(}wiAfB4#U(`$Is?W6<+Gv!
z2EDxel2pC)yi&b_qWpr?qLNA^p`_BxoD|*66sVA+lcz4I@ds9(kyxC;pqEmaS6rD3
zp-YMwz%pg2Ma7x<c_^ItA_l#p)SN_+1}Li_r-VTd)QDryE66F)OV2N1&?`x;C}Ge8
zH3Av*it@p6te2VrZT4lP6fwYg5Ur5*A4CU?4K3{%^dQ=kii;WalJj$OQ}aN3(4b9S
ziYQQj3pDotn-2vY1O_q?M#JW5L1G{bQVXJC7&Lwbnlpvz2b~!Wl7rEpc?S?5hGFxj
zFuoadZWX2<bW0gX4n{+VnLt8d4C}vvISdR8pz#c3`(g7WFdE%{bp7EB3=H4?|Idfn
z51W66(J=dA^Uvt+2X#|GbI&mSu=!~i{Qznq$c><|gXxFO4`(tkfX-V3UpN8s6KuX4
zM#JW}L2@7rG6O`zFsNJmAIbf&`C=HI0aXZdKg^vlx{d)d7Y*aX=A&UWbUF^S%@llM
zEzJE;V;Mk4$Ai2G)2{)YABWMf`Ei*2F#Rz9PY2KUFff1)#sirNn{S8HAj26L7(n_#
zbMol=K_}gU!UQA_(hpmo0HZ<a8!QebpvFOY3=DHXW2R8^L4pd<1OubNdqhDJPz>7J
z2x7u$&^#83{h$m1QUIl4?ga^fFpLkQL1&*M>xa$L!00A>kS+v<*$Wc^og9g*A2#m+
zqhaebVCvER4;s$_>4(K1Y`h&tgATxi=>^d+cZ1j<d;}VPF#WK3H5d)rdks<t!|3*3
zLenn*-nq@d0HZ<sh+%p`G`jtF7#J8pOC+Gvqwsk~*nA_pdKe!@gO2kCC0Uq$*t{l;
zhN%PD4Z<)!j0PRe4oZ74{jhmL7!A6x8Ke)C|3NfNA1oe0=h}k8AEqC+J_SaDuF(PM
zgJGC{7!A5w7Fj=hJ`y?~0on@<(+i@Z$s5E3V`k`r6qx<6`Cb?evj?mg8doqjhz8AT
zg6xNl--E<J7^Ytv#6iL!wID7s4NE&9aTq=T9an6CCSXuK1R5)bxd&E$a6-#Zm_8Wo
nFdxF&2~q%If=QUYU=9OlY!FiZ!uT-yC+KbhkUW|OG%f=GOxrE&

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..334ce0b
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/loto_tb_ar_cfg_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/loto_tb_ar_cfg_behav/xsimk -simmode gui -wdb loto_tb_ar_cfg_behav.wdb -simrunnum 0 -socket 51681
+Design successfully loaded
+Design Loading Memory Usage: 20196 KB (Peak: 20764 KB)
+Design Loading CPU Usage: 20 ms
+Simulation completed
+Simulation Memory Usage: 110132 KB (Peak: 159464 KB)
+Simulation CPU Usage: 20 ms
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
new file mode 100644
index 0000000..3523bba
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "mux6_1_tb_arch_cfg_behav" "xil_defaultlib.mux6_1_tb_arch_cfg" -log "elaborate.log" 
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..ab4f92f69097f32a08922d6a099d5ffaffcf889e
GIT binary patch
literal 8744
zcmb<-^>JfjWMqH=Mg}_u1P><4z_3Cd!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3
z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s
z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{
zJH_%ueTrx2f6vC_H)I$XCO`oHHs=VRUX=qr-Ps5ibbH5uZR!STPw70Q9qhrsJ~+gY
ze_MzsgD>MBC;n|BN*%!wmM2Ptx`T5VFLXM`@UK6_zy6fviMq9pU2M^gF^;j0agOna
zk2yIp@NaW+@aSbZz`xDQ(Sz}4R|})2ECWM_lhfe|6R^uy5s*KPB<~0|zpKSUMh;}C
z<6(%$pkydKzymxwFL!4pxEj7~ee1~Y@wW3l*pH5#$2_1+&(7n9-#j{xdvv}6OLb=_
zw7zxR0}gY3&!_*-`gA@AOL%lT7kG3Y_v!rS)A<N2(wSXx+_?s<vU70*0|UeH<`xD9
zhX4Qn|3B8;0TS<aPU!Xc-+I7>-}6OhcMn*}1ds#+1A|NF>M3A>8BjeQ-Od#roy`jv
z7#KXdn?dGzbT+SGU|{G5i*+{70kON+FfcHHBe#1A0|SHQ3I6_{;N)NnG8Ym69^Kgi
z-Mc~7b#De)*F70zM|U^K0I<s;%+3?q%^*em>zhIH{M%eu8Cd?=u$JfZZ#&?b%+l_|
z_~ZWrkM8V(?&^x};+pQsAn!p806Rw8xq^Q^*w4pYSQ(gJyF;v>K(rR-*CHS-kkDcT
zF~PVSY<f4;gC#QElR=(lyZ{LTSfb<a6Z!xDzfW&=MZ<1zX!EzsV_;zL>~>}F?DpmG
z=xp8s3d+uIP-J$4Ii1ZLz+rd*9)>#@7#LnFgKb3+-IGBIK=y%@!|bbfM)y~DH%J~D
zARs0pK=`+LXLR_mGIT?21lePGqI9WGcXa{6h0YEho!%ZE$+ID`eIIO;N9R#UI`inf
z1r~7W{0^mIDe;9z^AQesev3s-{h<8i$Oy`RT`d->IP*uaN8=k%w0m@3_U!!U(_7_W
z_}1{-E>OAV)A=84p$%hcut#T%N`ObVb3nIuL>CK3M~ez5MS1jws08>x-DSgEss&QU
zVfYQEvx}j_Ma2W8iUY2S$$@{$(br6dZ#!RjG#&w29StfiVh>M1<X4ZzHx-Nw3=lVU
zXC?Hy{_ku8r8-0oZUV*i@g`8h1*Q1TRUr2qZvy2dP|oXY0-1cg36$0$@}LZLya|+9
z!19n1pwmT#1yKYXK`8=Ux_5)DbnJC!bZz~=6J!d%=LyH=|NmV0{a<wQsCXp5gz1H5
zQE-GkgOwp3z2Jo5(zzRyD2{`Z9>^4z&efoFaJ(6mB0-Mn0%!A%W>9iF-h2Y229!R*
zS*o-747hl>!oUEoY?^=k^XT+Z;o$Fk%EZ9X>%rLBeF3cC2vl3^0sfAAOrV+}MMc1;
zH%BD`mZCwC69FOw__u-W>Tm(YDX5SD1!nhVP+)dX1_fbvH^?|}>~}YVnA+fyjDLMI
zNETc~bhxMl`gDGWm4Y2DDxeVe=+4gQ-VI`PZw7^Z_he9rV=)e-gnxZANETvTi^@z;
z0R}P=QUp789{1>84KDe>0oc8H3n)}3gF+39fuJzvUk?sbmyQ}%29QS~zV+ywqhi3w
zzyR}gi%J0~ae+%may;ImQpm`_;M4itqjw6}U~o8r1G96E3W$TSNdsaN*<qrIunA-^
z2!rwzwvg|fqXJ6fkWwF?zrb+|D)m8%P@=R&<pBez+QAlwV5?z?0ZZ&Xgv1^wOhC>6
zEAPOFR7fI+7}MPiO4^nuN{qTEgOm4#PH<X-m9+eQUVs1pe=Tyn8I;07$@@519>ntL
z-V90^9^F1F93I__9^IZC9-Z)V6qLO@I#J8fZ?JN-yGDft?7D6naAYxffJ-7!frDC>
zBFdux*UsaPo##9{KYMikb?iLr+q=Y8gBO$rp1X7&0vpzPpd{U+ckcsH1_m(SqjztI
z7!sQYRGitUcy!)#>HOr<`4gh3^RQ>{9$g2pQO|rje?dgM(bf5MKKJOn2bXU=+5tB1
zJ=`?Zir^(EgeFV?H-AEc13Wvwdv^Zu+$YJvz`)7CzyK<rAytWIce?^31A}9CKPa6#
z@^7D_q9@0|kY+5x;n+1t#XydM!6=4<iGSPYG|p@MEn68F7{H1QA&MA5ij2XE7~zUQ
z(E?Uvf~3d{P0?(aN#;n3EYTE!iW;y<R!E9$;EE2XabDnW=>^sG&Bu69JoN))e0M*n
zz<dcx<etsPn2;5?8#s3RTf8ptY(B<<A|Bz`?Vs>E!n64p8?tyisA6{P?gyFm+RC%}
z7`TpuhauR@-Tj~v=(UVz^D!<Iy`aSF*xi2vRCtMSICf6wU}9k4-|oV}lIGai&cnpO
z;Mu$1fsuj1v+?)`Mh1pmY~Y;q4;H6!j`5HPbqovkXny12(fQf4^Pk6l3kC)TCUA5@
zQ%y6dSnKWvC1g+)?V{q~(fJ5g?{+jhfGdT~8K4rW@vsIH1B2nEouGQ&afg69C@p{Y
z=)4CuY8QyV6GVUtxz2kY%||?<9pfObfYl}wAoVrKNfi($dG5D?I|<eT@aWwQve59G
z;U&Y9V8dGu@VAJ7eA`>kX!s2*<k`E;R*r$8dp9T*dNA(>rO92)3=9lAL4@NDkR!ng
zTMm@?do~{j$0ej~;@H*Z1ZvwfzhVNX%{B*6TIp<l!NkDe(Ysp$;@JyK3=CicJ;0u+
zfV4Qk{_$)+4o(6P<2-w}DF}m_+7OpCgIwa#>7o+haoj~E0TkLEJ3wv%)!HEOjN>jU
zpipN(Y2$R~s04I*Fm-f;9L2w#Aq}5<z#fD4LOhy}K;3p2l!b9%5vVw0RS*MXg#e>8
z4?D*MMg|5@f{=mAeE`u;d;(5<5>9*yPJ9}Ud<M;IJ*>Sfea!t#O!xRSz`_z>B^(S4
z9t;c&5g<Jb3=AJZ{&Ymr>%?c^$Y<fm=g`dF!`92%$I{Q-#>9M^2_$CVgk+Q>9|r@(
z9iVz0WE`lE$^bXZkx#>&?*L;n)Ci_(kh>JXZWMs%1-S!M2Z8kVvVgKNhCAH(HZY?&
zq>u%>LtGdb7<52shk=1%AILzsJHT$n;wPph*h~V2YYEhx^B@`=XrOR)1i9a!nY9NT
zs?56?L42rxoWNnB!oa|=0i=h4fuR-T1}8oNM?MJ`zJzAxJ|?CzJ_)d+I06_L7;Zq-
z#e!(CFw_ngz6FRd+kj+;BOiw=-vUOaiKt>Ad+=a3Mg|5JhL8WTDPaZ|DVQ?M44}wB
z6+h3!z#zoH#8AKm5eJ720|Uc4sJH_UL>%mI1_p*+sQ3k_IM|<{ItePSzzR_hj&}wI
z23}@}`4CYC25_1Nl?qVxFuojA!3?Ol04V$z7#LvU<xp`@8xW)vCY}ft4}hu{21zn7
zFnB}7!5JHt9~c-IOkv{Cpn$2Dhl)>tii6WU0|Ub!1_lNJsF}#rX&mBJjM&xl;SdLv
zi`dN1z+wI&9O58<VlxL6EZD?baJWYshd8Khhs~S_9O`RvxYHkp_*@*~i*cBLiwS!;
zgW?mLJHO&EAC!!-sRxx|*u;M`LeldFP$LXhCNVHD*h3QsDEUZ((haC~`Tzg_N*v<7
zpon1r<za|F{y^2wKoXZgGG_&nxGIwPK_qc;B=O5g;-K;iW_}u|UVzr{ph^%X4$9Xc
zr=q+698^8JI3q|i)cwf*y^lj&3uFLPy%3T+t&zl$-RX=ZE{3Gu8;AH7Bym|J^|z74
z`H;llA&G;AdST(f2ra)r(#Y!jk;Fmi0;Ya8k~ngFtws_@j<4%T;>hv!21y(_95#U(
zlF)EN4(FRl;@n97Vq^!!A|zcQtJg*nM^+z%B#x|pH4gDxNaCO@01F3EP@@5hJ6({(
zk<|wyiG!*hm^sZz;>hmVk3;+&k~p&Y;7$_MJ;>%4ffPZ-k<(!_k~nhs_aKQQr^6{Y
z#BU;rD<j3rOC)hoB=N5}#Q8vuhPo5f9)yLD3X(XoJI#>9L1iFJy$g~!vid9}ab)xR
zki<cKO_({!phN?853+a*4)HlS#JAuOzl1~l0}gR(P{9p#k0MfdMj?qKyR#BW9K?kA
zYbl5WHAe}_oP$W>ptc4~{Rbp*Wb>JL5&2F5$sAE6ab)#&Na9jR>I0C(k=0K`5=Zv$
zd?ayX^-qz+L46IF`^7<p8q~kY=Exz53nIA(;wwle6;u`M1rbpFAUO~hguj3Y1_lOb
zb_BOTK|&hP`T!biaB&@|IH)W|Hs>rz69WSS$j!*&EKv2JutgRJu|YTnL_q5`kP)Ev
z5J(8rtq0K{F%X8e@1B4Jk<`Q5t+4hbNG%A%>UmIy9Ha##2EwrR@)l@43laliSbO;n
znmDYz%#fL#8DCsbTAWeEkeiyDTu=!XDa%O7i7!shNKGltNsTWlO3W)xOfJdH&x<e4
zOwUWqi7(E{FK5szO3g{sD@m;=0qHGHEkWqb%u6jQ$xO_NFG|fyO@>Io%_}I%Pfjf^
zMyO6LOU)~R8C{TAl$e`Zl3Ik2&q*vUi7!jcDNV($sJI}%0IWI%#sGUDIU_YWJH99}
zFFiFrKP^5pFD11iKED9u*yLP@hQyrA^gM=={DPdEvRsG}m3fJ|naL=g2AKwy1To?h
zi;5B}<CF7q3lfV`<5LSu6LT2!^72bk_1yhJb&E?9ld}<uAzn*P%*jcDiGzb1tRe*z
z@L*PPNp4AeeqK&yUMe(LQ#0bzKmh@k2HRVdS)7@d9-o?$o(dMsOifLV&nwMMEy_%e
zFD^-mFU<qRPHIYgUSdgnVoC~wUU5lLNn#R%UU6kEm<C5!QGQBdNg@MstXeRD4J(K@
zNi;}HOHH<nFD^}rhl^S!ny022CMSVK&0%U$M9r{@nqn0-!76IZkXn(NTw0PEZ)A*B
zfe}K15mp6;2nB}V5CR7qJlP?87!**6Iq_+rgjJkc0*V_0tY#X3avA0L9wP$-w8%g)
ztN~QnF)%PFqe()uD_pG;bgBm0%z}$U)&ejv=t9d&m;|gGgYiLAxS%#9j1QwDpat6v
zsKKD>A5?C@%1uxk5~TJsBV?)wBnRTdZ~@d_m^zp^x?S(U;{z~R1Z@CnR536xfM(i2
zq9FT0deQCofZ7jIgN!?%_9Kgd*y!Om6QaKW-Tg5AprK2UJ{X4C593e4;r;_q_k*U{
kKx#qm2Q^7y`eEVnj1f|2fz%-54bX^M0gZo<yRrEn01PMT;s5{u

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
new file mode 100644
index 0000000..8998a5e
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.c
@@ -0,0 +1,111 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_22(char*, char *);
+IKI_DLLESPEC extern void execute_23(char*, char *);
+IKI_DLLESPEC extern void execute_21(char*, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_8(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[5] = {(funcp)execute_22, (funcp)execute_23, (funcp)execute_21, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_8};
+const int NumRelocateId= 5;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc",  (void **)funcTab, 5);
+	iki_vhdl_file_variable_register(dp + 3592);
+	iki_vhdl_file_variable_register(dp + 3648);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc");
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
+    iki_set_sv_type_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..b7efc309c9184c9e4aa6bae6e628adf7b336f9ef
GIT binary patch
literal 4336
zcmb<-^>JfjWMqH=Mg}_u1P><4z)--0U^{@B4h%vJf()Pe<$eWwbpGDS%D}+j(Jcy+
z^5``MQ7=G*NAGS9J_ZID+X2RoJ`B?7(fo!3MRoHL4y+P8KxRP<fhh?M2I=nn?a}#l
zCj$dR!!D2#s9_$xwjdrvL+88B`w$kgC=wfCcxZ4ILx3a5I0i?ss7E(1$RL=<y1^bp
zF}?YS!u}Kns1Lz<Aa*q$;fRhs%urFBnX8wQS)`v^T45G%7+;bUpIDTf5ucov9-ow&
zkyxe=R#B9ilb;N-3Cts`zPPNUvLKa#fmrjCi^_^qN^=W{RiB%h%aB=|nVXx-kXsCL
z7bto`G}+kQ+1W}#BQ&WrucTDL&{)q{&p_9#6wEcMGcwULFwukxgRNj;U|<knU|;~*
z>+ToIz{J3C03^l?mStcNfzpgsK@5x)0*ul;>>Lvq85krO7#L)ra#kSPl~16Vsf3S%
z0iwqOB*wtNAPJ&9_yhv@IKXBFfcOjy49XzdgHIrekAnfEHUq?GU|?7YqFwm}+L#>q
zB$}CB_!OA@`7|8)44n8Z9Qhmy`4l4fBpmq!9Kkk$6l2Gryv4%s@jo_MW-K|63#<?n
z3Sb7D;0LqVz$7vO2^Tg7c4R@Ae;HUA4A8{oz<gGQ1Tc*tz~R8a$}j;T1ZH_LFfa%q
zxf2}53=9k!(D(u?LL%%K7#IW@K%oy21gQhD+;FH5#vxt~wHIa|IQ|$I7(j^=lukfq
zBI8D=dXRY_afp8y7`kwnzYd4^5vVz3AVXm3fPsPGBo6g=afmZ9LeewT7&xVfL);06
zcoYut930}!IK-zjg5m{{N<euF<fx@M)NjBcz8i=5F&yHLafpKprg%fWWClGSX9Eyn
z2qKI?gfWOP0THGQ@$NqUPL4kD{%&r;t|9Ruj!r(V@eFCDdC4J(Ner3UnepJFG_fQV
z%qh!A$%#+P%t?(eODxJvOv*`(FG@|%EG|hc0?QYt<`rj_WLBjz6ldm^=D@TSCugLl
zl;)(y7Zl|urxq8d7RM)+#Fu2|rp8yL7UhGrrdFgTmzE$YVaQF)%mYhhq$U=`XXa&=
zWG3c-%mz8DIJG1mRJf;P7RBe}CnuI<=I6y{ro|Vf<|Y;tq^5v1f)o{(#e>Rrh^q?{
zOETi~5_2KG0ZD^O@_0~Dj-jA9GuNpoGbKGWK0UQ0JS8c-C==$*<f7EXlGON=)Z)x^
zh!a6Z6(z@)6lEsn#3$#M=9NGk01XA0QoqvNAW+qmSd!|Q!T|HKkr9Gvj9?lvfP=fF
zC^4@X6eIDeWvO{3$%#2RNr}nX3}ErZWU$9UMJp(|fy;OhLyLidft!JW;m>~v5C;h|
zFo4Q^22hy~6Ni=Up!5oAlEB1a<qAj~RAs}&O`ztWi@QR_nHU&Q%m<ZkASsY~P#Fs|
zCk`Zt#XaCkn}LA=q+Sro{kc%}AaNli@kvl|ki8%|nE5l2#6hJ!One?x9HbUhw!_3%
zAc=z-T`=+WP;rp?pxOZ@z6B}{GDi%_J-eaeAoZZq0H*!`R2-xp6c;e@Q%K^Vx)vt>
z2r3SWM^JeT6Mu;$&WB|02PAP$B=PT1agcjJwFb<bKTvUydq8DBOq>f;p+du73dtN1
zBynjZai}ri8cY_(VPJsQP#|rPIuRlX@(YLs5|;-tpcv$L5ECS>0AfHfj13Z3go=SE
zm^jE^APf=%VH*$uO;;dsSp61)CJw8|a?r$K^%8?#ab<2vViJR1aY+$`&VaFsQgagZ
zN>VFI81zySOA;CMl8TEN^osI99FTHDJquK>A&kqQ2i6N#Sd^N>pqHGVo12<f!l0Lz
zUy`cl?iZ?CTms755Po`Isa`=*enDzcNhMTkYDRoo5vcrvx|>o86po;<1*L6dvmkvE
z1_pVMB$5OgmjRR(Kxqi39;UPbl-L*;7z#j<z`(!&%KIR_P+@Sp5~Nm*fdQO$KxGn$
z55w^w#V`&MjV`PMO>5-pH-y@6gk%SZnE<s2mY+dlAPjRqRGI<gZx9<@zckd}koG1>
zCj^7kf><!xA8J2TIY<hOHJ}2ZumuZ133Pr8R6n}^9iaMQ<v3J311P>>Y!Dp|&Fdh4
zfcP-{0qXDyr~oMbKz;{dkPs}tra|pTZwF^U?FYpVNIeY0{0*b?q55I+FnS79Ka3Bf
zVftYFdIkn?+Z<i_45$FQe_`&2>7Nd@AF3QC%&-G0umCOsVW8^=)jyy(K@YzSQ02?O
z0PhEY!VgrB!t}$!59A-HRS*lHWCS!q4WKlr+ys^D==wooP$f{E5UK;3p+ABwfCzy}
WG%f?Ej>V?`1l0Wt!MYFxx_$uA+@wDM

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..ce8b638900c5e2f8dea0308c91c0f991ab190aa0
GIT binary patch
literal 4504
zcma#Z%*o8FQ1A@S%vEr4a#t`gFk{%1y(az3|NsAYFfcGoU}Ru;z{|jp!NkB2!OFmJ
zgAEf)urn|uurn|)@Ir6^F9X8@UIvB>P|U`_zyQL63=9k)EWm&X>=+mr+!z=b=CVTM
zxEL51K$r)@Wng4rU|?i~U<NRcf#LuE|NlYKU^xZ^A0!6CP@_Oh24)5Z1|~2ILd$^6
zV_;wqfzlu;kU1bdoKUs8P+9{?4M-ixUJwSEXTiV#Hro)78Y>0{1{MYe22-ecATvN1
zWCjR>>=S2TU;x<-;)Ad-NC5)_!v(G`IVclOfz<IcFff4hfiQ>-a*G1ge30KH7#JAj
zp=vllI=Q;!Kx#q$1<@e)fb0QbP_%)ROyKI01DONz6G$8+2BJY2rX~QY2IMD@I4(6H
zJ3xMdsR5}2VUYPs(DVRegTfpn&Ik2B15dLpD6T+aAbDjpwIKCywSn!npmYUOtAeH$
zq#v%<wA~iuCYV}PG_@c*;c9)`ZDHvSWQH1=T2R=*)$%mkg2EqWt~#1pP+Y;)`nKB&
zffPdH36!ot_JZsH`3vM1xLTfOTWKV<nrP;NvKw5jZ@aB5l3FcjS_GL55(dQqTy5x5
zTSbr{G;M(F1YwvPKxqY}7UUjKT!6v}q#P7>AUl!Og2dozecNq8ZiJ}?nS-nrl$YUZ
zd75oOc?+f%WDc@gZUzPhxLV(KTTtGDsRfyXtQHhcaJ4+mwxB!)QwuT&SuH3H!`1q>
z+ggGYLc;-M4k)}};Q&$x!mx0#M^Xzi2iaUu{Dahj^fLIi+k%vW;vGc8FenZ{dIm}y
z>^7KVpk*b<zXRnSQtc(x{qZIF1q`{R6=v~<@g+$NiABj73@)J|P$7oIc!(TBPG(+e
ze7va{Lvle0lw)Mfz`)>Yz~E}g;A+I+YRuqj!r*Gk;Oy_~>*(j=$`H)poRiJq8E?Sg
z8E?qo8E?ek8E?$s8E?Yi8E?wq8DE^5!{84#pMilvKO;XkwOBvd$RszhxHw<mskA6H
zu~fgLK({O-B}X?mHB~><RW~;^B{@G2B$|_7lCPUuQks&Pm{+0;*H&DVtPgQ<d`XgC
zSw;$jera(L*l_*SyyB81Lw#RYSA9rru3wf}mY9-nWME{XXQ&?r=IBFtDTyVC`XH<I
zK}Hsrq{JH;7+B~Rmn7z;Bo?JWj4mk3&(g0*D$UGEDb}~Nw6xSSi1+jhi8nAYFpW2`
zFfq{2%quQQ%*iR%Pt3`QFUUzONy{(FEr!_z(V|b3T~zf(Noqw&W<EVUfou~sypWli
znhJ}Gl=z(d^vvXVLqjtYkY92b^l1_!1ni`xw{(;8Qz{3-Z^#x?*MoVbxv52&$??S{
z;9NxeNW-*{W*$NgH5w;HBwr!hO6_74l=eW?A*fzP#vm~e-on7Z5Xr#6P|3)^puot$
z;Kszj@R*T-L5Z1xVHXnv!*6B=hS|&v3>R4#7;;z`7~EMQ7-SYmKa2*kL3JIBPb>}6
z18Q%9+Tu103=E(~1*nhYz`(#D$-uw>Y8!&ue4sWys67X2C&SumAUk2017rvT1A_pR
z2DfKml4$gg0))T;sQMF78eJbUA6Z`osNu)Jz|a7tk=21rN3O?_^%;N~feZ``7El^p
zAE>PY(htJO`d&cQe}K~H`ao@UkRA|5*0%uEkYr$BSOKNc^?|}4qz8nN^+kXhl?)6F
z2~ZkcAE=E7(gVWC`W!$FOa=x94=9bU4;suMZ4AizG(e3_1_lNLD2=WU8q65_(BmCB
z+(C+=!3AQX*oPkP==z|+g`p2U-qH0zg9}3+dc33Sg9aCdKJ<7;*9Q$Q41MVFj;;^X
gjt6UEU_c2M^ms?t2kHZ0(}y1K==zYyLXhJf0Kn5P9smFU

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..4fe6bd0dff8ab0febf75954ca5fd11baebc60ad1
GIT binary patch
literal 2741
zcmZQT0|}B40S733Lk7YhB}YSGGz3ONU^E1169RMo|NjrdFw;3;3@9z2&cMLV0Ks4W
z|Njpa9`uxl9K?yPED*Ya@ovQ3yvHsga_YXjc0OR6aDzMSly1`z&lh2$PLJ}HH$Dnq
zl&am5a_7eE2(L#c$}WBDs%5;_z@1mwTq}ByiBm=I;qPO1-<J4p%kx<MR_^7QImOTZ
zoSSbPm}UIqIzyF$w(ZMj(q316uZyP6%!%CPv|`%TeNp{8IRj>I`LbmD%<`>8rFN;N
zGiEnD(YAdWeP(ym&dHU^Z+Fiyzr3%x^7WQ{%lAvO7c4UC+V?W$zIJuM`U{hd&%~Wu
z|7A+<Ynk|o$8J5mz3TJN*I7TCH$42FnCUMO+Bv6~>qlf;(6$Gz`!dh>O9ejaoF6Zk
zVUatpXmZgTTZQD;A0sbcwv~FtdRd{8_Y2<#MT6_yYK%8jE2L$<`Xu~$&nIu$zyII2
z7Z+p<Ua&9lwLUq!?7oa_oY9m$RU1|_+Ow=Xclwk=q-b~f%%wW3y%+*lzPs{j_pB9+
zCV}g(1}AL~dpu!=vI86Mmoxqg9$3zHY1!1#v%GQPfmbtL258E5E(j4+o+@cGoxfw%
z1Ix8u?U!6P8Mb-7n9?;<Gqrf}b6xHAU7H$@?wNW~Q*g51*2kxJS#6qk=W4Qb_OUM4
zojK(tcV7Pc@Z|LQ=%W{wni{lSo4jMgwZC?ETBm-L7TJByhVgamJ|5*?iw-D0u*`^I
ze`hK+^;K4LY{zS(B~qrVH!9!pzFTa2=I4*zCY}oaiGMgweEr8X<9_f0d+zk-fd(?F
zXYbG6>-T)Y&mH&QZ=XHC=eVzK-|UI<zb_v8eyeKT{Z`(<ck(ZfK6jN{)oQeMMZ@Kp
zp1K#W3%#1OV}-K2MR?uA+9i8W{9Pky;@^_c|Nhut^Wera{QIT%Sk|*hB?c`O)qP*X
zbiV(}I#%&n;jaC~&JR-e_f~D)EN&v7{#sd!U17%IV1;6h&29m^^*m;Jm-S3PY`@0t
z_gtojM{VpIdi<Oe@-N>$HQDg&?cdAyhd)30SC03&a9wzYaJsG4Qop;!ch_gcO|6aD
z#&?tB`rY?)w@*{=*QwW@%Ov@G*>~o@_RL20D;bwq{m8Dgw`qRE|3HqXj`1I-P20iZ
z2h1Y1V(gQ*iMxDDsoVCxWbTtAg^LfKkhGDtQvY`%_C}Pl<@}#oes@+rcbn(+XR`{&
z!Rm7sGHlGtZ{NKieEH{*{sRtc=BUhBd1t8<d+_~2xp%7%>IC+Gcx)s3<zDzVjX6Iy
z+P-+}d&h$Bt^f0h@6WwWxfa#4r!f1`?{9(fbIm!HPw6|XlJoQA9qv1smrk91lo1ee
zp>5@+=Y>n=-u`Fe=eO%y_}_iCG72AN?&T0$C;rW9@7}WO|Kg0xj#cJ8y2X=wSylVV
zbs?9iU%Wf~)QhY8nD2jA%`C2!NZd0wGg5p}sZiB(bD`rmqRrpl*GalPJ9xd3-(t>B
zi`)54_dWcv<f6aU-&;G6?G9Ym^wyTEQj_WDE#+tLXZ-*D$k=Pz^;LUzbuH{U)x7FY
iYS@dEu%Cy0_BXB;{iE3~a&f|{73BfP>JOg3D+B=YxJiTn

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..b8009ac49321f5a1711fdc6c6f9554320faaccf5
GIT binary patch
literal 359
zcma!NWB`K-#=VoZ3t9|BTwkU~{hBNKH=x}`NqeI9#1m6JREkuKR5uBAy10d`aZ#Fh
z;?z{%U*~2g2HjOM`>v8$VOc3{$7b(;YKGx7E4!0bm8!|C?bi>nTeL;rIKXUkkZqlF
zWqlj}2d3rbo8;_H?`Spuz_h%eK>PQ-hx|Jl*elq@%Qw}p|NW5d+`H7h&C)yCC$mT1
z-*aL{;P(fGAGKb;%4c7_-*(MEsffR&8-Be`{AzyaResae`<!9*jw)YQ?3W0u=Unq|
z+lF7K6TgPPtow6y!!PSYnx!UR0z22AdA)e@b@RQ~)t6ouH~hY;cEf>-v*#3l`gNe<
z`@PEVI{AP9$*$PF*;~|f*PMX)r$1|Lzw+`~aaMullizyvYd<Y1y;12bm-A0WdUpSl
zi_iYoDgC-tdws(B9J!tMi)Tm6#NL?m_{38IeZASC>k6Md3zpew^XTZ)M&tNff5iR0
T|MI5K`dR;a`#weiMsEuM03*4T

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
new file mode 100644
index 0000000..4927e06
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  9160281180618016970  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg" , 
+    buildDate : "May 22 2024" , 
+    buildTime : "18:54:44" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk\"   \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/mux6_1_tb_arch_cfg_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..4386a4e504b0d077108f19eff4d85dcfe3ce0cb0
GIT binary patch
literal 318
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=SmnJbdrdkE0|SE`BLjms0|Nsy1H*#g
z5SRE+AAfgGX9gw)1~6u3U|`^6U|>)WRcBC-P-jp#P-jp#RA*3+QfE*PS7%W7QD;#1
zP-jrrRcByiU|>*SU|?WnU|8Vi7!n%f=mR!`fq{YH|NsB>AbCdy1_lABRbZRq!(5$1
z{DZ&>85tIU)PfBHDF$J%00RTVzyJUL&;9@ZzZa5Q!3KbJf}BgLQ#GJQ7endEQ2Gj#
F1_0(XGg|-v

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28
GIT binary patch
literal 16
Kcmd;KKm`B*&;Shp

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..c6b37d6fee3091720e4763bab2e901c92d34f322
GIT binary patch
literal 6824
zcmXqDU|?7x&cL9;z`&3p!N9OWih&_5F{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2<
z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK
z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#=
z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk
zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E
z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q
zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2
zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU
zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3
zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx
zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G
zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq
zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3(
z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv
zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G
zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC
zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN
zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO
z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341-
z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B
z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr
zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2>
z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{0s~X511Jk1Q-|??l3bj
z2r@7*++b#45Mp3pxWdf9Ak4tPaDkbDL4<*U;S4hagD3+7!wF^v1~CQ(h9k@j4B`w7
z3<sDQ7$g`N81^tTFi0{mFzjSzV31;9VA#scz#z@Qz_5{-fkB3WfnhB(1A{CB1H(#Y
z1_n6>28N}~3=HxN3=9jI85k587#QX<GcYJJFfhzyW?)caU|^Wa%)p?`z`!t(8B*5w
zGBYr!GB7Z7GBYr!F)%Q+GBYr!GcYhTGBYq}FfcIGGBYq}GB7YyGBYq}F)%QcGBYq}
zGcYg|GBYsfFfcIWGBYsfGB7Y?GBYsfF)%QsGBYsfGcYhDGBYrM>XcY!1_nb028Kvx
z1_mPr28K{(1_omW28KXp1_l!b1_obd1_o0G1_n=N1_m<*1_oDV1_pBm1_noF1_lcT
z1_oPZ1_nz81_n!J1_mnz1_o1R1_o;e1_ncB1_m1j1_oVb1_oOO1_n)L1_nC@1_o7T
z1_pZu1_niD1_lQP1_oJX1_nn41_nuH1_mbv1_n`P1_oya1_nW91_l=f1_oYc1_oCK
z1_n-M1_n0<1_oAU1_pOf9mLGQ;K9Ja@Rx~!!IOc3;U^OVgBJq>!&fE-25$xihL21P
z3_c7D3~!kj7<?HR7+x|lF!(VrFg#^qVDM*PV0g&Hz!1Q|z;Ks|fgzBAf#D_-149r4
z1H)A&28Lh;28N4F3=AO*3=C(P7#Kns7#L16F))NNFfbftVqgeoU|=}N#J~{2z`(GV
ziGd*!6#q;N3{jx?XJTN82E{)U149fb{+SpUVi_11Rx&X##4#{1EM;O~hzG?#69Yp6
zDE^rk7!pD8&&0rx1d4wq28Lu%{4+5yq%bfr^fECpq=Mp~iGd*v6#q;N4C$cwXJTN;
z0L4EO14AY#{+SpUvOw|A#K4dZihm{sh8$4*Gchpag5sZvfgukR|4a-F`Jnh`Vqhp>
zU|@)4Vqho)#Xl1RLlG$cnHU&~LGjPTz)%8;e<lWoQc(OeF));Y;-86up&S(dObiSa
zp!jEEV5kJeKNAB(6)66h7#ONS@z2D-Py>p8CI*IDQ2aA7Fw}wKpNWB?9u)sf3=9nn
z3=A?%3=EA73=HB-3=B;S3=Bd{3=GYncxPf@XklPr;9z24Xa$ueObiTd3=9na7#SGa
zLGjJVz|g_K!0?5UfuWOuf#E$P149=B1H&su28M1>JTo#d^nmg?BLhP(0|UctMh1pH
z1_p*}j0_C@p!j8EV3+{P-;4|l6B!s7jx#baOk!YQIK;@nFc}oDj0_A@7#J9KFfuSq
zWnf^~%*ennje&t-9U}w7bWnUUGBC^lwaFM67-ljsFwAFUV3@_gz%YxEfnhc%9vK-J
z<}ffYOkiYSn9IPx(9OueFpq(Op^cG&VLmAS7#SEAfZ~slfngyi{umh;7J=fAk%3_`
zDE=537?yzIkCB04DJcFJ85ov<;*XJmVL2%N7#SE=fZ~slfng;m{umh;R)OM=k%3_~
zDE=537}kK|kCB04EhzpN85q`q;*XJmVLd4R7#SEgfZ~slfng&k{umh;Hi6=gk%3_|
zDE=537`A}okCB04D=7XL85p*K;*XJmVLK@P7#SFLfbuUR1H(>G{$*rf*agbJj0_CB
zLHU=Ffng6Q9vK-J_JZ;+BLl-eQ2u3PVAv1Jzl;nF2SE83l$Sv92?~2q{$*fbI1I|a
zpt2T}e?fT;lz$l*7><GB6%<#X{0l0RLHQSyH$nNAfq~%^DE~4rFq{U(FDPz7`4@^$
zGB7ZlgPL_7YUc$|JVVuj{BQ}Be;F7UE`#zfs4f8IU#OX&Fun%LzYGiv*FpIgRA+$l
zFVt>O8n^|DcTidb<zG<U0?NNoH-ggWJy8B-U|_fpihoer1jRqpFQ7d12o(RIItmp3
z3=9lUK=BXtGboQg1I0fB1H*Gr{4+2xya2^NG;Ba+&?`{<GcYi`2E{+94g|$NGz>vy
z<U3IOgYpU}{uvk;K7isM8rGmP{1Yht85kHogW?~Qw?Od^jT2BE^9>aL3=9n4LGcf&
zgF*2RjXO{s_zM*O3=9mvLGjPP!0-nY|Ij!F)zSYz@z21(0BQ&Phl0HPl2nHB#G<^+
zymW@tqN4mFhP1@YoYEptp$BybdcOw52el1A{TNUk3ThsJ>Rb>XRCj~=JfJ!sqz-D$
z|Dx2yl=$5IlvIZDqRf(12s5#uAT<vv3^IfPQul%MLAhWGWFANiWIm|Q1qp)cau6S8
zAIzTog4DeD{A`BelEjkI;&_OU@)C1Xp-hkypiGc4;JOuLHkbn?Kzx}0Ky@@o7-TQV
zK9D~_?g6!3K<Z%jg5nRv2dM$6XJBAx`2YVusHg?^|3GyzNFIbi@;a|uBJDlj{fE+E
zbqow2oI(0Qy3&dn3W^!>iWy3a8FGsmic^yrax?Q7GC*|%NI$3!2bl$O3y2TW2elLA
zq<Rnm!ccK=TL;7ksRdzBn+U{*$%EQXApQ%Gp`iKzlurKt|L@=gYOO)qVjy{jQ?o!`
z0k_$}e1WA`K=}X^-(dcM_17kvLE401K7(PP-YFgi5E~hT+5#Y}VEtN<n~*V7Ervfp
zZ2(;QpyAyB^Aoapptb@weIT_UeIN{CBkKXNL2U?-K2Ul9@nIOG7KB0iKp4aZ$${D!
zxYUE>L25zq1XB-cW00aAlr2e74{EcJq8`+?Aw@l??G6eX(6|Q3e=rOSH}v=g`5P1l
zAPi!I+Wa8>pgsjmFNiM6Ob3lyq^5xyK@5;S0Z1K44u(PcLFpevgYqLt9Mtat@uBJG
zKd27@(ueL2kXn!%kmCX*2E*XN0MH-+bbJOp5C9q&01YI71{ZWey#PpC9Na&GX@t_C
z@)qO{P`LpT0*xDi_@MF<<YrLc1QgDoJ`BixAa{by0r>+ImY}{9C`>@^24R?gK<OBy
z4x|TUE)0Xr2C>oo1ZvBI)Pu|b$)jVKJJH2K=>VjUSbIQiT#$Nf_8_|nRDR)72l4|b
zOh7ccdq8bsT>3z2LGHw+50rdx=>w_9r4Q8R#-$HrA4m?QAH+t+pgtQY{y^p;izBN=
z4s%f7jvDGgX$oc^viTq}Wd0f^NI#xf_pK#S{W=oV6O+dv6*vRKeCYTC$UiU)@)s<>
zgZkPaaZr8(g*7O@fx-lo-#}>!l;1#p2IV(U+=22NDBMB$4V2G7`3;nIK^SB%EWa&)
z+6&SPG9QLPcEH#m^)UWI0_s6+FHnO3rhXBL>Op;Okb7~t2RW~S+y`oRlA<0|FN4&B
z!U3co86%qw>cbPGE{h*BPK3+7AoG#K50`pST!PesFtU1NJ}9n1efkY73=BVb7#K2m
z85nNxGB7CcF)%prF)&QvV_*p2XJF{yXJ9zM&%p45pMgO`fPo=GfPtYyfPrC$00YAd
z0R{#MK?Vi`K?a5hK?a5fK?a5$f(#52LJSNYLJSN$gcuk;2r)1y2s1Ev2s1D=2s1G3
z5N2TbAk4s^A;Q2AA;Q4WA;Q3LLWF_ghX@0MhA0C=gD3;T4^ajN4KW6W2r&kR4lxFX
z9bya&FT@xa1jHE_EW{ZYGC+e`3=9kjkmeTHtsuY6fbv0p0P!!N@i{;Vn1O)-<Y$n)
z1(Xj82M|94$_IrFh(80y2Mxx7`fyM_D11QjKcIY2Sb_K&pb8$;*MQpVfX2^&@<HJR
zQhx%<hq-qKsDfvJgdbQQjSn8|1NHNv;s&4wJ*aO4<wrpIpzsIjpMb_cfyM_98Y0<e
z0m|q|{0uby3^e`?C?6EJAp1B#gO8v_0@NN0C?6E(V0keRi4^`&KFqxtP(COPfK<+a
h@?r8P(D*-~d{7zzsaJre3s8Ci@jak?SbSEX@d4QQfKLDb

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..11fa643b74eae0a6ee4e4ece034c66409ac8ae68
GIT binary patch
literal 592
zcma#Z%*o8FP>2Z5%vFeRadKBMFl5-2y(Zm(fq_AQk%1wA34sL}7#O%1Fv0)-|Nk=x
zcFBQc$YnEwG=s2UmmC`d0|S^Z*d@ovz`(!>6$eq|nvbj(Y&J*$%`T7-Bg0x-5DmgC
z3=9k}*t_KZ*E29^h=W8J7(ntMH-RuNc_s!11`x(24>5^>ffdPo3rUD5viZ2=L1u$6
oE_smuKp2-i$Xy_eOCBT+!!Z9N+y{wgkZVD9z~mdmKq3qb0J$=7`2YX_

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
new file mode 100644
index 0000000..4d2620b
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=bin
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=118
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=125
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
+OBJECT_NAME_COLUMN_WIDTH=151
+OBJECT_VALUE_COLUMN_WIDTH=61
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..b215e46679516d2e24ef729a361e8be16f065120
GIT binary patch
literal 26568
zcmb<-^>JfjWMqH=W(GS35U)T9BH{p{7(5c73<d@U2L=lUUIqsSIR;q<HU<U;7O)sZ
z9;O~f-(Z4>!)Oi&mw_3oPXfeXU|>L}WuWR{G{{XLArK9+55&fX9RwkwFq%OC!UySN
z1u+#s1Oo#D8og5pA`YXG^?~h!c?gZJ08273z-VNBps=a%ftXtngGyh3`uhfyhUo(Z
z7f4?RR9^>FAB<K2DF8ViO2fhv<O~qDfSQL+qj{GBU0(!LA3E&<aW(^t2H61;3VvFW
z0%D`v1LNbeF953V4Ky6l=@yXT3=9k~8e|7ZDDY`X3MgDaY+^7p+8KhN_Th?$4yeCj
zG$@^b%-7G!Ofoak&q>kE$;>OQ(5<jA(={{EE6&$50!f3@Jjf1EnsWCGWngMxH~?}h
zOh1S%!oUDd=OFnj>4({*cQpN;^{REU{l3@wOii;kfYgA@0O<j#0T~Q(4m4^(Tm}$Z
zlYs%8Cn5fC1dD^jK#Ys2=W;<bE<BNufdQJdQIt$)#x5R?L;NEXcJ;ELw1{Fdgp<aA
zU41eRbNq1FTZF^?pfH1_F^G*2av=`&eK_nrgTtLqafs{S@NXXu^_DpNrG&$s>v5QK
z8;5!i9O7;`%+bc-o(vr3?7$(eiYq*Eh&SR8e~-hRY&hJx8izSQaJX{<4s-l*m{X2J
z{4fsl^KqD8fx}<(aHwa*VQ(Wd0|T@wh9qzp8Sfe58=sO|l$xGdT#{N8;_IA~pO+ev
zn3R*s5FekOo1Yh7T#{H+5+Bb1R*F?&W_D(LSw>1ud|GBsYJ6E@Q6@-#JWMSENItnJ
zHL)Z$J|(p{Gd&M1l3J0PTw0PEUr>~voLXF*S_~Eio0FW8nw%Y9l$e*E8lRsQpP84E
zS`nXLfKZTFRFqg5pPZjtkXV!&pITU&n1hha%u6jQ$xO_NFG|fyO)ddTB<8@Z1zS*@
zoROMRnv)t|Qk0lioS0ma3G!%WdR}5qd|G00Nqli?Nm62RwgFgkW@>6`d|qj8YEfo#
zd~r!id}$uY7pW=nd5I<Qi76>yRbYct%Tn`7;z6>BIq?OFMTxnoC8<SV`Qn1o;tU8Y
zwIsf{EWV_&AQcj11&JjY@p*~4sSxRs+>-eGyqwHDC_l9%z9>1qqzL4v<owb+h?l_T
zRe^ONS)H7ilLK-&SUjaNFEKY0q!r;ykXo>0aRD?$;ei2<iTK2l_>#=r)cC5@qI|Go
zkgj;RLM)+HoReP;R#}{xTbhFw)fuUY1@W1AnV?w7tb+I|1s)tlsX6({i6yBFU`xPe
zr<LX<$AiNX#XrTVCGp8cWySF+rMU&zf(~p#4k!Z45_3vZu}1`0QBh`bW?p)HYDzl9
zIUu7eiZgRlGK=ED&H<%}%(VET)ZD~^f>cNp7pLYGXO_Tx01pmmxPiT&0uI&U%v`6U
z%#`%h`1I70@RX$RqD)XSh>y?7Oadh#P>#w?%*<nmk58^hj8DtVOUwZoo12=OoLj(<
zo0?mkS^}by3o03MGLwo@GUK5En_m>4om!Nanxj{ok0g}@u?|Hl8Pw1)V{rHJbaIY2
z(la!HGmQ~UBRx|F{nFwh{fzwFRQ=Sv;*umoeP35s{fNw*%)AQyvdpr?lzbxtBNIJC
z{V*^`AIi(gOw!NEt1vUs%h%V_1EG?FVu*--dUCR^rJk{#fqqhE9!Lt@5&{)Fj0{W+
zj1)kaZe|8X1{Ma8X`pruGsA6W23Yf#Vamj5Y@i;+Q>fS$FrS&>1C(DXmC4D#z{Kzy
z)KFqzV1Tu&VeQ!fWspl57#g7MW|+7DRGb6akAaE9_zGy^3-lr8XrPH37(m1g(8Mq3
zLc|@=#08+@H{v1kpf((+%?RN!Fg!pK=Yk0`FfhD866Z$}|9~V8YXgF$e;|pgf&`%0
z0_q-6dx-}s2BHqcfk*}hc4*rY!ed}Kfg}!Ud&0yoAc;eR9xQbONgULk1q(7TFg!pK
z2l*K${sKuHWH(Iw1Clr_Za{KBki<Db0x%5qFUSmTm>2^C0|$~gFOs+bk~kldxCD|o
zs4WhYQa}=ib}7M98c5>E?P>!gabd7Z2w{OF4(bm;L>U+yki<n{f(#4{9!TP1Na6uV
z;^Ii+5lG?^Na6`d;*v<>8A#$%Na6)Z;?hXs6-eT+zBov_0ZAOx2LOqIa0imO97q6)
zCm@N-L&ZSU3?y;n_WS}QaYc|qC|-dij@&NVfFush>R=r^ki=EMA`s#LlDHZ~2uz+p
z5?2R{K!^)S;u;X4QF1f{MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz5lB2z=(3
z`{mL6hQp(q^`t!mgGcLu5~lwbJerSi90vR0ziF2p1H*sS9y<mGet8Fm|EeH<21xGZ
zga7~k|5t6YV_*Qy9x=SU0OnVL_@JSqmj}T7A`l-mWb|?an4bmWgNBM;E&%hBKzz`U
z*vkoEeiVog8Zvs>0OkjQ_@H5)mjz(H7l;oUB6^ts<~xD-prN6c0bsrrhz}Y{d+7k?
z8-e(sA)=QCV7?ZJ4;l)4sQ~6Hf%u@IqL%_-z7&WL8X|hh0OkvU_@E)UmmmIt{L2O6
zgNBM;J^=HXKzz^;(aQ^9{x4ewh78cq(8~j0{wEM0G$izL1DO8`#0L!py<7n1KLYVV
zLqIPlfcdvTe9+L(%LXw25{M5P@_AVR=AQ!bK|?(+6TtjKAU<e_=VbtxzYD|%4eh*i
z0P{D2_@E)3mj+<|Di9wul=D&n%wGiJgNATk3V`{uKzz{9%}WL_e-elf8nSu$;V;Pl
zT_8SasOIGZFuw`J2My7@ya47`f%u@InU@E^e31II37`Z6!l3kK_|~)YVw^|kBah}M
z9|A&L4G$Qe^ysxM;b&m@FPd!2!0;tak6#|L0>Go!cA+f;gGVnb$Xy<mKT1SBx>?mg
zLahf%IR8K3mu~=>dl*W4^x77JBzsM>K$PY`kIoMs2jAIy9Q?uT!FbH$;=d9Rk8akt
zHjuQ=@&7>@zq|_r157{2eGRs{whRmmrP`q$&9<j(K%;V<PfK__x^1u7FfhE({Qv*|
zi<JNW{~u#zwPj#nj6KXRkD~u&`Tzg_q3&@EcMNk3bqopi=)8Q)DT1ZBDuA)n&ZoQD
zp}X3nyV$2YIHdX4|IQ!$F2|aGF*<gBbK!USZu#AX-|vG<=f@YefB*k?(JuCI;a^`I
z;>-B&Wj_N0gHLa9gh#KlhetPuN4EoqN2hm!N2hZ}w{t?Lb4<5)4*&Xt{Oi3_EKk&@
zcy|8xY&?ELhJj%M1n_Tjj_~PKIpEWsjc`G?cg%~+fBygP25C>}Jft1$!M{E@#F2kn
zh$w?E;~yvfZ6Zn?!4Z}xN`$(Da~LmlI>+#@Kg7TOl;w%KwT@kE(T*{Wv5s+$@rRE&
zIWh2Wb8_(LWjVmV&CAh)@n=^HqoynaLx+>o;RzG4%U2PQKaC{s2sXc~#X?37WT@j|
zh{vF0C_KOeJUTCTXC=5AzHNQ$$nWvC^ZkqazyJSt>^$bt`Tj-8pa1_oJC7TF^XNS8
z(fR6y$?yOFyR#Eo-#YFAB_|ht&!_*-`gA^jVg2X-e~(V*0*}t)KAr!3Iv>5@{QLiZ
zXLiML=Nhoe&c&bvc)S^uUO@%MvE~ktc(-#xugCw^11|iYFFLz>7#J9iH^Xv)OXun-
zV1XG74B$e>quaT{qqBJdD5-TfgUs{jY+k{@z|ajA>ujC_Vt21$U|;}8Zub%f1_sL$
z{QW<{$-&l23Kqd0-Pr-%yFu1<Zw6V{JsD(2cQ?p@7w>=l{|{kyp3rUvDdJz>43g*H
z=EBOr^3R5~JfDBt0ncQXb|1zc{~vgCXBTu=S9BNGbWa9(@5MTh0bs{yJ6G_p2mAS$
z3o8TDYj<e)V`!<yp@sRi2uKSgv=~85FzyDM-VOC&iA?uokf#|hK!O04==l3Y{{R2)
z)0<t<up1oO{4Mhs7#KXeT^T&PeK|Zjo40_1va=f$ncZMcXY&Sd7#@I!;SL4{hS$nq
zTM<O}WRL=oeIVs9`|6$1{ngzKl7BJx=l}oRV3QF6!oSTsqr-=l0V3Yr4YJ4bMCnqW
z?&<=B3!NQ2I=wwSl4nC=`~HijU!a6`6q3$7I&ZyL`0M|Fm(K4mEWz~i7lvR3FF<uO
zJio=FrhZWVa%2SMzpfSwRh;=F*rV|cDB3+bFMD?W^XaW}FnnwHZ5L>c$fxuF3yGiq
z|JyK@277eIs04U)I|p=oM|81pbhM~|Qj|w;h)RG@=l2&A|NsAQ!(6HbQpREU4W!fV
z=l}m*3>_{i9w1d5AXV8QRZI^2Q;xo7GJM<l!lUsB$m(cNX%TyP0wTY9G`^`|WMF`}
zsXHs7*Y$sA6DZXoa&QwUu8%i?5-uo<b*=)r=Xet+FM)DiXA{We<4vHn4v`0CsN+qb
z%mS8w|KjfV|NlE(R9HYoK;aKi5pV=v1Q>q*|KFv1H^@rIUUx><*8e*}rto{7aBTkn
z&xPOrMJJDnNAgRMUezC<$O30kaD+Vrl_4KMg1z8`;nKMqls=AwlOD(vm(JCoba1>G
zlp;Zn=>li-j%HADJKlT(qz05e!C9)a8MG|vc=HwL<XrQQe;%DaDjfWMPnj4PdOa9B
zyDxytk0VfRtq1r!?lFOCh7=V6pWYmm2v~{+MNR~W5a8biwyVPh6sMp<0u-3tn?Zru
zJsA{)-Q6JLUYz;{O41;vHn=3?U*8Op1s4$=E-HaOo!>#FVDPX1|2tY#Kq2nYot@FW
z8^r3~3<~@1$)FI28dr^E97qZO`eu+U#JCofnV<p;Wa6tAjK4so^Kp;v)!>p39Dv=M
zw}3)rGAPub25KQ02nu8V_24jd>8N340C^PRTaV5;Dh7-U3@~4}s1$$_7r1o9;qi-K
z@p!yNrI3+<!Kd@PNADD{!7qe<{{IgS%+5I~FF=I~G<;iBG$1zN4wG~wo3Mn5Cc-9=
z!7o<)0NVr$`JEsxG~_$ysDRQqq}0dZub*E)r9LP^L8U%O5lWP{s61d`V89-SFZP1;
zHiPtPLn;c?*n0?xJy4i{oblq{cW|OWic}tuW5Efp8*EH>Hz;Xao+vTuo(xXj7dpY>
zu#%R)&+G61|F1=kH-l0*D0v?T%Y#@x-J3xv!=u|rg~Owp(WBdw!=n>kj)Jn6N9X+)
zR$oC`6H<<T1C^tZpwO;SVR`WZ<QW^47cahmRDen%P=SM5mLkfd0N2jrj-BT`IzM}K
z{&nm;>)X4;R)ZIm2A;cg9(u9)%m4qa2TIaCdiOpMWng%*`1Ak&9=&@z#26S}Ooy_0
zK*gDjibv-?m(EWvoj+f!1KWJqvv-fK1K6l%KApc_>;Q>&Bdcrs{QtjC=W~zFdm#B4
zAo<3l9bn_$!%ai22wnz#`Tu{y1aR{wBsjpc^Sfu~AJ2WDjsd811S+4uzp(rC|G#H<
zy8<HvgJX9;D4ja;Z=a%~C&$2$W-P+t*fmGRK#qaID29WHf7|CY&TITFTNxM_z={kZ
ziWotPjKPW+;fg@f0#;;#q{s|S(QKGW=17Vx(G-D-8n8)LNQ!LWiVml7Uf^%(1=aP<
z$9N!~%KQlS)DMvH-Tk1IvM)i2+_U)@6GVXrSb@8NW4FJ>>jKZ_V=NGH1BiHpW4C|8
z>j=;0V{8y{39xuOsA6{P?gyFm+RC%}7`Tr6{^HjMuytTBclU!zpw}{<&BwSPdhbAF
zL5bI~yZ;8L@Dky0?3~WQ#K6G6-GzfC&9Sqchlzo~vv<D(BLjnH<M9uS3=F$K9bTW#
zf3P@>bBuo}`04-u365dG9?fq&JUTyncK-9&Z@~as{KCLs`0Yi}hyVXQx|>18T6Z@n
zA%m)D7ZnGO&PSkn_wtwj|2vu;z?H&gQ2*Sc@vsIH1B2nEouGQ&afg69C@p{Y=)Cu$
z{Nw-syFmP%AOcj#b>8!6KH?GW8257a2T-ztRVR@88swx3h?6|`gZf9H?mo!>|6kmB
z56T9+K^7W*GrVMY^2M+B|Nplf;BOHD`L?&7(eT@g?eG8p_w3zfE62dly&IGYJ(zcc
z(&R4CFu_g`;RsrH%J3rZ14wU)zi0Dta9sX>QS<))f5)yiCs5m_`4tm5ZMHdp(n@FZ
z3noxUakm7h_-#CVfr)_uY@i3&GZl~)$IIQI2x>kKP6Gd5=t5kgAPj11LtN4fa*0Q$
zi%NvYaTk>Ykk>qRfZPPCwL#(;$6ZuFq0Rtr<AD6roud-a<-yd^4RRF!c7`;3?s;MQ
z;s5{6_b>QBq1Ai@>bAq6&S$Vk=kJ}M;Si5r(Rw|QhfFK@7#LoB1}W{`%>iP!L)Z==
zcJ=%J|D(Y=!NWMcrd}Y?QjiMJAP&e#sDvR%BId*Y|DckR;e`<>{lJujf})h8^S4Lm
zS5Tv;VHc>p_2@O-!3T1IEr@y%_zqNTzU#dI0%E_d0LZ{-kZ7;135Z?)9%lI0xBvf#
z24^t@ID(90a0FZF(aW3rACx>qeZiC|516vm0O@`F_Wys6<|7LGQ$VYqMBl+oiiY|m
zIu_wckgGus9L1v{Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OM27%q7mnn!|NniS
z{r_JB#V|g|5YWyly_C!%{oK+Dvv|Y!lBD>=qU4PD<h1noq|}VWGJUWL@J=ui)EAe5
z_RxX$?-Xa|5@9}Q?-*$77z5Zg!tTjU&1J|e&dkltWymcCxeL^zW|U^O1`X<fCi6}1
z|NjpfS}u9`|NkA(e)EU_|AWS^OCJ6I|Am2p;mPCw|3Tx&EYJV{uVG|hnDYGpf6$nO
z%A5cHS(q3Yp1k@0--n5TVavP!|2vo%7+l`}|G$BWfnni?|NmK-85nMV`2SylnStTU
zhyVXUd*a1E{{J7q%)kJe_y@U%u_}mxu|j}RnuncZ0wY8mG%5e!!T<l@{Rl4X0w8su
zJqsqFaJu*ZKWH$Aflt7VPr{3zyPTte!CuN*%UA_8*A6xpwB>2Sga7|Qiz7gKK^Qb(
zR07if@c;i0AOR;n0Vh5QCq4xyJ`G1cgJ!lK)?SuA=6)uodwd#TVF|Dj4h9Af1_lPu
z_|}?7|Nn#be=|5D>2=~WaOAUa<a20d?_uj@?PKX@ZewD;%>)uNa6&T5kq_h^kURb`
zFfcSc{r?{{hmA1Hkx#>&?*L;n)Ci_(kh>JXZWMs%1-Zk5k%8gL)Bpc_K`Tcv-Qmu+
zff>aig)G<|0y@W_g^__l;?@8E`#|A=X%?EFn3iBO3FMzMj0_ADUj6@n9yFi^4m41>
zI)dD9(9GHc4prvej37SLKThDV0L3Y2JP?$YT0w4b;uCP>lW^fnXlCwXVk+a406U5!
zfPsO*fQf;j=gt5Bu^>CZ!caS0_!c0-Yy*-Vj(i-hd<z(vCZdXg>;av#0ZQvk3=C7>
z{{L?U5_9DfXl5z_y9<;y7cem}Y<~OyKWN;T!GljAfR6*5wswHh`rH5i!Fy{w_ynT(
zI2b_b5;V%T|Ly<(E1_n!F*)){G&8&KDKPni9AMxC3O$EHK7|Odw;(YJQap-BLtr!n
zMnhmU1V%$(Gz3Ok2*CE~!}jHanm3>@SPGhHgD!gk@eiQ!Z$tT@Hatk49n>CVU|;|(
z=mqg%=X!w_^n&<nAjdT^fZH>mb}*=s%)r0^S`Z782enN>G-$yrh=!dT0BVba_%Qje
z|NiHL_zR%+gZdL7{tnQ}WCjNCIcOmM38*}10WyeR2z4K50WydWTDS|MK?{CCGz>$v
zF|3G#h=8UYK`alb1gLEW;zO-t04)dx@qa))3KKs74G5UKLG5OcI?x&b5Dl{*MuWsa
z`1gN^{}>q{M}z%?@*AM${fF{l@$>=8*MiFbgz{nT0GUZm3_Je}-ApskN@NBG1~(`j
z2Bp)WbQzRxgVNKW^fD;D4N4z_($}E$GbsHHO0$7hI5RLXh(T#JC~XF%-Jo<Blum=v
zWl*{eN>78*%b@f&D18h{UxU)mp!7E=%?9q}F))ZhX*DQq2BqDgbQqLI4<|x=*f|*J
z>R{(!z|OsZopS+G2Rq-w-PzenK_fJ&G_Ryo!O$3Veu%DFDVS?iXJn#hV4?{XhUvsk
zgVGlZ!^i*VvY_+}IyIyQnjfIi!T?%Wk4-&j0Xq`|Kf?mF@BrxpiC;hyHwG`P;Aeo9
zM=<+6!DW#o{QN_Z`#^G`U~y6SIU^u35C*LY2AK~l-#}s@3|d9P$RGkguLL9p!pp(u
zAY%F#bPgCcf8p{kXo8)QL71Tg)WHQAjD)e7a||pm!~i>g56op?V7LkPmpHr}f{BC9
z>0)FMV?fV`^O!(KDM~OfK+hS0sRymb0d0bS@nJLvBLjmVg9HQYycO743IgEswfGqt
zpaS52J_7@T8dw~r8$mAupO?kY06V7=JZ1t~6pcfDAP(^~Mv(gv=E7K|VD<bAuyhaZ
ze}l#^K;ldS46u3yroIg%&cM%50P5T`Fff49A4qNvRQv+;FbPonfy6g~#X&4od<2L1
z4IJVRafpKs4g{rjRMQz4WWeEuF3P}Qj6*zt2^3#~phG{wnxNGgLp%=k6*$D3!07~R
z1PU>S33T=kX8KtPHiw_#fgwaWc-(=3fngI9_VdurLe*b@hCg_`ih+UQF<2a81~Lg6
zqr;XyCBf&j@iPQK&4I<EK3E*t1PD76hj<YV@qT6o20<nXczFUbg@Iu<4)wcnh=bZe
zAal^m?H4%If5RaTI>-`RIB>IIKflowEY8mWs|UbiU!e0}SwQiKC?{e5@&T)d@enkq
zT_nW7&#(a+&aiyZ4pz_4kN}Nv@R%h71H)XfI6^;+wF-y$T^!;}tl0gfj6-}O*c^U_
zUT8W5kC`zrFzDb=Z_f$|hXAzl&;^J32pr-`tdM;70(wp=EZ-G?)$=pJ>Rs>{CIbV*
z8*u#cGaQGy6V~3X1FMIH7J{Azb|+>!oC{XZ&j73U!Q+z*3=Av4;t2gP)={uHKf?!T
z`34@_Wnf^q4HcgNjbB(k`Un<>=|<4(Y}n&P5-iTozzl82gU1;`<ts>>i3g+bRso44
zj76}5lZ#3W_3|0w;}erI<4Y3L8Ip@iic3n<()5xU5a%eym*mDLgHBQ`W{8hZ$&XLZ
z$xlkmiBBoXFDi~tEUjPw9bK4{T9TTgXMkM==uEiGc*r@2sd*(ul?-X1!vsMm-{n>^
z#K$A?z$fdWC@sk^$jK?o1y6It8|o!9#K*e@Ir_TByZX6+PI8QQ_X~}8^?(X`xCAl8
zyZiV%Ir_x=ySW9shQx<BI{CQ9GsL?@`Z@Y~I)hKPD@Hn&5Oh9YNMaHL_+-R_c#}kf
zw6xS@%lP8bq<9O^xpl><B@VE2=RhaSfo4&#orsqSJ312iJU+C8Ct(Lg8W}TyLj`85
zWukd%nqhJh*j6K~qGn*1W1c;U{Xjz4d5E}=X9S;tiHK&D<1#^B0i7a<c2pzyv_V{l
z8peD2#}}8RWah<}7DLY%1gpn=geBMou#+`W?2nI6Ni0c(9M{PJJuDD(h$r#^gQ#%=
zI@Yov9wit}!2t$#1HMBzF^@jPVwNG;EN~Jb?(j@VY6oQv)Kfii9%C6FAL8o_P1K;^
z2fG`5Y$TqOA8{N~8XuohoFAW&n3s|RI}sA)ut)fDmFVYg!ova_$*5<Ef=|VSr(Z*c
z`1qvaVrUe>9gO$9N-W`Of;Fi_jw%Jupn}fQgqx1fd6-9?f)X%jax?}1F_;97;RGKo
z3OUOXCB?<3=0OkA#BxX|<Umlr(%c~Ed7z#t49G!h0ZvXxQJf4r>NGwTl=2zi;cUc!
zanL5*8OGQ{&m3!*8iTEbnF~GUGqo60z$F({!p$@^VSpUw2|D5wtOXwEa6R!TN2)UD
z6<6k#BqlNF6_*r2=nNPuGp{7IsDME)FTW&JFFmhRub?QuAhoEZ5=khjG&3hfH!}q)
z<mlw73#yC2$}<v+GZ^$zD)Wjfb0Ks|5d&DJEVZaOGd~Z76JNxjSCpEQ2+{y$737pK
z=z+bWSCCVpm!4n3pjVPwQNo~?mYJ8ypjVU+4sN~F3}`Ksky69}=Rvf_gYz;(2aFBP
z#teE8?McPO40_4=xw)x%ps8g<9ZLZVG#&@)Tf@e^aNP$0vjU_R#s<-#<|1h938o)3
z=MEBw(dd04*!UPo4G5btK+eL1=|?{YAKHuoDFtI#dkoA0ZAU@V4;!a|(dhQ0>knsO
zVEFd`e?H89*f=AMhS?9=Cjzn)-TjFS3=E(#MwousI3$b)ou3NQ2MQxl*unI}#sM>-
zXVt^>!^SmXG^o7}(htKRGhl2GT?pC940k_lTo6WwfD|E(lfvwQ(RB<ApyLMM`eEaa
zFdEtnhM!job3fEr2GEuuP?*BZgN=j2XxKO?dicToKOH;{!@%GKH4rwg3Zr4;ups@Q
zu~(S=F#QX`<0go4VAwb`j0UA|sL@~w<W?{PN`Tg$fWj1HK1fIbnqXiwc<l#B0*b+l
zLl{7P4Tu0}90^%}0(5)}M#J0-Q4JmB1)Y})6#%XCK-Lc%SAo$sFbkpT(YOrT(EJY@
zAA!-(VQQ#u&<VeACYS-*;0dxH7Jm$|2#3;dz^V`gx_>}h6p{7A#<gHHXjd;nH>UlU
zpzep+U*HH)4Wl`r<BkwfMEJq@pfyCGLqlN7VCNFU?wvq)FN_bPK^r|ma~d%Huz83E
zABYVwbr2rHewa9D%@Qc>!1Tk$>0tMW!0rtJ<$sVFFnzFiTn+U<Oh0TMVuc?_H3LHg
zC~zT6FbUHSqyM7mhmQw>7O{Z#mx6U62x#(#3ot_`9$@yv?wj#|>WA3_(}3n22GF=7
zNDO*BGfWu+Ousf<1i}ER1+kE6SloleVYmWne*x41pm`zCSOt{J0L%ZN@oAXbVR}LI
oiwF?Oz~BLj90(Ik!t4ce7(jh}$Xy{YK8%K)D=dhn0gcN50L56FFaQ7m

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
new file mode 100644
index 0000000..2903829
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/mux6_1_tb_arch_cfg_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/mux6_1_tb_arch_cfg_behav/xsimk -simmode gui -wdb mux6_1_tb_arch_cfg_behav.wdb -simrunnum 0 -socket 52485
+Design successfully loaded
+Design Loading Memory Usage: 20152 KB (Peak: 20752 KB)
+Design Loading CPU Usage: 20 ms
+Simulation completed
+Simulation Memory Usage: 110088 KB (Peak: 159420 KB)
+Simulation CPU Usage: 70 ms
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/automate.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..9a5542db9db21bd8a566490fb1c97b71ec6465aa
GIT binary patch
literal 9348
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu$V=W;yyMWMw*@e!|fFqdVY}Llgr8!+$7n2xnkmXzpIc*Ri;vr+4v+2HwU+
z3we7NE^O#gR%+x~+}F_3ykc<&Pw(R1cAoylynVfm%^f{F5*EB29X&kl&E2a&qAMCY
z7OfD1YvWzm(bL<_OG~ra7#J9sK)z>iU}Rv}z|6$RV9mtbym%ppSF)p*zhhR$3LDk-
ze6NU85Mj0i*Q_+pEIDWH!vDt;R6*gjR?eD<#naQ%m2cJRg$o@#TwN0U9K5nJ9xr>(
zaCoue17=2uDu?&`*2*y<bCAR#OsGNJ&5IY>HnuG4=vmRXY?;aho>aZl5Cvc}7#R2*
z{23S+7@=+lITwT(7*K>+e3BDVzy!Jk$gwOlXU?3;z~Hcufq?-Q2=FL|GZ+{gm>2{Y
z7#O%5@)#HxIG{GNwzfCgwtz_yhjwNG1_p-~W&s8!CPoGe2Czy_n99Wq7umM3f=C=H
zxu7a}mo&8ZFKlVH1)Gh+!=aNKs*}S%AuB7>*})aYn2Oy^JW%yqo?fngj@kaM4z36$
z4n4e3J*>@r9kwlC5{GN}pengs{9UvBGZQ=<5)jM{C@x}VFoA>;KU5EU$D-cF3vGL$
zv@CYjpm+eKRrcnNMYip>y-*s5YC)*koP8Y~i#kE-;VdjV7#Rc@9M*FQFgR=g5gS3o
zCJ?b1L~H>OTS3G&5V0LZ?BEh$U|@C#VisWd@352=M6xmnF#HDz1~3aSI4ourU~pgt
znY#|8)B!}YI@BX2Tm~kG)gYtRa0xIlqRKEjtOaSZ0{It&85s3I6bOTJD+2=qhJZr@
z)XT`Kz&R64fK9}z1})>FaT&mV6a^Uy!Z7Rq|7T!euwsT<$-t-wXF^qA2!QQIR|R$&
zm_WD>T@7-1fXrq9hr1}ca)`@dnVNx756*<S3|#>1GIT*ukpjYyI0A(&x(aw131=`k
zfYN{{x<ZhP7-97c!+&9Tpec&Nn2_?95mW$!3pp@>ROpdVilS?U@<9cefP)JI1A{o!
zQqIK<i#u#P`j;%`G!`(Hu$7QEF=k+3U}kri$iToL0aeB4<>}|@=<Sf@>X_n?m4U`}
zI1fsvpqh{YtV<HAi+|z5j>g6n3vCy-G_15;ybzra(Z|TZ@E@X23aXF0v#(`w--_Nw
z+r<l!*%0+iaP`tq^_+_u`g_2t5iE#WX1H1zs9Fxs<b<pYhpY@Z1EP`zu2L4NlE>fE
zGug$#%iq%>D+7fC(ZLGWAqUmL<>i?SRgc7ksAdDHX8!-*02bR^u(pJQBNCH=!QmXJ
zH~<wC4rf8E3n1bmh`0nIE`x|GAmS>BxW*;GpbCpiW+ojb5C$c2Ca|>-f&*NAfkYkn
zKourj155MT1<harB#A?dIEof#CUqtd#-o83O@kg2GZVO=V!&YoKZ*vH=C$iUj#}4@
z#|}w!E$cyA*5lJ60n(zO2#qUH^`4dCketCWbJxUOI~+Fe0EZO=gTrl*wG0ew4mUsn
zd=o_60ui@C#2pZE7hG0@@{+@KP|63Bkir`ly9`X=b_k3MDnmdR+6sXQgIgwGf`I|4
zY-obI(E(f|fl3{i28h!b7(lKEH$>qK1}29|NZFbJ>>F^a5tcd_*c}L`C5QP83=FU^
zVqkQ*2l6k-1#mH_6OhUccnJcwlYxPeMHA`)Q2QFxq=c4Xpp*^O&cMY4E^v`lf@Q$%
zDM+iT1yUD-EXPm<YD+;{R4w4jnt{=w2UHy*>=n~Pu)!vStwR?CH_DI=a(E!Xz+i!{
zjG0q`6NDWiL6v$uvj77tn?AyPu+d0-uziSz4^kT_!2#5QU|_UBSIx}H&k4c~5zGP%
zYzAm%Bk{pzL)%EOwo<PHs7b=WXo0Q{WFiPdOf^I^6^Rcq6}3&(>i}xwFfdx6s{@$`
z!Vpu9&`d?*gH46ExOx#R2XMoQ(E?p9$W#!9m<+0ipsfvX2qW>qCWF#GBnx|HIf5DB
z<jTN^RW*9$gU)vVm9dNtRSXOarcf_&!WdxdK#3WY7|{i}J35;?Y&-i}7Pc%xW<%Y9
zt{gLkuVE2jU|?Z%h-YA6FoRkRvkZw3b_5PTvujyEH9|ZO<AL=sFfgEd7M&0FJS&?e
z)DW2MNPMtKh!{k$U~!4A7L;E=7@TL=tk6tG;)6|ww&P*#d3f4GR|iTRAPh+%)@Y_8
z@xi9z&x7cyLFR%m#B3Whvyu2<vvK7?bafyTK^SZ*xRkO*m@J0G2Ac{_y6A$iJ{`Ps
z=js6Nmw?MEbj6@B2VroyJAle6Mm9TyjbICq_+VRcq$+3%Mpup8BtvF9fEp+a3|fv*
z+aO61#sgc#z`%g41DOrh&C2Ek)eK95NPMsfh=hk=!IB`lT2QcqFgWPhoY721;)6}b
zl?2h%flLHph^e5qG;$$@#0Q&-KMA6%21OhQgCmd470ty+e6ZQLk|4S|kZVC0>|$^d
zbVHadhQtP&3Qi&Df_Rf4x?+%BAPlh&k_6omHi9ic;)89)p9IlWBii{0mIJ71%)p@K
z1+@v1{9rt=B@7G<2=xdSSSKr+H&i1m=^^pKx)BKu!Ga|{bhRMwgD^M<*nH4TM&g4_
z#+CHY)qzX|VTh@|Xr?0Z!KUI*dg!V_=7KQ9Y(F%!k@#S<aV0%;bs!T#7;Gvy>48S=
zpczICi48UtoHEb_5#v)xLshO0;6WL135c!%WFH7a?1Uu005ty~@xeCZPkxxHF*=c;
zE*S$OTM(K(NPHsfL065|&qm{doAX+sP#Yo19mWG&1{$rz?CyeG%E}f7)doxKNPMtf
zL=s1^V2K@FEhw--7#!eipiyvW1cT!fi4QgzS7Jw32Qm?aA*M#4xfh8KHWhzjM^_Cp
z7la{ZN1~aH#0Q&=E3u=i1C`t$3@*LFi5)aO01XQ<BsSPoaNwW|f=5>&W2`L>;2}qF
z5=U1CvIT@8HbIhjG@37v_+b0+CvkMuNCOy1Ob5_-0|O&lESg<Nd?M^ZSB>5pMdyQC
zr&<Y6J0S@j#sgai8l1;I_5yM*D_bH|D=e`i@xl5LNgTm~C3bYRpkxEWkff7@W-<~V
zY%;FIj;;<AnIH^~P_|?=Q<3;!Q}HKubk!i&f-uCzDQIRR@xf-}O6=(BKqi7P*i>+0
zPeqt4hQtP&3Qp|kf+!OlEvP&P@bEi0@uO=4g%b#a!wTBMO+(lXwhD<4wjY1uM^}w9
z+K0k%0FAmaFlc2$?Smvn7!Pa}0|Nt!78DLxKPy`nR68s|BJsgyAd(@11xt|VYC%B(
z!r<Uw%SJO9i4QgzSAs-W2Z~w{21hSj4w|V*e6Xqb6C}E7khvfXF*_H{Y$QI|Y+MNv
zT^-0o5C)qHPLQDKI#}t8#0HxRP9^Aqs7Vl&hm;`EwSjB}VTjF;(l{T@S4e!Y{rD3k
zx@x2%7>NlfjTy9xp>{zMBa8>OhJk?rt<`|W1vesC*-D^Bz!D@9A8ZaH86sG)1c|N|
z6ciu~4i2_bG?S6|V3TnrNOX0eBo4xmWL}15DiR-TD*gnCt{UW85Qez89L;PbKG<wr
z2@+i$$V3nZn+i^l6$q2Xkl0{T!3h#w5O22&T`?$hKo}fC&~mX7VI$ZABtF<y{K*hq
zHFAF)nGNo{gOUzpB8W>0Hc8IFzz7+|wPR#p06PF71Gb-mv$3VKx81gR@j?U(GW83Q
zXJBBEf=#4@Oao8N!c9ZSKvW~lK(NqFgH5)BOaoUkaMKVn#G3}2fCrfd9+ZKbhL9oN
zG}!b!$TV;V2W}cdhIrFp6Zas~z->vmX$TqOO@mGDgG>XBVmpMu;uIl6ylJp$e~@XQ
x(QAZh2pQr{gDndHnFboQMwo_>A>K6DvH_53ppj~XX$TpjO@k;zCLKWQj{qA?E`9(2

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur1_49.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..541d4ed11086474a848977030fa60dac6784757e
GIT binary patch
literal 3787
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu)?fUFEPaIny&M$v446G=_8Xd-FT<9ikW*82&?nLo@>eLv!~kJ{4tKg+(hm
z7Wen`Hu5$uTFBeGaA8A_vQi_@;=YEK<`s)OczPH2w)6Ba=I!fkZ0_jck+9(H=;+~T
zZ|+_N5?#^Iv1o-5TpRDgj-K9bURs;a#=yY92?_}Y2Sx^l4a`i84AxA{&5IXucqKb}
z`8#H1tgumS&-aQr1rcUTaLr2d%#w5FF8qHyK@}8sYvrt&SUf#FUHMk6UbxV~!__6h
z&%rAz<MFch42KshK44~qsB(C}Z><~?G6zW<!h{;c-Mo0AZDY%#j-C~L%a*BJ;7Qdx
z4N(9#gMop=!JmPFff4F<kaIzpfdNIB#V0u-1x%nzfE>#*bLPyc3=9qn85kH~fq)X-
zC>#a`2POsq1_lNehdc%b1`eprtgY>hwk=>%#G##8fPulGg;{`siHVWHf&r`&6t*DD
zx_IFt+ZHg1LnRl~OfF9sM{s&`a78euVmF-|s)xhVH7hwG!yy62*x)c5$u-OjCZOmQ
zU~pK^CBWdY0Yq#B5u3OK7#Nrxf|vyu{yQvX1(B=_0u28_f&t6|3=WG~1sEKd83Y&{
z)`66QNmhq?q`+rja##&AY7LhF10$*oqr+N|CMzbWD;XH|;7o9mV_?7#aA;s)U_e&|
zPF-LEY$8@QXo(Mv%K-MHD7wM_|1&T!STQ5q2WP_Ehb{oN8(k3WG%$g1AG#XkG>yz=
z0EfFMx^jriU<s3fQ4h|9xeQ$Z>@svgP__VJNF0H}7F`8ApTijp4xlt3imnjkB1Txb
z$?%^K9%zc7d;v=144_2Duz?X&h=4OHm_W+7B$Nc`TA_SUCS=wSg4)gH=a}t~mE_@&
zoB?NU-r*3%2Fr*Jw;3213}Ei#a&&-|<w#5h28R=%1Pn^x4#&9!7|g|>#xpPOZv<he
zzn~rgDTc;@!)Y!71}+wudN7xP!Ql*-00R>^I$$zT^$g&W0#aVIK=L9eN-$I*%8C|n
zW@TV>=wV=Bkc7I6fq_8`i48U!Y#q8FxVV87IuL^#9tbcnSfDFo=2YMWVTVXimXBu^
zU|?jELf8d18i^0K4<6n~L7$xAa1xZ^89C8aV>N=ixudVMWnte6+up^<Yz8KWS_TFN
zbTdGuJ;-XTlAy#2mNexQU|?Wjbf{urV33CTffL36sQ_WHbI=93J35;?Y&-i}7Pc%x
zW-~BiRgM{zYgiywgQ7|XYBeaTkk}y08NkM&3nGeoq*CA20bELhBMn^xD8fJ(Dbm1+
zL>9?rBsRikbU{2Z2~IBH@J82U2sV#_!9kb<lv?BvmV$kU#0M+HV+R8xC%S5^Mj)pU
zWHvOlpqqh`TF@oYQVRnkn*y2#kobf>Acn3Q>;ZH^JYnFF04lIRi5^`O+#4;RG7an$
z21al>!w7DWIGh4yI4}vRd|_oB0~5F{1mlA001$?@gkZwp))AOsU_dI{HK3v4zzC}O
zK}8fy1H=Uk3@D8w6b=KU!+Zt?23Qfzzz8lAIN^M#3E&_?7v%8r^mlR1@Xv%Zz-2k3
zLo%|7FcU!Gg>C{XOj4hbfdQOy(N#bdFn~=46C4Z-Ob%y3Rlp(!1_m{#-$7jkkk66$
zV6Ctg7QCsI-~et#F)*6KlrnO{SYREvOcF>=$nx;aMl_f)1i+0rNb!SiBEHlFYWjh-
zf>INU6s)nzz`zKpbL>E21M(X}2I5f8#+J_BcH8E~3lS_x!xJJ8DwRPMJqUwL12-h$
zrh!C37^W6s27-ld8m!R^G7VhT!A(QRK+Hp!fncGV25Sz3Oan!VLkJ@S11CZhViGv%
tp$lS!J%#`{L?KBLT{AeLp$lRrMRXMq=P)pUO$HO72!V-W&<>z(EC5hzllcGu

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..1913de964303d1c8ca2c86d96ec93a5224ca4814
GIT binary patch
literal 3642
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexuyxMPVme0O7L|^&h*Sm@=fqa5dFF^{-L;!Llgr8!+$7nh-YA6XzpIcr`6lO
zqPe%ncF~HC#r-|Kjl7ME7V`EkT-eZ~tklS}xUZq5dBx%mp5Dd1?L7U9dHZ@Bn>%`V
zBrJG4I(m58o4Z$mL{~I)ELtH1*T%cBqo=o<mmYSoF)%Q2fkK7Bfsuh>12YpNgEbR#
z^WudZUdfJL{*GB0D{NHT^SvTYL4?^7T(i<Vv*et)3;!QaPz8nKS~+Vb7Ee!4SH4xN
z7cO-0aCJ%WbMVT_c)aXA!{Nn>511JtsvO?$TPw$e%s~=|Frfx<H!ogj+t{+Gqi03m
zvSlh4cvAIFLll6`U|`^I@MmCPV1&9I<XjMDU_cRO@kvfd0TbvFAjh)IoH=tU1B1gt
z1_lOLAYep2h5!SD0~3P)0|Nt#LmmSI0|(RvtgY>hwk=>%#G##8fPulGg;{`siHVWH
zf&r|O6Q*+U!bP?%tRNDHN>FToFneobZ+nw%3zWv8nj2~^uU2z!&!UdSeJgq!ZF@mH
z83<3%R@PxQl8cxbOh8dBz~Hc+OMt;)1BloNA~taeFfcGX1ThOR{C8N&3L;q<1Q`B<
z1Ou1_7#tR}3NSb@GYBv^tOF?pldKN)ND;xn<gglK)EX`U21ZmFMu)W^O;$`$_cAc*
z!I|LX$H0Ig;LyOpz<{m_oYKGq*hH*q&=MjVmjUcYQFMd<|7T!euwq8I56*<S4_yFk
zH@YC$X<!23K6Ew6=^L5N01kIibmb72!4fG0qaK_Ia~Zk-*k$N~pezEykT?Q`ExHPL
zo`*9S96)J66kQ?6MU1fWl;J-gJkS&cVN6gWW7xn5Dp0_g7EB;zViHOPbgfW6C?hgy
z2tzI8O7_h1&rI-eNX~#VXE<!$;Sj|J%Zv`U85kH0VD95=u!WY~2o?i_!wFFG1to8X
z<DldUO55NJ?{Esl1(T434Ks&<30&sDxS;R_VQ7g169$(;V1j`GDcm%nZg*e=<tk8&
zz%)Q3mI0#x!Vq9!bePY;zyJ#d21bXITmlT7a6Z%|aEd?|<nZ$JcX7?|&xA9;;l=2X
zjBFyzgjy_upiBgkchF~KU~s^u0wfE<V3WZF2ZO^LEJm?1@$>UDK}fJVCKhpM_<*W#
zQ0)XwKA=bf841E%OkkfOsRW5KfQw>Cf!qQq=s=;1p$b$CLki;-a7oC(=+MK!z#xgR
zR}6^_HW_Rkx*)hbM>fdefdB)81-de3P6bX7c8CO(r}4}J42*122)n>WBk{rZK@&4l
zx&|@9k<7pd4oGwzkRXJR;DBUwsA6DXkcL{s31fhb2L&xCXwU_@J35;?Y&-i}7Pc%x
zW-~B?U5Tz7GrZTZ2rw|PK*Cc7YBd7`gBTJU>;SNF=z`EX4_5cJIDl&?aG0ViW#;7P
z1Yw5=c$k9YP!`EfBsRiMbU{1;?a&~|07<mynpl|>_!XESq{Avu1^JL26svLw+rb`0
z;)7j)$1-TFqU(UfCxisYDgz^%0-7yIe8RSfp{oWv6kQOHLmd)8MJp)JqiX^+%s>us
zXaSYJ3=9s77#JAT&>V}z2dQNMwOLSGFbJLlxN*Y3XbRKB$O&VC^}<pyx}ZRELY9YT
zHlj_6ApmY0LDDq3W_(@;wWz>aL0)H(g0%w~7#Ja?jUA{s1m!D)48)<FjV+zM?Y7N}
z7a~}Y79&KSfq_8^)>Z_W25z6hO+&~)R3pqlu+U8dwIx9qWEwad!A%2+f-uA^1_p!~
z2o}0&u=Xa%G*F~CgfKENa3Vw@W`UCux*$f_V+epl6jJ-3YX;XX=z^HF54sA7a~K%F
RCW8r3gup~GXa`WQ5dctLkuU%N

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..a50e28e6efe91505e426cfff94685bc4ebf6c5c2
GIT binary patch
literal 4257
zcmWg2k!R*+U|7Jwz`)?}q)MKdqoQ<8I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+@V`7Tap|wy$XJ?Xg|7qGNG?Pj92JxKy#|W*J?FC<X?G|4`tN#K6Gd<(A#=
zoaL}O!7tM*!Pg-<(=#i{H^F0pM{>r3gp3SF-&Kn}db9i;UA;21JbM$e68w6TvKII!
zczAjG_Rie7z|+&W*U!r>8zh?P=$V{38Ln+XhNo|W+X6aSBgw$PzzGT-1_wq4h7HV2
zj11OH%*~4za(E>>digtMWvsAKZO`|LI0X@AOK{Cf^URWS<}UnyJV6x{plju<nOHnM
zJze=$tzNj$!Nb)h!Oy`fE93F9_Y8*@D?Wgz0h#RZe&1R-FaZ$*GnpBYI84YKByk86
zY7ckw;)S-2EsHvOR`e}frgDKNRqr%R0a~mIpvO>x=+}ku55;}JRx>cLIQTO#Fo;0|
z0pxEGW?(=OX7Nc*NC6Y*5+GlLz0Sbku#ka)0T!BA6BCvM#=zjf#2^3)eg{Sn&Eyco
zEWq&Jft5jk;XeZdlS2Tr0D}WFg8+lW4lV%(2+875kK|?sCWozD0t^n@xC9s&QDqn%
zwu3ZTi9@}|z^Dgjg6w2qV89S?XkcJqKvxC!BA5W1h*b?*<fCyJz#bDtH~9a51_lNz
zSg0{D>cN>X_n`}b?M4>_#Tf`gLIUIibQSQ_3}-Na16dSZA;?9Hup)!uzZ5*^6lGvc
zNKRp5!k<>b35*(v9&8XKd4ri?0+MdnG~}R;V#~@%cJRmmQ!{orv@?SeOA9FR+-6{4
zFo3z8#mytx5lk>JIGg|_8&I-xIL;-&pdk-6io?ev!Oz*jBLm97G)_YSs+4cFSAuV{
zXO@3vf`@}g28cTs!kytTV~4|Rgey)mFfeE+Lbb8^_<1-aXR!JB&2ZR^;aGE3s50io
zE1H>&Erb~u7<6D_4(C8An!%xyfq?-g22Cmq4#tcO40;%%pyYrq2+qu40-SHai3(i}
zM$v&Gz~HbJ<Qf(kb*PKsE&<tzF38&2-e}tbAsse?!XBF{MA(3W$l)x=0vQc#=A#R;
zE?&6Ewgo~uY=W7Ot_qw&JRk|hBg5e&*nCZF=A#R;w>I{+H`%tpXot-(JJ3}^6AnBD
zd1N@82HT^B%^q|?UajWdo<$vt`&RTe+V-OI9JavhMArpMduT}!iR*9%WIrUj7#J8B
z!O4gTT=qJw1{rw@EDs_fWhgAeFff5@0vH!m$bc}k9)JmhQxce9U_dH744~cxm+jy*
z2GambC`8v2EDrM-7#Lu=oq-V?abP3iVo)<V8(TVi+ijZ{FGR2)<$(pdQf5wmP7rp8
z02LG<3t(jc10y)bz!t#82wQ-z6l6FEBP@WG91M)$DB*<jp@xHPL>ClDPRR1`%to{<
zFa*Fw4WmOc0|NuPW`|lVf=n>$^cfi#u&RJ6U;vv8CO8-vz>xqe#TXdDxfASSxENF$
zBIpq;Xe6L3W#&}i1Yw6rW&s8khx4G+xCm6_K@$(C9SbTbbP#;7CD;lI21ZkuGDe4H
zR71d;#9-239tlP}To3`N-oY%upo?J!D1^}k*`YRog9lxbDZ`&B!{6Z$BdF=)0P?La
z!gpXDNPMtC*orL%MpKwFRtp#lteSy=0hH`O7?SirVS%m!ix#Aq&_!1cP9^Aq+)(SV
zx*5rr;K)GNjKu*+`H?sW$Wqw>B!@sXl^sBG2uvo@0SpY_gr<j<(2)3GgK*Vd42-5Q
zrK}b(7FY+?#D=Z{ix%XdMpq6_Z0LfZk^^KTII*Eiq9-<Vc`Ob<4r+AeSRDZBDpAt`
gB!|FdknNyy2vi`VJDDCK@S8{nK$W3U4xqsa08qBN82|tP

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_modulo6_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..6f1791edae6bdb3983b960c67cb73874776cadef
GIT binary patch
literal 1223
zcmWg2k!R*+U|7Jwz`)?}q)MKdqoQ<8I*7-}prF9u@P6N!T@L9C8@L$woL&90{4yQA
zJ-r<KT+?J+ygeM;Jdzz9oU<HOC-`N0CHOidXL@EO`6hT|&zrGrXIZ1J%6}+uNMc}M
z@N&!U$D(+FM{>r3gp3SF-&Kn}db9i;UA;21JbM$e68w6TvKII!czAjG_Rie7z|+&W
z*U!r>8zh?P=$V{38Ln+XhNo|W+X6aSW5U3|zzGT?1_wq4h7HUN)=bRJix+ZuB|Ccg
zJ7#69uu*N#_lh{h#KZ^+!L@P_dA0=CtTfLoIcM&||Hl(lVNxufo}R9Jt5z>u=-}b%
zlHljym6h>$*?We=ixnTh)Sv~vaMNO2t={$(&AmOgi&k_j?(gYs6c(2%7TqkP%LKR8
z;r+g~au5^HnanVkVMst-3>L?w2x6u+6WHb4&5IY>HnuG4=vmRXY?;aho>aZlFa>DN
z7C=uY38G&Y#y=GIaR_5zU|>d5jm?uRpcLm_s<QR7vFjGF8yOgw9sC&>7+`S%iU<&9
zU_cRO@kvfd0TT=i`Y^S4(=c911_lQv1_1_#rK|#=G$6ph!05orAi(e+<Q!&@%wmwt
zZY}`^hof8q3=opZp&rSl3``D(LGnkq1Q-~tU~Xby)Ppm@NsNI3L%^W{*%+uQkf%Wy
zVkkBh@bn31Fn}E`if-Zm{|pR_uyTOmzd0hgV>1?8JYf@KU~oubU|=wXng-4~kc0vW
gOHg1z{lWnDAxtGq5FGhn!eK8cOc}tG1E>fC04U>R3IG5A

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/compteur_valid.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..b64ed2eba70c8c6e7c8af25651ea9927bf1da8a1
GIT binary patch
literal 4018
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu)@Xx%xR~J0xd%W+nM1cqHhVv$`ZNS?>_Vz`*by3LIh?7#NznSMfEsv^T7>
zU9_TOaeq&5BX8rPg}l8B7dG@LD>d>g?rUgiUa`1?r+0C0J5T>&-oD<(=8hg72@Bqi
zjvk)&=I&J>(G?9Hi&hB1wec?O=;`g|rM(TT3=9n1pzvUDU}Rv}z|6$RV9mtbym%pp
zSF)p*zhhR$3LDk-e6NU85Mj0i*Q_+pEIDWH!vDt;R6!xQR?eD<#naQ%m2cJRg$o@#
zTwN0U9K5nJ9xr>(aCoue17=2uDu?&`*2*y<bCAR#OsGNJ&5IY>HnuG4=vmRXY?;ah
zo>aZl5Cvc}7#KJm{23S+7@=+lITwT(7*K>+e3BDVzy!Jk$gwOlXU?3;z~Hcufq?-Q
z2xyUx#${k|U}6veDR;<YU|?W}+RobA-e}tbCPf_DnFSaa99ozK7?_wC87vsUDmh>(
z7cX37+rkPWaj4{ks^s!?aRjG32Ui4hDt6Pkpn6yrH8<Gyf=OA2*+}kSW-x)c0~F67
z%-Yw|W!noTWl_uot7K#lU~pK^CBWdY0Yq#B5t~57W-b8+24;sKW&wu(4og`<BrAge
z!+(%q0J8vt!(vte1_x%4_H`ho4j_`%p&luw7?>PZgN$0kCBVRlD#Pfo7Np6F3F;jN
zMm;zaoIV*CFa#VL7#JAPRe_Ttm;jrIRSjB7MdLDn{V0lV@c;h|3=CGx2=~F6F!!Mg
zfbB*X1Un5(Al!$p1~~&Dvl+nQE{d)k;xbq&W?<BVGhr@67XZ5qT@aLqKo}B7ps+<(
z0WTEb3<d{K8W2TS2yzi4tf*!9&kGMUMSd6)Qs6LxN*r*m1`|lRoP=TnT`QCi%B@Tq
zf=~;&l0CEhGZQ=<k~5&p84jCwIHWOxvb4i(1_lNLnA-$U3x5Yk3;_lPhm)X$3`*h-
zCqM}ol*+;7gTrYM7feEuILuTACUEft<AOpOgrS8KOc-2Xfe8i%q!3hx`oaO6Jwb5;
z(*TWP2DH)&jmyC3FrR^e0Tvhxj1H$j?gv$ca50!k;KYG0%D$+hvtw~z3ycN_oI^6Q
znNa->wO9n9atsc6j0_A|Re+KZNEO&%1_ovpQK*+eRX3<^f~E~nxP#PzFc%Zptw<_C
zq72}|7*Zs+K#DR@fMKWt6~vI@xCLC+F)%vxFfcHPBkUDJVuMWvTZb+PF42(<a(E!X
zz+i!{jG0q`6NDWiL4{>Jvj77ln*_oxu+d0-uzk>!ij-(UOmN&WFoJ^-T?bejI5Ac+
zFfd3$&F6$MVA5dQ&;_|WI-5IeJNsG|wk$$sGcaORjv35rSOgdtSRlbD1+|)ifk6z3
z4R!$7ICMcoO@~zJxjKL=AaH=9YXAi%2qOh3I0B`SY(`=uY(^Kv6UyMI1P3&_CU|<C
z$_R={8HA-^-y!kA7UQu48k6Wcz}i3rBbywW;YfUt0tUQ>i=nFqyANFuj}Z<DphgWS
zSEFkJwZK3QaF`1&MHw6xF)%Qwpg9(a4^qnjZlXXMD!mS%mIVU?qbW=gBPWanRtw7e
zpuCGND3F|x<>8r)Xl!B#fSW)>n#SGS(bw6suy2KJ?_y*&1EhFCw;W$kfkFvgJ*c_{
z`NqMN6O=u`E&~$^43H30MGG+`K1d$}E26>H>i}+sF)*3J6fs)BSYWjb3=E(=0m9&X
zA%-psj(v1Nd|m?OJ#Y>{*MyP-@VbYelbMsB(;))XDrI0`m4daM85kHL<+B~ARscmb
zLI&a+&c>F`-gevO#S0NENShTR&%nSS1#4e}Oar%B;ie&EAgU2&AXw<8!P?*;)4*j9
z+%$v?@utCA=OEKSY26`&k%55|Aqp`G94F|47)csK0Gx;z!Idz&W^ja|3xZm-APXJz
zLBS15s^}^}vLFmL8BBnJ5F`Q$Xi(<?gh8GGB}1@hz-|YLf-qDi10r}4EVO`zDMio@
Hpx!zF*VwVl

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/diviseur_freq.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0dec8d3ac70cc079558b7237d35244dcd58ee2b5
GIT binary patch
literal 3604
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu)?h=vdTcyJ$tn!j@Gn&9!B6%q#4(6dj@%7#RLTfkO-f1A~`ac7LL0vbRHW
zre}t0wyW0ykK~L62^krVzN;2{^k(@xx_V`1dG;n`CHVCwWi9Ye@bL2V?VY)Efv2Z$
zub-D&Hb^wn(K9)7GF;n&3{T$#w*@q}fR%xPfd>>A3=WJ83>%o47#XaYn41?b<nT&%
z^zwJi%2;8e+Me$faS9^Lmf)I|=9wku%w72Zc!DY@_}0o<GqHGjdb;whTD@?ggNLh2
zf}ev|R>tFH?->p+R(!zB2vOzme&1R-CS(qhID`o`h`V|5LfgicMIAjW`j#zIxxkaE
zcN(GqYz6}ZmxDh80|O(}?I7oZFaraMFpE!eLJF8bmjF4IW#-J8QyCZ>7BVm}zybj^
z#!-0;3=T{T0t`$Jc?=8;>`<H8vN9aK9sHq`!vjVEkh2&W87vvV>NsHPQWE?!9Q@fJ
z6pA_q7O+Zgs7lt>_D0(lFe&2D&Md&d;LyS>z`(@B$Y2366BJt@%({5tBHI=)i9;nX
z)J%x;5+KwD6jPZQOdzK6!PJ7Cm;iAp7PX8F0t^o8xda#-Hh_qYAYv1U*bE}Ja0xIl
zFgpY>3o!h5Sjq|_Ss4Tv{(}Sqm<1Rd7PAU4I530ESO-$-03ul(>Or9fbpQjC!)lOG
zYq$g$7*S;y9oB+0Suw$sGU~yZ;3UkzfFa<}z`($Ot_qx5!35YutZL8_Ga8ox>_<^_
zga7|$U|_IfMz{~ogt-r00Bkq9AlPYO0^vS%HOP4cnauzWcTsfZ5SPIcIs>B~oC$Lo
zx&YW^=z^eZ2Evdy0);KQ3V68zXD~Q`(ts$sLXe9XVMQ^+e^AZ=C2IyoMIl)DLCPdX
zP@x3Q_+SF5ARwV+LDvf9gR``T2-HHZWX~-B%mfdI<P11-^A3k7Hdr=vxXr-8U;uL;
zm!D&Df@`*;o2N5^IhldM;S?wVgOawxNl=0XrEYNH;cy1T1(T4Z4Ks;>30!i)xS$XQ
zVQ9Gp69$)MV1j`GDdbe3u6F?EO;DV`G(e-40ktGU<uNci%x7R=fCT{qqr+(~0R~Pu
zA8H0THJ}S}czOD}xMuig!WrPOVsuDGHW6k*Efzs3n4~@<1A_xL6;K5XV3WZF2Ll5$
ziv-luph_K7aY0iBDCA)}xR}6>Mp6lu0hg_i61K$wTz-Lq3_}&7bZv0}6>toU4m}JE
z3?K)ClPLp(7!n(7GT1tFL2v;LDW)L?IXn<xV6Z?}#>}a}3BnGMpkgwfS%86&O&ZCM
zAU7iM!S=z^C{l7w&H%?B1Ea$X1_lOn9gGgMv5GFiBKrS7g9W;NW=?)i5O#<FxpEaN
zsE7^)xz(YHfq_8=>RwJ51MEsr41rvaF38={+1z2<+1IkLWf3x)fe{>z=*lspZ4HY6
z0|N^qn=I5?m_<l@umkW0lNh>cu;u82c#LpJ02L0Pl#8wj)I0$>z@Y_Pek@{OU{FMJ
zDiR;0k^xdhLu={;2T+~Lz`$q<Q^v>%V}Vt}Jd7@g$F-2KMb`ka7T$~jSMQ)w0G!=G
z8587oB{a7q@xiWvG#Q{RhXe<3Q-Fcd6sC-k6UG9ohPfSGkf7VqH9)L|H?6>}5@feC
zNx>Rx3=E9mmJ0(oiV>m^_prA&ELyR+p$|qw8foAn30)~aL<gLdf;IC%Hh>%eu>m0p
zQ3*2{MkCpPt`t-nK{P=~7AaW!5M%|o(6R%?3n*V9WFTre8(TVi+ijZ{FGR2)Z9a%R
zs1O5{U?2=K4OF-}z)b^*f-p=i!VClp-85J`6J#1F$vT9<+=h^Wn1?U}!9q6;))EDo
V21-N-(-1O5n+8#cOge!2g8(s?hams}

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/led_pwm.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..50af9888efc8379691c9e7457cd864ed3e2c6827
GIT binary patch
literal 4608
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&uAtn9aK?r1M<G1Xdjr*5}H6axdpe<*MWV_;zLa?9@bO-XX_^7LEak({w0
zAtS@lchzE#-YkDdSFg-0&)$Tr1i#*-tOfoF9$ucly)$<%@bvWU_49Je28m`mdM0O1
zhHG1p;pv;;wt$94vobI+@PfR~;K0bhuz{J0k-?gYxq0zI4zFZKFMr3Zj1@Mj?fG62
zry#;?39eabo>_9v+=c&-C#Zq~Ypt9$6N{&(rz_v8)e9Foc(}SG_&IoGWjtQ?p5gFf
z#Rtrc5LFKE_pOy<LgpZeLzqy5xSJO*v~6rz)X}q|Z`m@H3p}ZMry&Z!W-u^tIruX$
zFfc;h4stFCGcceCv-l(@q<{%@36Nu1X3m^Bm4U%wAp-*gED&Jv3!@nr9GDmc7#JAX
z9P$_#7&xHzv9`81+O~j65r=kW0R{$#7G?nkCMHG(3kI-CPMFHY3m4h8u!2Y&D!HI4
z*^<3H{Ty7`HiM|C*iGezsm%r{a%I~MqHw6?fvV*KrADyX30!lxY;pjbzX8R3W(E_8
zt3l}igu!~ic0lxi?ZKjlkwJjLVLg`sgTn?8u@OXU0uh@*#1<|A1_ow_AZ7uE{|-x8
zK_n}K0K<QfU;wiKgTrE00R{(VkQwVhN*zEXt3y3f#4<2BtOgmihD(5f5mkoKVJ%3L
z6%*8-42*hkCOA1WFklEcG%zqQpsNC>TrdGP5vv-sgpI~!0Q*rC-QfTK85kI>m=W%S
zGhyyS7XaIhE(mrSm_WD>T@7-6L1r_6!(9|zImBhKM9;ve2WP@uhAseh8M+`S%YiT?
zjzD3Ht^!_$z!?k<pfn(gt`OuRMpz-t@E?@rKnan7QBepMevtBs5mZ2d3jr{JR49;8
z%Ajk7@<CahMMDH?A@{=Oj&|F{{f)MZ79!Y2h7M6|ung~Tn}LDB0Omp#H;-gTFu}m!
za0--cK?&O7B$oh#hA7lf{?3j?wnzq}@-YoJmxOBM0A=G0FHb)>g8>pC&=3IG2aP<3
zb6f%pTr4o1U@ilL!+9<N1}1Pk!epT88NdY@q&RDVWM@!ZVyFTYVvwS&1)Sd*7#(^T
z7#O6XE@WU}5JO^v4F_9?E(k6SkqvTqAi%(2fv$|1Q-KqN9U?(xMLei{Ws^bJ1vVOq
z54H~xPsnk$XraStP+7s~FoS`C0bLKH!)&agOR$Lk|Ic87uAiBcpA&=~B0%n3#R@8^
zLYV~^*ksWhi^K;z7B%h{Ekx%#oJBYqT`$DZn4%y@ql<zZjV=aqFbJbNn$e+(fq_8|
z>T*sP1MFT<V+oWn&;_|WI-5IeJNsG|wk$$sGcbbV2wgd5YFoo1z`(%5#3m257G@C=
zAM5}Eu`7nI9&A0jAYMZp5<pqImVto*T^p#W0dj;x3!4A~n*y3kk@z4*4Cqmb&WA-U
zC^*se;&mX{bVhKNW&}4)9L|6e37CXbkg(E-feG9ef^k9RGzddmLNH-)>j+FRFd&t`
z>QMhVfNOY=D`6VI4q#wlfVGfdGy{{vd<F&vSmDXQ2+nexa6VKYI7-k3IlMgmU0gH#
zGvN$y8O!L9jBFyz1W@dto4^8-)MsR1aKNSls(=A(GMM0CU;sNARx~p(f@6gf&WCCN
zI~iRN?ov1dY$n9X=!(G(LKnnzGP(+={isf6cDMklSr#!cFsMKy36@=u_+YKzRvfhD
z2dX_FOb1ZgjDdmC6sDX}48{WM0u|%v0^lG(7lbuf!N$5efE#}dLJSO?=nApw#+%9+
z!6Alj4m`v_g*i017l6uKkgXV=AkG=+855lkP7(y111hGW&ba_em<~HYjsm%ifx!V3
zQL1PWg~SI3IXI%A&23ObL6{EU_A>*cDNH$|7>oth1&Szi0dP>G3$lUDbA?h4;08J<
z$T-mzV%1GVM4_95Kcdi86Xy){h(YHgMHIRPaOdEQC<ZnuSnrO3ff3RQvI7++pu!I!
z0||M~#+J_BcH8E~3lS_xj|w8sz`!5{>j8pH1NV;LrXge?su5-&Sm>s~dWj&@z&Q<W
z8bXG6(_sBbkZIuL05=UGL%eCQo+ijNP~qYb0t+{U4DqIcn*AUQG7Xdi5T=1dK^S5d
U0|O$o5G=HCgDFMO4xq6g02^E_FaQ7m

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..5848fd1ee9e56bf1f86c21b91fe763368ce0a0af
GIT binary patch
literal 22871
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&rsWF`19D8Fsp`@zS~A&P;4;Xf2O1T!!&G<UD!>s{R2&fB<XA#d-(g$+H*
zN{u{=`x;uBS1j(}0ZH-nFXrv*ZEWu7;gPW5?da&?X>aac1rlA+(6MNR5L_GY!j7Ka
zZeCiM%gVsOAO!L;g99T2!v;<!Mh0sp=H|r<IlPh`z5E@sGFI5Aw&#0AoPr3mCAem#
zd1lEua~J+Uo}dZ}qqTC@Oe~(Bp00eWRxe!W;Nj|$;OF3#mGOAldxpb{6(2A&LR2}t
z-?vtd37LZ=4q-wK;%;8N(6+H<QAf{;zGcf)F7Tx4orWl2#-*PGOTg}c7-!9d7O`xe
zE{@5rSx?R9NKX5?a~`d&6Ih+#m+6(@>yVu3nU&<5;E^Eubz%HNaUWV+%)6jtQJ3wa
z6&(v(R<$(OmdP=%u+LIt!WFu>LTjPJ>a<MX8^KSG-qQ2@LTg70uCQ&kU8uQeMMraQ
z<HEj%MT_@_a|_suO}Rj8o7t6n+gCLA_AuOE|NC$M&3TX{#K7m^&%nUI2+cyETm!-k
z3@E}ZKFJ9wU;<qNlxJ9G&YU@wfx%%R0|NsrFM>-KFu}m!z{DWHz~qp}z`(!`wTCS$
z!_nKpA4)kqU=#p3f{~HIk^!ua1Eww|!7szXpAABxsAJ#&tK@>J<nT|(%F1+haD_3Z
zI<zwjFfcf@Fbgn%5`_iCWNxT>_SVMU_9ojFC@q3rH4juZm+zuQ3mts?JRDpROdK}w
zLiMmNUbx7%1x(^Foe!!~a7jaZ|H78$#eE$;ogE7`ZF`|2!f+8;huKKJVP-Ia_=X>9
zf<Tssr=Mp=mcOU3i)Y3XhXjbg4!FPu?D|2$4k|iXn>!ZS_JT=Sl#qbiBnY*My|1HV
zQKxM$l*Xc(kwJjL;RlxhgTqe{@e4%!1`&Ti#9t8c4@CS25e(b{3=WLk0t^hy4nfQU
z4F4ULvVurf1_6fuAi)4;0S1S~tO5)U%ph~WbAjR%M6x>6gVGGtSqw}LUqI%4<q}|E
zM3rH5_y*Es#ROBzs0U|)YYhel3;~A*1_lOnRp1H&On^<qss^q8K;tri{V0lV@c;h|
z3=CGx2=~F6F!!MgfbB*X1Un5(Al!$p2Dw^7W;1}pT@+n8#AUEd&A_M!XTn^DE&z5J
zx*(`X0bxiSfx;GD1-z<;GZ-8|X+RWRA;?9HuqF<}e_?o_DT>0FkY)fol?zmGc{!5J
z1Qnv-KmZev>J*(hRKf@9ZcxP}<KW4_z#tAyJkZ7ylwyD+C8%G;LB$jgxYm(`DraqN
zZ?tUzljvo<0i=YMf~mw^8$eY`LsjxZYXe)b*(f|5cE~_=!m2);bs*FxS*UupMJ}Gn
z4hawny@r6Qm4m5W2vG~BaHy4ssa*_F3#M?WRe-5o0Z|L4aHv&;sa*w83#M?WRf4Hq
z2~i8CaHv&=s$~b&e(kosP#V2XgNB3(R5d5025h(Og|jeqfQv^52}p{O0#$O-AVLO2
z$btwt5FrmD6hMR`h)@C%${<1oM5uyvJ5(a2P6h`_kOah7DOe(8U|@6rTVcn@zyL0P
z5i($RFmN`uboRE}HZNX?U_q*Rh&%%WgA^>Gf=mOu6>b_r2BI2a27-ld8Z0q`Oaprw
zZW=;{c++4B9Ap|e^x&o;WQaEnmi$4cfukXWk%55|Aqp`G9FXXO0?7$k9-i5V4kCsC
zxShr5kj%iqfUeo07K<RLegj$Ppbv^XY$`yqAPhDcOn_R)AQ4cs!SW}_GvMR|_6$N4
zq7LjCbU_TaV+eq4gLnpAGuSief|#B`R{?Pj0|VG(FoEnDSOEg^3^-eWJ%bR1=mvWR
zT@b_V7y@A1Af7?j4E7AVAf{)~RY07B%QLVN4&)hd_6B<fAqvqA_6)iphTAa&kUWE~
z8SEK!K}^q}tAIEMmuFx_Fvv6DVhZdTgeXKe*fZ#Y7;eW9K=KT_X0T__1u;E?t^(p5
zT%Li|5g^Zii(9Z~5TX#>V9%fnVz?bc0Le4xn!%ny7sT`ox(bMMaCrt+iGe%=t~NlP
z0lOU`12F+nS|eEK<u<I61DOV@e;nYZA!LX)4c<&QfVIt8+&q#U!2|<?1E@Xa0P4y)
zh=FP~P%}&&TE}7xDq#p<X_~Qsn`W9&BfyO^yiGGLm`Z|8Gi|6!=wJb^K?7(*K?kau
zSF5?VXHm!Ez7@TVw!I*p41_0Wi{70#fP|?-1gOeqafkwiM>L3t0THnvQE)>57CsCP
zkst|(aw(XXL6HX53a=g!G7t+85szS@CjnTnfJ_6s0d5*XhIrFpK?gDo>>0Re2pQr{
zg9R<fG*GC3lP4!a6k-xM^`i@7WK;|Rq@0Vc8Ju&`1wq|-kcEh<8(jrR7KFhjg9)VC
z4xT%}9T0t3qCpweL>=SAlIGdKY2Fa389JT@rI3cppefS`rVcWmhB>STRc8WKM>r3e
z!c-E>LuOEw5XU7zs11<B2OXqiW`Npn4pR$uX9C2Xm})^m%ixd=${j2Y`JfC@03r%O
zL=iV=%rO_l%mWdiW;85}860vzLLiMyQn0WCB`J_{NDCSv3burSy}e=4ip33mFd7=R
z5J?6GDOkvYOaa*kF$EzCQ3%rwqtQ%Zk%9$0$QZDP;JF1M12GDbe-SK5U_#_UZ9`b(
zfJ_624BRw?3`8};3<L|^G*|?JOalioylOzm5N{eRib1A<;tHIT!5J4JL$qnAS;-oj
zdN9Y@F-5RsDQ0k%vV|JLk?iU0o9XZ13S&$K=VQdUGBnBBLDjQmx%y{1BtR$}{Z4zR
zTGqaf#kRd*5?6)L07~4<4o#r++6*FEKmy>p0Om~whenVDM5PqW-Joy<>jK3&Cqfhw
zrr>}^7sMzKF$BO~hcuzlHG@k?bV1Cv4Y~@5b8xk7V1Wwq4A}ReB*%#mh3E!*23-)t
z?HB?`o<Y|P_6)ip8^ksSL~)O<0;&K<=LQz*AkTne5uD;V5uy;?V9%fnVz?bc0Le4x
zn!%ny7sT`ox(bMMaKsF1hI59dXEw-O8kEA4wIEYAu2AL7{I)I3{I()sw_{`|H<&5~
z+ZJX8T&mn*s+4S7n3Zs;@_?yQv~6Kl#H9+<00m8kFe}@(Fe~Fy<pncK!?uN41D7gq
zs4CX>j)k@@U=oKveV{7YK+}*82@ndi-~dO5!wgXF=Wv(>%J8#6#2gSY7eveh5%WRB
z0uZqfq!L_Cz+#8NVJ1idVuTbd5<saDY#g|pK!}3vgVbW^f*6SpLjWA3ka7ZDGdMM(
z3u2ZN=qe!2!I2uJU}**98E~+GJ%bR1=muvkbU_TaV+bI523<4QGw6bto<UauaSkre
zz>+k`GvMe2dj=s2(GB(tx*&$zF$9o2gRU9u8FWER&!DS-I0u(!U^x%u8E`TMdj=s2
z(GB(tx*&$zF$9o2gRU9u8FWER&!DS-I0u(!U<CxoGvMq7_6$N4q8scPbU_TaV+bI5
z23<4QGw6bto<UauaSkrez{)X@XTZe<*fR)Gh;FcF&;>Evjv;{L8FbBH&!7updIntu
z#5uS;11oMpo&gtsV9y{#A-chyK^MeuJB9#~XV5i+J%cWY*)K#_0dWp4&%kN~kY_-}
zIHaL~5QXRldj?$)!|fOXNS;C04E7AVAZE;<tAIEMhi6b53IWi%4z?BrMq_CzKn8Py
zpc)8wL_urTK$SOmy#@AF7toe^2-HlrRiIT%2_R~&17?K_?gfNG)v`DDHg+_FW{AKv
zuGacxP^HV_a1~U~UIP)=LBtJ^D7Y$y`Jchz3P=K?Tngq%P<(^6f)Xz{dJ!^^Kt^=V
z5G?d=8Z00{rh(l6Hw_^}ylJq&0+|N(47df35QUfob}YIeM$*F&KuU|~n!#xiT@bWN
z31lIn1&*!)Bn!e|lfeYifQu9?HbI^NMG7QiAw)qsp)+Xcf*5Yc5CGc-Y4f0K273lw
z5VX_+WFf*c=qf<6APhDcOdxp%p0P9{p=lI0Qw*9mZd?fE34?fohLA)89%ga4&A`B*
z0b1_~$}Vi6HBBBFU~0w=3}w+!v)TDOI~LhCE`-p6hG5q~n(PqsVqgjtpbEh>7KO1e
zg-TF`U>b|UIG933s6sG}MPWQlp)yn<n8u<o0j5v`st`<LQJ4r-D7bK8$D-!uhUSKj
zMG!4e5rO804kM5V7R^ae&3rDN$zGn2by*G`8GJ6Do8jCUJ1_zeG+_ve5cb6_eJemA
z4W_Y}p8_?X&EGT2!6O4qVKFZis*EEe)8EzC!6O68z@jt_s+1qTCb4HlpKaqpINun=
z$KsT9s7A<Qvy4<nzoZOTF9(kdh`<h*0H&Sh*-)(<3mqWKRpAT<1_m9Ntiw-G(aGS@
z$-uw>69eT45Oy$TWMI(45CttRLKg(DTm%yip!H$k(h6M-bj1ghVsKasS~JEYlM8hx
z$VLzb>qQqts-0RKHiDL+fn0&E3Y^EFS<NHE;Wfx>GN46~plAn~4Z>ja(FKv}v=)a=
zF!S-M0xh#+kjcm9dUQc(NzsgDy-AD1W|(cbbb-njcxlrN;W@kl`>g<*?dXCSYgSwx
zw!rKpLM>=@A%jdIHk;4|A<JB#t6dTtw!&;dR|hHMU}at7LWehC-xOi916_~}ygViW
zN;zzU*@3Q(9b7y%E`-qzZ^3pHW3vNYkPW=7CIL!0Y=_x_u8tjCvNkS+(GKsxc9dYV
z16_~}yc{P1N;&L+*@3Q(9b70kE`-qz@4<GIVzUEXkPW<yCjm-1?1b5Yu8tjCo;NOp
z(GDNLc9dbW16_~}y!<BtN;&L;*@3Q(9b6SOE`-qzAHjB%W3vNY5V~pzwtA@7VK>Yc
zbd})swUAY|y$+z2uM9F3*la)-gs(F~tT^g**aNc#T{U!NE^LKvFJyTwq(C#ThT6xe
zV5<dL4~}4gN?w?}gE9|jZ7{gxg^7X6ClH2|ycnXOG7nu4T<C%cM9GV;24iX$Lx90y
zKd3ZjkpV4_!6+@!1yM@l!>~|7R|PBeASD(m4_bcJLBk5<XAlOL&FF$CW%Ci3ZFp5d
z%4X2&WemTd3!+Upw>TVy*+5VwXvsB$3@B1CY(W<UO--XtR3mr}$6z+1>w>n0Fk44R
zA`YKG`3Mqy=FQOX<X5mondC(0gVG^Pt%E5d9m2#waRI`Rbci7eawobVI0=FYL^?!Q
zgF4rW%42Xi$tA$RB-0A@0?2w01}6!0LCDl5d~?HDSO5}G2U;@EAk&7;26RElgeZJl
z#5tG^1k{1n<1?_xbYQarT@WP~orhU~t_rak0%>1_s{?4IKZ6XY)rOJw&;?QQ&jpx0
zcvV62PZu^{pbJ8x0y^28;BXOUK88A^ZV<d}){V^ubU{c|K&Pk^94^6ZKv#!a!l3dX
z<qbHAF_`y3ZRJ?#0GUpKGeG$QChOpf$QLj%P=W+uNWQ=j1?3BLL2#A;6Nr3)t_C`t
z1Em-ou7b*94w(s1cY<sLVQ^MJ7lcmnv^d;^g$ue09IdU1*i1(kWQI%)wK&{@nU1ak
z*1m$#(00}&Y^I|NGDD`6S{!b}Oh;D%Ygxf)XbWpHHq+4snIThAEe>~JrlYHXwW(k<
zv~4v7o9XC+&?&4Ihr2M-(N(}&Q!pCZs+x+;baX*x$kbPh!#$Yk=qh0CC>RZGPff#S
zI=UcuvJ5h1*5Ys<W&^q^;%gt7>DX*V7lh2aL8spm93H^zMOTN}tw-+VJA4M$ci=2y
zJ_~9u*Fp!c1dj}V$K)&|CMY+<R5)ZKax+W}l&3%#oSQk(MfK1Hz}XL75S+8Y1R{H*
ztHE3ofhoe^@EE+W3RHxk>*vYxcZK^8g#*#Wz`(#PGY1+JAP<2s*tO__uoV{Yr53IZ
z&tcJku9lc+keQ3kR&+tgN)70OjRc1mFdNa;p$^P6E_C<;ifWm8*z7<T1TXP`EcEDg
zcnPxsT@`pJ2RfqTk>T(aWIj0H8O%XjD?wE$r;;sn8z+JVN=PtyhZ;mef{B5`8-&3L
zNe@F56sPEd;3NYk5a|Y84Q%WlMl(3P0aXkvGK;Z=2)ZCj^Wp<6M9@`X9Wq&h&3tr0
zlxD?8nE7~BL7Ejyv6+r82%0nSbpTHt_&Ovwe1e&et`0H|hA}XPB;fD^6w5Npu-S?(
zh&Bn)>+qRNfPoWT6*z053ql<N-wq1hABwbL)B&^|6Eb{_t{FUhjV_2ee2uOGl;%Jf
zY%+MflL?we7#JAXz(afBUM9GS4{pzZi$QP_W(V&Xc32Gx*u5a)IEc6mA|8T>S0ExB
z6m$+C60+kHw#b-)3A}k3#szIW1YzjbWtcEHd4mZC2BZyz2cR+G0N%z5${a8aAisbx
zcvmx+U=Vds1I^>afrw%d(F!6Kfry(RVm<={18jJnff3xy14j>B3}hYy1HSz^4j?mN
zqxB4o;9*0s8E`Qo&43N%GcbY&v^e2>sD7}a=z<ut92f%Nh=rsZbj{#&gD!|UpNOsk
z>K@cpRN!EMO)@Yrg4=PNa6VKE*bC@_7%s*TK=J~*X0R8~1u?yVt^(>FEM9<3e=snD
z8;hK9K2!_X3+RFvF2)c*@&dYMuout;F};AU0_q+tUVu%^Fff8!q?~X*R14S(=z<t7
z#t=aA0=j0f7tjSUy@0L)>K-g!fK3@OFoK)CoNzu=3)l<jf*3Bw5J2(*x@NE!&;>EQ
zfUW}S9xPsfO=dALg4@=da6VKE*bC@_7%s*TK=J~*X0R8~1u?yVt^(>FEM9<3voSD&
zhlx1he5e+%7tjSUT#O-r<OOuiU@xExVlLM}R{?bo7B9di`xqF(Eq_ipAF2iH1$03S
z7h?z@c>!HB*bC@_n6ZGa0_q+tUVu$JGBAQ$w4880R14S(=z<t7#t=aA0=j0f7tjSU
z7o?)AfVu~Z7hqGO42<ALGbfx6)dKbcx*&#&F$9pjfUX(r1$047FQBV{x(ACFVAHh>
zj1J$p1sFKte5e+%7tjSUT#O-r<OOuiU@xExVtN5x1=KxQya1a<W?*#q4)Ow=57h$p
z0=giEi!lU{ynwD5>;-f|OfR6TfVu~Z7hn_G42<A*IVYSC)dKbcx*&#&F$9pjfUX(r
z1$05obuQ>CpzcBS0<Xg_P@_|YM}Wb>3`F>Wh#U}63nF|O7#LPTo424wClVWM9@uy2
zf?Ua-E}oz+enJ)!lYx;FT_IN89A2LOF0L8=nQ(?f6dUM-7Er?)-2|eCbiihS2?Ymj
zP5}l67Oa-T*DovvtzSS|&j96vlPLoOy8~!$do?s*7#%=E4KN-!TtH#Pq`=RwprD|@
z#0h6XV-+;9gsvQ>4U5TZp!UF&a5C}pgUse<La>lbMpq6`8klZgi^XJ+qah|kSV$(L
zD~DHum?p2oFd5`x1%8mpFcy-@=*r<;MNE^|W0(vH43Nn%7Lv*6%2}YHh$WmiU^ST^
z>}HV3AQqCz=*r<WDyEw^Vw%hk30+VOLRe6fLE{bR%K!gofNuM8Sj51<uo+<*ClVX%
zF>tCz7bLnX4@#Kmn&ANk>g_`u$-uy93RA{t0b_yf2MyhEDsVD$DsVbPf{veJ06TdL
zl9Q3xU@c%LqYIMcWOU8&m_T(hOc|pEj0LtIhm*G=IT?u!)&h1ix*$nTM%N6lf>50d
zQ^sfkV}b3*;pA;dPDWybwSb+BE=ZD-(KUk(W&;_G7_4CgB@CD{Mhh4VqzHsTi2{U?
z6UGjNlff=T;)Ap>K$oDw*P?l3fEzm?i(pD2#V?Ep)&(hkL4~gZs8|3q6!@9Ish5Gl
z0aUB)LbDi&4>kfCG_dt(umx)9<8WX{qw9qp#fEt}8<L0v=s+`2H3TXxc0(P*2sRGJ
z1G@us0v>o>U*kg9a5A{j4e>R)QkLdLi<-d%*stJ14yFcT_#UWLFvGEoAVZ8sSIE-5
z5M=biMa{U3-iu~5j<|yukFJ=ddC@|U%NOD_ejl3gMAg-d4xj=RT`Q<S1s{&bz$67q
z#-MR>&<bDhd^$J|5TcNPV#m6f7u*y>R|+~{526V|N`aQ(!fXJ~r-N-kh(eU%u>oBv
z=&T-yCJ4zP1v}CZ<O$IFHV60$ZiEa(En*cof(2Q_3y}wH0)`!U2r><{CIn#`LI$E5
zVFrSQZW`=BM38BqS$>3R2pQr{gB_I!G7U8RjxY@&L%eCQ;}k)rfifgyc{f57ViGvM
z&;>D?>=**zd;%HgMAr;XIOu}#IsksI54sA7b8zgNkOCz<SULk&BjAPi2vLY`uxHQ(
zG2D(JfaDo;&0x=<3xaY5$U?+gb#xUVSr7)B3?@M793%otgP^5kFwcP2y@6u}>~@3<
kL@graAz0{X5Ox$LD4sz_M<Pr^$PjHBL?JTi06J0`0IGBZ5C8xG

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..e3fc45333deaa04d2670c92a6239bf7c1118ce8a
GIT binary patch
literal 6833
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&r<Ew=4l+}o~RTz|}`%$&g?ih+UQKNL8GF)%QAxn=h|XE`KfCHO7yNX}T0
zkdfi&yK1pVZ<fEKt5;^0XD>*qHz{j@e}adXr*H4foeMlYeS7`9+_FKUnU0>xnUmq#
z7G!w(Cb%u2q0!O|3=AS5uQNC>GB9jlW@2QpW@2t$ypY2y+0o12F)L$*jcR+oSHvlZ
zFk6CaR+?v)oHKXf|Kka&puk!yXU)Xo>FMdpw`%pmg$^FBE(v}PURfEBm%V2=yjbx8
zL=DJfhxhx|%7F=p7?{b-h{Rz+<{*hfm{5DTn-?#%ZERW8(X*m&*)o+2JgIu8VG7V<
zj0F_j49ag?_kQrP16#+yz~bP~z`!5|^*G3{Ak4skBFy5GoR9)0&?P`V1$&o)!C@f-
z0|P7sVTl7qGcY(XF$jQy)`1a3GdTn?3o!h5U}X?s_|L$=<Pg9tz~I2lAi&_Tk4u07
zLb5p2Be{)%$zeB_0E5FGE&&EcR2fExy&z3i;!tleFzUgXAUhct7%&7J8W<QD&{cuG
z0w%yFVpW3{v1nWdu*XEv4gUY1fq}sa7CH=!dT=Jpedq#UyU_(faRb7TkO27rT?IU)
z!Wj(UKo&(;2yzi4EUPp8mxTwNq9Tk5$puVI_|qXcAyFeigAIZtT`&_&K++8lw+hrz
zY*`tO-VXjy%HaVcD6yR95@3)vfVrC~*^w#Pk%7VC94NVfl9R((E&&E^RhSVe34R$4
z{%jBmYQ#m55gHmWCH@InnF-Ep5XzyQ8DvWfD2d)?U|>Kf^UO?4@N;H^P&k!w_%2$s
z(80&g1J1yylzH*OMIel!KojaR)~>Dw+l3I)VK%~DCqeGgf+_0gT+zFT6-*+VgrbNg
zE7>y}OkgO{hML3V@5touh;EI!5mcIa@rq_<V+&yh1_m9Nn8PhlPGoTCWME)`i9wS%
zgM%?61A`uhC@2}D3xW$9Fagf*;LL!b22`9u$~1?yTmlSCGA2-m!7T(CjV=gHI#9}C
zBPfv&p$?SB7-USb*?=wxO<7RNVH3;-0_s3Xkby(S9GeyBf?Tj9<=~3Mbl3{B3SB)s
z<-r*aS3nUZV}Z>!bV1g|3m4h8KuCveFgwsyfzmAqJ6r{uY>CZebU_aPgsiMgX9rg}
z!(lVbd~~(Y+z6!{E`e>a!e$G)AbV?LZ+nw%3ygNy0<!~MB{VBTDTm8oJFKzUfi5Vx
zq@lfkVN3JkzK)*Gj)j`Gy_g~n+hO*i>xN`#2<dPQY^x16ThRptvOGNfJTtQVJ$+p~
zGnP0cU<f$ufZ2(z7n-|of^D<KW*fR7YjejU+g=FiuoGr3hAMEm11){7gH5-?W;(hc
zdtXP#qE6dh811kNW&^rPaH$0*9BzQj2WNj!re_2fKTP1ZhQn%*_6uNn5D95Bz$ys_
zCU6S}#syWXAPjBaz=Xji5SU<KK&mVQpxyzuWx!<<OasUfAPj5wz-R_GX;=-$z~}%f
zOTgOTVjw*X3=Hh;4U1MRZs>#2;L6FNmVto*T_scl16YMLtZHLmbO4niV6)+3P^B<4
zVKi7L*lcu_%upQ=74tzg3)I65EZ}Sh&KKYU1f18w*1@Vys9pxn#+J_BcH8E~3lS_x
zMPq@kl$n#C6NDWiKs7ALK3HwXzzEI^oNzwWbg+%+f&$42SstF*h&DHd0JtV&bVz1k
zU_jRl4hVEXHkft#j0_A|RX`OmfK3Jy91IK&ATPk`Vg@D$P#yp$RIsz*5>UN};6bn;
z0R=G#Rv9xeI)Jh&C!7z}4>lBC5X0*j0$|5N!UA10*r(`%>@e%#VS%m!ssJ@CSRHPI
zvf&~I1_mcsB4S_wyBUcO)(Wqh;0$mQV_;x3g(+opXht;%tWgXm4d#LRv7q`KT@Y?6
zoB=l8;R2|~=dgoWfI$~s3pgOq1-YQMVD$r2hCfq=zr!I=vy{OB<ZBl+UnB9s20^PD
zC<V@0ARoh&F<QV_VATu^44|9>!r<J(0I|ds%@QO&SR1HX24Qe^1sM-hz-$3yfz_cK
z4-Rg06<D+=a58f$a5_YS0ufy~I1teVnV{Bz0}(?K5s1V(0I2}cMK=zs1New^05pKz
z(E=EW4>kzeD1lPoLJ}0rFlE9PFcw%f)?h|gfkg{)FrzC6`x0FcRI-C?1P3#^BvM)h
z2Q#`n76%{)GrDrD4ghrnsObQbLtqEUc36>s?qu{3@Ib^eSepg904U#qFgWk&qRWCq
z09}xo5o{Es$U~PT!vV-4fNmUC2Ut+q0mx~9C<lBX#Q~n^QGhN0Dri6$QrMu&f<pjZ
zPy|}qfO7%5BzhE}%UhrefZ`v7A!z_z7OMktpe-tDIRH5g5aod7q&UC}JqpkTK)wfI
zNC=?If<pjZ@ISHx&?V8M0A1b!T>upSAPh+Z=(1QHaDfFW8=~tV!vV;-0NpsO4q%5i
zCc$X{T?e`YywRfoT>#{J5Qc;Rx-8fM=z?v?4nUVA!vV-4fNmUC2doxEiUM>UWH<mh
z7oZ!5)d8<0NpOG<dK91wfN}r`LvjJSEZ70)f(PV~9Dpu~9s=m{7U%+?_y=J~8bFuD
c>HrZ1>N)^94G`skb3{4-;!JeX0W?_!0GlDIo&W#<

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/loto_tb_ar_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..97905d997cb0f80e1647ee01686404e4bd7be822
GIT binary patch
literal 1091
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu)^CczZY`J32ULIV5By`0W+H)XFG$Nk-*A6gY%2Ffe$zW%olBEbvIqSdfsB
z;pn?+u}5!~zoV;HW|n6!NN;aa)&l<o4=+#O-kCcWczXKw`gyr!gG4hOJ(Dvh!?i8Q
z@bpb^TR=mjLl_tsL_of0aA0I$*uczS&BWZicp-;ZvZI&3V^+or8`buFuZUAjOpKtg
zSt|#TXG?I+O7qN;bLKAme>_1ICdK0E>FLV1YW2c}4j!&934RV<Ss9O)y=OSQSn&Z&
z4O+0WH!Zg9UEJHQUR;07r_7vz32u|a`+aNWAiB_*%rMtrNI+c)7RRLsVx~0{*tOiv
zix=89wk+!CS<$y_naTy8RK3$M1!zuX0mU7I^4r$EAAIb<al(wI0_GW}WJj|d)%%b0
zYh;04!oa}n;LpIo01ItU5Q8uS1Bx(<PjW&Em|$Sghp9zNcW7J&1_vev0S1SqtOB4I
z6kuRrbYNu=VE7NRk{Kkk7$kF$OMt=QB9{OIgk*B4M{*4Vlf!wC`~@xn21YBGy$p<c
za3(l`Ffd>UI5Z#|162j`7zjfQ#ijzDy5I~3u%kuME&TtVfq@a0KN<dqBN8h%V_~5W
zqZt?+QWzK*LZQ0A2^<o&kT3%U3e?REV4uKL!UUO;9hs6H9S(!SjR8zKfQk<QvF9;j

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/modulo4.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..a2f6922e694305b76fbed6fa05cf8f2ef3837202
GIT binary patch
literal 5004
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&rz_qMNS?(Jc?zy9~%{+sh0q8Jz${zHL77y|=?ms@uKssz7GuLR!(9?2OC
z5;8IzeOE2^=*{wXboI*2^6X8>O7QDV%39!`;Nj)z+dFgT0#8rhUOz9lY>;TCqi1sF
zWVp5k8J@lgZVPB=G#dj0gCNN33=WJ83>%o47#XaYn41?b<nT&%^zwJi%2;8e+Me$f
zaS9^Lmf)I|=9wku%w72Zc!DY@u-3|1GqHGjdb;whTD@?ggNLh2f}ev|R>tFH?->p+
zR(!zB2vOzme&1R-CS(qhID`o`h`V|5LfgicMIAjW`j#zIxxkaEcN(GqYz6}Zhl4)@
z0|O(}?I7oZFaraMFpE!eLJF8bmjF4IW#-J8QyCZ>7BVm}zybjlzc8AC!GVcEfPsO5
z#UYP@fq?^RA8TuSqiqYA6me*07GPj-Xkiv$U}9oquwVeI<b<hQyl|0i3oD4kp^^)#
zl5Le&g0DjYh??uL!C^L%smu%}5L3CKYT2868#|h9d!e)}rfNn80S1TlTmlRZ8$iTH
z5V46%fPsP8A&6Ok;lIODRuIX`Ai(e+BpARfz~Hc$Re-^P8KiR^NT~yeWOb-V3TOr<
zht(jX)^G_hFrvyZI;;h0vSNa|k%3VU&IBhf1_lfPhXw`)26R>6lmsTgCSp~CmeA0+
z3}8Qsq8t4GKLZ1U6*Izpa3;)s=mKE7(FMUy0}}}Mp{qeozsPI`aJY-2D~GrYmIxUb
z_25jH%g_bDE<+atWdRU|#1SZL(N)0nG@QZU07?U*=n6qDVuTfn4FCDyfu;z`4M^n$
zBdEXtXG$=Elu1d*_2^omd{EY7(h!2$&6Vt#<)4}0;gFmGWzKNeyu%@i4VL{JZZj}2
z7{J`e*<cGTtPv~*28R=%<O@pJ4#z>s6_mEYS=`|ihzlkm2^(e(0~5GRfpJ0M3&PM6
z1tttGWxxaj15&sdL*4EG&X1rNfoXt7ECZ~hfzb?%4)Ylp7+{`eV01XiCBVQ5=R@^@
z;~!m+!^_j(#Wlk}6V3pK4x>XdvWYMgYOx4{3RIB1gFYhzg9A1dAXyLwn+zs67#NsY
z#GoDpRj8n91ey*&p$$?E!dy&XM<b~Oi86poQAk<Z0x7XT!Gxg-RDwdv(H3x##=z*%
z!@$5Gfv{H$i48UxY#q8FxF|+8$l-wi1A_&+GG<N%P7rp81Qm?&%mNIIY?27Oz(ynS
z!S+E@B~k(fF~RZ2!00fCfq?;C2TSv!Ma^IW9FmL<RSXOaQc!C+VGOY0pnwGh47wn9
zM`v?~ZD(K0!j?tIYz9WK8_|_xhV~j30R{#ZNN7q!t!7|g5JO^v9RM~CT@a6#!Ql$_
zHM%C2=7kHJ!GyyqP>sXL0Sa9igxz4PkoaKx@mK{7U34AbfCUra&}Cp`lS8uuiBH%L
zF?7{nXQB(@ai&88sH6gADs)ZoY}W!V%oZ^)Fesxr6^RdaDyW?RZ7%paBshR7cm@VW
zQ<ySFP8bWU8kTy{1qG55vOGMq5luD>0dUg-l9tdl<MS%0`2yCez`($wisTnug#*M2
zB$Z%y<FSH)l}#OCE+-NjtP>nL=z`Gp60F_S>i}vJF@noEbfsWJK*0u50`>_b1EU4H
zDo_yt!r)?pO#{h2NNliCVE3R4;&BhUQg{+UaSysGkXt|);vP*T_aLzm?m-vC;~sRS
zpvD!*AaD@E!v|dz$SoiYagP>~dyv>5V;I2UgD!~2J?Kh7O)8K<sP4f~g<7mLFfeE%
z+yr(45+7t71D+JfqNM}X3@P1VJg`1cp8-+2gUUHZHY5d{NNliru;u82c>Is96l@4a
zdPP^o%*oFQ!VVG40t{NZD9(rRU?#CNF9cOi3l}wmi)ltSBn6yEY_NK;^U($II3Hap
z_T-JO3gmPUhB#jj#rZHE*g25U2h}o*7Q&p5q<|BN4OS0!KDr<t=c6mdo*U3rft(J)
z5a;W|oxg;EfdR$?I|n?dz`)3cB*=-x2CD%(8C?*MlhKu8&oCIOkP{38I3X~wNWuES
z3=E8rCYv3oxd>{WAY{OfWZ-OU>FjN{ZC<<(!GiRdA@ZR14y>;XG7a2Yg`0+ufv859
zfncGV2J26QOas-54k3&T44ep2h)LkkLl?xT{4fL{1s%9OjjkD7i=Yd_lQq0OjjjUX
z90mrk$zTE$giui_Scf0v8F0Z5_6$N4q7LjCbU_TaV+eq4gLDqiHG@5aE(lLCaL=Ht
RfH((-XJC>D+5t2U2LOua56=Jq

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..352b652f5b5d2c5c162bc5ddb2fd752c4061832a
GIT binary patch
literal 4127
zcmWg2k!R*+U|7Jwz`)?}q)MKdV^#K=bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&r#bXc91>3bvi$<bSSo?jfI7#JA-LxDpm0|P^I_bNUGTdmbAdUzWbE#&Q8
zxUiu|S*ej{abH7A^NPhCJiUv1+j;sI^Y-;NHh1*!NLcW8boB7FH+QcBiLPkqShPY2
zu8ntLM^A4zFYQcbVPIfj0{NT4fsuh>0}~S?gEbR#^WudZUdfJL{*GB0D{NHT^SvTY
zL4?^7T(i<Vv*et)3;!QaPz8n5S~+Vb7Ee!4SH4xN7cO-0aCJ%WbMVT_c)aXA!{Nn>
z4<KqB-tSv0$H2hj;LpIozzDS!WDy86FrWyt_#`K!fC+R7kTonbXU?3;z~Hcufq?<$
zd}y>lDFy}yCI$fp1_lm?JO%~^HkfVvwk^#3wjvI*nFSaa99ozK7(o8DU;wLPhpAGq
zZDCfxrHTWlO3Ai`SqYaaPM9i1+ZJX;T&lQWs+4V8n3Zv<;)bcxux(-1z@>@@s*1I}
zW1(#en8e{vUZ_eoP&#%<fKVGy!i1T@1e6>E7#x<f3NSdV01+!e#3~T68bquC5o<xj
zI#vM&1}29fW&wu(4y+6U4F5sg0A>LO2WAEV28U%Jc`(W1P>&S%3``D-LHd`l3NSFD
z$}l=C1!=MZB}@=zVAKOqAPi2b3=9ky0uBvOe<7;^CrdB^HW8~Dv{Z}6WdM6j6l5p}
z!>s@RpMinF3YPj981>*xs0s`Lu-)jYKxrR@At3?s0lEr!HiR=6z=14^t`OuR#+A@Q
zjNv~h^@APEs3-yr7zR+BGHhT3rFn2}1QW=)6D)urAi0Rq0A>IOr0jEWgfkcz9QJ|Y
z5ERD_dqHsqiePZ&bJ!2!f=Nj1!c1Xc0_SxY7vy~qhURpbFgW*v2?hovZ)rpQ;=l+>
zTA%=fX@G`11GET$QVa}?EaFf-prRgB#zB1yayU#A7b7@&kW_+Yz}X0rnOYn`iJgJb
zA)bMOK@!PCkQ<Qr*!8h#NkO$RI?Q2UV1V(!su>s<Sef|w`I#W3LkF_}10$O>R0GJ>
zAUlxwU|o=W3(dX>4&Yn}@ddg{W=OHu0?y1@GEj3N4uSE&MuHup0Cot7ggQhPssZK@
zBtBRdZik?&WQLTiE#Lx0OAcx-#33*q*hsKL_!Yn*qQK7tb%;Dv1I!^ve6TLu4nbGR
z3@N-@96*JdmIBmVh(lmJu#sSgD1aRTBB2gZgld2}1c?vUh1((MDw!emLyH5bVAWEB
znhS9Vj0ZLn>=1r_1#lWsfQ5)MR0GT*NPMs^+zvrk$qcE2S{y)yyOs*nTt<f_3=9k~
z9@t25bBBSEO%<vHW;GHYtPZ!;=qi~Z^;(Mqs7he~2QdSO6s*o>U|<BNTL(Kv1_n-q
zDA*DPaIQrc6i80U^6<<?G(<22z?B`NLo!m^0Ad~kgF`JAK~|`&gFYh;6;K5XV3WZF
zsG$HAm4Y=bK%N0treMz?L?P<Ho<SGHa65(o*fxk~&^3cSgD!~a8FUp8=P=;#49H{P
znuUQ8oPQuOgAj%2273lw5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6ph8ielKzR`28H6ZA
zH`p`if*5Yc5J2(_x@NFv&;>C)gRTPN99*7(wI@NI0p(+eXAq(g-C)n43u3q(LjcJ$
z=$gTvK^MgI47v)4b8vYE*6am&22?UYJcAI0=mvWRT@b_V7y?M1LDvlS47wnuXV6tZ
zoP*0Vu+}-qGoW?^#4`v{h;FcF&;>Evjv;{L8FbBH&!7uJGA{!IqBKKS0dWp4&%inm
zAkToB9S$L&q8T9y(GB(tx*&$zF$9o2gRU9u8FWF+m_b(oaSjg8z$6j0188Uf0Jlvo
AlmGw#

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..f1347a61967b024c808c9db4ef4a53c7f74a896b
GIT binary patch
literal 6683
zcmWg2k!R*+U|7Jwz`)?}q)MKdV^#K=bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu$V8Ew)v#)mpux=gl4Cnar!6K5>X*U|{$U1r8Am3=Cdw+5OI04htPtr)Bys
z@JP;BkdTq#=(}pMM{kzDqpMeDmS=B5R)Sw|Qq}_h1P?Dy-`<%!7kGO5_WF6bWrIXB
z9X*pXC&RTZ$nf+{a9coA!(|v47}!Apz~I2hz_5XZiIKsYiMe_4LJqHFM=yWJtc(>l
zs_pq+5vL%+YzeMeX`Wef&fJCnk0+>tf^4mvH4}@cr>865s?`e@I(WFcB=|XaWo0~G
z_MYMJV#No{j1W~0@As{hV?yR2i9?uBgSeX)FSKoJS=7<9qHozUl?yzndZ!@@m<Z`d
zi#Ik%Y}^Qba`cv-=NGUO7#LU_{23S+#GqaW`4)s37*K>+e3BDVzy!Jk$gf}zGcY(T
zWME)`g&`u5AXp3x4onOJpa6DY1kub6LCgXS{~eaHf=E^d0fzq!49pGz%mNG!i&+I2
z9GDpd7##L+2{1rNR)=~dr!p`(?Bo()aM;Bqz`%$q!|1RZq{&Jg>MI6DJvb9&Jp%&+
zhJZr@0|NuPDzMMM1lUBZYS3aDjmrS`qbRz;|Nk>EFjz?-+y`gE+=ngzwi{g#>@+Ze
za38uF<OGDwW&nq~D7tcp%V5#Sz^Dgj!d!+f0CpL=ASe-mFeHvZVT-N;o~hsr1_w|Y
z5JguAauFk}Y-0E?2M;tw1sD^OVVRh5<p>h89VA<TNiabmmx0xQ2}t7R(NKaqifNH2
z)1sLUvzbB3w*{1@FEKDM7{J`j;^vX;2qqX994>(pGAN-tT;vj9&`^dMvCxxgA%+ne
zDlqBAo=l4|rBz|lD?FK2U`ngOq*r+|t-_R6he@yWWLk+StpSzh@bvO`^z}{f;FvjU
z{=~U+H_Slx>1_rE1`SQ9QpSahhRBj9L6TZfN#@q}M&{ObL5FrkIDvGV>%tT)UeU~K
zY$43Rz@P&YbGQr2-V6?%3=9k~F=*;!a4=?MV9>)51*JfAL2!WvCcuRVI31&_ffkxj
zioszm$Yu^1eW>f;wt~z@7i8wQZ2@72jiBhorUINsJRL5B43#m!W+u8Ivx0342s><o
znTf7~36y9&9j=1SG{j~mx*)TXZ3_rHY=)VMu7U}a(mWlmfz33+W+u8Iv!ZPa2s><n
znTf7~36wlN9j=4TG{$Box*)T%Z3_rHY=xPLu7U}arac{QfXy_)W+u8IvxaR82s><p
znTf7~36v2$9d3fnG{t5nx*%(N$3oi{2<fmLW-huaL=J*wC5KyJJIt`zfiB1fYFIfW
zKq-eEFk8^oF)n0W=x`fkE+pxiTR}}{UfkaZ!VHkI6Vjvr7evrB?eLpRfPsqzrXI{?
zU~u>Yu5Lkz872c&&j8KJjShD})-gKtFfcIKKy71SVBkbzgSCM38@eEOM`v?~ZD(K0
z!j?tIYz9WG%2DieSi>T~z`z2r*%o257!n&{GrAy-_;7dtD!=D2FfgENU}aL^S73sW
z4jrHZzLQyifsxG)VKdmxNPMvEI4ojdbO70cu9}sJpP!!zLPAPWMm7gD8<6<;ZQw*#
z33ed5pg?j$mWO9HqV<X)0CotY7`jH{jOXz3^mlR1@Xv%Z9HQ7jZ8%V(LN^jeV1adj
z2?d7)Pz2S2dNGJ#0S5ykm}FpZXkimz06Q5>fNEd{1_mZ@Edox~OyG8@!)j0_x&lhP
z4j>ZJs0677cM6!mEn*lK)V2X(XnPnY46aSU1Oo$7o5dCCGH|;W9Of_$P$x1VTFD3&
z1E<4$1_lOLgMxt(Tv2es`B1%J^Uwt`q6|X-92SfY$;dXqOaz4{x{0hXNqt5J1_x{^
zpbC(JnuEb%1{U*~9A;w?WyEW!1-g5fITbiT*dY>>^{^Yb1dBEQ|1)4Qi=UI3lb_Qe
z0%R5_FEcrWf|y_e6bY~<83QA@X61zQp`Hdu0=ghZm}3YaMFP5JaA=?lVnzbG3aEQ9
zBLQ6@Bofd?A(4O~3N_UNT>=yVAdH9vbQO#aph!R$1w{h77$^cj7<VMV8omsS;HC&C
zoDX##I1<nWF~S@}04WmCHG?AoT@W)8&{aU)gBc0v3L%kzE((bRbWtX#sTSxGpa=kA
zL?ocAfJ6eiC@2!p#Xu1N!nh*=*1ce01h?Hd;e4p`z>$D1h!N%(0!Wd7t{EH&=z^G$
zfUW}S9?VEUR|ts&bWunopo=m?O|`&~z$lK;RX`#ET@(}v=whG<0Abvb0PDmtFoGMq
zoNzwWdEiJu7sLp23<0D_K-Ub81av{nNI+Ksbq{7Fpeuw#0=g(963|6ipr%@&OMr3#
z2qSU=x(Y}npo@Yc0bL9f0U(S!5@20r21ao2fD_J#Iu9HP=z<twjv;^)3Fw-^k$^6U
z842hrpzgtp1ayUvNI(~bL;|`f%vuX{2~Y%pFd`DrRX`#ET@(}v=whG<0Abvb02`2C
zU<7xcIN^M#r@@hcE{GB47y?L<fUX%F3Fv~b!r1}dIzm?gbq{7Fpetkqw~jDHLG>uQ
zC@9R(#X#W&!iX?qgfwPA?HJgg3<D#$SI7zHL!Ac>E_6YR(7_Nu3NCcb;NU_Rge4wC
zaG|S!x(6k=7{Kje*w7IJBe<stb}?KGs*SU;rL(u)wt4YF1Pe06WPz>}lvF_&oLre5
z?twZ1ix?OfETC<6P{#t)GeP2mEkWseGB7Zj!W1w%G^1(<D;I-FgLy<5>Tp2>q;3bZ
u0D~@uZcqapU62cEHaJMoC7Ck(nKJwx4l#m8;2r*gx;aqg$dm(US_lB^3y}H%

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux6_1_tb_arch_cfg.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..3090fd75127f34f69f192d4c934b66d37f2cf522
GIT binary patch
literal 1171
zcmWg2k!R*+U|7Jwz`)?}q)MKdV^#K=bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexuyxZczZawc_ceJIA=L5bXc91=_?(vUwDo2<-02Xp}--6fq}uxExRACWPwL=
z#)5>53`gHpi#>X?{2g7rGP68;6S5NgdXusi_$PRHdHVLw+_}Kh)3?{p%Pkuun(647
zoH-e;Z9#^oZ-Uzbni}rOz`(!`3IzrSMh1or%na5{%*~4za(E>>digtMWvsAKZO`|L
zIK|Aw2nwUMau9j81lO!I&n!7-?!y1a6I5YRES{d8u6(OjFI?#0;p&p$=irr<@p##L
zhQo^$A234=aCpCOtsKlWFbAR=iD}IQHi)}<@j~0imPH*sEBcl#Q@OyCs&^Wq)|v?|
zk~o_d+bY;<tzOad=8o}9=2cIhFu`4nWH6XXf<wUSAy$H!OoZHx<}5Zydbtt&<mfFu
z&o2&P3=9m+Xlf8nX91-$Z>GQ%_AdgDgY9ErV0Q3lU|@j75-4gwn1KOBn8hbKAq7k@
zFzCb7VoA4Hgcukcm>2{Y9M*CPfKq?}0|TQ2D}w;Te~{J8Aeq%5nf)N*JeL3ig9C_U
za;Qgg6$6vQS&;lWE&&EcE13NZjCyb;IDs)RU<f!gAR7Z!1@a&WLkz{H0-ieI3<j{H
zMbRz%|DS<@5th#x{`(*jJ2qnxF@az)FgT=u@-|c_IFmpV1UTG40Rwe61K2lSP?a!2
TaHN6>hl8N-V*pbQpyCJsWpz}G

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registres.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..da6cdab920ffcbd2026fa9a58253e8fe36db25a0
GIT binary patch
literal 6312
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu$V0>{zsTVM}MnqReUEd8bX+VseOLU|{$U1r8Am3=Cdw+5H)w$yph$E}qE?
zJd!gOBxGbb`mS2+(VOM(=<1c3<=LB%mEhN#l(oP=!Nbebw|C~w1)iS1y?$P9*&xwO
zN6+NU$#888GCX|~+!oN(a8?Ee20l;#FgP$WFl=CEVq~ypVs2i%ki#q4(aYa4D`SO?
zYJ0v{#3_g{TY_s=nrD`rGk4+t;|Z#uAX_VE&BWsA>FLV1YW2c}4j!&934RV<Ss9O)
zy=OSQSn&ZfBSe+M`+aNWn2<S0;t(d(AnxYH3vC-)7IpNj=v%f-<pNKt-f4&euo(;t
z{0{yM3=E7=w}YGu!VC;3!Yn??2`OL#T>|7-mYFkWPGw+lSjfP@01E^}EF)M93=T{T
z0t^fc+zxpR3=AAlJ6T)X8*N*_q=-X1vj78wLkqJ20}~S?g9QUvB_~Yf;)RQBTUbFP
z4wYO`l`P3A4z3_#Ds~gOq3T!{H8<F{fJqUD+1TvnfvRM4%yRT{aD`A)v8&~Usa@pa
zne33j2BtQksAXm_f%plOQb3q(Aw(^h!l9NQX6|B$S}=t}Ehv1!=B|LK1yeZG3c}1?
z1yKv8aHti6sa*+C3#PEBWn>Uwa9GbJz~Ha}L~H~Rn?S^75U~YBYy}b9K*V+su>(Zx
z<Pu<DV0H*%7GU`Au#^=<vN8xT{09jJFbgm^EM^s8a9{=*z7C|+0YtJo)FY)`1}2Bq
zAfwiB2{16C$}l>t1!=Nkf_j31Q4h`p=U4^?3;~A*1_lOnRp87CCcq|QRfCpy(YOp?
zKZ>Fo{Qo}#1A`Sa!hLWi%zfwrV7t);!A=7c2=}3@K`s)I*$m)t7e!YNaTzRMGcfAG
znJ|~33xHjQE(j`BKo}B7ps+<(0WT)u3<d{K8W2TS2yzi4thQnJFA5JdMR6DtQUo)C
z%3yFg2PTlpJrW91bgfW6s3c=FfLX{5uheWCkl73j4(CB>5|mmU&VkY(D8Yh@L5B+<
zE|`R*R+w1~OyIH?#svi$2t!L=m@v3B1``YnNP*!14FLymJ_H3bOanBw7!c(#g2lkV
z$RZ8Z398RQ^%pd>K+cD0<zfOyEs{#G47liq6yPn8tPipmLlvmlh7{f{;Ie^%(V>Td
zfk75wuNV>=Y%<t7bU|?Wk8F^`0|5pG3v^}7oC=&E><|emKH`}L7}(h45O#r$M&g6*
zgOu3Nf;+(hR9Z1GI?P~TU_e*N=r9|r=n^cV|Nk>spzCMm<mUuohX|1CI+#I4Rw&4g
z@@P&(;zOJWt|$_q)rJG8EQLA|T_wbcn4%yjqKkr@h%N?l9tdMNQ31_~NPLJB!Sz!D
z8-#KImGn?2qN{{B5mOZ8M08P*6Vb&$&I4f#Cn};j5s43RBDh*iV1rN&pn3@EM0Ax9
zCt`|%oQN(8aw57I$ax@);Y1}gCnE77P6XG+32YF`0aQyuortay;zUeQkQ32GK~6*$
z133?bF`TH3=0qeu#EIZWKmr?tasb!iknDo465>QmQIHeSML|wP7XvvDgwdVI=upML
zz@P$kCnt;nb{(i70~JK*g4`XQ%^kL#eJu-H79q147{O%%x^m3YZ4HY60|N`B^iqXd
z&A`AQhQtOt0Bjt(AgECaZkf6|fZImkvI|`isN4c!MA^mY5YNECV2rR0Y&a4hY$LcK
z3u(@_IDlGR>{=#JEsPFx7#J8}Jg{m|bDfEwpPz{pOgex|AvPo>U^|fbU|rbDNKimy
zs6=iuyE=dxfLf*~&Vlj327{fW0Co<DggOUF3D`MEe6TKPK?tQ_<sry97%H(i#|*_e
zFdo=ouygnoz@ekS&jfW2k`l0UkoaI-&_WMN!OA|6b1+n5agI5Pb6`BM!C>bofSm&(
zq0T{40(K4(AFK;nz(FZkIR|nMhDt2Xu|RPSj0ZLt>>Pf61#sF?fQ1f{60mcS_+VYo
z!VF5m$~2I3FjQi3jwOn7U_7wFVCN`+odY7Fp@XCZ>>MOMSQoV5f>N;Z3*;OOl~|l(
z1$Pdp6oc`=27|{l7#JO5K#h8+GLQt=T_6Ux6s-5lz`zJ;GubgRFo0c&kO8S=VBl<Q
z>FjN{ZC<<(!GiQ^A@U3i3{tQjGRQP=zYA^}LI$E5VFrSQZW^o?4KfW}NWo1*$PjND
ztgj6+4OAvMfK1~=h(b&PdmUX+AUPq+!!sK(5P~59?p!lEB!fm3kOCTHrb8_jK~TR7
zEU6C)Zfq(*vLFmL8BBm81SA3qXxMN7$TOe<4dNMuC`29DGw6aCZpRP++XnFrx@NFv
z&;>yqBanp-aL=Ht0Lg+d*kmw)>>1d21;{g?wyr}6BLf2`LKLJEnkdl)G2D(J0JaU{
z8FbBH&!7updIntu#5oKMV3WZFvS&bp9v}?z45(EM@eD`=gyDKYxf>(^!Z1M$w_^x^
zZG(6Qq!EN+n!%m{34kz65YsatSrCS)fYD%+!345rU?V;t&w$#`5YHe)A-ciI3|$bz
z?HB@J+aR7n*9`Uyx*(=!&{aU3gDYlWLsB5mfLhuR&mcr0y1|}77sPNoh5(Xh&^3cS
zgD!~a8FUp8=iu@TY|ISg8Bm)X;u(Y}L^s$o=z<t-#}GjB47z5pXV3*PJ%g?S;v8I_
zfeq?`JOgUILp*~Jh3E!*23-)t?HB?`o<Y|P_6)iprf1MqK%9faGcZX6?Espi002l;
BeD?qV

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tirage.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..783b824307d6f127c73e40d9b07f6e3a10baa31e
GIT binary patch
literal 22425
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexu&ssx;Q4gW<52ZBRTEo&Up?|3=9nap}--Ofq|j9dlg?tXTzeF#k`G+7V`Ek
zT-eZ~tklS}xUZq5dBx%mp5Dd1?L7U9dHZ@Bn>%`VBrJG4I(m58o4Z$mL{~I)ELtH1
z*T%cBqo=o<mv$zzF)%RjgZ$0lz{tR`frE*W!J3J=dGSIHuVhCrf5)th6*j8v`CbvH
zAi``3u32fGS#r+Yh5wHysDeUjt(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR`
z2h5BRRSxg>t(9X!<{*hfm{5bbn-?#%ZERW8(X*m&*)o+2JgIu8Aqtpr=_kPwusa~e
zSu>$UFNbHAqi;f1rsL@+49!2f13uB(Le7O9ixw|z>FijPIqf^|wCP$*w6>AY%hk^@
z+aWpAGb_nA!6QM>oYf_H$$DB_%d>Qq!$O#)qA{G4-<#i=Pisrrdsg<_Hg~j_wwP)y
zyHmFt67>uM4*m=b42;mE4@&JI%)o#m%;J-rkOC&qB|vGNW#-J8QyCZ>7BVm}!155Z
zY=BY>3=T{T0t^fcJPvsb3=Eu5+gMxM8*N*_q=-X1vj78wLkqJ20}~S?g9QUvB^ONP
z;)RQBTUbFP4wc+cmAp$D+WQx_G~0sBM&aSm$ph8N;h&I|mFeu@3S&&g?j~NSdbUL_
zp2-dg5Nd<NY$W$HGnhc!&j(Yx5TX`L;ZO@IdO(<MF+?qx!l4!vmSD9jAZo!B4z+?X
zH>`rF1yeZG3c=K_gs25mIMfP5)v`BtEV6C4?S;~^D4_-q2@$Aj&c2S0MV%n^a26IF
zj0^${4j;J$7#u!<h|eJ63yAm%BEEr$?;zp_i1-O2eu0SJAmR^~00RTFLlCn7!+(dR
ztRRw=L4e^uNHBm|fWcugs{n%oGsuPyAf*l<lGULeDH|{_IlKiK^^Qw`fe}@P(cwKv
zlNA%xKMag|a3;9!U|_%yaA;s)U_e&|t|q_)*hH*q&}tDhE(6$)qUZ+y|Ifg{V8x7Z
zADjtuAG!e8ZgfGg)4&A6edubCD<@<&13278(Un771}h>M81>*xn9I-wz%D}<1Qk#q
z42dI9*rKa|SJ-d{g99iHh@vY5xrh<g++p}H4i7X%Nf;B-7+|Av@eD3~N6p-~Rt_8z
zkR}M2NnYy(qLsQWBCwfY0#bd+I(RZLFi1la2E2I$XD~pL3e+z`+yV>?T;N(v7ODkY
z(_ydg3?TJ|984vsro&#}K~>5_Rie~zEhs$n`VOj70jd*L&Ec%?pz0N&>bX3@ttEd~
z2Ui3Wy^e(HQG)7W?Q7|>Z2^-wT%!zC$>r(d2yTQqBp{d@(CQMX=_*h?0$Ki^zMjch
z8Llp#$^M=W3Frbi^s7SkLu)KtH5b%2HK=NMebozRq1RjnkaEmH7!voQ+yV>^Vjw~s
zL`Z-LNf03gBBVit42X~g5pp0s4wXnLjKM(!Bmpr@3YLf%7#JPE2HP<*Fo4TPgbc)b
z&c>F`-gevO#S0NENI*j585kI(U<nOm8rX$!(-1Nc)d({XEOgUgi4kNP*sE~U5HiG@
z21}qI)4*W{Hw_^}ylJrH3o;EH_;Aw@GQ^t(OV}XOz_AE74Ix9kX|Ti(G7X#@LSS)<
zkRjeQShfI}22NLS(-1Pmn+D4yAk)AJ6mA+qhIrFpnFwSWD5X2VO+&~KZ5q77Hh|UA
z9FU<12S+%Afx!V(0)rcO4uYV31S-5WVR;$e)kJhUu@v9j;Nn{ws)=y%tpig@u=v)6
zs$@w{ac~6@I0{lds5;g~%?-9KU{VC7tHH<sEvEFLD%l*f9K9S|A=FgtYC+``xch*w
z3j;OR5N0m8?~=d<rqH_tP_;%dwcx%>0;DH{L#;7PEx7NJzy_vps5OD91@~PN*uWGH
zwWct&;J!-&8<@gW3r=hfrl3NX+rb=^J}f|lC5W&B5!N8W21M9`2s;pA4<Z~ugd<2J
zxU_~PDFz2KkOag;DOj2TrFXFD@Nxnn19k!fqEtn&(92g?x&oO7b|&03gbeYf!O|Yc
zG_Zf+rXggAHw~6TL8gHN4rCf9LKI>WxS~QA6i80U^6<<?43l99fRityLox#c1G;91
zS}cN~z7WVl2YpaMicJMb7KFhjg9#207lNf=nF!<=Z~_2(1|bSj2KEfPAcos91i-dI
zJcF(o>=|@HR)}p32+yFafGS`Bn+zt9Jp;?-AkTmkGN{buM2JFkgFS;Th~ah&0kCZl
z&!B4tdj?$)(=+HQAkJaH;Tc#l1o8|xLxMen5QXRldj?$)!|fOXNS;C04E7AVAf{)~
zRY07B%QLWY666_h!2<RSLKLDK>=|@H47XzlAbAE|GuSief|#B`R{?PjF3-RUa*$`h
z1ti!r2vLY`uxHQ(G2D(JfaDo;&0x=<3u1Z(T?NEBxI6=^X+WL<7xZAyAVeX$!Ja`E
z#Be)?0Fr0WHG@5aE{N$FbQKWi;PMQtDg=23RAGS|U7QF}h;FcF&;>Evjv;{L8FbBH
z&!7updIntu#5p)T18?(zJK+}4N*-+hAB~HpMaK$m(OE&Y6K>I2!&DM%(b+&%B6_c`
z2quo!oh?)kxLwx^CS}3l3L6M#hPCeOU@Ac!>Rxb%8dvAD64W$db*KilMruGrEr_TC
z5%nM`aH|CtfD8^*API;bDOk9G5)4>BsQLq^4}=UPmJn?f1Pi^@0t-8kX<&!IO+&~K
zZyGFoL8gIy1UC&KL%eCQkO!Ft4jOPiM~Fg90(%`@5F;mG2!NvyQa7S&24@X)LCjVQ
zx(bMMaAXZBSmFSA1{B5Md=7RyLIz?2BC-%Hv}i-kXpYcy$GvDp$Kr-X4gEd6jmT^)
zS&SW=#hjrUA=4wS5DG_k#091n+_!LrbTM$Kb%m(~cQITcT?`y*-C%0LeG6Ae7Xyb{
zcbHmm-@+Br#lWG~1FBXa%il3MGr_~bGuhcU!Qa6ZT>yuEP)`)p{Xz_*B_NoXV^-j(
zbLa=<U3Q0wpzJycL`((|Q$WO25HSrzOb00fXK+}=F*r;BNkDW<!D0oJQotsGG7%?2
z6l@h_R0&-WBb8$aASHWr&ERB@E{ItppsRp52UoI(B^!`uz##(m3_=v58=Mc&1u@)?
zA%Nr=bj@JTpbKJp23-ZjIk-FnOV=RJfMXWy8H6ZAH`p`if*5Yc5J2(_x@NFv&;>C)
zgRTPN99*7(Wjv5)z$p~$8H6ZAH`p`if*5Yc5J2(_x@NFv&;>C)gRTPN99*7(l?))y
zfO8tyGYC<LZm?(21u@)?A%Nr=bj@JTpbKJp23-ZjIk-FnE4o0Q0hbtH&mcr0y1|}7
z7sPNoh5(Xh&^3cSgD!~a8FUp8=iu@TtQ-Y-22}DP>OF)E!~{fbhhX8Y_k5s5JjzlH
z6b_b}j|E)w`9ZZ3Zd`&^UV!QW@VW-PvpoS&GZ8IH!i~#7s2&ba*R14(42J|51J_{K
zeo*DX;&2dDUmOAvhe5;<kSMrHfrSZ!!vT;4M7b2qyP!w_YXzk!Z~{We5FH6pupj}M
z26hA7G=vQCron;@WE$8raMKVn#G3{SP>^Y$Pyr`5PJ}4LB(T@f1u?P;h5%CDLDvk<
zJLrO#c?Vqu#5p+f4r(he1e#P}YlL7lmNd==PUB%v4TRHpI7}tM4panGC0nwWr=No>
z+h!1jqvI3_Q=1J^<jS@iMBz{iT9ydPWn8`~Ne*DM6S(GX+2jB=AG5Uu_FpvAe6Sv{
z9S}WWdocBYgWBN|D5tPFTmfZ{t03YUh`0_SZh(lJAbD_3f`vDO!)1^JM57ceP(kSn
zYzREHB4ofe5jAEE3wV%eVAsG+L&y+s8Z2@^rh&Z$Hw_^}ylJrL1DOU6AGm1<8RAWY
zMKH)Ta6p6la^P%;kRjeQSk!||1H~9PmxE11$PjHBJez66LsJxoZ-R$svV%tklrdum
zq#gpVd~-O!z`&r909DG}(b=#V)ZwyiT!>%`8X{}B4bqSZ)gZXIucHUHhO)22ws9f4
z2o}vrP|e&O%`NQ>tNL2Nw!zp~G=K)<LHUWz-__f}BLhsK`U32z6sWmu$zF~iWgu$C
z4sbCC8QpLID@%nc6I|TauxLeZqirvEsVl_oC?bM}7@E_dnpyc5*)}c&kysRgmNJ9f
z%c=lYq_D^qi=qseNlIWvN>~+T!W1cj6)9p>lm%0y3|6F!RZ%ufkp@_i23AEmP(^In
z4t}5z-0k4!fF+=FVak#r$~I$H23mLt3I^_l%^mHwi~Acv@eF4R8ahO=!4_aTJOHJt
ze5kqnogIs8;R+iUqVh2{nioSgaxHX#Eg?o?GB7acz*IQ=02PT04xJ1P3@|ZB-eO>I
zFlJ<6(8CY~E%!nf1g}g56Aqvid*IB9t_HqJ2hLz{SPNRo$0bt=buZjzkR9lPNNuzh
zhfScxfdo~7mM${LlwmU+T@a}rZE@HLGaaug(CSDAnR0BVqYI*}3PN2Y)Z(xiW*bSm
zKr1pCWGb-PjxLC?&dAka3(QU;)Pk0MGRT0|#eh;TN*tjJA_h^ARw%hTY=zl|t{!`l
z*SOH(6)0KCRAKWSx*%(FUx#fAgml;jvk6@lxR8XFl^z)mufgV5V>2IJkjus2HOn6~
z$drJ@bl48F30*y+qCl=R8W%de0NYuE%}#Vd_Kropix=AV!f1ybFx${oqSr5&HBRF~
zhnHZRL6fl<F^DdRwj!(7VJFOHbd_w-(hfp7d<EN4hs}5Bg7DQ_h;>}O4!dCXpsR)z
zzK}B5;S0zfNWo#=47H70$riqx1epyg>0oLcWOzVpw!tMGObniu!6hArD5!iv7X%k_
zU;<Inp{qfxXhE<T9QK0BI&PU(sGH$-gKR+;L@A99!a@eGDoAP6hRt+zL6nm85X^ME
zsvsq4J2unN1wm_Rzzb?z9S+0HMpr{Zs_npLC%Pbb;s&xfr^Vq2%vN+&$PFQn42L(M
zlq3VH`7m+?x*%jN4|GY7tHV*44e06!x1kyrI=ltj+l9?v=z@?zd*}{_1czfVd(qW_
z8eWj*SK~s5cVP3ov6+u92pQ;yE-6ZII1V!(T^*w3*0|8&J=pvnZ04g2LM8{G%a9Tr
zPQc7ZSH}u(^ffMY_y9J)7n}L$f{+OX=+dPGhm$b#(bciS+l!409X^81@55$3x*%i{
z0=nEO!Qm9ld~|iJ@TO+tLWfUa^ZT)xk1hyV8wFh=mEdq1W<I()R(LD5aiPO!kol0}
z#C$T;7J-Eh;I+Z<om3bCpt2CA+QAu77Q)2f<q^0n#1I9QQs{!<Vh~Iq%0hHCXcNL{
zTn2}8pi+@lW-8Pla94orLl;CT6)(ZU3a=_isW=Ur>F9zerQ&6n>3CH^O2z5eOh*^Q
zI&SFda0O-$x_S~y$Qjt|M;8Q_kdO)PUWcnNThUcvEg@%OGap?LJRuI57VmYq1~VUB
z6}DCwBng<$h1$Zk5T1sSn4r`PQ{fPSNWCyIc)9_nUJOxCYC;zTCtNUrNWJK4P{x~4
zI1COqK`EC-W<J#2a2J5=LKj3yxp!fqgI5(K<%0GEW7JOQf+#8X9?W#Ssvs#Bv|kXz
zbaX)+Dfd3i9(45t+P{mig%`RYY@i%IVxHje0A?S$TH@<mNR*i`gSvoI!4|qB6Tt$d
zGnl+X79yR&#Ne?EPG=aRptwgD1Sc^tfk<cQYGA{?Fq*;PF(`Gh$*h376>cxc26RD`
z)b$(|PIy&8QrAjsrlSj@q^=h*)A6c;q^?!iOh*@ljWvUZg<Tz9!pz51=KvmpLFsL*
z#%2S$ARBn}*cD1Syn@+)sSaWTaz8_64K^Fl1;Jy~U=Ji9F&$pRY(iI$(Z>Sqnq-h!
zi_I={L9hj2A3^MbFdg2&>_S(MwXX%<pb1H03=9mc;6Wg8{|MYL0GF@e#LouaH0-b%
zWcY3naRx+O2N4fJgbBDM0wN)MG+~<o7?{ADmtkDcRzVPkZe4~6gYyKKU|>Mn0=N$v
z3=ZHesG#%?(*Vl^&^^siib32#9yEsU1R@$h#0(H|6hzzt5ujogHu%fH=<pmQ1~L{d
z1~nHkF^OP7MtT_>KxV*3g&7#ZU3u^z7hH@;GhhS742<ATIoJ%i7?Ebc#)}yk!NVkA
zGvH!GngJU^W?%#lg@Da~ixFuCY<!u45!{vHg!7^L!G@v>VobYX2!O|!A#1nLHG}8j
z&;>EqZlSAyx(9V#GdN0NW8Vyn;9eOgoDbCk_5!*fhKn%-ki3Ad8SDjgLCmQ{bQMtd
zVDSQM1fGEr-0|at^PyV6UO*Sba507ek{8f5gS~(*i0K7%6;StJ@d9jqfPoR*x8#KL
zp<2LRKo`VtF@^w=7tl3>y?`!==>>EZQ1@W*0&Mnzff3w&<%IL0TEJdF7sPNeh5(Wm
z&^3d-fG&vX1#}fq_h9h?Y;J{t5!^%Og!7?Vz+ONX#Bec&0FoEbHG{o?E{N#`bQMtd
zVDSQM=7)h1+zID|^PyV6UO*Sba507ek{8f5gS~(*i0K7%6;StJ@d9jKih&W_&*y~m
zp<2LRKo`VtF@^w=7tl3>y?`!==>>EZQ1@W*0&Lcdfe}1d3wAMF45|%L!y;J7buDa4
zje!w7unaZ>E=Hsou=zFyMu%_Q0t}pRK2$&0P;@~KFHe6L*9`wmI0HN_2dR(H6@!Bg
zU62K4JbVWfx(cWQ2C&Isf`b9l#DLBCF)%uO2RRwehiU;k8C?+WQaA%_CdA3;ios4s
z7sPZjx(cZMs7~f__z7xD$?^y=IJkg_NDz??B0!zA4bX-h=u|6EdkcvVHV*&f4+E1a
zOar3@j0M)mz`(%FslW-s4w1|P3}WcA)DQrNG`hhU!>kSuKr^=3JV$h|)S(k}B8q}2
z1B2K`M1X-k3U&j!AjVKE!VTz3Npu4r=s*^*LpEV^2)ZD~m@UE~=t{|U$YyK~K^Mdr
z+(kG9T`AcP*@Dd>=z<udzzBz+D<#7rrdy$IV6=d-z^MeB9r!t!Ir%vqB0xtVF*ty7
z-*%{KSnfmOgSDYeEr5>oVPIf1g(+hMCq5VttOL|lgfH`e%`-qO+ks{o5+AG;ZJyy9
zXmEpp(G;c(Vi}AF)&a5%zFY)0=>V~8Cz@qQe6UuuNe9p|MIfKSltC<m@xVGjmSN05
zKrGvZW*HJ6tQBnr0(2Y_$TFBRh-EMySO>^5jOhr7WxLTVL*j$AqD@DDj$Hy-22%#H
z48{ZN09l4HHvzG151M62e6Uuuxe3tmP9V!*${?1(cwikM%P=M?7#Mh@V8@MtR&;?z
zo*dw-%@8sW6A-Nd1Pj_OfGA*Kkb)ga1~Lsa(u6P#Ap=p2FayCtHw|`d8OSuynhJzz
z2pQr{gB@iCG7YqD0%00LhIrFp2c3aT17%R~N;po0D8wXiPDK~QXaQmfAT<fmHG>-o
z=z^F{LUa`n=ipfHDg`@<4dfY60RZs~LKLDK>=|@H47XzlAbAE|GuSief|#B`R{?Pj
zF3*5Q%|RIC8BhTO@eD`=gyDKYEd!7M2*U(1+>RlD<Qb4g5Qb?6dj=!`!Z1Nh&wyk>
z7^VV7gG~k#$lKIG>mnek867|c7{oIOQHXAE%%BTmxE(_PY#XF4imn;#8FWER&!DS-
zI0skEzz*93#SEx`gm?xa3egSr47wnO+c5-?JcF(o>=|@HOwXXJfH()2XJDrRf;<B%
zfFYhih(dIOJ%cWY;dTrGB+sC0273lw5Ysd0Dj?3m<r&y<g&@y>M!dnE0lOU`12F+n
hS|eEK<u>d%Ly&2p@oa=?2pOVHgD6BM9YBZj0s#JnIHCXm

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/transcodeur7s_d_u.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..0565c0d1d5151bcb6ff529b96d60532617e4e0d5
GIT binary patch
literal 10392
zcmWg2k!R*+U|7Jwz`)?}q)MKd<3i(_bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK
zdwMzexuyxOux++osJUoGM{{rE!oG$@i}!|e3)qWIx!@4Rz`*by3LFv`7#O_Vvima~
zyc{x?BxibhC3s}`J0@o>@JP;BkdTq#=(}pMM{kzDqpMeDmS=B5R)Sw|Qq}_h1P?Dy
z-`<%!7kGO5_WF6bWrIXB9X*pXC&RTZ$nf+{a9cnZOIR5g7z988!{ETkz_5XtiIKsY
ziMe_4LJqHFM=yWJtc(>ls_pq+5vL%+YzeMeX`Wef&fJCnk0+>tf^w~#H4}@cr>865
zs?`e@I(WFcB=|XaWo0~G_MYMJV#No{j1W~0@As{hV?yR2i9?uBgSeX)FSKoJS=7<9
zqHozUl?yzndZ!@@z-BNousirOFfcGe-41dt2s1FC2($PkC!~N0bP14SS!T|hIhBFI
zVIczp11u0QV;@t5fx&?Z9AeB4c?=8;>`*swBzrphX8Jq0!WdH>W-|*gFgUa@3otM-
zF)~;%fYozA)w5-}`e!;MK&TBUYMB{KAZj_GYFYa_7TflMNm)#lj0^${4(quD7#uc$
zh>ctV3=GT;LCgXS{~eaHf=E^d0fzq|!2o6f28YG00t^n!AWiE)N*zEXt3y3fcrq|K
ztOgmihD(5f5mkoKVJ%3L6%*8H42*hkCO8!_FklEcG%zqQpsNBW7%%}g5vv-s^n}J`
z0Q*rC-QfTK85kI>m=W%SGhyyS7XaIhE(mrSm_WD>T@7+FMP@UA!(9|zImBhKw8p@w
z2WP@uhAseh8M+`SrGqdejzD3Ht^%HQ;S2@`P#O?LR|s+uBdla(_|FXsG$ut}7!y(~
zFoMbjaNYwGNO_Tj%#E%U$_M2pRt<irh1?yBntFQsY**MeE<~^e4IQG`V7bZRHUk5L
z0nCNG-HnSHY+<D}ue6|%0G!9b;BXw2kU>e@;TV?yg9fOm17Xg^EqyD%mcUq;R%i%9
z-NfPVndOk_;E@4k%-DhM8gp@|Qr2ZH&9?mzk^vHS(7*yY1RBo{XSf6yxL9C%z+46f
zhqK`928vLa3{*V>A|Q|hrE#Id2~cKabm(DVV335mfPsNQ42cak0-TP}1@Ri{Z~+wB
zwW#KT&Ef)+3=9sN*##Jw*rX8Ff^9+KgB3!93?6nK84jl*j-J85z<{or(P1`L(Ir?!
z|Nm#OK-bUA$<GPG4iTV|ZWSx2{0e0jU|^F*b0!iW>`YiHXk3V3Ih=wx6ci)q>hT61
zvqKdF1A`3IN--D%Y!RqI0x}R?5U+s_@Yq1tCIU4S8XK}uJ7KmU@xcml#s(+4N^oSN
z3vxGi^mVo@>|0^myBL|xz~lf*D(K2V^)tv?tdgM85iDuSDZs$M!X}62bR<4V83Q6-
z5G-g6iJ_|nJ04vSe+W0g(mT2~czR#MCcprWSb3;R#b6Au`(QziE{NY+c*LS>133d^
zE;M2lpmxG+LE?i{G2o6^bd}(+K^H`hOk_4RV$qd@>Rgbu;D|++M2}cSG^Zo+L1y5M
zSah{u$D<444`F!3qHBX^9eBhlL0u{aV}RWU3vzTp{MN!F7G0YL)KF-|Dnspr*@DCe
zE5seK=qkZsgD!|1naFHt#G)&Q#~mm;<CKKvSQRv<Bk{pbN90ok3zlQi)q)+5E{H#b
z;Sr0j4W4yC5zEA;hUQEpKG>PKLl|8p*pcXh$f1hNhK4Y@au%?i3=H6`gDwdVerO1*
zqd6Uk4>kr7t_T(^gwfT49gi+ZWC){cgQsCo2!mY02yVkLf_p{|CqdOFn1pm5U@bKU
zCUEx*#s#%uK^WROg9(E>Y+!<c0jX_j2Mr1baCZk(gTgd`><3}Yt{SEY1GB??1_lOL
zW0Qdq+=AkS^Fijoaw57QhnJ_ni))5|CY%9o1u{A$Bbx{_0hEEzO#n5&LGljzjL-yt
zt^y<r!eEoZ1P22H*vX(qD+n_%f+HAIXM%g7Ak`oYj$V)g5C#c=Fx;hZ2G~r9lR*kW
z7^Dz{!6_0X0Ky;v5XN*eNEU=avd9>0GMIol8P<GeU<5b$IN^M#Hn5Y?1>r7*Gr(p-
zoQ$p*>|}I7c;y5SWpou#`x(F{g9#1>1{Q}!3=9mS&}0Tn1W0_aF1#6rfq~H!rh$<Y
z#scfZWggs@a0b{tjNq_AHvu-Z03Ty;h4lMDT_dnI1qKF(bD$27F9QREHo_~MNNlik
zz{aBsawU7ZcxL&#IwWKvF&Q9kL060@a1bnT%5=~MrA-#BHb6!@po1O>;PDCu1}=wu
z1_lNlsB0M=<{<IFj%8qA;AG+l0|h1o3p^6S2u=bp%`oLK8jH!gP<voXIGGgq`4tot
zKqkXjNG78zhc{_4-K>XUGCx1aM1HWFAuJ@5(UrrKEvCu(SWE^v8e%epg=8|ia(JzR
zX|e%^$sl(s@PkZ-v5-tgR}QbtFikeZFc}gUAd_J%B$LsV!^<5^lZ~*N%nx=m$Yc-;
z$z*ipY|xm;k~WMnO$G%nIBoDlSx6?MD+dh)f(*xEvI#bmK`9!XHb4x1CM1*5m4gNi
zahPn1)nrHvg3JZ6peBPl(df$m|7UP`zz8bO9YAG<Il?qfBsR!y25^o>7ep#3keJXi
z16?tWmKJh}fvyES=m{D6>~#PSZ8ETe!`A}pQb>6L<AEJYxV%7D4%3FkWJ{<$FeL=a
z3v}fu<ps=Ih?}i2OvYPYpesiyFHlXk#$qxk`GWHvI3c5?Hgx4E<prwAHW((uN(pGV
z@WV|8r8acsh}5R&05=Id??CGTMivbxsD<2%`&w+lqkoMH5o|$2hcrkw01qz0T*m{d
z4q;O}C>#a`hfHn(2Jq;)Lk711gN8HI0xpoAbXPA2j|@0-#tvlTHC&LDf@Te%N<mCi
zrJ!U7ZB}P<gN7(TB&1mlb0f4_4da5E)F2FPR>OqBEov}<(yWe$x&+azhG_uV48oX=
zXiO1kvl<q}42%w0ps-12U|@iYfy@G7a4~`|%D$+hvtw~z3ycPb7Pw)Jt`c0|qYHw}
z1!0FgSi>4!1!yV-tO6R#pk4qh!WbAGa$wGdi-8n_Fxa{1qA+K|XoPdoRf3(1E(kIg
zgb~g~R{^hBk(>)lGz^RmxiII##X!b^Fxa{1qA+K|XoPdoRf3(1E(kIggb~g~R{^TM
z!73omWd%2-J)lVo*7QZ<gVZvBGY2TeBPD*146xro4QZHiMot(DtP7`UI2y0S>4vwy
z;S6wl8q)Mdx1Vq`99p9>Fn|NX6D=T+_+T#~N+IMDsc|7V6M=#Prh!om#sceu1qHew
zo`7}uAPVhyVrYUI>98D941zjCUT6+S;)7L#EkY~lJTkzU3FK^;az-&23#<#~Y;-}e
zY1q?)!vavz2kKH|Xo4E)uo&WOP$R|%&DltNuxh;F4bDL5I`H&cKo)|UPmnqt#sk|!
zxE+G79HtH24uMHRO!h-~3akoR--6qw;5t}A0l5W<t{kNuf@(5oCLQDhu(41#gG_|9
z79cEW3lbCq=*m&rA*dz?V7M9FB2|Ex3~7-dnT)O+r5%E5av+AuAa{b=y3lYzv_n8{
zMpq6mUO~MJRFi`+Oa}ESAST0DNNz?~j?!;IH8~io$)Mf}ClknI5DUp<bmb`h7F3f%
zFii#pE;wy~n|r8f16?^vzXjFgP;4fHA`yGP1zkBxzXjFgFsvp+!x`jgL=1vjlIY4o
zElEga1Mas(AWQ>iU?e^`S%b?K>?IXe^*Fm7kx+{unG(hW+X2lwpwIygCV*&uew0j!
zt{kQfoZVrP5R*ZRjZiZsIDvzs6vjeIEa=KnvOB8D(NG`2EC&s!fJ}sBN(c+dWOU^y
z*&Ws77%V1((jmlT2n)$%bmb`79o6Jm43k0bgd`Ri3u-bbv7jqQBo+o{DNt&Mge)Uu
z^vsTtfq@et3JDi*sz4VMNKVM|@XSW6aKaFPIs!BzjIJ5nv_cnz7Z>ocN^}(v=P)pU
zO$HONOa+<}2eDzE0k8fE0eJ=?3egSr47wnO+c5;dwm}A7(KUlTgDwa!q2QiDR{?Pj
zF3*74=rGTKy7~@a&mcr0y1|}77sPNoh5(Xh&^3cSgD!~a8FUp8=iu-ROcFsmfYu}e
E06Jom>;M1&

literal 0
HcmV?d00001

diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
new file mode 100644
index 0000000..55d2b14
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -0,0 +1,19 @@
+0.7
+2020.2
+May 22 2024
+18:54:44
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd,1739358588,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,automate,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,compteur1_49,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd,1739356986,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,compteur_modulo6,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd,1739350995,vhdl,,,,compteur_modulo6_tb;compteur_modulo6_tb_arch_cfg,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,compteur_valid,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,diviseur_freq,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,led_pwm,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd,,,loto,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd,1739350995,vhdl,,,,loto_tb;loto_tb_ar_cfg,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,modulo4,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd,1739352990,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,mux6_1,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd,1739350995,vhdl,,,,mux6_1_tb;mux6_1_tb_arch_cfg,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,,,registres,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,tirage,,,,,,,,
+/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd,1739350995,vhdl,/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd,,,transcodeur7s_d_u,,,,,,,,
diff --git a/loto/loto.sim/sim_1/behav/xsim/xsim.ini b/loto/loto.sim/sim_1/behav/xsim/xsim.ini
new file mode 100644
index 0000000..e8199b2
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xsim.ini
@@ -0,0 +1 @@
+xil_defaultlib=xsim.dir/xil_defaultlib
diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.log b/loto/loto.sim/sim_1/behav/xsim/xvhdl.log
new file mode 100644
index 0000000..e69de29
diff --git a/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb
new file mode 100644
index 0000000..b155e40
--- /dev/null
+++ b/loto/loto.sim/sim_1/behav/xsim/xvhdl.pb
@@ -0,0 +1,4 @@
+
+
+
+End Record
\ No newline at end of file
diff --git a/loto/loto.xpr b/loto/loto.xpr
new file mode 100644
index 0000000..0313977
--- /dev/null
+++ b/loto/loto.xpr
@@ -0,0 +1,322 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                              -->
+<!--                                                                         -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                   -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.   -->
+
+<Project Product="Vivado" Version="7" Minor="67" Path="/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.xpr">
+  <DefaultLaunch Dir="$PRUNDIR"/>
+  <Configuration>
+    <Option Name="Id" Val="56083d60e9404ef385617ea41eb1a91a"/>
+    <Option Name="Part" Val="xc7a100tcsg324-1"/>
+    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+    <Option Name="CompiledLibDirXSim" Val=""/>
+    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
+    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
+    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
+    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
+    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
+    <Option Name="SimulatorInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorInstallDirVCS" Val=""/>
+    <Option Name="SimulatorInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
+    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorVersionXsim" Val="2024.1"/>
+    <Option Name="SimulatorVersionModelSim" Val="2023.2"/>
+    <Option Name="SimulatorVersionQuesta" Val="2023.2"/>
+    <Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
+    <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
+    <Option Name="SimulatorVersionRiviera" Val="2023.04"/>
+    <Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
+    <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
+    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
+    <Option Name="TargetLanguage" Val="VHDL"/>
+    <Option Name="BoardPart" Val=""/>
+    <Option Name="ActiveSimSet" Val="sim_1"/>
+    <Option Name="DefaultLib" Val="xil_defaultlib"/>
+    <Option Name="ProjectType" Val="Default"/>
+    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
+    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
+    <Option Name="IPCachePermission" Val="read"/>
+    <Option Name="IPCachePermission" Val="write"/>
+    <Option Name="EnableCoreContainer" Val="FALSE"/>
+    <Option Name="EnableResourceEstimation" Val="FALSE"/>
+    <Option Name="SimCompileState" Val="TRUE"/>
+    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
+    <Option Name="EnableBDX" Val="FALSE"/>
+    <Option Name="WTXSimLaunchSim" Val="12"/>
+    <Option Name="WTModelSimLaunchSim" Val="0"/>
+    <Option Name="WTQuestaLaunchSim" Val="0"/>
+    <Option Name="WTIesLaunchSim" Val="0"/>
+    <Option Name="WTVcsLaunchSim" Val="0"/>
+    <Option Name="WTRivieraLaunchSim" Val="0"/>
+    <Option Name="WTActivehdlLaunchSim" Val="0"/>
+    <Option Name="WTXSimExportSim" Val="0"/>
+    <Option Name="WTModelSimExportSim" Val="0"/>
+    <Option Name="WTQuestaExportSim" Val="0"/>
+    <Option Name="WTIesExportSim" Val="0"/>
+    <Option Name="WTVcsExportSim" Val="0"/>
+    <Option Name="WTRivieraExportSim" Val="0"/>
+    <Option Name="WTActivehdlExportSim" Val="0"/>
+    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
+    <Option Name="XSimRadix" Val="hex"/>
+    <Option Name="XSimTimeUnit" Val="ns"/>
+    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
+    <Option Name="XSimTraceLimit" Val="65536"/>
+    <Option Name="SimTypes" Val="rtl"/>
+    <Option Name="SimTypes" Val="bfm"/>
+    <Option Name="SimTypes" Val="tlm"/>
+    <Option Name="SimTypes" Val="tlm_dpi"/>
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
+    <Option Name="DcpsUptoDate" Val="TRUE"/>
+    <Option Name="ClassicSocBoot" Val="FALSE"/>
+    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
+  </Configuration>
+  <FileSets Version="1" Minor="32">
+    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PPRDIR/../src/automate.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur1_49.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_valid.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/diviseur_freq.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/led_pwm.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/registres.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/tirage.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_d_u.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/modulo4.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/loto.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo4.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/compteur_modulo6_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/mux6_1_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/../src/transcodeur7s_u.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+      <Filter Type="Constrs"/>
+      <File Path="$PPRDIR/../src/Nexys4_Master.xdc">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="ConstrsType" Val="XDC"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <File Path="$PPRDIR/../src/loto_tb.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="loto_tb_ar_cfg"/>
+        <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TransportPathDelay" Val="0"/>
+        <Option Name="TransportIntDelay" Val="0"/>
+        <Option Name="SelectedSimModel" Val="rtl"/>
+        <Option Name="PamDesignTestbench" Val=""/>
+        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
+        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
+        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
+        <Option Name="SrcSet" Val="sources_1"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+      <Filter Type="Utils"/>
+      <Config>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+  </FileSets>
+  <Simulators>
+    <Simulator Name="XSim">
+      <Option Name="Description" Val="Vivado Simulator"/>
+      <Option Name="CompiledLib" Val="0"/>
+    </Simulator>
+    <Simulator Name="ModelSim">
+      <Option Name="Description" Val="ModelSim Simulator"/>
+    </Simulator>
+    <Simulator Name="Questa">
+      <Option Name="Description" Val="Questa Advanced Simulator"/>
+    </Simulator>
+    <Simulator Name="Xcelium">
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
+    </Simulator>
+    <Simulator Name="VCS">
+      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
+    </Simulator>
+    <Simulator Name="Riviera">
+      <Option Name="Description" Val="Riviera-PRO Simulator"/>
+    </Simulator>
+  </Simulators>
+  <Runs Version="1" Minor="22">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
+          <Desc>Vivado Synthesis Defaults</Desc>
+        </StratHandle>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
+          <Desc>Default settings for Implementation.</Desc>
+        </StratHandle>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+  </Runs>
+  <Board/>
+  <DashboardSummary Version="1" Minor="0">
+    <Dashboards>
+      <Dashboard Name="default_dashboard">
+        <Gadgets>
+          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
+          </Gadget>
+          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
+          </Gadget>
+          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
+          </Gadget>
+          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
+          </Gadget>
+          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
+            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
+            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
+          </Gadget>
+          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
+          </Gadget>
+        </Gadgets>
+      </Dashboard>
+      <CurrentDashboard>default_dashboard</CurrentDashboard>
+    </Dashboards>
+  </DashboardSummary>
+</Project>
diff --git a/src/automate.vhd b/src/automate.vhd
index 1ccb931..ed4dd63 100644
--- a/src/automate.vhd
+++ b/src/automate.vhd
@@ -29,30 +29,98 @@ architecture a_automate of automate is
         st_end_green,
         st_end_red
         );
+        
     signal SR_STATE : TYPE_ETAT;
+    
 
 begin
 
+
+
+
     process (I_clk, I_rst)
     begin
+    
+       
+                    
         if(I_rst = '1')then
-            __BLANK_TO_FILL__
+            O_counting     <= '0';
+            O_store        <= '0';
+            O_l_red        <= '0';
+            O_l_green      <= '0';
+            SR_STATE <= st_wait_success;
+      
         elsif rising_edge(I_clk)then
             case SR_STATE is
-                case SR_STATE is
-
+              
                 when st_wait_success =>
                     O_l_green        <= '1';
-                    O_l_red        <= '0';
+                    O_l_red          <= '0';
                     O_counting       <= '0';
-                    O_store <= '0';
+                    O_store          <= '0';
                     if I_button = '1' then
                         SR_STATE <= st_counting;
                     end if;
 
-                    when __BLANK_TO_FILL__
-
-                    __BLANK_TO_FILL__
+                when st_counting =>
+                    O_l_green        <= '0';
+                    O_l_red          <= '0';
+                    O_counting       <= '1';
+                    O_store          <= '0';
+                    if I_button = '0' then
+                        SR_STATE <= st_compar;
+                    end if;
+              
+                 when st_compar =>
+                    O_l_green        <= '0';
+                    O_l_red          <= '0';
+                    O_counting       <= '0';
+                    O_store          <= '0';
+                    if I_invalide = '0' then
+                        SR_STATE <= st_store;
+                    else 
+                        SR_STATE <= st_wait_failed;
+                    end if;
+                    
+                 when st_store =>
+                    O_l_green        <= '0';
+                    O_l_red          <= '0';
+                    O_counting       <= '0';
+                    O_store          <= '1';
+                    if I_end = '1' then
+                        SR_STATE <= st_end_red;
+                    else 
+                        SR_STATE <= st_wait_success;  
+                    end if;
+                    
+                 when st_end_red =>
+                    O_l_green        <= '0';
+                    O_l_red          <= '1';
+                    O_counting       <= '0';
+                    O_store          <= '0';
+                    if I_clk_display = '1' then
+                        SR_STATE <= st_end_green;
+                    end if;
+                    
+                 when st_end_green =>
+                    O_l_green        <= '1';
+                    O_l_red          <= '0';
+                    O_counting       <= '0';
+                    O_store          <= '0';
+                    if I_clk_display = '0' then
+                        SR_STATE <= st_end_red;
+                    end if;
+                    
+                  
+                 when st_wait_failed =>
+                    O_l_green        <= '0';
+                    O_l_red          <= '1';
+                    O_counting       <= '0';
+                    O_store          <= '0';
+                    if I_button = '1' then
+                        SR_STATE <= st_counting;
+                    end if;
+                   
 
             end case;
         end if;
diff --git a/src/compteur_modulo4.vhd b/src/compteur_modulo4.vhd
index f2b951f..c7d26dc 100644
--- a/src/compteur_modulo4.vhd
+++ b/src/compteur_modulo4.vhd
@@ -20,12 +20,12 @@ architecture modulo4_a of compteur_modulo4 is
 
 begin
 
-    mod4 : process (clk, rst)
+    mod4 : process (I_clk, I_rst)
 
     begin
-        if rst = '1' then
+        if I_rst = '1' then
             SR_Counter <= "00";
-        elsif rising_edge(clk) then
+        elsif rising_edge(I_clk) then
             if SR_Counter = "11" then
                 SR_Counter <= "00";
             else
diff --git a/src/compteur_modulo6.vhd b/src/compteur_modulo6.vhd
index 7962a90..da51f58 100644
--- a/src/compteur_modulo6.vhd
+++ b/src/compteur_modulo6.vhd
@@ -16,19 +16,26 @@ end compteur_modulo6;
 
 architecture modulo6_a of compteur_modulo6 is
 
-    signal SR_Counter : unsigned(2 downto 0);
+    signal SR_Counter : unsigned(2 downto 0) := "000";
 
 begin
 
-    process (_BLANK_)
+    process (I_clk, I_rst)
     begin
         if I_rst = '1' then
-            _BLANK_
+              SR_Counter <= "000";
         elsif rising_edge(I_clk) then
-            _BLANK_
+             if I_block = '0' then
+                  if SR_Counter >= "101" then
+                    SR_Counter <= "000";
+                else
+                    SR_Counter <= SR_Counter + 1;
+                end if;
+              end if;
         end if;
     end process;
 
     O_CounterMod6 <= std_logic_vector(SR_Counter);
-
+    
+   
 end modulo6_a;
diff --git a/src/mux6_1.vhd b/src/mux6_1.vhd
index a689bef..1fdfc11 100644
--- a/src/mux6_1.vhd
+++ b/src/mux6_1.vhd
@@ -19,9 +19,25 @@ end mux6_1;
 
 architecture a_mux6_1 of mux6_1 is
 begin
-
-__BLANK_TO_FILL__
-
-
+    
+   process(I_sel)
+    begin
+       case I_sel is
+            when "000" =>
+                O_mux6 <= I_0;
+            when "001" =>
+                O_mux6 <= I_1;
+            when "010" =>
+                O_mux6 <= I_2;
+            when "011" =>
+                O_mux6 <= I_3;
+            when "100" =>
+                O_mux6 <= I_4;
+            when others =>
+                O_mux6 <= I_5; 
+    end case;
+   end process;
+
+    
 
 end a_mux6_1;
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000..d696bd0
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,79 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 10:04:12 2025
+# Process ID: 9059
+# Current directory: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso
+# Command line: vivado
+# Log file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.log
+# Journal file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.jou
+# Running On        :fl-tp-br-604
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :800.016 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16467 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20762 MB
+# Available Virtual :18731 MB
+#-----------------------------------------------------------
+start_gui
+create_project loto /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto -part xc7a100tcsg324-1
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_u.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd}
+add_files -fileset sim_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/Nexys4_Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source mux6_1_tb_arch_cfg.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+close_sim
+launch_simulation
+source compteur_modulo6_tb_arch_cfg.tcl
+close_sim
+launch_simulation
+source compteur_modulo6_tb_arch_cfg.tcl
+close_sim
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+update_compile_order -fileset sim_1
+launch_simulation
+source loto_tb_ar_cfg.tcl
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/O_counting}} {{/loto_tb/DUT/tirage_1/automate_1/O_store}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_red}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_green}} 
+relaunch_sim
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/SR_STATE}} 
+relaunch_sim
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk}} 
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_rst}} 
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} 
+relaunch_sim
+current_wave_config {Untitled 4}
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} {{/loto_tb/DUT/tirage_1/automate_1/I_button}} {{/loto_tb/DUT/tirage_1/automate_1/I_invalide}} {{/loto_tb/DUT/tirage_1/automate_1/I_end}} 
+relaunch_sim
+close_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000..48b483d
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,678 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Wed Feb 12 10:04:12 2025
+# Process ID: 9059
+# Current directory: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso
+# Command line: vivado
+# Log file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.log
+# Journal file: /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/vivado.jou
+# Running On        :fl-tp-br-604
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.1 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz
+# CPU Frequency     :800.016 MHz
+# CPU Physical cores:6
+# CPU Logical cores :12
+# Host memory       :16467 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20762 MB
+# Available Virtual :18731 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+create_project loto /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto -part xc7a100tcsg324-1
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 8417.758 ; gain = 215.410 ; free physical = 8917 ; free virtual = 16352
+set_property target_language VHDL [current_project]
+add_files -norecurse {/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_u.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd}
+add_files -fileset sim_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd
+add_files -fileset constrs_1 -norecurse /homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/Nexys4_Master.xdc
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sim_1
+set_property top mux6_1_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'mux6_1_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'mux6_1_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj mux6_1_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'mux6_1_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:07 . Memory (MB): peak = 8614.754 ; gain = 0.000 ; free physical = 8539 ; free virtual = 16074
+INFO: [USF-XSim-69] 'compile' step finished in '7' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot mux6_1_tb_arch_cfg_behav xil_defaultlib.mux6_1_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture arch of entity xil_defaultlib.mux6_1_tb [mux6_1_tb]
+Built simulation snapshot mux6_1_tb_arch_cfg_behav
+execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 8614.754 ; gain = 0.000 ; free physical = 8398 ; free virtual = 15999
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "mux6_1_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:mux6_1_tb_arch_cfg} -tclbatch {mux6_1_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source mux6_1_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'mux6_1_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:13 ; elapsed = 00:00:24 . Memory (MB): peak = 8696.043 ; gain = 91.332 ; free physical = 8248 ; free virtual = 15911
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+set_property top compteur_modulo6_tb_arch_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb]
+Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source compteur_modulo6_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8820.000 ; gain = 0.000 ; free physical = 7508 ; free virtual = 15402
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'compteur_modulo6_tb_arch_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'compteur_modulo6_tb_arch_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj compteur_modulo6_tb_arch_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot compteur_modulo6_tb_arch_cfg_behav xil_defaultlib.compteur_modulo6_tb_arch_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture arch of entity xil_defaultlib.compteur_modulo6_tb [compteur_modulo6_tb]
+Built simulation snapshot compteur_modulo6_tb_arch_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "compteur_modulo6_tb_arch_cfg_behav -key {Behavioral:sim_1:Functional:compteur_modulo6_tb_arch_cfg} -tclbatch {compteur_modulo6_tb_arch_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source compteur_modulo6_tb_arch_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'compteur_modulo6_tb_arch_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8849.957 ; gain = 0.000 ; free physical = 7523 ; free virtual = 15409
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+set_property top loto_tb_ar_cfg [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+update_compile_order -fileset sim_1
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo4.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/mux6_1_tb.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/automate.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'automate'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur1_49.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur1_49'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_modulo6.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_modulo6'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/compteur_valid.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'compteur_valid'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/diviseur_freq.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'diviseur_freq'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/led_pwm.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'led_pwm'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/registres.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'registres'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/tirage.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tirage'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/transcodeur7s_d_u.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'transcodeur7s_d_u'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/modulo4.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'modulo4'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/src/loto_tb.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'loto_tb'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture a_automate of entity xil_defaultlib.automate [automate_default]
+Compiling architecture a_registres of entity xil_defaultlib.registres [registres_default]
+Compiling architecture a_compteur_valid of entity xil_defaultlib.compteur_valid [compteur_valid_default]
+Compiling architecture compteur_a of entity xil_defaultlib.compteur1_49 [compteur1_49_default]
+Compiling architecture arch of entity xil_defaultlib.led_pwm [led_pwm_default]
+Compiling architecture a_tirage of entity xil_defaultlib.tirage [tirage_default]
+Compiling architecture modulo6_a of entity xil_defaultlib.compteur_modulo6 [compteur_modulo6_default]
+Compiling architecture behavioral of entity xil_defaultlib.diviseur_freq [\diviseur_freq(n_fast=0,n_slow=3...]
+Compiling architecture a_mux6_1 of entity xil_defaultlib.mux6_1 [mux6_1_default]
+Compiling architecture transcod_int of entity xil_defaultlib.transcodeur7s_d_u [transcodeur7s_d_u_default]
+Compiling architecture modulo4_a of entity xil_defaultlib.modulo4 [modulo4_default]
+Compiling architecture arch of entity xil_defaultlib.loto [\loto(n_fast=0,n_slow=3)\]
+Compiling architecture ar of entity xil_defaultlib.loto_tb [loto_tb]
+Built simulation snapshot loto_tb_ar_cfg_behav
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "loto_tb_ar_cfg_behav -key {Behavioral:sim_1:Functional:loto_tb_ar_cfg} -tclbatch {loto_tb_ar_cfg.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source loto_tb_ar_cfg.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'loto_tb_ar_cfg_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8955.984 ; gain = 65.777 ; free physical = 7474 ; free virtual = 15396
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/O_counting}} {{/loto_tb/DUT/tirage_1/automate_1/O_store}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_red}} {{/loto_tb/DUT/tirage_1/automate_1/O_l_green}} 
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'loto_tb_ar_cfg' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 8955.984 ; gain = 0.000 ; free physical = 7519 ; free virtual = 15384
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/SR_STATE}} 
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+Time resolution is 1 ps
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk}} 
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_rst}} 
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} 
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+current_wave_config {Untitled 4}
+Untitled 4
+add_wave {{/loto_tb/DUT/tirage_1/automate_1/I_clk_display}} {{/loto_tb/DUT/tirage_1/automate_1/I_button}} {{/loto_tb/DUT/tirage_1/automate_1/I_invalide}} {{/loto_tb/DUT/tirage_1/automate_1/I_end}} 
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj loto_tb_ar_cfg_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'loto_tb_ar_cfg'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/c24masso/Bureau/tp-vhdl-mee/UE-medcon/tp-loto-etudiant-c24masso/loto/loto.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot loto_tb_ar_cfg_behav xil_defaultlib.loto_tb_ar_cfg -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
+Time resolution is 1 ps
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Wed Feb 12 12:19:50 2025...
-- 
GitLab