From 0cd7a3565a02eb6a3e7026b232192f199a110f30 Mon Sep 17 00:00:00 2001 From: Davi SPERANDIO AGATTI <d24spera@fl-tp-br-637.imta.fr> Date: Wed, 26 Feb 2025 12:27:34 +0100 Subject: [PATCH] modificationssss --- docs/compte-rendu.md | 14 +- docs/img/q2-2.png | Bin 0 -> 69877 bytes docs/img/q2.png | Bin 0 -> 60313 bytes docs/img/q4.png | Bin 0 -> 39298 bytes filtre/filtre.cache/sim/ssm.db | 11 + filtre/filtre.cache/wt/project.wpc | 3 + filtre/filtre.cache/wt/xsim.wdf | 4 + filtre/filtre.hw/filtre.lpr | 7 + filtre/filtre.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 +++ .../clk_wiz_0/activehdl/README.txt | 50 ++ .../clk_wiz_0/activehdl/clk_wiz_0.sh | 261 ++++++ .../clk_wiz_0/activehdl/clk_wiz_0.udo | 0 .../clk_wiz_0/activehdl/compile.do | 18 + .../clk_wiz_0/activehdl/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/activehdl/glbl.v | 84 ++ .../clk_wiz_0/activehdl/simulate.do | 14 + .../sim_scripts/clk_wiz_0/modelsim/README.txt | 50 ++ .../clk_wiz_0/modelsim/clk_wiz_0.sh | 287 +++++++ .../clk_wiz_0/modelsim/clk_wiz_0.udo | 0 .../sim_scripts/clk_wiz_0/modelsim/compile.do | 15 + .../clk_wiz_0/modelsim/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/modelsim/glbl.v | 84 ++ .../clk_wiz_0/modelsim/simulate.do | 19 + .../sim_scripts/clk_wiz_0/modelsim/wave.do | 2 + .../sim_scripts/clk_wiz_0/questa/README.txt | 50 ++ .../sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh | 297 +++++++ .../clk_wiz_0/questa/clk_wiz_0.udo | 0 .../sim_scripts/clk_wiz_0/questa/compile.do | 15 + .../sim_scripts/clk_wiz_0/questa/elaborate.do | 1 + .../clk_wiz_0/questa/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/questa/glbl.v | 84 ++ .../sim_scripts/clk_wiz_0/questa/simulate.do | 19 + .../sim_scripts/clk_wiz_0/questa/wave.do | 2 + .../sim_scripts/clk_wiz_0/riviera/README.txt | 50 ++ .../clk_wiz_0/riviera/clk_wiz_0.sh | 264 ++++++ .../clk_wiz_0/riviera/clk_wiz_0.udo | 0 .../sim_scripts/clk_wiz_0/riviera/compile.do | 18 + .../clk_wiz_0/riviera/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/riviera/glbl.v | 84 ++ .../sim_scripts/clk_wiz_0/riviera/simulate.do | 14 + .../sim_scripts/clk_wiz_0/vcs/README.txt | 50 ++ .../sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh | 337 ++++++++ .../sim_scripts/clk_wiz_0/vcs/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/vcs/glbl.v | 84 ++ .../sim_scripts/clk_wiz_0/vcs/simulate.do | 2 + .../sim_scripts/clk_wiz_0/xcelium/README.txt | 50 ++ .../clk_wiz_0/xcelium/clk_wiz_0.sh | 343 ++++++++ .../clk_wiz_0/xcelium/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/xcelium/glbl.v | 84 ++ .../sim_scripts/clk_wiz_0/xcelium/hdl.var | 0 .../sim_scripts/clk_wiz_0/xcelium/simulate.do | 7 + .../sim_scripts/clk_wiz_0/xsim/README.txt | 50 ++ .../sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh | 330 +++++++ .../sim_scripts/clk_wiz_0/xsim/cmd.tcl | 12 + .../sim_scripts/clk_wiz_0/xsim/file_info.txt | 3 + .../sim_scripts/clk_wiz_0/xsim/glbl.v | 84 ++ .../sim_scripts/clk_wiz_0/xsim/vlog.prj | 8 + .../filtre.sim/sim_1/behav/xsim/compile.log | 2 + filtre/filtre.sim/sim_1/behav/xsim/compile.sh | 24 + .../sim_1/behav/xsim/controlUnit.tcl | 11 + .../sim_1/behav/xsim/controlUnit_behav.wdb | Bin 0 -> 8739 bytes .../filtre.sim/sim_1/behav/xsim/elaborate.log | 22 + .../filtre.sim/sim_1/behav/xsim/elaborate.sh | 22 + filtre/filtre.sim/sim_1/behav/xsim/glbl.v | 84 ++ .../filtre.sim/sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 80505 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 9 + filtre/filtre.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 4236 bytes .../controlUnit_behav/Compile_Options.txt | 1 + .../controlUnit_behav/TempBreakPointFile.txt | 1 + .../controlUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 5648 bytes .../xsim.dir/controlUnit_behav/obj/xsim_1.c | 117 +++ .../controlUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 4704 bytes .../xsim/xsim.dir/controlUnit_behav/xsim.dbg | Bin 0 -> 4072 bytes .../xsim/xsim.dir/controlUnit_behav/xsim.mem | Bin 0 -> 2753 bytes .../xsim.dir/controlUnit_behav/xsim.reloc | Bin 0 -> 472 bytes .../xsim/xsim.dir/controlUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/controlUnit_behav/xsim.rtti | Bin 0 -> 223 bytes .../xsim.dir/controlUnit_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/controlUnit_behav/xsim.type | Bin 0 -> 6968 bytes .../xsim/xsim.dir/controlUnit_behav/xsim.xdbg | Bin 0 -> 512 bytes .../controlUnit_behav/xsimSettings.ini | 50 ++ .../xsim.dir/controlUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/controlUnit_behav/xsimk | Bin 0 -> 17880 bytes .../xsim.dir/controlUnit_behav/xsimkernel.log | 7 + .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 278848 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 572 +++++++++++++ .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 36368 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 51528 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 68136 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 116468 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 633 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7552 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 23272 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 ++ .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 245872 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 7 + .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 8568 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 10248 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 5633 bytes .../xil_defaultlib/operative@unit.sdb | Bin 0 -> 172617 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 15387 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7426 bytes .../xil_defaultlib/xil_defaultlib.rlx | 10 + filtre/filtre.sim/sim_1/behav/xsim/xsim.ini | 490 +++++++++++ .../filtre.sim/sim_1/behav/xsim/xsim.ini.bak | 490 +++++++++++ filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log | 2 + filtre/filtre.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 281 bytes filtre/filtre.sim/sim_1/behav/xsim/xvlog.log | 0 filtre/filtre.sim/sim_1/behav/xsim/xvlog.pb | 4 + filtre/filtre.xpr | 292 +++++++ proj/AudioProc.cache/sim/ssm.db | 11 + proj/AudioProc.cache/wt/project.wpc | 3 + proj/AudioProc.cache/wt/xsim.wdf | 4 + proj/AudioProc.hw/AudioProc.lpr | 7 + proj/AudioProc.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 +++ .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 24 + .../sim_1/behav/xsim/elaborate.log | 19 + .../sim_1/behav/xsim/elaborate.sh | 22 + .../sim_1/behav/xsim/simulate.log | 1 + .../sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 34649 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 1969 bytes .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 19456 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 132 +++ .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5704 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 10776 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 3650 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 1154 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 603 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7048 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 12400 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 ++ .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 31968 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 7 + .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 8568 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 10349 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 14608 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7426 bytes .../xil_defaultlib/xil_defaultlib.rlx | 8 + proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini | 490 +++++++++++ .../sim_1/behav/xsim/xsim.ini.bak | 490 +++++++++++ proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 6 + proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 793 bytes proj/AudioProc.xpr | 305 +++++++ src/hdl/controlUnit.vhd | 56 +- src/hdl/operativeUnit.vhd | 60 +- vivado.jou | 49 ++ vivado.log | 403 +++++++++ vivado_10740.backup.jou | 69 ++ vivado_10740.backup.log | 808 ++++++++++++++++++ 166 files changed, 8864 insertions(+), 33 deletions(-) create mode 100644 docs/img/q2-2.png create mode 100644 docs/img/q2.png create mode 100644 docs/img/q4.png create mode 100644 filtre/filtre.cache/sim/ssm.db create mode 100644 filtre/filtre.cache/wt/project.wpc create mode 100644 filtre/filtre.cache/wt/xsim.wdf create mode 100644 filtre/filtre.hw/filtre.lpr create mode 100644 filtre/filtre.ip_user_files/README.txt create mode 100755 filtre/filtre.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/hdl.var create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt create mode 100755 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v create mode 100644 filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/compile.log create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/compile.sh create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/controlUnit.tcl create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/controlUnit_behav.wdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/elaborate.log create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/elaborate.sh create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/glbl.v create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/simulate.sh create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xelab.pb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/Compile_Options.txt create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/TempBreakPointFile.txt create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_0.lnx64.o create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.c create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.lnx64.o create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.dbg create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.mem create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.reloc create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rlx create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rtti create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.svtype create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.type create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.xdbg create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimSettings.ini create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimcrash.log create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimk create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimkernel.log create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.ini create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xvlog.log create mode 100644 filtre/filtre.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 filtre/filtre.xpr create mode 100644 proj/AudioProc.cache/sim/ssm.db create mode 100644 proj/AudioProc.cache/wt/project.wpc create mode 100644 proj/AudioProc.cache/wt/xsim.wdf create mode 100644 proj/AudioProc.hw/AudioProc.lpr create mode 100644 proj/AudioProc.ip_user_files/README.txt create mode 100755 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/compile.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 proj/AudioProc.xpr create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_10740.backup.jou create mode 100644 vivado_10740.backup.log diff --git a/docs/compte-rendu.md b/docs/compte-rendu.md index cd056f6..e648cca 100644 --- a/docs/compte-rendu.md +++ b/docs/compte-rendu.md @@ -8,18 +8,30 @@ ## Questions ### Question filtre 1 : Combien de processus sont utilisés et de quelles natures sont-ils ? Comment les différenciez-vous ? - +on a deux processus : un processus synchrone avec l'horloge et le reset pour changer d'état +et un processus asynchrone avec une liste de sensibilité "SR_presentState,I_inputSampleValid, I_processingDone" +ces 3 variables sont responsables du changement d'état, donc à chaque fois que l'une change de valeur, il faut recommencer +le processus et ils sonts asynchrone parce que ils nous permettent de définir le prochain état avant l'horloge. ### Question filtre 2 : La simulation vous permet-elle de valider votre description VHDL ? Justifiez. + + +l'image ci-dessous montre que la sortie est celle attendue. Elle valide donc la conception de l'unité de controle. + + ### Question filtre 3 : Validez-vous la conception de l’unité de contrôle ? + ### Question filtre 4 : Combien de processus sont utilisés et de quelles natures sont-ils ? ### Question filtre 5 : La simulation vous permet-elle de valider votre description VHDL ? Sinon, quel élément pose problème ? Comment pouvez-vous le corriger ? Justifiez + ### Question filtre 6 : Validez-vous la conception de l’unité opérative ? Sinon, quel élément pose problème ? Comment pouvez-vous le corriger ? + + diff --git a/docs/img/q2-2.png b/docs/img/q2-2.png new file mode 100644 index 0000000000000000000000000000000000000000..80a30f34f8592e010220896a41863b63d386dca2 GIT binary patch literal 69877 zcmeAS@N?(olHy`uVBq!ia0y~y;F!R`z!cBH#=yX!wPfE`1_lO}VkgfK4h{~E8jh3> z1_lPn64!{5;QX|b^2DN4hV;Dr+*IA-<f7EnyyA@flK0H}?HCvsG(n1-i&7IyGV}8k zLNaqx8FEvL^c0ND6w+RnffxoxrV56JRt6?k1_nP={%>GlP+;(MaSW-L^X6`OMM&zc z;~($OoOx!6kVoSM?-vV|I~tS}m=>8h#+F|Hv~KV8qx)XnI(;klb=Qg=K}R>~d5C5P zIq@>S6!2ik^i;@AJNMh&!((QPN{Z3sC%;dcPM;Y(@44OcJC;3`<@1gk_+A!aKmu91 z-Rqf=_zVsCfeuVa0s*H9@g=9*O6LEv;y}{G@Pkiz0y6(fkq8>!%=6c$#%KHbm`#10 zoSIZL_4LjipLjt2>C@w_;&Cq?dj!O}_se}PMKX0ygP;epnOT-xwB_&2igrj!dvooP zW_;GEM|V#doRt#pp1Co$SiN?c=jZL(t9f#FUKaXtc}eH5qK|PqYii`Onm*0@ziF#y z-4E{1KWuzoT=TfAcIN6vA;0#@KkRqKQZ-)n{eR_R=|403|MgwF@)~B@?25SgwC~*7 zC;9)DbWHQl@cda0N`4S;HWUZta(UcY`FqL+OV7-Am;FoL24-De+rDdS=cK<fw@hPy ze|a4)Z2I!|SF5sJxtDEL>Tb5bo<8|mc6FHKJca6xr4f5p2u-cMcamTJ<iF5<Q{I5P z6aQcHyZ$ouo%5`3&p9Lm%U><uvT3e)_@(u~Ud?{$Wp}qt@RsM}|NmzFv)k8wi0Az6 z3g2&xx__VKOWj{Sb&-<P%G0UeUtYfI{$$#enR|S1_dn6F*|pFq>WGGu`qVwC%lmfh zl@W%x9YoGa`&`P9nm8-(@5kR>Ywulj5qvAH?K3HnH}r5>Vb+V+4?=9j{69|HAGkPY z_Q?q=94CZ$KEKO-f<OLb;GrwWOTULJZ+UQv`^p8alVXeGlGg{XzqHMgz3r${_wh|O z!Rps**SX8hQhEOL|8ma9KDvKD=-#cJ8+tZu&!RNr{P6dj`&Z{ax3Ze~=EDEd>af+p zZdtFk)o1&p++1f0avK;oteQM|@?u_TvyAum_ImmHPCc6z91$@iYFp0AvbVQR=IQ?q zkPue))45z`ezzpJ^|rKa)s{73t0zsKT>9aG<6N7{pu_F_`dfTgvZ^f0)cSqmebnZn z#btjBy|{V;Ht)Fi>Z)N>-x=9i=B1r}$G(Q&{?Z$@{ri%fi*K(yKQu2(EX#89`+VuG zuTN-dT12hg_4Q)q#?sW&cNSI#Pd|0Hc)={W$knCQYrCWkBY1<aWxRdQUZP^^oaf#$ ztJErful1GSW831tzJ3<6Gj_IV^`$h)-rrd<_1)9_e}}TqidnLn-R0%?|Fz3a)n{cM z_|bj!RN{%h?t9Yy?ueVYR{!GET4_)B?bUrtdDO3;xiL>VfBV0z0u{$-ewpdoJ36ht zzFvOr>)W7bd}n9xvM`_4c-Hn<_0<X6xXgHFzI%M@$NiwBw~1axDLeWyPcMq}x-ng? z)im&V-QO#kxAab~-Evp^>+4f*tsZd&PA)S{^_oz;wd`$Fq>fnW+gn>Nd@6l?&2!SO z($`_@zJ2<fd{ynO-5j;$x<0&*eq{$d*Vx&gr?2|lCcfS8qS#ywL;q=_=eC}1U%5BC z{kff1LEee}Q|J3P8T9{Oa69_`k{<E>0rz>|zkDqJXzI0JUbg>^dGA`7y`b!DMMU|& zJx=O;`hotxlUJ2r=E<$pb@1ORy;AaV{grRu+h3g6K5x6`rOB6s(qDW{zklHg>+Pl2 zoJ$Tr{(s@{^y69{H@#;4UL`l@!$Ps8+b@TH=b7-_(V3_9OPxdf`ahGEZfy-&F>&$K z<#iY4gkRJ6*szj&{jZ4A)$1>&m)~1r%6>@X@1g6z-hSGaCHQRbqlu}fr=3jNxWa$F zUF$Uc_<b>xN)ED$SFFtkCqNLfz{=P+xcJ$biw_UCr*4c85f)ayY*PB-g5uh^y;1xB z|J&`>E2TPn?VIGPmbNx6wPT+@-<>i=Wa;wd)3uK+dTS}eCu?2iqaC(p!tJ+VIXO8S zYrW<lQmbAcb}o9^+T*fWQsT?S7QVc-v1s139DB{}nO;S&ZycL?D>Hl5HDBi`Q#X0; zUw3P5@G{NRcUSX2Pk;ISi@?^7*Gr}?TzP9(O5-*8bMyP1*u(TMv~4LkT9&oG?I2@O zglcq(@z&Q@0(;*c-<o{YDA(@QG)aLq3bU6qWNdwT(b+a>amWk7^{OGdQLZOXcwXB# zC1>Wc=;Pnthpv)bBBq;^a^B0zTPOA5rGG!3-by{PqO{xN<&nIj|F^lSclEwYm?pPA zaQT-AUgt@g=|PLiX7yfO7rLaRX8W}@7I&|od>gf~q?_+)%gW5zX`9sFUpuHZ<JqC4 zjT&}#aj&nhKOes>@2=I_*xg}nlJ18!REqn<&crWU>z<Q!W#Q&q=6t~!88dVuf1jIF ztQy;X>0I^1n9XW)m-crl*lU^EpL)}=Z_jJ9vs?JC@S4XgX;}LIQmwz=RlViW?<Po? z_HH<JEvIMRuMf$4?e;Bp;%W_=maFplhtl2;%U^$ec5T|Oe<zoJnI1O#>!+>eubX)z zi<bXcu{^&n?Eb%tp4ab|?K~u2yY_wGRFUinv$p213~-)QJm2i-`{~+?Cf_pQ3J53( zwlq6`<;#`-mv5ghy}s%HnTzs)XGIG2J{QkzJ(_g!(o*lONk_Z>q@JFxe|=Nx={+@P zL1_n!8(zIV(8z36^J9a`%SYY%*Dfq{HqE)Q;g9`2KVRRg_x4twzM2*iGDUCC2dDn^ zD^{#1Iv%lQ?}7yiZ}033&f6X>*6qqGWumdof8|rXn<sZ~Q9m4z(*N(({iW-AdIILo z+2-SU`<vF@!gtE~i{s|}`u28FRhHW06aKfR)Ht}u=!X7(p!e1`RbxkCi&Jjc$~arr zr7{7R{t3Ujc5X__DUR1`91mBm+Nb+Hap`8y=%Z5YQ|CPliHMlc^LV9~b!O<^XB)dB zce}FBz1a2kjw`Qj+nF9?|4iP)t2U&qy^`>i>+SvO088J)Tk_9y&OG?`iqWxUZ<b6- zekm9Dt%=cl?zdOw3zjb`4LSBuev6&1Z{{+QxCH6L93Ld!&-^+qC^_`p%YBD#t-jyz zStM6xYT*l?c*pi{+N#F`_U4IaIc(>6*%EtvU-aU~sdimmC#55m?Cj!#@?5Xp+M0cJ zv3q~gV!tV_-(LRdcvCo0?^RIvw9EW!HkZA(%RX1Ri|-{@z+|D%OA6MdzKtunW~bHk zXT_dB8vPGG%U^iC-hPGNG~G?Qv)BGMe;c&PI^eIq<l23_@fWtMS7m=WyM2XwLyFw} zY5V6VvaC8AxozT-;MMgPp0KRGb@zsEZ!^<Uzq?nS-IkoSxuicYZ>z_DU(QPl%I{r# zUA|>%+4ZZ-Shr{SW-`uRzNPY!a#hu~Sm(I?!OgEEC(Ts$KD=+&!;Or)QlA7`Uf!mC z<>{0sd$}g<soyhAFLsvnw9KrVn^Iq0Umw3Q&=Z_&z{DIuLBWakf4xgeO8$L%^XAQ; z_ot12d4zWK_h0|^_V(4q?)<K<u9`YJYi^jSy5-DTR%B%S)P%RSh3)hN755G&CY96_ zt<yHK4njg}6r3kDi3q98RNp-1X^FPFva{diwR8T?7Ts^Z-q+b}oAG{r<vr_OMHKHZ zm-W9e=l{AZ3*R&uMua~5)OX=o*42Wk(^TJHJ(yg&_5F;M8@}m^rWR_io)YotmcGr0 zmdanX5BDE3KlJKJpIidliA3`ylSO6LE^3Kby!Mp7fWfO4?JGsQ^xGBZ8l}$4dzzAZ zI#-HoweT$I*nk;MJe7ML9JJz80xmvcxNI_Y@<i3?nSmJ*9(5l*W)`nwx~`~y{nRb@ zk2ARUD%;zvSo7yZa)iqE+p!Pi9!$HfD{A}R@on<e8)_$ISAF2<-f}he+wWtMGnPeX zy7RqS@_9;Fy_Mr?`?Jx)Yd&APG_~%}icNFNj+*sPbe$x4H{$GDt)&er(ZA~|LayKa zKY3C9-+sGYx_*t5Qd(AAUHbi%{POKpA6grymar_<j&gDOh-m5-lsP&&a&d8;s()W% zB`Pn!{_JdX?@3Wxvz9LNo&8J8rvKK~?Bx$0B$U3m;5c*U%p$9~N4IHiY&c@TbF;ZA z#n@-AkG*PenPjB;)OopHUz?s-{N=fBGjm<=GLejVK~-%jH)OcBM5gd_O}V;3YteTJ z-uDvI;#Cwp)7);o^ed8QoxLnt{rmfC@{wY$C9<o$cVC@e{QAF1^3jQV5>lilrL20= zDcW>pOQ`ZqKA8)BEhe&thp(&*-NK|JHgoCGM^;M~C^)D6GMsy2;wwuP%l_9Ht2C7r z0vf|SD}x&%bT`fWyJxy$-1&{Scpjf=elPTktKdeeq0iF!`_xWZE$ul~6&1&p*1Ehx zQ|G(I9WQ@t)$NiK>U~d5DprkazjW^R%NXtKxcLti>`yJ+^vQYJuCBbS@MF_-Z<SsO z$&qzFb!X|3v&)}!bOqVAE6%mro7-^qbMG006p3q#uG&Anb<9AAtG6R;gKkW-dfp}T z>*ksT_k5S0U8lZVH+uIZzLRUME^T!7I{EF!6qV_Gx;1gv@BY|X@>qP<zgHfnYkxGD zPhECtog_HhfQSI^vvaM(H8nN4cz8nA$L+nct28^lJ;Wy?V}(`83kA=peKkAF-rrk0 zJ8##@3ua6EW(o-iub!s3`%Cp9A>Bf=X>Tu>sm|sz&ARHc&}*W~&VJRbpFz{5EGBO; zJv(J_sIY6~(uNt=vLyGo-@bP4@}Vuyy0+||Ql}F8wJK&!-$B=Eqm<$ssS<gqGPhP9 z*?PMq;kSHH+`{&U0Ran^T&caa<JQ8z2QOw_U-iM+|FD6`l^s*1Zhy<Xe@{o##!pwN z_ww;TFCD>&Sr@gOIA51#-t^adn=i5Cjq~oU&!@PSK8jl9*cd2(>P+yS+@>$b&x!0@ z6tyxmB%yQTv|DQuC))0sD0So8iv!1wM$NhF!q~p;<%Z}~?HCL9*Vopbo<GgS%J`B? zrTa_+9_MdtzE>{nbN7@Me>r_k+N#G^>y-QYq^@kd8d<#VN!$5(>OnU@S<P?#qp|wb zDSppMYv;Dbn>*;=`Mobsw%qGFe@Eb}{NOJqmpRP+|45^B^J^bQPtTrRU0Df}v%i-A z`mlD_t4j;IkM(N&H&fiZ?n-a0{YCBmzpmx)Wmm5&HJY*|as4g3KhxH|ubQaW`!66k zeuum8r8do!tIL1A_gopbF~Mi)+S+jQ`0taZwewtLmhH>>H+_D_zBO3|2Q6YRuJqG9 zT6k}B&CgGNGP9Q$D^E1w;snJy7zdc$ym`~h^JMM0JG;yCj~+Yr%VJU2<|(_b-HX`U z{w2J<BS%#==fb%w30q%Sdi=fJGEdI%&;;FOJEc<IT)VdSC0EWBSMje|%N!0*knz3p z`_jLz)%h<zZ1auUp1GTE*I^N{h(Cra6D7E^uKC(VUzz&*Ls_n8k@mLw^>;EgRXi7F zU0k|ZiX}Dc|1_7kR&z9`FRx&hUL7jDd(KV0tJznBzJA)Jr7CuKgVv_k4!rN~IVD<Z z-rANr`&)X;s>tcxrJ4N_tP-M?YhEkf+oF=&(|>(e?D67cea&l|&F)6k>SZj?xXt<Y z?lhI~9RAW3kN>)#XYFR*RrYq(y4c;T-23Ia`unf{`uh6x{e*(-uhJKM&mK0Kx}~yN z=-U!)4V#Fzy%!^k)|sT9nY{VgSIH;tH$$rGPOSS@|9AVo>hFA|mUhwa_x@OxrgHSv zGV}DzPqnrpCEwUoyqROG-rqM}mpf_M{%^DP#Z_;qu$z)7y*RJl)+}{ZoJ7{;N%giX zInV!(_TD^eb!Dvcw6?`x?kw-muJ{pE`}1T@YW&YR^IpBywAvmNcJA7vl;v|g|6k7j zerHv5{@&2-_kJzd7gMcNFED#m$cBm~{`2i#U0&Y*>+9>~OTDLO9qkge>p+yeE9!F0 zW*_U9U;pv(@zt)~V*B`>&yfI)Z`A#C_+0cpBQ59po|WCD3r;e`8n2itBU&|~?^V*> zU8P1mi*IBIy}rJFdgiQG*VaZae9FnmIcdp`9XqtT1@{GC{Ilc3A@0>WZX(-ieili# z1?Fs-D5@QH!o)YW;2^8qck8Mz8KEug;KT(YLb#9j%U{2>HCxs5?(XvNySqwH-#vDu zQ&?0=YSoMx68*1(gMy~an-`aJYUZiD^;a}=PA&T(qPU{6ON`;k$_rmqRurD-f3<uG zli;%Tj3D#C_>`Wpaq#Z)_tR8LUtCyt=<Sl_%d>xfdplJm^ysbCElfgSMG&Ilmb#kS zvNOufQ=Y_pU%%#?R*XXu%hqcXq@%)1${|W1<PT1ghmUU7$TDOt+p)tUzMa9|tqx)i zh-|1&WI=I6R3ECNF!<-xJOu>>_w_L|1n_=%&k8aGj021fnGm^^fx$u7oslyB^Ke!b z>#{c=N;!UZ95qh**b`x+EOs8j1Y66%z|e5&X*jD2j0d7uRH2W2ZR>hx@%%c=*;%{8 zqRW<?cv`mFv$;yjY{BGXd~X+CNN`U*_9F0n;Q6QZ`=9Mz?iB+f&+W=hHT2Cqw|@4Q zzkj~o+WLOOrs9~aTN~!-y}TayxP88Q``$y#ci*{_7yLhVhoikt#Cq9ke(mEggSwAR z+_fa$J+|~_C07Ycg>741_}(>V*2s8HI-GZTQ)YkVoptYjOrJ9K?GzQ(8*8Er9~Z7a z^4QGx?XB<aNwZz2@49;`bhSz5CGlOq-|fD#u(o>rk)T=1yVbSdUU=kmy6KShD#zWw z_guQaTK@dCiODY~Em{6vdgTL$-N)bFS+?^0GQErO>-SyIF7ya`)R$7)ksI;o*Of0m zynEi6dIn9NQT6NH;@x}2PTx#Pdm3``d|>IN=P%#e>cxM2bHeNW>kA8=y*xZLw(Y6= z`>U(Fd-a!p=dQlHySrOA`{E+ksmC`KJap<m&CVwi@v=7c{Osjhr1Jt^?0$dBuq<cg z?X<IVR(`2|7kEQF{)Amc!P4WCX3o^~+!VEzi$mk@b2D{y_q^@Vlb#e*{Qt}YiX#wq zNIiY#)Wr?6?@h{__3M86cWsLkpN$_ZI%Ugr@?>!2uGce9R{i-pGiqnubfe9iQVn@> z?@nE`-G2V^Z22E9x69;pzB7iDuY4)F>+eeauSe|nU(J7A_NpSP&FAu!V{3nZeU@Ha z`gN~-X89%gP@&TwuLSRU+UZ&KZ0`H5X_w`8-pylWzcqEk+lG7pYUZum^<DYxs-}of zUG6zy-FkaBJYnB;n7w40?Ex*%Q-!<si1i;eFnKuj<*)Pn+NrbVZI9fVwe?=*b6Z*4 zDj)gUFP+zuKB{|P&$+%QwR6^Xoj||kw;!A3ZC{yw?_Zp~-kk?mBmVt+RvxwIRr&gF zfs#f>#a}*nUtYE6{+pcTc5{DaPyOCqygmE+x}^29^xhtmeri|Xu=0A$;x+mEbqjZ| z$=@IP%Jlk)+6N7n@^&25-nb@etJm#qxtF))-afbM?Y+I#pvLOf?CVvkVxTYu<NSzS zX}iD4S!j9A+FLRCl3$wE-;il(|77$%y}T@!{X8w?ox3>3IcdhSFE?HrZ%umZchs!= zn(}tu@af-tMG`h=)t@q~{V_lO%jHGAyS~f+zxc#FKhUMZgOz`6RpIPqa&?)ruI1cX zT&A1+<wWk`D=Tx8BiD+(^|xI1eSg#n%l&FE)}`;e_Qd?(s$Hc`d&RqNUuo9&yZY-$ zZCCbX5C1!sPH&@*dQ9Sz_q`k~{5<p9Bi~)SxsoQTbRV5?`Ds{MSl^wzV6pB%oqKC? zmTxMK*%YN)w9`i1AY__YukzP>)48{loGr60zVG3BmHTY`_NuQ^d;gx>U9>ZXi-Y4r zulb*wt2fT^bx2@tHJ8%!+{AX}Yotux_Lckhf1Ug9`)N1RT#1KQ_Z7|2^R1}d6<3)u zhwV$=>#O^;w2ZagzuSJ7U+aHodHOv~n@7itzlZ(vkj^%Hd-DIimnAQoPj-vQWL)2> ze183xeOle-ZZevk`}-_{CQZ|g{$<7Dc~7!%$%+f*_X1y;UiYxH^xFF?>*`E1-@d@b zT)C4?v!?~E-xqc2<U}SWkzePm<2qGUR9tdzZMk@EuJ!3X{gFXS6~Pe)D$eFd?n>MJ zuKu0$PT%0%XtN97Y}-Fw-)ma9t}bdv;O#Q`DYs3&{%KxpTH}!3|8~mihLnGwTy$eM z$8J3P`t|AS|JPrbqSKrF>g7&$H*FgokN-atm)iaMC2m`GJXO?Y&#R})Tcx+8U6$M0 zpS$9G{9aE+v(<L9x4Cp$Px^Xm@#C+L4kwEWy}5A4^5d_RQ%Qf{PD_mIe%j9ZdaGUG ze5-AFD>~QTQCa=<jDqn+!NjVE3k|vWHf2AXQ&DU6HQe^k^@VZWM-#VaCd~g<wA=UZ z9u>znp1mI~%=n`uwEgy#;QVu!-W;7>lKI~vQm3shE~v(<>(rH9uC=CX<kwA5X#ZF8 z|9I7-bAr2m{3(mto7Q`;>i66~k0!Fq@9@97tMqf0PG!(#<4|>z4L6Fi9d<Y^)>|=2 z!Yk;5ROnQh<=*{!jAHfwzx9f}vpja{*J!cGn|`(*4o&&9s89acdi^c;q*+zA+kJH1 zn3`YnZF3V7v&pkda#QccN3OBo6!^M7C*b-Cy;o8%f69wjJnPQPt~qL0w&s=3%t`SI zld7(Ua?Q7k{ieNcf=X)b_qjpqcWLdfSaNQPW>Jsi<HsxDmHn#u$9P|z3VA1g{NKLS zA9@UzY3AOYs^n?J^OWD=q32F6kA3sE`%U_CV(nor(SDJV@AKI~Y5&*D>Ah7yrY+>! z5PABt>(a>2cY{N(UH`sZUdg`hc&e)0duDUqi*w?Izg%AATlL|YH@9e6$TE5HC&xa$ zcsqUSjpHC`|8BEa%Uz|vJ~%sFOj>lwCY{;eW-Pg*#Nzp@(z9t-Y0j$E?q4RU_|D&} zw!cDU{e~y4?R$QI*x>v5*DTZWx%aA{MSXoMxLW=GjHNg2|1bJ`d)ia+ew%{&tD^lL zmp;G$zvA|K*#%*~i&w8Xzv+YPM~`{n;I@j@_umo3{Cms#Pye3F&WO#4-2I{N*N?{) zYh&L3Ug_==T=g;DUh{(Bg0{KETMT|aPr7X*@O{PgU-9)R&1$)G*GS(wna}Ovb9qW) z#q)b@n~HlR7h44{e<s$IlK%S0jzX^ahR#L1&x`cmc)QBDG;8BU!~jZwneHr?m8GBA zZf*PR^U-6oo8Tt)8(h6^msHdiU3q@#sg}acUB<3uyM1G=O>_%qJGeJg9Ww<L&0cmT zPG_Ym%TIB8zOsB}x&C|LtlZuFS{5hP@vW79^*CS5d(tD}Z!h{6zx?_BIPd$F>)y`J zi2TF1RK{-MF~hHWL{2NRvWxXCT~T<rYE|rN2~bht>>ea4Ec<S1#HS~sZC^UFmjzCr zqj^T6*3(>a(mI)Im$O}UT(@Rkbg=&R>|aC4+Y2H6cke{M-}`-^&t=`!SC{>Mzd!u$ z?&|FoPfq7g&py8I@Xz<xRo`8nTR(q|fBY^--#t^rpFS;{UG-@G{i<4*8J8?#^K5^; z;@SJ-Lj>=;+Y7yZ*C{`InsoYIPW}8mncM!SufMynQF!?(6FGk-rZubNBi%jKADdox zKG<!}?eS-B<}5ECpOE8yvbC4Ct8|5P74*ohwYt1)uBMWttyOvJY1Nx=x#pYsew!F- z{#FoH969(-GFLuvj_LdY`5l!V>*TMxPcnIaoyGRwFCWjKpi_aq|NfcB8m?G<Jksb? zsKcbWkApHMIB(nBGw)#mi^rs*qB&19_uV>mu29oP$3yJ!g+}M&s-BtZo2Sg2I~i22 zp02C9GA(EM>FkY9EMmDzSVX#(-Z0Y(|7TjV>rPzvQiblL7k->Rr@iG&-geRGXSu%8 zt{SGc!7E?Ry!G#QTJ48}>_)!Zb;MTpt(<x7y~WbJyFQV7etalD`fF~~#<KK{Pq*8D zUHb3urQWB%7k-(v{N9|Wmmf{E@tYpqzt=8U-_9m%dPt#Qr#h(Qj6WZ@{`UM|L76XS z#_8|V@@zP}>bjil`gwC_y*^(1ags`RV&L*!v3qX4e<080?`@X(I>q;vRpca-|6TL< z+$xWpxooBHY_pdi9v;rRu_19`siLCdrrU4h-rj#%qGf0pIM=%To2TH(KR-Wv$Cy`q zc%ap@#NhafKu~b2AL~1-D>G4Lb?8Imv=endpN7i$7Zq)Kb$z}5YSZ#72GdV3b#CVq zJ&hOzsW<=C_kFAV(};h+XT13E=aHbNR8``feY3X4%-*hk+GMHuzrUOB{V#I-*Q3Nb z^>t+F<+iU=HoR>J;+wDW^<d_qZOogZbhB<O$g7;Se%q`gS1zC1+g|!3W7fQ#=HJ(^ zu!mFzpYQMX4eq=>Ni<=zmfERm{<*WwyRKY|)x5R&zenAD*VN}bwv?uKG08Hnl8-!| zz43=~ccR9&$Su##{C2&6{!jlLzvXMfR?ph{e*NR!MqfUf@7GW^jxLY0-*76~+pff^ zzPfVOy*;}tmWGE)S2$LmjolTwPD{%`tM~NNYlogjuiDb^WVvGZr~UGq6J~6Rnfdem z?QJ;=>TRp%%2j2~s{8RoZvF9~4gGUurXRcid0|{dM$fOWIk6eL8M<Tj=YOAe{qe{2 zWtm!vijJC^JBxR$joZ8H!Y{qpT`L@$*{0shcRDmPNqhV4wax7Orwn+k*q$DQtpzB3 zb)|En2iK+w4Le)gB=1l!Kfkb~q(z_++>FYkq@=0G;j{Dw>-gmC0$=QX`S54=?h}iw z7COq^)(U4lxq#bBBk?w4t<lqP+tTgN_e;#%t`oR>^1llQ?B0sXytupn%Qx}n-({dm z{mV)7?yo=QD%<24zka^eszN1{xoUf8KtjXyq@7FR*+Gq6bGzBAx6j{o?6H}A{papW z{;4l7{VUwW9<f)<+c9tZO3BUFGp?xKJ=b$&(%UQFKVQ#&b3rcDthHCgbj{-{YhK2m zep_}jZFA<68}=`+o)3TdFuE=4%Y=7DH)@U9JPIbn3g^kHWlr?}rT=2mKG_r-o3Q@< zcAHPRt<*fZ^zY*@cbFH~N;wC<O_rYa<8$ausjK$E9n<GNzI6Zn&PAt|e>pkX{L~5Y z{m=Vsikx@9{xW^r^`9zY{zAgSQ|HWydHPq*qF}*|O;e_bNVY8m^~iOVK0eh@UV8H1 zzv{UX_IBl8CSG1#cjD=#&ceqccD1|SgvSJ`7Ve1AQ&m$tW#X$FwPnSPUq_C(m}XsB z;g!S)D~wj~{QvvCc9vmvuieZ|5z91X|0svXpN(4h+WmQ-)2GLWcyDb|{w_J|Xwr*L zYxj&J4(E-fr`U=63WoPu`18JQ&%SnNYt{GepQkj9L{B$8jsDVKUO(Y*-sRH8=hmOt z80uZWYti;a%7;vn{r23OX%c_)reADXVfx*=w?`)}i7dYU>Z||r6LJYxw<@2Xe!IKA zu=ecJy;nEX?U=Ua-m>FyvsXS|x%|`hrxvkQzdu*bGAW+f#Kc^3_UYbLDJ`7aZ(kAq z|0hhp{)>IBb$RZ;Px;T+UG<j#w`BRfIjZFsC#maq_V<ThdVl&!*>07?i%vY-Yg+du zAhxzr|L^<hy<97rnr5BYwJ<AWf8M{-dD}tN>h^hi+~(dg`&RWo)pSQb`(B%er#AaV zKJ`7U_iBl_oK)~qR=3ovyDI-~&JQ{HhA}KQ?$Fsi+lsB`dinZ(EtP0hQCHX2)I51T z@kh+H^7~K4`cu-q%XS}1KNs?2P2{Azda2-A_|(6L?OL5jv$n2sZs#-Nab{-Yx$xj% zvuVYL1YQXPhqlBOwZFe{aeRoIs1A!Fht%#>;;U}|GjUiud%k4n|FfONroX;@H+{E` z|I3%?`%m@zbHm~nx0i%Boc&z<v50r8fK0~q+5RzWchCPBHUE6XGI{ZwfbTofxJp<u zK7UJjd!yKcZ?|uGjJ3me`|rJNaT3dqzmr%v%dV+D!eha`ibq*@fA_C9&3tB5YhORN z>WFE0z=GIpv$tWN77A}-k0`x9pZ(>->B}-5-fye=wQu64iiby7*l&KlHu?ICcj^1C zo%a?psjplVWxiT}_Sr>mtNApwZ?9Z%fZ=ZaV}ZN>|A>5jcPmKW*5;Zv|BK%-KNq!q zdA~wtxp(pOoNG(}J~pZQQTg-raejOM*Jkf8zd1TPWouN52dKwYH~Xi{(&I``#rpUB zyk=drJJu*w!+OKkqBRdevhV(VR`~n!U%zSPHLkyvXISH2+Ml<7Cm;Ia?_7WXYhM@t zT9Ll|S=`(0N^IXU*N3b1Twc8XtVWo@vs_TzK9`etYkpLDrR7Si854tEnejFyY3;pV z$(}ZSSKaB(vs3Rqzgg1zW|8!*r~j_U-^elh`r@K<_O&&ERaLvzAD*b<>gu|(F!{eG z*XLGn&+=-xx20u}L&Gbdxmv$Z+<AHR&~pFlorRA-b$hJJQkm4oEA17uaAM-8E_W%@ ztR=G6WfivY#WD@2z8v3J^7c;ojtiS=Pr7&KZcje1_}1HQ)iPdXn{C1Gc3R!qlCj`q z{=G%~`~SHXi{)Rsqq>t{e{EPd$YUR;f4$N&eYrNMXL_b{`eDHZ?f>3i`cxMDwj}3n zz~uWoT>sD7<MDY~-nBoeos){rd+(pOw)F6geN{h}W_nrATq!S}7qCFtq5p2-%<!bl zyL<NSt9vb0`{!)Z-~5Yixp$}jzHmEl`^!oDWWP+`SX=ttI9}V-*7@_ays(T7i;rk_ zo^$QHy!2+b`N_9trc3INCn<YI=(K^V5|x+xxy?_cZLP@NHD%8u!R41VS6^Lq_}sEz z4`y162tB#r+wT*yJIpZawvpR>pTD8WZQlYiHXPP2toZaI_wdy<6Q9cm)E5-aFROYw zv9RjN)R&>xo_}DR`?deK`%mAG9V)e3lNar~Ww`N5Zk^Po6_<Y3DnEScmH#=WwD--L zbra{-%DNer?T)pr{&wZn)zwocPFy%mH(D%8UP$QC)VkMKS5N=HH*=O%-Jcyw%F0)_ zW`{@W?2|rt{Q9P}b0M!xuZw8O74}#KJEZS_#C3J1Rp`p)?&=?11wG|fUp;jNz6NK( zt*E_)<^SF&8(w_qWm%(CKlf<TiqOj$x%Z1bCT+2P>G4!_g2zth1vZt}Ied4N&X~k6 zJN@$0u*YnrqAxfmoPHYiex2^tgr8!bm)^viUF~8L^2`zlbw7X4JgEPE;mk>s`n8U~ z44T{~$i-WB!eptV_?GKyE(h=Uvms&cbuJGTO(h$b{(ELI{QpZ=@X2~kJ^eH+WUm1$ zE1O7n>bFO}aheJfi<Yn77^%y}x20N-%|NC7@UyFr1U+Z{__^fIqlv%Y?REclfi3H* zNz|m0!#DQrdNq&#>RRVu->SFIv~=YrKDmBWxsvTm-s*69+2!Txx*w|ImD$QJ8h`Km zvigx=h<v51%Ij-ugI9;GUA5%==X2J^n}YoO`pj}}EXcdN>*}jVf}URczuz-HsvNxR zgke?5#$1`jZY$4C(cHOsN=mx+-+R@f4_lcgO;-2My1gxTQ`BC2UwFgJAym37P(3x( z`s%h+=F*Pb73=p!FEqEGt?dcw)=Jqf*<Ggocj1%6>LrffZwTF98Nlc%SKSbLR@z-G zDs5}jmrwWCT`7M5e?_IY`RiSQ&*yuKU!8O~FF5D(uhwajZC{?mPS>_Lu})rm&2`i1 z-`7ow$O-F~y1G6(N$39A=;f>3zkG_i?>9Z#Z+i6q->HlL)%kyHZ_3-g^5*`WQ~Gin zZ=csx^)C7ScKge}-|a8-n*Vd%TQxU4<L-~s=Ys#9FyMW2`JQ&|brJEeS*u@k7_9$R z`163?uAi&^srtQ7*&JEod7p36k`q-6<9b!k7vGJa8huYY&q_MKCoAZ(r3_!``+IlQ zYophO^&eGsb$4FL`>N*W(xCsJ#9R0M-6oTKY|4(g$!8?4{(L6A^nP*wA-n%~v^Rcv zHTC!Vm`E;<S*F>`)O=@EsPe(;5QcooQqS#w-XG_Ez4Cmz&eY2<uWjhI@|+Z9(izmP z7Pxd<V$8RcJwNY1ulc-S?Fw1zG7YnBPqL=3a?zP5*qwglk>th-H#ie+Xvn%-w);Sf zMd2bE3h<T%0|Uc~w|}y@q~Veb3=AQ*Zm24rqUj)+pEGI7q)CrnTOix=K)DBP_2*5M zm5GPj_VqEZxaM<N=gU0<9(h-I%aeiO-})EEVIljP&aa(3d9tXeXy~hNX8G(aNM;7e z)X#Wt`&9ozB8rC^qWVylb2gk8nUU%0n!ZglH@5PY?ymjzYd?#lI;Q5BiMQSgjojGG zPh4hP@8)kmGymF8VbowT^*eY-__9u=iE#XS?ao9I`J+dq7tgZ!`{nW^iAH2+T}fCf zn*HPQu1_bl)ii&s>e%y0u#*XS`XQisYFNxe|JSEZ8=PCKur%Rg#}N@TB!4kn?OMJv z=Tz{VpbZsIZl=$ldV~Xc;D3RXcBV*Q)9LQK$NsO+g;u(oehjfuLS0K+v*JOBj_dMN zO^}zmw7A|)26<`jTZvEKK88#P`Vcx#Y0{oW6Pu1I3kBamwpw0m?T>|PTE(W`%nMzw z?d#gNR;Np>-vc$c0$!uqhPjd#G!=tn?22BWc=iS+)N~bk%77=nJs^3e=$g|QF^Cdi z4H)w?gywHZl|QHE$t|Yyp;YEWFf%)!&X>A}hg$8rkYlx?Tlf9!dwZ*Y8}a>EI8{5m zD#mY}NZ6%Hq!hbg*9m<EL*L8O3l~T!h9i4F@)Ng*i!Z~et{9}OxWLWQn29r#QA4>1 zF~P*pFl*@n5wm?f%vU*gBAM-QHfTXZ%PNK`yr`l{)}M7<88x&~jcC!#3CMc_T7V97 zg(-LNjRkj3h{E^`3=COf-v7$g^y6IPR6jcEyi49(TAyQk)Xv0xW!~lQ&zI>uN1E7b z$Wq$haAeJc>9=?K<;Te^?l>XATT!<%$oKopr>nnw`e~T;`TNmRHhX*4zxo<FscXyA zvUgXOWY1dpukw7x$(6=GCv1Lf+?y@9m^&_NUGUN;Cue%`#?PO+X+FF`&A`C0s@s@V zC5<)y-5#+`Q+~XZp7p8P@8MI?-09QL$E&UksGhd$mGzeCO?-dWsB%Uc`JQ}Rmia&b z^A@e$E8F;AEOL-keK+6yMTgJHx8MHm)I5zEF&YM~XLs5-ZeMkC!b7Y0?yvu<i>Ev) z60g`aZ+GuYuglM7o_~GrWAoM3&TDq@?(;kEbAOG=^yqiVo4-2Dj<{=Cxb5HH2NAJX zwl?eix_tRpUG1KIm4Bpo-(2&1-am7}%FdTXyYCv^&0CuP*Khrw(iP{jue&|x-5t9A z{LQ62^{aJOyjwphWPz@8nCW|SAKSOpCgm@~Qg`2-^m{>=Zp5-TYRV=nyc1Sh24yVZ zzdYsjhwr<z&79uK#joJm9-Vg1&v)0Vol@T`BUf);ZQ*|Eq{&&Q^`~x}pMP!PGwbQu z@BMdr$u<8r%;GANH07-9d9@~kZ^G#n=d>oV|C_S<iJ{Hi$tP1o+-tTu_&Vw>-erAz ztNQUk*(qOl&HF53wybFW+uhdxBKiMp-OG2b%6a~Jzv<TXMg5m=OY*heyvy~{#_9j} z3xB2L<ks{5|2AdW+oE~*KFwHW_jARwR^BT+7OpKVc({94<%`l^$F90Rb}I|K_UZiB zE5ARN9x-`px6$SQo~LECJNE88X8&Wuy1b39@9)%YS5r&-Sz|SM)|b$vqR<bgFD=^N zR<=3o^`57<9vVHh+vUYAp1SJdhsIYzDbp0)ORR4Dc)nA*xPv<<aI0mIT&4Ytw!{+# zJx-^!cFnh6>-;w0!>#G<_D{7cc`loLUSEH&`?ujL<s!+gGeKfKHm>haratul>80oV z>CewaI=?;sO<euNQ04sh<RZzdy#M#N&uWTs^9{K5{887lcKfG>mT}fozJ3>ZZr9qY za&l=8&+e*w9~#`QdS6h_KY!s|PPa~&=ko27bGlxchJ}C0SybRIYnpj6<XeyLt*LKL z@vH6lom=&))4KG!aCvsh4&T0Q<}W+b-(7s$Z@c2-*|n!`6g#xX1|9o#bJow@p&Mf# ziwKLX`1RI$Wo!0iu|M1VE%P=%I(}&Nh1Dved6zGF{cS6qWOnv)es!$X#;S#4^RgBs zikAlmNEW;7)C|?wx9H=nRkvg;XD>3p(PTd-^{=Dt?Ye(k)~UFjZ(jbLHU5MC)KcF+ zn&BrO-&+2_RP+4b?Hcu`LO<;&Q<`2p@$}W%%d;na_dfdR!}1u_{%mzS_2){{S8s2+ zzC`K!BO6e<DSUgx)>3?D%-)H|cb<6ssz2tOt6q5i)17KIzqNnbTE_jo@oE3+7p}$g z@11`-MT+O@-SRJ2|Ie$AmOoSN>dUM;cb!7vyLqds*X{A&JZTPVS>F^cpYq2US6vL- zG;cbKh-uu6HVf;yI^|5l)s@Lp=j6@In|`TZE^OE5Dc}6-!d|OA-zuu(ersiyJo~A2 zP0w#k2wnR-cG<ag;a^XmdYiSYu(8j*|H6ViM<W%>a$n2rz7uQj%sI`ixPI1=l9N*> z?><lx+Ap*6g?_5n?ep`mO?6M*+WhM9{GzEgZ6O=~ulx%3^Y%+!p{IB4-~U8=XH50n z*QTGrqUP^T-pghWeI3IU_E$6fWU|K}zH`!V7i3AeeGHV^p+5Qe)~WVSwRiSh`ml5H zr`wKKt-lL;e46)k_N3^ttvg@U{at$0(YO9}vhHb%y?5RQojP@M)_jpA2hZzXxK?{I z>ihbOT<2qJm&qLvn-HC^bh5;IQ^e<2{#;kMBSb{xRwhKx(5ug%%cmlL>EM*ZRx>ua zZ+`zuXLV1pwOHKG^^@j!&z^Rp+hosTZ|!Myi!1lbg<jd<_)02gimkg}{rhRIZ7Sba z@}%xkHU2$e(j=o#mv?CuFIl8jby+9ZzCJ2EE=2yC9w=fBKdp<bEItSdjdgc<7Js;K z=jq<Me^b^!DS8_sdHp0<d@t9%{+;ULZhGPRmHS_utp=xoTgT^rni{iiZWl;yzN1{Y ze&x<;y~P4Qg7-~2t-*W#AD8m+)yw|~diaFCc{J1YerxQ4+3$D9Tz)@yVXL2JQNTMh z$I?Th8K<u`{;-_&Y}V4H!Oyghr|DnXUis_p%<t*@&h6oUW#<%rw07zD_#M&x@8lQy zCC<Dxf5MV$<#x+IZZtc%TWgl~q?B7xtN(xCtNJ?k<g8hd{>k&-EDSh2MRReCWm3zr z6_LvAm&9ro@~1yp@wD%M#xJ|&SCq=7i<VSr{^$>Mm4Cvt&rL4eKW6oz6OUi5SK1%2 zrt`$pS1S|VeG1olQs{dCoZ|l$)OIQNuhzE<7ID*CtftVvdikR39p_IzG0L(l+Vks_ zeB+ZZ#Xmpo=Q1i@u9<1_C-3e(ZJqsAM<=*G{F{Gyv-!OBZ;sB-dbCKUx9VwWZ|9aN zH~cp4;QA(b%l&)pk50MWHx}Kus}DTC$1+m8c-D$SS?{C$&EYSvZ+r1|<%81!4Y%Sf zVseZ6UZxybDs^RXpN>(=361zZmXeF5=Y21T@|wNw4!?JeKXZE4q1fjqtEx(;KJ%~? z{d=?`^Yv*^k!T(M=W(F%gyT;NO9MXWS9(R&Z+p7$T<9l>)Sd6180~!X-lq3fz_ob2 zU%~4p9oOJ4ni;c>^F;Ee9m^D^pL{y2!A7lrwX*-w+9yUkWA4t?wLei<w8zR&uiEHd zO~r-6(hT|MuOl9$3vE})cyOSxb((I>jtMcEhb~uYMTI_JdFtHdZS%J2p9YmfCaQ14 zFMbOs<$AVsIX~YM!^#-hIlBEzFTKCdI(_=K{{3sTc`IY~e!TgJr)cLdElqvzD0jKZ z$NzPmKbbz^^i}csy5|)7SDX9qjJdT{Y5K|3`XjbKc|Pr^(tcZ9<Z0=xH~I9xWj6o! zAOE}SN8OVh@1Mpt?Fr7GwJazoNCebRsC#bH%9|x$^z_c0w``G@eqH+Zd3ov5l_xeH z{6FPs)x_f;%0Vug{Nd)JPq&)uKa~7EksQKavrl1qHkVy=NL=*?-J+eJZZ*H3aD3`@ zxtjL#C!ZAg23-98@#eMP!E%!yo6b*^@bdGsdtLUERpkoHf-|VCswu|G6GA@4xUJZE zLiES%&AgsmA?!~e6*OaI54g&4kOQTxz~Bj|S8$$4z9RC(D2wUS4SP`yg^#HcEBsK+ zn`Rt*!$6<&R1ZqqQ7w^6dWtk^Tkw#GnTC1M(pRC^WD-ob&OH?*`SHi?ohv(^@7Q$f z<MIft$@^ue@823M`RDD7KWpcndXRtoN&edqv3e`L`mUu$KW`WQyu5Xl&cBkpKO2!` zfBpQjy-cfnzwC7T*w3dP`9D7<e|sg*zcQbH*ZfjHE}!pTpS^t3kIRKWgLS5!yx({F z{w=udhwaIqKzi+Er`sP5n)&DLjz2G>LdEK>%>Ui+t2Auo)oMWV6pIkLrzXWaY)XFq zeqRssRSs0|CV{)hmp|Lq$g=;L5}C3?uzuG0Q}d^k&Ffl+wDIsj^{08^vwxmn2kS=0 zZ40{2WRcD-u6JdDV{?rxTY;aLPK1Np;#<o7XmKK8%DS^>R)dWjsP&|#&>wjBX>Vb` zJw_#+xDHmNrZmG<4%D?-Tjvzsoc!^b|M~CWK1JHS8)nO=mUqm)wdl&{6r^@}gVx0F z9lIVRzkc#0#nshy>MnWo-Hm4XX7^G`*39b3ocq7-?_=+&8|`Bqd!4U-Jz95k8j?2| z7QCt~WtlW({`ZWx&fQagyvpi-UG979&DnRS^*+^|^^ILDzB_!wJT(yeukZgCPp($U zAp2nbhb+d<&r^aU>r*s-S4=zp)pF&{^i0L5X8GC6OsoH0__$@Vm;I*bY`eXIXFpvx zzi@zEJ?r8$5m|5F>nFb~zP0_G_T7t(PJH(_XnvbjzNO;1;L>Gs!Ix&OFZExa5YLVr zSmzF{W>tBvRq^5e*K_x0Wk1x76%`d*`|YsYCHBqcuNJ7BTxjR-d-)1y?$&=twXfJI zg<O2AfBCTd-xZ<ObM5-}OzYYgrN76_P5s^;7hh$o*WXg#eti<nzk2uK>y1dgtA<NI z)-)W^IBeFJ)+;h~o2&M;_tS%yPuY^yW;b`ATjV5>+O_}6(yiCl{L`;`(H&~_Vv3*Y z+6z<o_g&07&pgj#(k!0(sQc?~FIPSuT>2+r&plbmuoUjuvtmEask>3);NCBPS-v{# z<?D96kd(;p+qYhuzyHpnTJHHF`xp6MFGv*U51!w1^Vf^dTdf}YT<ZV-CyG<nEyE|; ztp&-62j+)XGI~~2#P-VB1c}SPUHh6nes$kT-BkV$HZHEW9_@@ulwT{pzb^3l_pE@7 z*c+_ktEwMZot?MMIaMxy$;0_y&-dpAzxeX{%Emm|t$SXu?fvZ?H8FSHRr~*6>x+E$ zdA)t*w)%E1_tm09$Ey-uDkQ(HU#@t*e&zICdYAsz*Ts2vo5WsjJ#H4Ba*A*5Y3p~& z(OX|<C~ti!^62soq?F<Ca#cwNM|DH&^_b$V|9)Mcox1(Ds^`kgl|^~yFNx3h)7iGS z_;~xS$~mF>w*MRM#n!aX`&Bw=-v8T=e--`Jys7kB>&cVAzY`<B{X5*=bIEnx&Rw71 z9^HBQLC)P3$&)^{i%%4>OIh;E`uVGK->1FUpmMg(b-vzy$Nv7k9v3GreIK9y<@m1` zuMStGeyN=`G34Lp;Jr6P%x@@`r?nY<65HvgrF?tg3W5FeG?6>2QR}_tzbVhW?iwCv z85S8C`K#<&`7GPt>8&j786RAkukI)m?*A<l`D<UE?2V{g{XOCKx7J_!9dEO~@!+J- z5v%-KuLP$g-2A=$dVj!;&StMuda1KtEV`ZY;=n>-uHH547hL+bz2rja_doX4<#O?t z=l-bwBfG9ez;Tkk;<i-_oUcwvirRN1XtDIhEsJlxe6iix`o2`Q_~K{3=f<zTuQ_+s zyW3l~gt`S9ukz|nToH6T<6>)s&_d6=qI?y>yeHq;FLvx!-Ff=?y*=~#k5^8po_79s z&AI1us^6VepKl!Su`lNDjJMAutrAZwRwr&$dUY*$@22Tl*TeUoND>vB!=Gk=6mt(8 z9jqs8@yS`)(o!L7KX2U}nbnt!jZ(HoO}(Pzxi)Hh(eAu!;qSAKJ?@@zZQbl$$31>p zZ_BPfSt?bs`*WZAIg2%wS{;A+w|125J#$mOI3@pz&su%m;CN48>67zHy^g-SrhoQI z`~TAJe>F)R^X6HHz5A|a^R#aEvNdUMOJdI)6_+XxxV>YtRm}p06+4lf)lkj&)?rfc zjKwmmL&IaQhUG?W4?E5$D<UtyKG=TVrA~SOn2j}udJmhf^567GXVRiu840g?v+DjV z`1aKMR7Jdg-Grreo9|tGGjZ<Kh)Ft}ukP%gJt@X!hWhu-S8qv~M)2E~EPkZoy8Z3S zcW?FLZ)_`@X*PxLiu2@Ot5)iG{d&6i*ZTN~t#wcBOHbYXwY1V|=C;t{`u}S#1*N^# z|Gu63&F4m*3H$#k=dQDjc>MnLG70ZVd2`L&uFKt9aFt!=U>|&1h=GCO4o3$2q(6#F zZ*6%UKWRxLb8yLJ-~AKLrd_}H<*(MunBQGbUzdAbm7kt-{o|j@o95qHDE@27%bD!y zS-uNZZhkrW<f7F69Uji}Z?Ad$v+U+t_AReoPV_1>J#=DYxyjS=_p6><mw(l6v)gF< z-ZdNNzKY#<X64aYX8%&3_C+jVuDp5ccUApT+t1NwBc{H;`s--*zeS$^%N@-By|nzh z&6)SLQ&RN8nZaVK-yPZNwY_ff_MpC(H&^;+d;8WbI;sBREo?0SKT^CXswBNQ6T5Wv z{69PExmU_AJaMd4)sy$N`IWhc-v=dac9}YD%BvF}O?3ZdY&>^^`>96C60XZrrcI0c zR_x;9GGWq;v$?iYk*l@_jo+fBKjWj*)6%r;?C!m-Vw6-`yk$wq(VMQ5maKWBwAbQ& z<$tjoS+lOat8%h^J*EERoNa$!THXDVv#b6zayWAsKK$7;HM!W-qAqVkFp`TIT3VtG zBF57}%L*SXVn!+n7#w)r*^!4{8>IU9R2t^5y}u!@OF%f-!+%TivVs{I$9*0eU7R-a zP~sw4<JQwI(P>=mon>c4^n`O~YRahHG7R3~vn3UxP|-eAP}T$45m^>is3#B<l%S5M zKYW2Y@_jh6NtR(npqK5Lehv?$5M$7DW*1VpFwLU!ZMCN{vn<l^V*~e}Ta7B25!R}_ z`+r?JU!9&47<er6YW78k*!24qM_)VieynSqpU>fiY@CYc);~KxPR{Pn`hLaN>cg3E zzvs(!Z@fSM2&oQYc)+^9OrcQ3Dr8RVlFgMz{hrU?Jpb#4Ru<lhnw4d8XT##^8vUNj zTs&v~K6thF=h>_NPuafp;{(s9xBOQ>*b;jD`<~_O)~ugT+62C`%bWG?U5xO2zLdb- zyPsuWekgPE?M|=zJ3aWnckq>IAO+cj#(3)qA>m&dB4^p}-D+lYA@rjm=PQepRdJD< z{g<nF2EO`wB<Szki79XA*3Pyv%UsaX=WP0RYv`@Q8-jZ-1m69+y8ZY{|Dco)Ml9Zk z=2m5$E4VpNdc`!~T^Wb2_06)(pS8=C)mj3{!UFXSSr4T>5o)VKi;q5+zp_o==t;oB zOXWJ3XUo3}*{Gu0bMZ^NRmShh-?LsFlC^p|f$Q@2%#+&PU#EU%^k1^WGivt7a$Ccf zwr@|J2tC&(x$Bma>OC88?fvIM=IMs3f789*Go^+5wEDeO>f!Ih)7Hh7Rd3_V|JnU6 zqo#1$=F&yGGH-2imAbDQxj$}}X7sfzU%xeVv8`P*>YT5v&ghM+PT!u>SF*OMY~sF` zCEac6*N<F`Kyo~XEaQ6zA?e#5huQ9|tGgy`Qg%QhcJj@ziKTP+11Ij!oV(4H@A4bh z&8FMjFQ0yP^vk=&d8OYo&R%j}b*4gDaHHldgEve5JztjA_1Zq#-eg~JaopSmGgQl0 z)y8Z(_Gj_TI{WwhujF;NN?C{gzxyS*ch{<gv$nij&HjInll5m+)g2MbzvZ3X``hrZ z@@IBkiK#1Yv@ERB+x)6jG%M-$*04J#oo`L9|JXVwe`;Fpx^Ht|vzv+|xxGMtfvktp zYnNB+cGoS{<oCZ8tEpW2f5z`GvuD4%Cd-^(zH86p3#+BfKNaTY7)0skSgpvve(thu z>|#~VX*=9j#V3S*n|W&4iHqs_f7bt-SC%+q(v8{f8cVM|uS&hAmTI|a%g3jpx9%_N zXDi=uqU_0xPm_X5{^!@NTrKna>&yG*+IJt;&7F06k=w;UtNLXguBrM{MOM7XnX>4$ z^xq}OQz}_|8vdpIzr}Yw>qtk4sJM9cQFraFX=kIZ_ODydSo?dmYq!es*H3aPJ@>z@ z-#Y2H&y`gtE>>keocu~&*RtrX)|&efg{9h(k7~C0$?Usw)N!Kk<Eu-4a_pU&H~DPZ z<hQfcuP7@8@jg;cjkXFryu5B*Tk^dN+nR5G{dc9#>%#`Wvri}N-4G#I`g&#DP4BI* zSI4Dn<l;WZZj79QKPW7a^@vj27UJZzr%&EL+^;Y6rKIGhsI^{M6PJXojouk+{(5z} z>En;CUfI`u?<Oz#BYS)6{wG$vyY5yV7cTcY{r7)PU+B)v_gN)LYm$<aMWt^C@Fwkg z@vyXYQqHZv_mrhB+D4lD-d#KYY=z(DYWK>B<<Cz2HS>);d+X({8QuCO?-pz;R4@JE z;22PhTzq_Iy49$%+`!#3Z1uWbtJdDylDD=`)=I<9E^c|9%~E0ec{`GRwn(>Uhs;ko z8mZ#C_2Pjm(pUfdaD01u`jn6R;{GUj|4rMw_@=V;>WwOqLRWT{o2!OC@-*7!AD)r? zB*1s(op@cHNjjacrd^88)eXASd)RbS`lLmnD;~4&yWF3y+qEkA_FF5f^w2oRJ-5m; z7ti|UF#FF}`OM<C2c_!c&5uS*DtW!daM!mFY-{ZvaFm%KCCvwJ4%QQ%@M~UO7W;pa z3a`wJMYHpMwdR=Z{<iFWxaad*;X3jAgIE3Ak+Qq*`TS{rpYZLypzeF##v(YIOZDV3 zf3^N=KaRRe{koGTdw%=rJlh4K{{10Esq<7O|J=9C=EJI-mE2p*wf!q2cW+Jk<)G^7 zHSulUWqJEs>(^X1la02Hn|9Pc>)$n}?XOo9EEfAyx_XxX{Z(%!&wn-XiPV+eBio#e zm+OSx?aZE<_t!0dsm$fg!Sk<8dz8S=jO2rNEKAA{&z#J9%eHi~%FExscBh=(ptUh_ zk(aku>640CV)a`h(%*#J{d;<1_V*Q^XXlx{u~=~Qa{lE<FXPxw&Rp)u`#knz(X(C4 zO|tF=hwJSxn6pbq=6Ol5-u1wZ+iZ(>TiHHNU1l(O-={#{sGk`dl96m~@Z#`bpLA(b zkZ8KN=cG-Wy1zWy^Zajg!s^VZ-LE;nt_l8kwD{Jy<*!RVZ+`ht7kRc>;YiE#&!K&1 zuRi#(^PnGcN)iytVzoxHgMp!`n-4jYGML63xiE9LSGfJp&k4^P7J7Mm>;JY?Qd08p zJo9YZJTGmXKTEoM&wR;5(&WG!@=g2Ir~TW`ojDUyQ?uvxSDk;|EDn>d<wvZaGDYM( zx81}4Iu4<0<KL%Rv+=lDyX{z@t1NS&Pf#!8Gza^Z<QDE*Mu!;RN<3`Z)>GhM?RH|d z#fir06)n6-4#nEWK$2%Ll|gSXcyC~4F!jsaz|0caGQ0BN3hB$AB(z*LudqAGLG4O# zu{L{aH^FAz%qgx){nl!!6VIGZ)=*w|vVs4?G(o<M;~e5!Qd^jB89Ghdeg06~0%lGD zo~t&6&eP2Ew=}MizWj-SfkDB*XE(n}f3{lc#1oJEJR?;;gG3n^9^~7-`10gBp9-(E z*`7DgjnmJ)cyn{}q$MX#cwAi@{e9EVvfX#*7dAZ54n6y?Ny};7YXynY<S04Nsa0*6 z-y5HB_UZ^#I;nQ2#DT;Z82+5So1Uoub1U=7_3vbtSAFc9UU$y!SM$B<-@KleUhJ-4 z`{P=8{H*XPb5~xEoAdm<MbPEytsf>|y!HKc;;hZ*AKu@zN7UT&^`&<g`~JUKDY={X zWXpz-sH)28n>#o@@7L9ye={;J{CCL5((>C34YTw_?#nYAV41gW{=Yr@!oJ$wjNfVV zv@m?#{?9wKR&V<HO#7WtMR5N=o&Asgbe;aOtM11hb_RzRtKa<<z3<7ukT5T5YUGae z^YcIp1gm~p8TMY$e7em$_;=jjs}E<*UwPQn9>mt49j?A?;$&Twj02Cow|>sp_URLM ziPiItvVE2Fqhe>>5Ba-%&)Qygf353vQLM|?TJ3voYkT9Q>;4(R+@<f&=YLIpK2f7o z-rTpm{9EmQ8ShUzwSh5fn4;$yP3sRoHAnW;#+xa-P3B&kSsb>uW<lXm)2r{+{k&CT z;a*m~?ozqlrNg$1RJUIIvi0Vso7^EWJNza;s+nryc2~Z}KmK`Y_S5>Q=PyN=`~G!^ zm)(A9UVivD{{L6JzsG$J=3n;WoYe0>%YXfHS@-6f&D@B8(V=%HPY^${zd&oZV1Gx) zhaXF4-=F%mM*F<$-6`jz12Z#UF8!GreDtr*{(YzU<)@xtW_WmP#dmfFjxAYt*mvy~ zFEzbCz0&5cdU(vTH(R}8zt>J#zJ@3L>%*0Dt0x_ueV+4P+85WmF&kIS=84-rHS5XB zEZHBABR*F0Gc;TXpZj~Y)?H&}28Q!<YQ@&Ic$F%6`um5UpJ!`Y_U49`=(RP;;dig( zFUWgkA8^*H?CXviGTMU{9?F$2rPtTX$t}0@oLG0W=H*P^Ux!0`uPA*rT|57tbK%B~ zU3)G59?xC>I{J0l+W7hPKfO51U#+w}oLZf?Aj<6JBF<>*tY>>NUx}5v6tf-IUiW+c z*3WbLnWAoGUim!#)!|Ox)U$T=Ki53rtjcl|e>>}nGpAv0>1*kuQvaUFPm$90+_>xM z%%fGio`0_@`(c`OX~V=>%lEB|kw1Ef^=bZr!w=JJWWF&n_z2{^=VuV$xK}cbL#_P! zH;4G|cdxeFpW-jO+io=Z)wv})3=9Xp`|L<(V_-NJx{BG;&8_X(`T6VhVt0kC6z;TD z_U$}7YgOdtv`y(tDr4+OZN-Le?B+e@d&%mz-`RI*6CbH%=01x$Tb(s^pWpducjv8b zmbYIx<(T-i=<2Mw^XjX%^3B<BYTop9Z@JZ0L|-{N(ZTP3aR0U)TLOLmomrRr-`eH! zG~G)-^iKZzddcVJrm*ayMcp!aD(LND7X52omp6P|nf2wTb=CU`&eO8xF766;|9bGo zv96cqE_=JKz1Uh_cKVse^;PNOFK7F0fWKQHdd2JQbBxO{g#=FHt3e{b!|vcqm! z1^;Gz%d=gQ^z_C3c)2NC{bF6OPrC9b&vr^x)O#C?(ER$DD>u|k=y$Atm~te3+VuB7 zpDo^1xHUemR^p?x90P}`+a7xchXtXJ?KW)L@@3P>n+11LgB3pQI2AFq^52QazO_5f zh8)$YtFAYGYuqy5K6&cjqZ@Xc$*<56jeq`Gj)5WV)3bjIy}qAeU^uWjtLEF<5O$%J zujYCb@xKfC?Y7nQRbRBpuWt*pgkx9D$=|j+R=xhRRc^P;vYXv$**X2orl0M8dDs2! zt9KV?Ep1<Q=b?hqw)t(PF>9;q#MZaGyS(N5tdq0qzPHB73mVpzX3tKQy1KA4>PvN$ z`mgiXHcz(Nd2d=@=DWN7FXd<2XB=GNa#W4yYVpjeJW~pmKigyFXz2Ye<oAx0-k<Kq zf9vkaU$MXSH)~qi_l!FSF8}&HyFR>6MKo3W@rl5>CyF(;#bzI!YqP{Qm3i`$n$;%R z#arLU?4R^-&%~vspP#>2ym;xVAAfy6&inr5x~{BA?a9-#{v3E*mHbX&Zq--TwI`+~ z)m@CXKW)8^?XuZxkFt4dj+eh`KDk%)aeE8L`Tb9{?57wqEXdl?#^mtSqFTm#X4m0D z_Sf8npu`^ceeX*C`a74y(`KKmI~X`Qbj@xr+egRGM=~%tWUP3joRjtIuQ&t4vK1xl zLNA@vCp|qq{dJpkUcmmkzczaDIp;$^x0PO9R=Mcgo13fe?*6`_cJ{Pi{l7{>a?1ZM z5IVjv+%)gW<6Acpw#3~i3An#*?(*tgaW8xmJF}PkVhu~3zw(q^Oh}PW_WxgfWw)0+ zdLQ;`bG`MIEuoWiCWRD*yuSC-A^y4d&+2>EPRpmSjNZ?f71R63rgLv)OHfwry6|VY zLDQ7IrQ_ok{>}ZBQNUilxYcFlZ|3CEzu&#T{95MZIZ65L`d#bO<9%a8m%#cN)4%O> za7|w<61(54ecvmY-&;OSRkfN|GhMFo6aOyLtoCU&d!o%eC!U?HweaoNU9VmrFA3f} z?UnWG=ve#gb!w$w4{K;TPPk;>y1$@k*_-tTYQBU|J-5$i&6R)-yHm^z3=IO0n}0i$ z_jhu-$<6=d{5RxDDSM+=%1W>0&0YH+eAv^JIR87-2g%b*bZYJ~Gbn7iXeq+LU>v$q z+_76scgn0;t9p8PYJWbRt~cE}`}D<kQ@N*pTkn5mS!M8Ci-{}Om%Y1mE_Qe6t1FsY z^X`ST|NFVIY<<j%&D&&_-#&5k)1<oF|FcxT&s-5Yaq-tnht#jyM-@G}6&kUB<D*w$ zsr-6cTQ#2_x#?{`&pv)t)K<N+D2=n>ab=!2=e_vRU%Be?hK*8IH+$B<D^{9)Y_;cS z!7A;$YO~L(-8T1M;qJ0T>WKTUIL)$-WBpkx_3u?j|6V82brjn;=Xc)>eb=wQ<aH~P zCw%kS5vP0mU(EN~J3;<6KX%n!_F5IXdz)AN50B!1o8n&f)zt2N5?VXyV%*(o1GY~6 z&^LEw`A&TgVrK~Pwb*CR(6E1I-QJS&T=TcP-uG8m#pi4enk#&$!*l*dpHtukSPs5{ zFBRpYwqz{q6jnc#wKePCpPyP<T17kGyw=~Z;Q8vp#>H&kezrb({P@a>K;=jsG1JUT zE-zn7{`$S?sF!ukjpUt?@q1TG_LpBhP<nlJb<dW><7IF4XD)TzuKx0<`6cb$($jbR z*`>2+;v->YRZ;J*E1{jfCFjznZe7yp73#e;J3i*zB`?n2tlhV~md-i5QOo>o?B8d* zK1Kys{Iv1j^tm*ux6;8`+3sQs|D5ZN@3v>2lDh79{iw&wpOYX1u)iKTH2ND=A73=B znQisw^6n|W0%yrg3j6c&zvk0z<zG+l+xY84MB66mBda50V^`!|ow)RxrRaRl9QEl1 zVRaVIKCPd5?&kE{qU{{(e=IFOsyL02VO{I1-^>gwvo|YlJH2lHyJtVUcK=Q(zg|`! z`+e`p;Cb7>f4O?{QO@jpHTyoevgLoOJoRAx@ogbrji=e)GM)dOfq|nobT2>85nE#h zh845CdL4pho>P8W`~PnFtu2+Cv#zgOJ5jkU`-bdxPeH+3n@U&r$yq)s+DlX~GQMI< zkE-L`>hj=8`txdnc28yN-5nj9yyV6^;V-+RA8pD0n|^Am+||y@Z?Bf8gu7}-om{Mz zb;DGg+XmK!RPI*szWsY^!tb=dPq#@-|F3`k+e@z89+_2|lYg&nb)BRdcsHnO_1e{c zJ6|pP{eExY%+vR-WZm}HpMJmY->?2HJHI9L?q5GUNyl?n=`D%a>Wbzt`5j3+gzSqn zzQ5oUEs<JMU3Jv6D`@v@_ZQ2S&9wS+Sn}!m=v7<O*|RS#ZoYCzXm#FqyA_JQ-(JlB zzp3(0kw(P+#J#iUc{K*Vd^qFp+MFK==B2@g#Xs+ydlow9{c9Trfxe#VdWHsv)sJcy z?0%iTb=}&ld;Gsun%O`5SmOVFwa(M}z=yeF>!*bM`y7{Q|2V{p!7jOSZtwYO28Jsf zjC=K285kG?SMsTNdU{TMXQSl#{QUgu((CuHbM3afa7};P%lQAd7P-FHzcf34&%)2o zWBZK)_hai7e}42r#;n$;a?3G&y-OFKo4u~s7hCq4acx!Al1X=MJ|4~P%m4DUUwO-u z-7&KNYq}m?w_UeVzef9M-HP<*d7+n*XHTts)evSAu*Bc~bV#R)Xx^2k{du9EUq(-@ z{S@q*dDo>=H+og1b9c!3qyJBzu89wps|ek8h4t3#smu4Qxf^9vyI@kx8-JhwoV!*Z zmaF}6Jy)FVoknoo?`@*9EK@hW@{3tr^4h#g`{|5%bAN5wCSrYm+5RUwRZjgn>sPaf z$xXWZZSl7AuNO9NR)2l|c9-gH`!7FQDy=NP$kyiiUjOAjJL|3T<uA7;9!NtPlU=Z^ zOTwx+{N*e4dbtfu+3_{sygfZV51d-FWrt_fbh9w)U*9hE*fz?nJZ$RyNhkN#MfdvM zSreW;Wy#ykqx@X9{Ogvwzg0_@Ecx?A+<(U=y{1_6WltFy7#tF2l*xO{yKo|CT}`sx z`<Ws3YN>@`bsIi|yOa;|m)i3liu`x|_sp3yyZZafcV{hiQ~20AX_C<Ya=A=X-|Wq~ zn{J-+zxk^qD@fH$DDj#&*K_X@zrA0VAFj08nDnRB{ac8)v~T?EwR2=Im*k#|oO#g~ zd9a<O`RHdQ#TPeXc>Cr{zY(!}`s1PI-}E=Zc6S~Lo|TkYZ)tZ&$ws64-X>4k$xR0% zWmz`o`J4t-N(T%;{ndpNUG+|>_E$zeSrlXU9^Ah_6nQiKUXVYxmH0eak5$^~^Vm%1 zh`&KOE0|I6gZm8z(<sxb>Q>hB`lq5jy9{+fa}!L5xBPba)Z!-AX|r&WtC2oPjDg|b zvt~ha$wn3qwtXv#&Tc_byCW?~tkdS*j45!{j&7h)^@?+wvX@=$&8%$7`1|Xtm!~IZ z8P9?!SU>vl?Tw(GZQ<D^Izp9q3^lt`;^rwB{hP&8(Fy7JL&pUIgFkX04`+bq6TlGz zB{2K?=#vA2>*8V*frl-#nMOO-omI@0;fx9UTg)hO>89MeVQK8x$0@D$?O=*!~`U zKE=uyW6f?CxpJRVN)wL@$3Hbh;;lQmt~FY2@+OZbA6v3FWj~yC9OQVLhqK;9?SLrs z-=Vf!Y+WTpGj`>7*2E#DrFl!Mjxuq~P`w)SzuMEdR`7|^Cq#HE^{Zb!nG6eErGE98 z$+6teZNQ@ooYzyXAJXjij8yqlame)M)`D|jh4Tu0%XH^_ngJTaz@dCcar)J;&)Mvg zmL$HNV)EyexBdIM8(m!`mxr!*WiFjt`&e{6^M?n2v({8MpAY)ef;1p<;<0DwXRy(r z;gJ)M!EUfcas$M%U^m=>C<MD<I+BwymA?zw$n3dROYHenEE6Z|uY8Hqy|dNp@@@%} z4_<dv)^2`(<xO7QsgSzgdEfWXop+-sYIgdTPyWbrQVBZ5POx0|kCmB)b%JH*i=M)) zh423UTsv#?`M{&LpH#f=9{0U`cW&(+NtIvItN!lRsr?hsecF3>;ks{6a<84q-lKE= z{qKCtiI{J}kqiiMApFm*#wEKJv7fp0=jGb14;$BY^?L>!R}B7oYF4e4s%KZwdi80q z^7~b?-@mziWuqsvYKhFM%=K$8^&S5AO5venNcrDa4+~#S7I}I5t=PZd)7PU*59=PD z@+CO&)jX?!wg30Jo!<BP?7wMY<;*yG-A}tq5YAyZ5Z`pIQRPr~>Y^yq@S1;)xxba1 z`9!s9j~ng%`Or_)JLr&vZ~cnqZT6Mxm+9SE7|gfQpmz4OJ)0upE#*Hv`E-2e5#LL< z&TO6a{fbuY+<CgYZKK)k>zp)u^>wy?yJBVg|4WvvMdDOj{n*>$J^|Y`PENA%j-T^y zx!hh836D8y^=a3){g+*od0H&9W_rrss?23Mk*iHDH-7p$sp7NF+CNsomD^(G-q;>v z93-S7nsxcp*HbSmyW6IIRp@-R?n>j@ukZcst}wc<Y5Vg&>#b#x*Uq0mPyMW0JMT|w z)9=62U9aEyK5LuI$*H#Am+Xr+4}7b8^|h1G<@}B+(X|(|-@lo5cH+9w^Lm%e=jL7f zkkTwCiB#1vtP}Xar?PQluSw2<x3ALoIwrICTr{1TD;jzHr-10s+1E0opBS8tGl@>F zHrbmK=kwm~RakldH67a}tNQi}3f}fVY_;y1t$la6)A!6<)n`+D_y2ZU-`_D~->$7^ zje1{QaMAr`yH@yb`s<sXb7S(okDGjdcl=BL8Tl;<A&ZiP+g|NF<HhE+1INsf=)dfp zcIS6FI_rN^=zKMA7311(8DBR!PvR=c`EF!u`pL>PyJoH2t+J_d^Q!!JUi6xiVH{UH zZ)Ys)dY-`7$HHIswfbK9z3Wx)9HHCmwm$NcyEN}lw?3kW8g(0a@z6if3w$aQOO;Bm z$L_y0rCt5?x+xQP)t{;Tb=J51bzkFl>)3mRFG72zf9^0dlUOTmCVORj_VbtX)?J*W z;vJ)WReyulZy!^psWO*u?ccZfuGz{TyQltobDz&NcdAOa%2b)FyUOh@T>lyLZ{GH= zpN>bqx|ZJ{6~5TQKPg{s;gqQPucubdp7Qj>rDM~>GwNsCN!>Z9B${_|)A_n9yxV!g zCoQ^STiOuy_MgjXK5G@rE&ms9-|}U(kEr#fEoGnU=H_1V>CBUpy}aW;L#cku^6PQ` zf_7?MY23ee-PQZy&jT&QOuPCn{WNyf(yCs$!~xuYy#GR76<mix@<_mA)-UG2ub1rz zQ+8XjYR8TUZM&$IrrFn4)s@upzPKH)8)YG{ubh6``&aJ&)l=`JFA8(*yXMV3KjeSL z$%)zFiGPoEt&Z+>SZelkjz#s$<DZ{<OMRMg1hy6+boI5P$Jb7IrmesG$)h=&t^Os? zdu5Wdrp?bx_~F^l6?+73n#B3e>c0^E((GoA+0A{GPPR%)R~77nf);Mm{U5Wu>dvBv zxz!q_3Epqx_WIacs2u%r>HWQ1EB?N`d1~{`k|+A+s}t99Y-hiIY01P_&*xp)xaqFG z-MTe*UfCQvhOLvg{#Y53-y8O`ZejL3ePo)KN5}P#k6)fTxlzkj**R==sHg6vE2~Pi zx4%xS{kksAW}ipq+g&TGd#3D9-u-QQ%yP%=yK4iw-Su|+xR?ahB;8bwRVocl5}vy` zGF4YIbY<4cvbWuvb=FR~uwvWlwIQc&%B(KBeDCUuBN0cB9n&(~wovLw$;HF3xl}z{ z<Mpnl&%A5$<ln_t*OR8(#g%zXat*itvL%gw!>g%*u~$vZ!oqET?c}nV^7O>kl?xL0 z%$oi-DWoY$Iz+!7)Gy1r=6C&QOwGbV_N~3vD-W~VEJgAk!$FY?d@7c$mZvsGm0k_a zy}GP4yY$Tk$B!RBT77-2IrqoMBci9(?^whv{-vUQPDyiBFWa(vE1n(a&x+r-r04tB zN9>WW%wNl%mAm=#(Bf3+vKSpZj%b?ofpTyD6RBItZ*RTw_3_@Y)v@x~mA6`_zc%d% z%e`&*)_R8GR@p_K%Ip4TiU^2*GS9j2?uKL41M}<JVS&4T^>OZ6nmjivG!j>zX~nt4 zg^)xKCLyu^Z$eP+t*`o?mku;)?s~Z_{zTg5?EGJKQ%m+0iS+-P8}#+K>g`gS|6AUu zm%nJW%dC2KaAs7nh9|CmiSqgzAx|y$U7T*;cVW`|qS8N~HM`=!?^w3)!`dmo7tWiz zJJhp3H|*I;=BSSj6Yc)$1glO8*%8S7Z&&Eq<@urCPWqcJEfrSXma{UAS2xI3{J*~b z=UU&&2cb8E#53~4=6%{!mVNR|qt~u2_D65+dt>}pB0PMzUEDfl@upV?cI&^|dnjbB zMON^!wwYbOYo_v5z1aNFqdM}keC+BUFXz9kcxPB^^l;0ynli7eRX2KaThsg^*X$C$ zu66fU?>#TeW$DZ9*6JR;dJ}nF#Rv2Fo~sWp5}Fch4PR6K<ZShopT6eOWil5Yz1*Jp zu|&W5$(hRw+CJ<33;6ec(v;QP+sv;<PZGHvJjvO9&oA%Zc>x>M-4^bfF?-dy;<@4* z-_E>!_2ta@b#-cm=Z^kAdof}8|NS=Z(8)x-@`XR*gG+O-Tsa+AT=RXM+LyX;;Zyq~ zwsj_5|Gnq^+TT}Sul;>`?U(B5Pph<RpDvc#^66q$KH`u{1_l;C2dfEFrcGI8vD;(P zl&P^x#Gl)~zt0ryd-+zZ|BC0S{wbErbL)5cncL5P_tZ^k35R_O^314#kAu|&mOC4F zO3A!W>JR`YAt(`}W&>J#;&=4;ZKw#CYFUr5k|>Eq=)n1)U&}p~+TFPDeNx_sgJm@n zp_6ft`Ls_JkGdg^Nzm-tCrHcp45H;LgVgFg4p9hhq#7bMQZbe5^UmG5Iz+szvGZlu z%0&s!4NHr{{a;^S&tGMyw(ON3$t#u?+D)AnH{;a)X)|X=R=vApxm~z_19HK$0%LvB zMU?%l3)&W=t*H_>EP1x>(pCR|Ha9F2R$RJt>2zIRM+Zlc(B_?Mmj`)1UH$fxt6<li zxoih5_NhU-BH$j3`ji=A=1-72S#mqlZf!JzbR1fQF28C+Y2QOaH7N+($tgSznkD(a zqhhW8@2|+~%3g`tA7y&L_qV`ly1DtqMjNVI`N1*WVSkxI=8X*xQ|;$l7Q3aNpEp&- z)y-{H-rZf(&u+i{_FoS(OZ?G`9s*TLPkvdjJ^nFk3b-@oq}uH=FCZ!E?gVv{O&(7; zr|L|qtb8yl^Z2PH;N&3e<+EBE-n~`wUy(BL#N$p+aF0zrWD^6!pTl>z-t(?|>+orH z_3@Q)HIJ6g-`xLi!S{Q0&XY`jy^Gi0_x0-ZXQHX;ueORm|7qN(qPgq3iEh<z!=+m~ zE-d^$@A~z<c^CHA+xisy{n>tP>D|S$|8E8xms$Ur_ju9{&x4xc9}MNnLrj-lm9CsK z{q34N4r#^HmnQMmrx%9i{WNMWyt7AhbI#0!?b-R2x70763(ucfw#Fy(^s>3n)nb`r z4HcawH?{D+I&SIzyvATg$r_(?N;8YktU7$N&U4}Ze6_7}Kl$vqUUYrh->c_VSINIx z|2X36?+ddg9G_h#e4_fwsyF-hugeZy%UnMvTrKtEl;gJ_KRo?<vHAD#d)ERDUa8N> zUH+)3anG|5vwri>Of!#PspeM@uqbD^zcO@YYxTD`E3aG$SwDTf@MVv=L3z7Pw!Xg_ zFT3vNOskmB##`TC{lBTQG)c<xrc)D}&At%p{xog<{SM2E`!b%-{=V|+(x1Lp>rdUj zRq<g-(c9&r1-Di`uD*A<d2Y<t?#`>S`~UA<_y3t-?CmAJzijQIzyJ9YcJ^lY#>Z|` zcJ<F*w%dC4=N5UY&+3MrDRK;1|Nr8(J;t|w9l0uAZLsr{MB1Sp?D;><WBlc(9CnI) zzxUIsf*NmcZ~d#0H$#?I8Ef|UZ`yGxIP>a>$Lr2$ZU3qD?BkaIp{tf>UFqGuP3Xn0 zk5iVPs<|<3^`XmlKcD<rvC6<IOl@oG<#WZ8*EOy)V)<-)D0o`J-uhW(`H8bO>`DK6 zapR|()t#QXH<#$xoly?`XnU}~vgY7TJJz?}w+-^F?E*V(=Dj))8~tt7+}{S>+Eb&> zyH~EVI{(7P?$o1~a;6fc!G_^SukYElE>y|C^woju&!^ts{KKIC{Jr#=DegsoXC8~s zy%{{uA#U>ODt_TLyFPMGesz3HHTMDcRmJZ@V(g#n*JhX#`&xTpkgUh0%a^ZiPWSJX zwch5{YWn&{sQFjv6|;ZwhivYPwbhfiO_=t~KYuMRe@SSKPm>kj%vIsn-dxu744P79 zyD_A`S|zeN{r<8(Unz}+j{|(GbElTcl$lO)XWp81FXH(3f5GlDKR4Zs(GkBIyT7Vp z&My_$uuS{EOTU~^`}=T}Th_#1v#$BSU%2B&#L>7p{~m9<{&j8X?rZDM@2^?fV*T~X zoQIoi%>#62mt1UFD{ESO&!n{5YLR90W6|q>&)=#%=gz46*5uVs|E~{^EZdYezkHuh z2*2&GGk3kVi2l8j7n}AaP>;psr+M1``vvWsmtUP+Vv{Og;S)Cb_MYW`c}wnA1iNW$ zS@~(t&q<mhp!}A7dZEgU(&Sr~4%03is+5)Tu`*LjWvn^4q<XCb*V5k?60Xeltn|q; z7n(1bHOV24`OZ{V>s<%7oUeWNiA8z!W+UHK`UgVRoeRHrzVS3ICYLw&mR>!gIzQ_b z@7I#Q$-AeNXDwCRGHXfcx4E<KzFj`i%`3a~;+E8IlYQQs>h_uhZ(DP9)*KCt1(YoB z%Wd)|U7HuR{Q3O2wan^kHa%aO{kw_N&)Oqo?HmhU>G`YN=I>wpozF65=QF{z)}<lx zJ-KUet)cv#SR&dU`?EbP<C3g@#_YS1+m~sS&bJHSZ?`+(y_`k;O6P0`V>WNzR|lh$ ztJ0>u-}`*=<LI@!J_pVNRcFhx{+qg)zFt-{P3!aFjauuY>Um!u-WI%c*QdnYE6==c zJeTYgy-qK^^yTEwi&q``5;61IH0?BwXO-{t!k4zZtZvDCKmTcQ=uF4!4)q+XtHUn$ zO$BAC;yeA7tIllMRy<K~zriQRyHoyNU7wkIT)i~<sLi=|e`B_$uD`vLfAfxCsZLA# z7SDgBb|Lq8>|FL=v)<%Z9<&O(_vFhf3AP8b-n{?(YLjn;h1}FC{m+GWEv)6HTAgS5 zFjGw+_h#%ghxo~JQ#qgg4o&^UviNPqsmGzW4Zqk4d{ECncYVXJbqp(xZQUCr>#<~2 zxzb779l>v<uU=bWop<rY?e<l^B`b^e-F#Oz_mA)EExJWB()4Go-Do>2&P;z<xqRvB z-R{}b<R-2D=%yFEC;an!nMKdbL^6K|$6i_SQnqUAgHuP9&b_~UXSe)id!zabO@W<V zz7ngR%amuGTP-ZI`HA1we(!5D>P;@cfBx6;ulC0&Q?U;}=*4R+%z7Ge>+a%0X8+gk z^Z&(KP70Z_wny2$RO$S}8)a)n%Rf!{Hig$`(&x38)||b%uekR8;c8v)>}TgMy1RQn zeIq4P`Zwh4&i8ZT=6~C@NvSTYs_`sGJ@+s1TE$gwj;Y-E`aI{xnFP(<3qM<^q)wPw za;B;9&Yi7y6R!9kwOO`p_Njuf;|q)Gb|l~0XtY*0T<89h*Tt0wS4m$!$0*$2ulZeU z*`he7cFx?JON#G2`OXrv?ooB<hWqQA?)quXJN@p~rv0}oQ{Oo=7s||yeSYU^?|G|< zp-TO$EB~Dfp835YL3Xu~+MVbf)^d$?{|m}(e5&=i!*0H_yUenyuBp;@-HE%~m<xH= z_{>Y#BI}<zt0a$6ZKY3p2t()#2c_5-E}Lq87Tqm4%=_y4`uWG7cE8=3b#KGETPFTj z=Gj(nt^O{zHUDzZ|G@oyU2k{lT`!iY3VrNmx2~7h?RBd!``5R(Dt9e^-Fi=C8f;<h zsyB{LkKD6y(%foyUHh|{=IMz`d-c~}_-9sYvcp-`?SzKqs?zs03)X*L`o}i^_0#^% zSJvKVI2yWpjz(#H!kTse>pJ7^hiG^fE%_Vz{p*|6D_3`2(XjG*eqLndi=aLx(Yd`r zC4aSKf350%wM?`4-Q>q#GiPmozFg0qFY?UE7yf&mw=CZ@@0Q(<)9feN&DHHr6=xP- zJ^8p<$8K-r=fb-ov*kaB?7FvV;!*wm7d{5h`xLJe^6GH$gxh_qYmFWq_K}d)zI<!b zabNZiHs8Aq>iapq{a&8(ZZGSf6I+r~gQCq|%1l3G=U@8sjecM4!411UKCKL0TD>;w z$;W3g>t5N!r*Tf*Rru}n9fv1{-)|myZDY4?b{4n8Jgr{8fV00-^84EL`$J2A?vma8 z`0NSZ)c@bh<??U4D!e{E+lcvUS&S@yeH`=ZNyjhmNqDj|WQyZ+%LK0Zci%H6uJbz2 zk$G`ZYfx}7=u|8H{eLb!ElSU|ytTJz;j60~Gk#n+XjP~b9RK!?s;8Gv&a!J~XJ=hq zF2DN6pUS!VMMg3mOXle6zuf*e{*wHz`-_i1`xmLNYqS2#z2GmQ>tb8K&emMFP9-|@ z+o5Xk65~q!y;JLU-<zW1x}xkzV6CjYW~KSR&X8H__q>_ZZ9nJgNBPeo&u<;eTJgub zdx!4v%C8<9olWXOylV}g=f2&o)aCr`P?GDFwacqg^{=j5Up4WlmX5{y*u422mr5r; zdtt7cpY`Q)bx6#*+dW5T^sHF-N&Y~^<FE5P<0s6TqAGIbi`n~^-qRhf_pY`Pjptrk zCg&BYeRxZ9YE(3z=#{hnKGxyBr<0{_<-IUisyllU^9nuT_gk5CL*6-S2k+D0TvZ(} z(_y2wRa&#e-grh>|1zVu)y)ah<#VQ5$~CUgF0X95SaxS><mKCZ5BipWzWU^Qv4Vuw z-Nq%Z-D0yW3Ky*jTMb$uwP=yj^wazPed3<9giqEwD`9@ThMk>XuaxPeqe+32)qJPS zo40Vke)O6xhudwZ&Hj3I_pFZ<;k+^Bdv_i8kS@!){%=yGR7v%uxEkfXn|23krWda{ z<y#%Te`nsFYa(HV%7VQj^CdJ_Mg%Qc=asXl*lhKukmIY1bWWZ#znyi4SxV|-r&`T} zJ$yy`eS@#<DBGpy=5>Dhl^xBuj?KLy&K~pC<0JEFQz_eB{ZZv_7S{j!(ax=ZHFtrP z`P(ZK*9DjU{mqu*vUQ5Q-G)Ee1?NNkuP0oMJ^IinyK35}r{RCR7dvdPERURX_rkB; z>p<mG48M={^qPaSt=9dh(lB@%G4skaU)%F@pK^Zty)W~5gJ-3W^>H8JH`}s}%0Z3s zE7N?fjxT+%N1erM$KOkbw`>#Ud3P?gJol#j+dZqUi`L5?Uv=;O@72DQ#cTFh_WfHY zo&PS7dFJuUdt2?-Wl#NiC&19#b)VJxIjJjstiQR6`B$Eb<9<@QW7XHk@3+0t@!zoS z<he~1yXWZ_g_!s64>9HcF1O<4s_J(iVi`Y7_Oex8Vl>zN)bD@0-*3tMoOX3xY_zgF z&-D$~d6SitwxqreleH~6^0bQ6obUPyyOUj;J-V_MH?A~a_48x%t?Bxjk8Ah-aGdt* zY?b?F_p-2L&rK>Tir?pXt-7>B>*<`$TI&_!-tOKq(RI?>%c8d=EJK3TzK3&nt9UQk zwe(9^bazT-^?tFO`)l^!5qtdU|D+|i)|zD8x%)~=`Doev1^4d?EsuR|AN-U3#}4h| zD_7+0`hQP)>drU5YxT^zynk-`e7%0j*{$r^e`@cFBzs<3d->RlTZg5${A!r4y!=N~ z^8R`IH}B5-;Tr$-?d|Z|-+b5p*A_-q$IGpY_lsW`td{a+yVQlmjc=NZZ-yvO;r$qX z^l|jYbE{&@ubz3V6C9DUGD*(=__C;<S~HKwPRrV^D1Wtgx6i?I!G)3a&p)#6zqWCG z%fXFCD|OosRldvHxBqj+sc>Djr<{kYxi3o;{{6ykzeV=)rx44@p3isif0|LYrsm|1 zVxu#+{9GGPJboAR?d4v7)6Evq*Y56;`>D|H|K9&^!J3$}+-_p!uYXzhFE{#II6r2t zPNT*8J7?DyiOE0v=%(<QWw&m4`8@kg7SY$PR>gfxo_FdDcZgiPytcv=mN$18vl_fg zw|aPattxpg=xJ5|Z_mAozom1ntHa(-o?N<biHrT856$=Le&^1$fB(x+^{e&LSN-)> z(cDtePb`9$yve@~+V2)8GxsoL!MySL7aO0OWk0&TwRGQ?HEVXqUEZ;9_tdz#``BBh zw?0@O{q@_IwWsF&TAKEKuWPVe#^Rs<w>wlXH=W{|{^jwu=_UWS>6h$@0}r`b@#;=m z{oi}iW9izTk2!RIzRNW2*Sl~~wEy*X{Tgl4A5Z<CrtN#bOGe!=`IrBNSlMqc)<531 za>t*ZPpP-VGM-I|tEzq%wbWj8rSWmI7dQXcMMZbb&AE`@Rr;#E)~fX7bBnLKcI%73 zC0k9HrhKxc2RuduA+E&0XK<Or65ih0s_Ln#s>-Ns{Nj;XX6&tqw=Zsc8-EK~o8GNj zInC_ti^cn6O+7vr)rg<HTqgPHjCJ1Yl{NlxtMqF^Y~~%bgA7(|{&X@qO)UNC<l`~R zuJTqoDR;v}*sb|vjNeAg1dS)0JEh*g6FOi3QhP=RBr-E{&Q$+-r{OAQMlvw`3;cCi zA^2&1?%bI(SN`}>v3+&eD?zh;0YO2J{-0A`yDT$zo3B~)PtEB0e!idbcjmc<yyyK< z(|K;?_J1W7{_0^p`#+qRmzBBrW%lN&o0Dg))3sb}P!+)g2>>v;Vu_dSA+;2l*{ctJ zEN-5AXt|8N&C>rpwWr#nZ9qLC)8p;YE$lr`LUwaxk0;2*PX<i_!&e4;nsIW=E=B*0 zl!@I%CzIpEc4|z|UNF<gIt)Bo6f?zJ&Uj{+IcTAIQJ`MsnbS(*PbVGUqXXj9fro=m zxbA#nsL#!C!2Z;_mrLh~mFU@6Pne;GZEb-c>mNZghu*N>dvOLWyXW12k4eo7IH@!d zG9-nxq8(#EYT-mz$iR%S7HD=GY(01=hk@b$hl3qKJq}DP%;rfC&u)2~jTosCs&wki zEOnN<4xW6MoxI88+0(}CWwP4ffKc++FkG8=;_)odg!vBefFWqbf>OUaH~_#C{!9!U zTy6@Ce{%k|eVx8v;GoE-$<~Rp(*L%7J(gm)HqXhd-!qbF%JJ7_IZ1zKn9K|_H#OkD z{>0!+a?mt}rz@|R&g|TlTp+gYWTM5)FmuKe!kfOIR7+Lt7mVDY)*d-cYF2x;QRN|3 z?)g7wK(;-;3GpSgZ;{ZrU^-_R1B2p%FOT*MI{FqmX72ny`MH;j_H`7Gg68ATUI7n( zJ?1%n$`rc7U|zwz-Dz2nk-oO%r&7?B5B?VCV~tJ0<E0jdHx~6m#|PEtJoiJpiHG<3 zbwQ6wZCisceORskcTf2-G11gHVSB}Ruati44c~)2CEsx1^J@+j_3Lt1->sZo@?hrr zIp_SNH{73p)LQgz_AEQA3A(#&-FL^&TUuVe_R<$UG0nV5*KU?)m2GFRzk2-s(`onX zE>~~ekW;_@f}ekm<=V5xQ9sp@N3RX0#amCX;F8rkr+4Y*o}}fU&)+;>bpzW#)XU|1 zd^iT8N{@Bm7>IhlVnfUn$UqeP4&zSki~Fy<zMuN?aeGwEx1uY(#aq?$H>brtPCXaQ zUAq4A->;(Gx9*z8&*po6BJX_YuXC<dJKVR-hpcS`=Vb<leUGm5sazE$XH4a)<D$kW z)BH7$tN*W5?yI?(qc^Sl)w+0F8^78~N`E&ePYwOyuN$9#m3KQ&@TH~Vi?7(0E{OVH z-8-%J`D{MLrC0Ad;Ml?WQ%M%;IuP|?`hrr0&JRg5SA?AvonIetd*6u(&aGbeH~Qq) z?Fc?PX^Mf{W%*YN-umBLy^_29#S~{PpZm*H*XvvhKgVX%`D#_Cx82n%(yw0rI$oyv z{)(4xM(NYX$wUuKm|-872(!>%9x!{_`f$JV(I#uwuzQA-FXhWwTVMZaA)iH(>67~K z?DG?YPn|s<e(rnr*C+aOpX`29Xekz3d_VhEkxKZtJClFq-F4ZzJ!Cz%r_X-HSD{<n z*6-aMZRWl!%yzfO{gPd-hbQw&o<yGiwh$$6A%M#IWA`=gt^Qfgcd^*IYs=r2dRbS# z@a>H%c$y^m{5!@9^FC|S=T|wqB8!&aI<A_1#(vhz@1OrI_&2q}%G<>!{_d@`nQo;S zi^TrUyVm({^Vc^^{HE(qd9Z8Fp?clx&m+w<UL2KE3A`I@y5OqZ+PE^0pvJzpam$vw z#Vt?kU4Pa2_PR@lgWqeKM|BG49?L#wynXe9sft;5e`wv^xS_KuK76lWIM%JD;n}-E zNdbxv><4W<+~l|9-LBW+vp#)DxjWS;=zIT8|NT2(=G`^?P`3QEHQ(M<h05~RkFhQO zdT3emud=r`cQ1c+TF(nx3efYv_&Dwk@HOlm;L2#fhhn<F-?-l`I9;Hs>2)`leZ|#x z{#)K`aGvD++rG-%d;h7Bjmo~+=I^iHR69H6#j}fsy}$Vbz8@;Bdizv=>S`z1AGcpe z{jDvPYfTPZ{6b@{eejY+-oIlOEZQ8{wJ!h5<VjF3f~XZAnSRawn{6GlT2MJCG$v+^ zsQlV1vrMx??RV{C`|@_ZnC_hZ=@U0URsFl||5dMd#(}Gq++Qzk^<P!L<;jVyt2fjo zAHDju{@saXAG0piwA}OBell(I$!}+cUkM7XWcxT(V&h_y_{y8A;`J8l8a!KIYXMe2 zwtu$L@9?p#7p0c1x3>K$p8Kk>yKVd1g;yGNw^n~O`ukvl$Yt;S)!B=`El<}zpLTiT z{yS^hwkq9`i}9Z9wrYNL(D8F|H4A_2*i^LoGlz8ho7SUUE290so{C!)8~;_QJ2awT zpA_oC4zUY-D!RhaT8fHs$NQISs~=zWrH3bSZJ6p-kD%4p*4|kM>Aw9?TDtZ1vUi3- z|N7qEiZ7bWcK6*kM_s!@v*WAoY$-RjG7Q_eabs6+?g}=;*e@S;IlVU*y6Ew-Gga0P zHtqlQ|IOX+U#vKF<p1OOo^32lCj};XbeAv+y3Fcois#Vin7S$=Ed08tXsp174GVsV zeoT)Jh&lakleSokt5}4fpn!n1t7iO?$P7*vpShfzENW-o^LHtxq)qZst9*Cncj-CX zY@_dX=d0iC<*fFbSFFBW=CjC}xvQVPoO|W}y~I~nE1K<Qe(zS<9cBAt&$2Hkug!M0 zUbXM=Lb3k(bwPG>pX<DnS^nv<(A5_uK_!!9N>BYO^P0T5c)O9*mMf|om+uq2?{-$0 zFY9_)J^Y|+1_p)&R-rGMCar1GNli^(?b`h;>)Mu;x3*<2K61oG_Se@5e1EDQ%=tTc zoy>}bw$a)L^)z?Q{KdB`s5huQ!+YM6zw$4>@*7`yy|UaS)uZ~T_as}-x4X-OiY)CS zmxUbPJazW`72H-PlVc}+-uyF4)YPHB@7>yCWuD*KUQM|ad-m<5y+8NP+WF4=@6)!3 z_5XD}yK)w-e!nwTHd)pEx?t6dT`ai~8)GbwF8gZQud*^>tzA@IK<qooWopuP7wgOB z|0!E*W!P2n?(#I*-%FqUHO(rRet#a*BiDPUrrvt7BkKF#ecdu$VXJ4mz1X$Ql*uZ$ zQd{iB=@Z!{|L@Kf$@ahYH97zC(cNKLAHO-+Ng-FkEYVZ-6i&W=fAJM>yyv7}-+Tl6 z<-$+AEXgdsWhT0{-zNS4Epfg}my54yn!eu<!+t%x>ipfRkByvBK?<IJwN_O#-p#Ld zVP00Z@`}y$n47n^zWAw_72D@OO*8bM*4aPL&9hA2NvF#F@##N$?&9L_dsUw=n0af? z#MO6tdS@B0TR&;B%GFiN+n&!~&sJFW{=cs0yR}ijUniXXY3ROkzWu5rss34KzRXQO zKl@+f_evGd)+qD*oprt|R`SmO<6iB@x908pz3aYnvt|B^TmEhRoCPiC#WUOIn@YdC zo1by#vG-Jqyq!VC-(Fr8Y~PylQ<3#cqVc((vp&4YxmuI5^3ta5S#GE7_8L8_tc{g8 zu>e$tK(WG&9A>qg4?6SiSninYa!W)sK6sKyxV7JQo;{(w(k4@upIB_Wa<^&q!5rCA z(G#%(i~Lu4NGwg={r<wQ)q2-|_+G2(m+ODNW__+%wCU6tXRlXAZA@wfEmyT#Sv0q< zS}o?^qvf;a6|=sK3VmT`_Vtd(mnkVh-6C7&as9V5d--R^6{U-h`S(xWYrOUN5;4ss z)lC29${c#W?9hvkdL6od{P>va&h33%zNtL1Xswox&pOflleK4h>IU8T^1<4Y!{@Ex zO4mo_MVW`5M1^|H_|kHvR_9{yEP?-)_J5zhepG+Y-`_txDQVI9$4q}WOgH){QW-L% z(q+<=iIpzv{i{uP2%AZ+UMj8jYSU)BiBET2`}1D))pxI`g6H2(r5!tqoN@x3rs^oH zEczXH&9qZLyd1gmZBT7_#w2t(#rN{n!pp06gLj8O!*)T4;8o=I3PZ!IRB406=JbzG z&RxCB=v-+tZ}YxwH*>CrB$>^Beo`5#4MeRv^3py~{Qu_Cs;XT=!osz3$Avu_6rwj4 zwWbJ5&t7W&E_qwPjUD|rol+mirE$0R{+^*5V)iZ-kD$)}&<T4Vwf{2D6zY$gu*7pR z(+;P$$5KcuBob;J|8hu+iO)%S%Bu3u?oeBH&85r!^Z%B9{Cva`$zLH;m(RER`TbS; zypMAt*B@|4IV<{rz8K2ECoPtg@~aKlzgP5~-1<6n?Vnf9Z_l5dWWB0)R@|>9wwR!3 z`!mvO*7M6w_j__`rutE<S6WV{CHF+-Gd-T0b#3vSw<vzg!^7`x-OTIFdwa!6(ClRJ zm&InkEXqEpPQ3N!;nH6=k8Q46eSN2t^#1Ar>*FgEU0&K=FMYQ}YFolQ<P&2UidoAU zJG1-jqJK@+lls@2S9E2<?g#3rA}XikCaySlc-xB2OBVH9H_hJY{`Y-Fgy+O``Ff?- z!nv1IH|0jJS<|OHRYdcqQuJ?|Yr$VOFEM*n_d4rqPQ>FkALlInK4pd&D8ivwVGYYy z<s2JU_9s0%Bc30<Hs!3?N~x{4R|N(wQabfv3xEIf8Fha%8<VVkuc>Z*{(5;&^3_{N zQOF>$s%ck)iqgXAQS$TGJ&o7BaPZHePqJ_R#aWlft>B)$J-nM+|3bgD`N_8{k3lwS zLo99(5WT{xvTeobE03p@UwQU|=WO-r)pmdWILq1uJ^60Ye|+)d-~U&~_U4Cej+(gY zYR&|yKbr!6_fIc<HuqOq8N2WNdtsNCZ@+qbzV5|9|D)9qLm{NoOxqj6F2~BkpV!H+ zPVPT@>)%z6vynNM-?QIaWij77e9o=ywcGe|XRqG>H)HyfYa0(w`ewUKO;>i)&%Y;T z!oq6tq$-GM5Yj1ps_yKLHFpEwuB(YSnR#FH@_F}*6<qr+eEYjS>xTUGm;CiV-0FWE zwz_Nng6-|yjZyBa79!_og(<A1jGlq_{8FDhU3o&e((lRXo#j)Fq_>3c=~wTluu-3~ z|F^PiR_Wwf*H$i%+Ul3L@htnQr~mr5SpRpK`VHa+2-&i0L0!w)&ONEWl1@r{owd}e zK3mn5U$uPQzHeqne@AV5<at#;x<2f++}srXeH#|LnQz^h(6jHarLTBd&LWQa-F9WK zZr_yM^76{=?vB0T%<pBEFX{RMF&aX)>{y_uu<~NYlD0d>m!8{A^i(c=9=pFbw>x8% zcizu$bN=RRi?Xs$-FxnP;Tn~jO3^D%%ieqybSowG1@d|x4s)Ao<aDBNW@7{LT4Kui zH=8YgEb-iyz{k&A(Cc6IE7QLe`5^CrL>rU^L<)C!XKXmA+}XSK%GxEb-WisDE}a*) zCL-`{ZB9;O-ksw)3pW(XZ*DzxZ0{K{F6r-v(JON9oW>&<S^K%dFYoC7>GS5jv#k5G z<9x3=S~+yPfm7P1TfKbUJ3fh5PY#>ZC2zjDYUPofedjKBiE2-oG$|<Oc@a;{pOWfR zAO4;Sn7Fa|YI2ab>+xmBrF2DYm#ulR(aqcSINx-+$x&KXWjnsixDs@6<4cfWzovH8 znu|dfHy&Pke95$~K3P3mwXGo~Ibgx$Q(bb|TS7|S6udNCxpYx-yLZ2*uBfbaOqEa8 z$`?1jL@Zvq==c;-+iMvsU)*?jN%+mQ;NSE9eJ=fI|9<)M<=TdZ7oR`;W~9cr!Y4Jd zJ@{g)TH}f}@pV%#CI@x9^xMPeKXa<ZyKL3AdVKkj1eW;o`=zYyy_uluwcAry$aWv3 zru|=6<=$V8P^XVz+W)DSegER)xg}zqa`8=fgE$|vmoj>OI;%U?X2aE)>&^TBN4bBK z4_kYz^h)8Wsn2WVIV$C*{+-^vmgo2M^gX9$U7mjGjp0hyMaSnmRQY5DHXNVUCYQa% z<4eR+*G0#*bcJmHeR#jT{7b}QC^sx~;){>%_4a!gAD7Y<vITKBdUd<>heoMX{dxHR zb%lGsX_QLUs?DkwKB~Hg{0aEar+0UCZ*o}n@9z6kfBkwl^LCS^EhLjb$Wsa{xION$ z=82}sUEFx*P*A-6JF92uEh=9ti`MPCKYQh!JvFlDZY_TQzi|63kDxcavo^k-!NqU= zv}D(lGj~?=a?iW?_2ksQdaIna?7C+hwtc((Uk3^K_rK@Vo;J>#Ft^yZEc5Q2kGpo& zWv-vPBkr4-)VD)UwfohB{ib`bTT^>$!v|L0?BG3n_f^^d(qDV4Fu-!%;Zyc=)?V>_ z|GJU;t?DuRollpXySn$|r1zgAe`TNSx;9l<?Dh0H$yV>~EDrs@e*U85{0lv?$Dc-h zUbD;ne^_e%-;kT<{9VJU=f<gqMLuS=TCRWa1tf&KzCfbW{`tLD=YCN9f`SiQXxf7l z!nKTvFW`Z@<`SsQ^*{c&b=8`SN*9CY>Wa$#pRzztfiuKs{_-!kb}WmkjCWT(rnWNo zzhPDJNikWgz0K;;-EL-+4+}1TqJ2Cgc5`6W%ahq6!E-N)3f>JpEWgj)cJgACpOyDj zzv-Ue5xVGxX=dTq&9~|<3ePfAbiJq=r(L&q(bEfCgY7Tfc2+k1zwq=_8S&*y{K{YM zU2OiUw{rcfUlpER*PaSLe|5iq>$PW^<|}gdT6twzJ0#zaTk>`H9o1)LCmt4P{QI+T z*2KrZYpSYsom+oZebTKesawTYYZYg1`*9|h>dDE=7n$+DTzY)B=B?A3kVF9{4`i*% zW%P^`JMLnhx#Y+m*{IKN>vo1N*z)!Bm;U~J;hE=+Pu|`c!F^5cQl;<g>HpgQwgg61 zWz3ALt=qUw{=_tGbKlo#eF4i~1h0Slf4y2?RlM}K=Nj2hE4FU(=KXEEwAFrz_Vt;I znWO(L{;27xemtvUubTe7WnW~b$E@>t`|FqfF3o>eyzljSN-SOa(%bayPS;<Gy1{zk z%gSnu0{04AWxm-Hxof|3_FYr+*mFHct3EL4zqkH%r`G*y`HKI>-CHxyD?WYlRP*)n zrL$^sCxx8aw^;hu3+|>hy~QTKS2wS^|Ay(R&c~>auR}L~d@E&X6L)&vKL5$~KO-wA zaeZHV<%;0rtr}@N<aX|!Ii+OwG20t%uhsthCEtr(@0_<%A-DJ09?R(aQ~x#0Hm<qr z{&eSY6;IcdQ5MN-qijD-I#!~2TxgO**z&2{ymTT~zppv_(%YcgCu`!1kIQW!<;~&q zJIfzl0T)M*tb5=8{fmum(3}iSY@lKXl$$}^<f&qva;vv^eED(s|Mic3;6frK-J9WV z=)Q&{4_B<7{rk>}xSP{o_1SG-8CzBCdR5~u|HsPJ(=&aqoSkKq-SzH8*!|-xE@oBy zd|wj&e%G&uv$vl5ylJ}bH?7$FIrG}Ktz3R=@4V}c_kzEiTEXqV@0rKNj@kTKLH%rA zFKk`ykKbQ3{hrjq8<yK&G__pY_4YB_ughEPSC{u(dD3Sn75QJ7H&<|ZmGAmX%=%}p zf3KW0X^(tyQD5Ayoo|!wRt7Gbw5#vxv$DlsZ+vL3`uR=$OX08cvn;nPl<{OX$(=d< zd+d&ncWU{cX5T+nS(SG}HA|p=qg>2oC;tAO!6%o#vA+6t_xhllkFPGf-~20iK1*)& zZntxr<7|Fc&3#d}Qm^aIno#dc=jD{sr-tR)tn1d>?c{m4dR6dQ-zcN+;yX{zeDvB* z>$h!L6z^00YOnQqFBe?Yw^`H|`sJkqIF&;Pmf)#+3Z1J2mqzEyu5*`{TN@qR_Tt{{ zch}xm-&r^N*S&~W|K7#lyJYJ;@5(Bx!zQ&~`QFA@`IeXIY`b+Z%>VlSeeQnYec$RV z<4V)-t+bB6^Q5-X@nW2A^>gRH6V#vP{+fR8hl=yus;_}fDw^J>m)kG?|IY5`8&3EA z2faFf#N_)$ujMIk3)^cLe|y%~E2g<`ir(E^e&>nE-QT~nuO8a#JOA%@@1;9y<%^5{ z)kgg~U%us{rs%&N0jK|;U;E2<QfLux)BW$Y-|pSomeBUBZu&Z>?|Hg^i)Qy-&0f&9 zbj`_+x5Fj<;wP_(jrx16!1Z7Hl6Uo)pKpT8;~y4RPnByvzp?YZ?(ND5!@`eUek)er z`g!w|O;p>?xqg;&{ZiM>Sy5JY|M}*c=TVc+F7vn@_BZv<>|0lJ*ThNO^qW}c=jru+ z%7O6ZQ*G>KtbVU$<i4TWCrk6a%omn@@d|6I@=oo}cb$19Imo;6ImdRn$x)}Q-FJMQ zaV6-U<qOW5{SFad?@TGb-(|G&_>yU#&I#t(s%@Q8-dFJ2aOKiH#TSHs)HQB+wNvx` zKGD>meox(}bCvH@fsKE74cSO`+s60e9INNe{`4+7Pz_@Ip|!`COiNl-!g%s%uHLl= z2b)78B3At57Rx$0Y3VB2;ON?Quht&xo$PI^_WJeySN(gVSC*c4-=dK<<KM4$Pwvl~ z@$lreMHA0H@p!fTdR<50-l&~cPhNi%nP>AfO>FM3M>B%z&xZEys5t6*snbXHM(L9? z>jJ+DhpxYvaMr_mr^LaXrHgjmToj^i=yh&qf5w9u=S9nJ-`|>c+^W`K+4M<&WQvRG zmT%(wv(4+#5zc-kC9n8B4?ow6i&yWIo3+vF_9dgKuS>Gd$N$pQI~4Y+^(#06h28hO z+Wuyd^zqsiZ(CL4v<vs%vD6d2Ql{~54$p2OnbMF4rvelEyjizCo*M9JbAi{~+luXn z7aqBHKI`_%-v_V%)cL$qZ`p_2;wBH{+pj$SDE_tm^Qq4@3xhV<Hp<4|Gi)}TZx0H( zNBQRp-W#qwdVkKB8CR2oy7yZi-g|tBSbcF@b-$<Xzh^w(<t9h{IqTUUX{)yN$86&} z)jnAt&C_>$pK*mqt&;D+Iw#9*u7YU&#*#cwE7qZ??(pZSQ>LuAa>XPyHB~$Je_d*N zy7tb(O|wjLAAP>j^ZwrDAL{9u<){5#Nku<8dPv_i_m{ZWzVtosvYsUiM8~R{+3fXF z^wO2OcDXQpTakb5@*gj4r%hcu=kEK=2<PJ~Svd<=3Ey_)*Vmr#<jS${@|Pd1TYEM6 zj9aWp-Kt}y{ojhu>@1$rdj7laZY)EJHTua@m#+7kvLmkY_4Ul?K=-e&;vXreJPN+D zx|laN_V-$&YwIs>TjX8xwRfq$iI#T!^UL3N>S_9)o;P;||L0#X&e!iVS)c|fZNTIz z@W7hZiJ-8uZBbh?)}{H*UX?rB%*)5;%EEa5mj~9fpSx-Q@>HYLt<777-b`9Fd*%BD z+E+D7+qXTLYh8AWT|YDJR;ZNey7_zly|v%exo+R{veKTZGO_M27QPnRl6bV}>6A^Y zv5zzc9h%B)`eake(G?CWZ`WU0fBa3?I>DEpCFb{~Yn$5#ikD=l?cV=AHYeV5Rj9h# z#B;T`HYM-BvS+^=@4H1&amUsc#0!Y*k2Cyjf8n)!+Uoa9_P^|`(-l?L+~t|yTa~?O z-Rb#Duiv|=`}V)X`uZ6Q<NWt7)^xt59XIKXXV(3_lPCTC^4n_u)1Al9P23tVS?%og zjdsj6@3tj9<(jYEp0mx!rg+7Zk9A>6KczPNe4d)vr}frwW$V0CuR-Li_l7H5<z8>O zkUUqcN^AY`C1O>p_JhbZm!0}cqh74sBR4tf#mavma-$!&?X}E>$E|d~gv9lG>V65S z2azm$m!5gQTV_=Wqi0-we^~wxuSr+7=XX_o+2Q23+<W%rZ7WyQKC%3LeP6+ZdGj{= zt*mT+YPBQoa`n4w-TXcmCS8)75xB!`y<hYqtL=6R+pQ`h10UR5wRJz=wK?*|Q-1wi z>=pBE$uXb%k-zr6lz(yY_q|EQ7kqNhoe0f4=5|){dicq}ppetjU%oWup0apzW#7{8 zr|qgY#r<A(;JKll=$cDs>gyJ}J>D1i?BIEmMYH?A$L8F>(>(vht@Cl$f3r55)UOZz z9qt!!=y~^+!?C}1^dCL9G9unUWOrPD$%*UB7q`D?th}^g|N6_0)4liS%~`oSt9DI0 zTmPj+GM+`RE?+!c^8bypl)+c;rO$RgIdyNH>v{gb*O&iptJ?MNtF5~IyyGuJ{9eV} zm45%*?$f`hE$ikOM6c(uiT}EO?jqLn--Amu^OOJYSAX@>zkcnm&q!w-I0)Qe^SSXL z(q?CIfqLf_O|5;)R5aI>&B>FjSS@9iq2WK#y*j8o`&-jZt5;eRb{}|~zJx)g=hCLT z<r#lNr)U3Jrf^O;$*V3e$RNzHl_~yt=Fu)uFHcX)oknYvmcRc}cy#%Om5&~WmiWat z-AoSRww-z#L|z4xuo%t*#cfDFh>TbcP9niOZPm732;K`KLCFP{MDBnR$I5#UQtW)b zv-tndb+94BC{_8mN1C5j7<}$f(NzB25jX!^)(5q0qcCrCpDU@RUG<-L?3?>(lS=f5 zJd|7cx>sH5->^~$JlM%+DRXqY%h&(MFTT=q-l2V7Yt!YLwJNJmR5YG@>1|L2X$UGI zH3TnE-Vki?_gy(jeS(By_N;Y%v%~I+g3A~vag1}X`UD0w?ekh&H?84Y{U$WOv92#X z^&7Z9<gb8m$-+C7yJW#*?K$%tm}aO*^jjHqdO{3`^shnHi_*o%NPTNC^WO|eOBktN z4PhpO>U>x?9IO=5BL_3#x*T&Ja;i9lZl0R_xh%%@ICsjqQ+CI)bQAAwPI}sPO5WP# z9Ix%QOwLoaXSP1N6XM)|zuL0ryl=#p8CQfH`1enCUZHxX`o~-uC(!sqp?}05KeLss z^A>#lU~Sm~8g6(bcls|#NN#b|kGU~NZPm861n}>l{E{=ue?v6W5!Czj433k?PscU3 z4bKId+lnRGb?a8y+U*OEWRkR&h!vH+ykwd~Kku;_YF}qu5qh!lpu}Xk>@6%+IR?i} zKn*EWxywuBCPy*Znn@&IO72C|hme~EYNIVU&X(*`wdNw&Ol)$Kt9-IJzuZXZk$h#V zww1+7&GuRbn4cNsU)g89_5Dlxc;xJqr|&M-aOzRMte>mcOctdX-GN$*j38a1qo6U9 zdY@O|&bj#HD3z)j1oMyK%HtsUcyML`D}^wRgFJ(x6ru|}egYaBnP2?gY3K21Z`Ct` zS6Ed}nr{#JbRaB!-#wdu*|In5BeqIi4?M0JKJRm_!jsC7ZSi_$_P?i<&e`<IJU%is zEIjqgj4O-0q@20V%1w?6@k|EwxAs1c)%b=cyzpjnP`6elPp4e{j`BU+N1>`=!kQ-4 zK3RdPy^0qf^MCIvUw8we8ZO+L*6*piYKfsr6{v6bm>)DGk<`Ecv36o#N0<Do`v;d+ zZ!J8Nm0SODcToI&+u~@0<@WApo+fWis9qMcPA7NPy?Db=D{E->22l+&n|3uEiG2{3 z_)Jjtw64zAuaDOy*SUEF%~yZ^>i6E6r_P?f{&0=$uYdC8t2<SW-diBKyKm)(4Z(iP zz0aN5duzi3$=LaCa&_ACeqEk_CG~akt{rj4f6uo()%swS4;|TA|AINHreu2d8wcxE zH!QEGOuu$kcKzzt)4xS^zD~_woT#sJ<$JZ!(~_M}PTgA0%N>7VgW1vh)wN&izQjju zeY~J3rhRI?MfA$q_pURG-}3IMFFNfxcXjn+)%({Mf4M$Mbgj0!&NY3@<0W@?y088h zZ|`~>?8wtwpGB7T|66<N-mjIL=Fb1L?wi$KC!Gt0Omo+-Y5E!>vU)pov`ik9Hql3H z`XS{Hd^im};sZ@XprA)|tAl4kN-9v#eBqVgYttC+7YB$>V9{E3KDa73CV1_h^^1j) zCtun2Ut;ghly1Jc_YO{8>#98a<in2OPg9e(th=eP_sbL0xOqB3?!Hn-wM##X-+Fv* zviY)t-LSO)mtTIfD=Z1;t^XnS)u(UOpLd^nWE0%CYLxj##r$2fE&fZ(GGC9tlFsX0 z@#`}zOWt1T|MKmE$JzQ9MXJY-YOcH-TlM}$Z&mWW)w}HCCM_!cd1U9W@5yVoR-WR` zieBG0=@#FG8{5S*|LT=@?vyREkm<6oUy=6Y$3D4xai;?o?weQBEjM@mD(Crq*M0qK zmN|KTda`oGS?8*kAM?7t6>)(Q5fpEjl@;v}H0`M2MPDgR!~FJZHM`^UL^X2%+WdXI z_<iWD&pw~Z^sY5+Z7+$t{OtL^MEhNf<z{}Kc`PQ%J9gfU1$Ugezd9UW{^jjM{Vx@d zFI%f-d?}iK&E|4^^7FHo{>nzZUbpjQQJT)R7k*3M@BTKkEa;Gi?%n_KzA|6;nY}q5 zc<t23tcPo@cU`tj?qBsj;D5SU_T_obr%s*@zUJ?3`tz2`$|<$(=2N7;ReN#QdxWnu zc4y!A$Nh2eB&{;WRSwsVS<d=<)$wY6S!33;inTw!uDbc7tXD>EeX9Tc<tOL=S!3hb z`a3M^RmaCD5#yNtJ9mA&cKJS*%UN7Hxqi9f`*qh9w}(vF=2m=f&f520^#{&M?t8cR z)1Bl=OBRLb&e<5YR<6=BdDoO=PEXhH<x^u6#nvqEub91ljZc+U7koq!Yx;uLWuOEI zA5?^ua-eY?coO}`vKU<S)&H>kt|wA;>b8(W`BZ&{LW@_gp4pkN3jelr*W&ZJS<~;u z1e$vNcdohjDm6;Dbn~(^vldzJy87KPYl~FeKkK_!cdx7ak+$}e*S?q?k?ZeXiVwan ze{t7ys}*^FXMg#wytF^%vfbXA-@?Bv{-&RmxfRg2gmKoq_rGm#%4kXzes=VIb<u%y z@A8cH?e7ltKJT%88?f(N?wsY%+hP{6eH4Fv&{X%!hZ)vWV@~Z0zPtU!((P^<W#@Ev zDJ<NY_j^a<Ka;oTU&a1X4qr9hHZJ#<?F##Eo)@-l&3<C@`sn8M*E{p3zgl$SY}8hj zzi$kS!ZNQOd%Ww#ChaL#_Aa-&dS{7has9;p^y5=bU9S!KR{ds+)T#JyQ{wJka$Fo= z;UcU1>7^4ior0(zK})$k7G=4-ycXU5`eM8L>uXaKcYWWs`|EGH?XQ>ZGoQ9=|L^JB zOU_SF-@59Yfp7d?XY1*Hm*>iMubsBx>gBKN-%q?eb@AT#zVN#<%U_)nH=kPF==fmM zmYQG2zuvz)w5fFd(Y3kUX&LXzUuu=SzjjG~`^$*EY*`VfW=Y{1yWxpCTJURD%G*n? z%-gg-*(;a+_nv*eZtan;n~on}8Me>c^0*h@v#@QSHzYlJBsw=BeCy}UQ!BUd6kE?N zvYLA;#xn5jn|<ruf3BOVGTV3Jwza=b|1jUW`gX)#gH3al{?3^+<(_8aTEESeKi?;; zd@(twY3ZKi*;j%tCO27b1C@0lUuGoq`T9S7EB|KW%awcnHrtxnPTgL=;rO!UpT6;b zyYVIDpW#8N+e@Z($qAp?==%yZy>gtTI0saCu6$v5Q06wMg4L?>F+k|J_wS(G?0Khd z+uumO99;REy*j5#>;H^|UTMAO%N8Zi1~nX9`#GQ8Q2QEk^nQo`%1f*&;@fvdG_&&u zB_=L>rXR6F)qC2iGN0V9J6CO&GWXN_I%CcH|Eui3<t@EtD}Qm(io{>9cTcXbe$)mT zFjrnVKkm1p+t;k8uL2lWyXQQ$_?Z5-S}B;lZ0=tV;rVxu9*tYqp0?)Fwk<wSt>)hP zJiV@~d&AVK#6_>vSH&$pIBQ~AQDaN-YnRf^t}FeLLT(;ze*49=@W|@A(|WrK@3h~F zi+HqE==$lokAoa@+aH~;lrB@Xc(vld)WwHnrgLQ-*IxJ}{oxd=?aqAd&YgSbU)>h` zy?OoXz-QT^J`cC+XB^tkxAOR7{a5_YL!ZoaKMEc_xwcWOc-|+2l_!zLNtPU610E=W zj&`7qllWDgc?BM0d3X=Y5DRHqcdAPigH|nlmY%nM$r6{P%a&<sYe#4P{;q9p9euKQ z^3^4o!t;-()qJ0K%-+&_cXV0M^&3L^Vs$GsFYgcdWc#=@eDkKQJGyN?X*f+>E-#;$ zzi7#>rS{&7bbeRuHnH2C8oru+{`Dz#H>-*>+^?2fTzT~I{L{C>#eJ!%+Ueh`rLJ8l z%$n#j=hcz23x`j0{n?*5nfNi1>xaK@)uP83$)A63<0ozU{_4O(?vkq$`nm#pqWEiF z%(q*A4Kz3oUzv6H{=TIjZpP;1U>o;X&aQRw;h&s+VXnLv7B8+|y)kyu-i206OTvqr z?G7$m(-psd#$V6^u+3M?FWvv*vVU6FM3K8ow!7^$jlBN!{3X!ARNv|sT(>_HuzvZy zi>eit2lQQ`!3dp6AKBd;8bF4xCs0MkbQmH1kr8>}%r#&-1jy)_vG_$Yavk`-}5! z;{NTPll*h;@}A-;-)A(;J_YalMxk|YH-;f~fx+G0tDw#@w3{5Uymj6y@JPxj&`8QW zuD9{e_Wz&ejBkyX{r8vhTlHm@EPuWxIO|^GPQG>5zs%eH^%1l1mL*%*^F-ENTzz@k zigRoIUo7NHjR>6hd174UPia;Ak4rD{=U)HwyYI#3r!Tc8Eolm!_49oGm5e*)r)+-A zJf`~N#&!|A-QB%&t3L?G{=WITYJb9nXYWh1{-5IX`cX5nY|cN4c|x){)`ju@Vwr8E zUA9;5<%ISA?)(>-KQBqFk6+%bH@)tzMR57mUlIJga!Xw;Cq22cd~y5Be>dGt5?)RB zI$L~l>fYGydGd>{Ex*5i?YwpMwdYUve=oF}I@v1x`?25q<nG0HUz;kN_IhgL^VR>h z25))rv#v|;Y3`f<-(76~zg!<$eh*abKrsi?ZRi9!-#e9wX0AuCPf<B~wePYnbAISy zHr}V2pECSk<(-W)h<1-<EfU}Hx2I)Q*r(#jO&hy3MSfWMYlp1~@bWr!a%$pJ+uG&* zmbQC*MWvT7`aCss!!E5$uy`XQieG{T%N~50amC61>9&eG>3u)uf4_YB^3)kKUVOfw z_49+U|3vrJneHl{k~7`E{Mr)UY#upzW7Mw1MS80P|I9wV^;x}s;QsJSP3HPgpMt0c z*U*zpleD(JPmP`Yqo_2T;rXfqr#1wA=lzzoy=&E*bq>djKvUV^X=`Yg9yGZHZ)d|g zdmshyb`W^XS?MCYAr5W_%?HnlPc;y;1x>=P?3vbOSNL>FN0%(ppc6wwz(FRV1zJ-} zw@%-GHJNdZ)ana0siBdv(CK}U)z}+Y#Jde@ls#mnz`rQ1#J&r^IiwG8X=Q(OPt{Xe z>7!PPSS|n`kwB~uz(0-w?VUhYA3&6187N`d*s4B(A@pq6v9(*0wT%1}K8GINIMsHU zr>^3a%}GzW)`{9a`x5eD$AUY@m(7*F4C<jTl&e#3uk82KWt2Jn{z=vg!<DKnRX^s+ zJOg#w3vwc$V;r)l-#;;UZ@5ymA^GRrn4`L1Sk@kWy;nx-xta`U<YSp!oqBj7x9!yO zn1-fbER#GZ`$V-R%T87cJv4Q#QVjAvCTq@{B^+Wq|LEl0W+RJkZmnZWSXJcC-@JYy z&3bn)F-zj=C(N6-?#L0B`NuyBnt>7<3@7%XUI<Dt|D4<#P8+%DM%(?bU%y|!<jNhU zlk?VmzHPfgTVH<3l8o6luF_ww+RqQ2t0=!RxaP^qWE-tN9~t-V&E6olW7*2O8Ril3 z@>Y6tPfRuT?<&0#+LHBRkG9>V2i{6se;)S!T6wH;RjU4^MNumwf4g7({Au;mfQIDq ztaX3xuFrZJE}vDOH|<Vf?v*c>#7knI`>jgk{x%bNiO~uv$5@9)A9qasU9bG*y>9N6 z_rI?0T9~&c=bg#Yb@EI9*UVYJkhy+&g?;i3rKr`PH|W07S*h{3^la3d!2i~AVL?w; zT6Wb<yW(~?KX&ar-s}}C5}M6)q}DC9*SY@STVrqSp`Y_G*W+m!ZMe(?3vUJnhJ+~( z>RNm+R^`TRj-UI>Ze7^Nja^$j1x+VieX{BKm7gz8-<mKj{$;S+l~*gm*51-mH;<ji zaQu^q%mm|SNpr=cgUzCsWL?Qy?dI9p_24D)0!@V$mQu!(oX^#k?y7$IXUQzpwA_VH zmKokEPnh1NRcP1$d`F*U`0MuE>Z?l%S2x^`adFb$YG)UFdVkb{=AW%oFL59{a@K;n zmfk+g(k*3s7M-tDbPC-1>Sf344I!siKiwXD|J=I;zTc!)N!G87DzA#)8+~Q++0E(; z0&@eWuRN~%KI5}_u*v(iovX@ER(#!;G(+mjBj+3>!yR65yknZA(Vq2e`RhCB<#R4< zRGzsibamg7$CG@Q*5Bz_yJo)VWmq83`5Nm#kx9<lXxnb9@4q8tt8=b>Tkn>2E@^h1 z{fDrltltmUBN@%G$|qpDql<;!#h>3oz0PWEY<Y4--|Kd9h_BSvfF&|rohL6$Tzu62 z%(IrhC-Na@XY68R3%hq?;#TWbcc=1eTfVhUowiGf70JK@vzmU(^q$CjRZ+RgOus&I z{}Z`uoc$$_DrS9KJ9h!^)?=%Ri*hv=t(+BKF|RJdI(olc>FLLoiskQ;*4};+^qc#Z z_NF!bikiL+$>LAnhPcJe>#~{j+-2XK>Tu1?j@3umFR>t5mM{Yp>Sxo!?{?q6^gwF; z%h|2ATW*xPY*N?WdAC`r;;_QiZkw3`^&jI)*Z#KDoonf8d0eGBhCjCE>i&|*=2?vA z<xkvD|1|Aow`#tI>GKtDOkZp6dpUR4uUof8&L6*yWK)BH&=ppdNhzC;zT8tLTvEK7 z^WOK)oE2(!<F3ozt?3t?X%bX^FZ9!muvbOLw>wJic3V7As_4AOeRkxO93gZ55|Wt= z9K|{)HwseD|CYdKmpMsy#^J*ZF^?zDtGg9^7kLqEh=f0?h2@}y;~q)L+NIhncUAk` zt(m)AR68uBT3$MO0cgdZM$Vn%ISV%x>Thm6bgcG_n3nW+qiEcMVfSt3O^*Kfe(Cb% z)qV2z?}{IDsvvtf@m$<pMut--g5T`Vy&CpWIv70ms>yr(ly%h}V^D1^Uw00&j=Xc; zrGmA479Y0;4aNR>`23DFreg3g?VpF`o647gCl7+9)=e${Qjrc`J$Lm(@i}3X3mp%| z1k87sR8#D&%AZsE>^1M={TDXAS=_ZMJb3Q%f9Ealvm-gx;VSnlVGr19wbz@oy=(s- ze>uC!xbTpMZgK5;&AD2|wLadye|~M)Cv$(vqvGrT=ia-!!Q-*joEqI{pEgEqunpD= zpJwL!e*FxuHOLu6WAy?(g+lQip))F7TI1&F72Sz^Q7=#}&0C$gaNqsOn#)hChF`PG zjrh^jww3eM;ov{m22D?&za;eX!tP(O&tHGNB(_}zWuWw{%>JOa?<7~>z8(JjH`kO{ z-TYeL)#a%tpPmZ5^?mb~0`qA{k$jr43$$!(j`MZDzaIJgy;uIU>xCD^%$a0$cj@=O zdn?SJ?OpZy@#<~IieJ?y|FT(n{g~<1Q@^dW49z@qfA?RU?Y-?qz)rW-i`9A`P2KgA z`)%QK&G!+ALB$WNCY5y0tIk|7C;0cPKUK0Ok7jS1WstkZ$~C+k<sQ7FZ&+1&^RJ(H z_%Z6+m)co>PM$wCIb=uv(q(-T>s<{Ge?4*c>?wneSl_&gF!}!l4mWpNp1QL;Zu7A( zAx$bL7ydi1b8VSz`;^Jkm#>*WE$h=(&-A|R^6$5QRr&YDSh9b8yve<!@$VC%NiWoT zr_9*yoW1X^{&ub@+v?{1`*`uY)<2u6YUSsyPurriGe+{(zmqOYkGwu}{I<>Yr6@y* zbDHO8KC4fA`HydH>GeA$md0Y)C5KO0_lBx&3$Kr@OX<8<m%ez;I}_ci)M?w|D(13n z+x96q6e+AZY8_)8gaic_X8)_$x=!kzb1(n(tD%RhSDdfaOyBpeEHwOPx6)oY`P*-U zVnudFuAa7J{$HnaT5E4r#E8e1waWZclDfAn{N9f6i}F0dvv+y!dh%~-*1sF~zuYP1 z^Za>hd*Qr!(nXz8N8;D8U*B@!aPqs5O)93l<MsDeEdF%=&H7~@bxSVq{(9x_q{;s5 zC!_cJWG~9QHYGB+#C`j(=jSr>*UR~ey*}41H04U^oPX=@X7uj<yIoXqn{f2kTe^Qu zOaBV4tzOssNczj=*zL9p`!CmDJF}4YsfW~pl`o(3Wm~zQUgl--qucE1++S|j{NA3+ zTf%+o`nqd+NdA8iT_8T;My}V3ApfmwF0UdU$6W2|5At!+z4|Km`o^@KU3sF4yKnzy z5~{A5ll64>l;Sl{wDVG}`L)&b;{MIceYoS7+t&j9%d^+lFXh=eb=woxzmIQM?W(#E z+BG%$w%C~qcivwLeQ|H?()hf~8>@J)7({iwzv~{$J?-UN^J`k}thfHX-=F!>|J~%x z|36K8C;4ef*j348FISgmJinB=Yjg6M{K;ZVvtI7McU^6H$dAWPy8rFBzcTmyb~`J# z>}qf4wa&%vB~QL=@A_2wBw|Za*6hCvkdKT%6nph=YJK*S5~rXZ_h09JFPiuWx**1G z)e~*#n2z%H`G$)oz0$l^>5-W(wov9`WBlAzlMCi4CFx&xHV(^-j#^eU*YE95Z~LRJ zH$)Uq#;sqM^<3u4zRSl&muV><FHXCIWvJ@v?=Mn9{lSs!=X4fd=oFT7b!qwbN${j@ zxZ}bc)9jVkUgo=A3tPLwN%YD573WYcl6JqUtMK!x+T8aOrRGl9l$*X+BzFC>H-^vk zHk^pw?{~Y)YE}4uA$eip`HSM_W@o&<mH+gM@!O5@o1d(@?cjS}$7$u-C%;Oc{`opV z$`)hO+n?a-oyBub^?QdbzI@zO{bb<tJ0FerRr^1lKWFJ(UF((EZ}RVdpR+sr#Oa$m zqa}HxUQN3ETybCTEOnVH>)!sf>ql;fUS0i4Y=VpDrwWzrzFk(;l}BBL^@E$UXU(fV z^N;__ulAd-tY79=EsFO(>d}>VDSGj}S!-T@N`JYvyzKYfYdcHkg;o7}buch|<-Rvw z`@R+aeb|+=HsVL?o6;namv@%yT7UCC`#bx}1HJTl^CoUDT54vs?pp2s_e$-HuD)6( zxNBW5uV>Jusm!KZ(z8`>sJfa5-**gO75IuT_ayg&KQiaT^6D1py|{2@lYHsq?MaRM zdiJ<|zkk<r<F}Mp`)_8kd$N~`<$wP^2f4Hhn7b;E(et+OrKsIsN|#)D_r!fx1($!u z?a!}4^K7y)yS7hhp7X2Zi-4_xztp$yrB*MBUS97tE)8GX|MkLyz^Ey~ZI%3Iuif~4 zKj7Sh$XoMfuRL4-dhK2Pe}VP?RQzw>ua12$&U5L{Uca~TmX4hB^)K}WXUpE%egDFa z<I}YY?-VV4_U7_(Wxni_pPa1}SAJ`4{_<r`sn=O+o$Cj`&bn8;J+3C9X_^R2K^<3E z<}>^Kfr|_8YkYo?UbSW`>-32h&$ACL-W>XQ;!>~E_f|=2{{C`m#`CYGiKXp~NLf9; zX_wc*VyB|~uP<(x^)FF6S@`Hlut%BKEzO&svtC7<3lx1O_tCDSdigV(vdPbE!umQl zFMIiFM`rpypLnat=IMTR<@@FDU+#GKKGWsnd)xA~q}-W>>-FXr=5G}LU-GK{eaP?n zDY<!??#67_uJd?a+I}?7`|{`Ts~10~f78A)OFaKdtNyR*;xzB*A3N5?{irBSM{-<4 z5yva0NlN7tpY8kh{(<j>lr_`xW~?}!CG!7S;o6_~y|28Vy5+%HZX_K7PC7p<HIKfj zj=Zk2F9vlz!!)tLyU1Q=SP_TbuG_{aY*r!W|1RdPtihDyk1bzk&psRGtD5$?a-I!R z3vq$hoPWZlAMK-I+Xf148d*3tiE4*?m~Gq`S@qbCxQ${?@GWI$KSi@rx=rNfYHJ1u z$;z(3+t=RGs!PrSFIHdrFsc%^4FNPsiKPYhXHIoGreesD!XLkH=dQ?s+IC)tw|?rA zdk>yjUoKU5u96A4#p*Bv)DD}JpSSW!<JxmIdlSEiTTQDC3H+M1>hqQVmd}49#Sz1b zD#u!;ld#$9+Opi0>;GHS1{bKU`)|E>cjCljr5`riEnD+@swceRHfKF@%!RBczHRn# z<BP|L7TEiZ=Wppp#l8o%x~5B*f2;cYc)HWyKijiKAMZCibMxw_YuC3_g-?rFC$qHH z-kZPt@fsw1iE4sr7p{7-PU_zW+gCGXO0R8y%+3=oAH7QH7teKu_V<c5qBXiHDt8w> zkCW1Per2E4{y6sDAIsLN`ADz!yLc64psL2On$h#|ZPTKPJ=1J!=d0C!+WbjH^j-AI zmCDDm1J4xL7L{+l)bA~^G<Ds3)1{MRy|Ar#*ZjEu?f01Jep1%?)84(m5LJHGce-)% zMmO^*OH}UKe9=lhU+u;nv)AO!v6iICH?F>WxBctac``eT_+A}P?SH|v`}qHC&c$0w z{@0rpUip1%gS~sC_VLwIW3z6&*VO%^7`yYFjO>k1^1c3^Ry*qtzc#J>XtwnEjweN_ zS=X=EU-bQGw=cdosru{nr|#2Uye&67_0sS1qVTlL*R9tx6JDSC(V>8pECh5YYIOav z5pkK6QvBu8*<5i@<7n!Y(lut=rPmg2H~;hYt8ny}PjCHSJpMA@v^c}rauQoc)~+Q_ z7E0Z*4ehp@v7Fz|^SY>W`-+b*n`hl!KRs?w;LrST;g^4i?Rt5)>Q$ZRl>gUNP^UOw zJpU2><x;e=i1l-^*a;V+w%6WqsGs#M{cGRES$7QGlwa2`TB!RdWc|y~st2t<f7zY? z{eAW1#i_xnGJjlW`<17>z4Gpj+pYz*Z?oPa6)X(<K)WCBE^E>I8j|g>q|fwR=vqG8 z`%7SJ0e)y4Rk;$h_FkE6_O#V6!UQ+%-m9;$5k5n>?-6u{FmzdZw8-1bm+JQ|?)6*w z;dpD*zxuqZ`IFu}kJue`&nRGS{jZ44bs86*MgG6KZe6qKmY*lXA6I`5yxx>r^}BcL zsldi<|9|I4{h56GeYSdP=FczbU+&*+ouq<m_7eNb^QT{bUp>BVm*GXL!Y>_tbN+<| z?(3834)e*~EMJ1uY;f4Ide{Cp`Mp#8Ji0!5+^>u`37TVld}-4yzN79_YmTmCahDa{ zQ53SwR9FtY_?hb|c=7We9ovoDuYG8|JGJCy#oE(FTCZ=bU(sE;n~isWK$+*as?t#F z=L^2gmD^?zDru>1zwFrcY;|U%(uC_XQ^mSg&0kT~%l5zi+dCVpRlolI|B*dOQL!=Q zMA$YC4GxY)4GLL?s)_L-W!>IeqgY%uIXb>_hibnHTo|xr$CfY4l{A=?L<J8E9Z{OC z5|9!a>12@Tsb;L$*y8!@JG-~=i8Cq_PTo0VBmaC4|IFRBaXY_H_C9y=_np-{CBauW zl=+6H2Y0+W)^=iB#u_%?h{Y#<|6Ax=y*=Y!OJ3LH7`^YZ?Qd9Hjjr+q-)MC`SSlhG zw4&*cP&^w_m@&wO#512PoLtfyoE4(<bjGPQT)pdbi+?=jm9324o>Bhs?5k_b>u!n$ zdcL~0aavjKJ&kDA_VTKGOLCTd`~G^JnDp1@a<Nk<Ojyv;vhLMyuUUCJs#|W&RBgYy z?9Yh^(_I(t2uZ1X-z|>c6&)ToZ_yi>Nd9w|KeUNO>WD?^Ok5>>(a(Ra)`XPcTkhvG zJeE&;Wu@qwJAd{{&+YBIBHvkGt7R`;<XF75-(tVQs;TRGPhM)Y{b_d=xp#eM^***q z0-k=$pBQ*5rrx%^<@a_G+um7m`_5ndS@rs{%-4#Cwzju-?>wa1YUX)mNBOdq_5Ya8 z*8NYo`qX;%;yZsLV(+h;xg?-;-}?Qt>i*BPyR*UBx@^ws()aqIQJ;60#6J&sx^#)g z*-OF7_4VC4T%q07Zma8GM@@QC7F(GUuYYydgu1G#nnhBxRcA`Ca=!F-*EOA^f2t<5 zm34;i;3`QuUni??q`f6<!|A8{rfmOKTq}LYd$HWR1suhD*nIZ*o6CA!?6S41-Oh(p zetlT*OUff^y2tFZm)a6mp4}Nf%Yxf`%kQgey=HHSi#*wW`sT@H>yPnYeeAvD;r|<p zbauxczifBGIWa!t%!I<IytRjFSYLlRxPM>pV$b_0XYF*;=gC-b_O#vQi<fT8#4JgT zmR|k;Z>(rdL7$qhU)Y-^SFMtKuZJ)8&wTnSS!?2xg3aq<W`6$q<wSXk^q<DEIVVEj zb(-kTx#Ij$G05Zd?k|6y?=F2b#rNgO#VP+%W~J4KKPcMie{)*asmt?Me7lj7`sB@} zJ4;H=&iJs(Oyrjt@;>Q;^H=h{Ruv!J(idl0vB5H;dA@|wZq4s^n3li16YOzr``Q_w zowhvO{9nV|{JQqz$FD5srp^~jHkuieBYES_+~r%duWM;)uH<6Vv^{WD{@p4`)BcH@ zJnAMdKlN<mk*!7THtQry<-GUVn@=kIETP%`#JqBcdbsf7XNEyyCnG*be470^IJIYr z>!;H;cP6jy3<Rl3SyQPa`TCE9X1B|{PZF7WzaQ>g8RT{<d5O-Xjn6iw-1(dgx{2#l zFzfZM>LSyJ&rg}YJGo9$v)e_^f8C?!e-6!G@BP2h-q<*J<Hn77-;KlTR&;5XiDX}V z<G<J3VREOJ-`rJtsrUGb!)E`x6t_1$r6NBDDV`bZA6;b=TJJr7UEIdYwR4e6?*(q$ zpGuLHGW-zZMHyLRJO>NRedidPi>&6R+RgS+lUFq~+y49{@;16vM_cU!r~lhrnwGXK zDLMJ>yW_$h4EoWtH-#B>F3Kt~?ANT<cxL!i|7gszKMQ9ZpR!-1c;=_}B)Q;!L1$7= z$#+%y{PZ@Q4ptQU_%+u>2V{2&Jjg&DUo0@0fb47*3n`QlIi@))?@tMN-jyj^JC~X5 zX^GY88nc-`c3w$2@6KJmwKaR{lqn&t&7pS}Y8|<(y8fJv8~D1k^2(kmu1ftAKY=1j zt{lv<hDiPUU(#y>i4tPOWtCGWo_Nf;|Md<xx$6*f;!YJMZogE2@BEoFAvrl~ZvQ<K zZYIMpKPrMZ`Hx1X7wh(~Wpc`?6Pb+sladyJ4#_a<pFd}|mtudmX{lVi>pU;RAgFxn z^CE_Mv%OZX1UdK7yf>WRQ?CC};s5?bD`^SHCz9E6;lcB~I5+&a*H&ftT;RV>36|Ch zO`onb0K3i4H1*-U7}p=M$Kh$Me9zpc_q1=g$K@$>%HN3j*c=t}XO7iBY0;;10zFD{ zOXvKYeR>-6ik6>ocK_B+y>o9i$bPO9Hnz9E1f2TA^>0zgOq;rbJgrunbyuHM>J+ZM z!?~nbSu$IX{lU(aQ)bOM?h&c-?&Q1z|FX0EpO9U(F=X?3P#$~ov88%bcH<O~!sN5b zCnKJNSf6$T`$q0i4;QSvUf5f`DH|Livia#d53x;JpnZF7(xyrL+PR0S-d1H6?|l7z z|IWMC%-8?VweyKx=lQngXT#KIvvxh4vafcXr|Na2wlPD9{(7?s7F;q~HkDDWdh_&( zu7$n$sqidJ>fbG+T<ek`v)!@Z-jpoWtM)w{y)%=~^4r3?>xB*XZoJ%a=t-Un&jtH> zy(|9jzlK&^mA}jHvf}b%`Iqf?CP#g1*N8{yu!=so$~I|rdf@$;-Cy5rNYIrnp8ZH! zS@ilt#k1DM+n?$DoXz%QfxY6Y`y8d_vVj5L1z)8X?qB<v>-yD*XV0X*ZC}5&BB%E0 z9G#D)Qb<WY!0}j~LT1hWc`|}aL(lhL*`i+`G;hhFFCu4U{?3`!-hbgn`|PRv<Ih;E z-IRY>TlP%Q84J~Pwd`xBPk(hj=6Un2`quBKS9)39uMxWcy;ApXoy*OgWl!b2ug)%a zpL6^9i~Xjhcig>fvqH;G6=x+*TVla0Gi|{fRsC1R)#kkWt(RR{khZn*M{KS|%GA5F zmi}FR>{Zp~%b%^plTF|JP1pUc7`)SLb=}RMGv|4CS#PgB%s6XX(srY@WjEidmnIyJ zFZG`?`{~t_iQ)Iodz-$SxAIoX_tRpMNWS|sDO}p4sj2D8>y7igBrb;b`d<w`)UocB zozcrj&(CqrP0`~k+gb1OJo>6xMf<h2XLNQS+U589(z#W+)2*L8R8*LxGI{B2wrz0> z*>4}yT6fvyZH95&<ahZRrZoY^_hgsu>V6+SyK3Stvxka%fBN40Sv$$3Dq&jOi(3wc zo$Ftyw=emTC{^@ZP<Q@*m(TmpT#-H8w(4W6<E-9G((CW9{j>e|ip(peyPhA;xO>m; z$md<3j&<z{&);JlCCL5$>Q_VE();4PUyeuqUw))u<w<7YFDZZZP2cSDa5eY6@OZuM z)onJ{Pp`htxpvOqHaq(_Q%;85O-5=bHE2J*%O<qh({Q_s_L}$&T~g1rbhKO^8YXgi zo2*_Dxy~t?<>Z@!yADZxT_F+sVy`XozHTuk*?Q@R6B;wMg_c;vp8Q`GpJ}$T;J?w@ zf9svU&OV~O>bb3#M%+@%`snq^lh*t$%i90;kl)Ryzt6gVeLr}JJM+q)dycPuJQk1o z;M`cI^J6<-iPWOR>+_<er{0L2%N6$WuI231(8g~yzvhMgdGTS#x;-0p_O@$iX7t{h zw>3^(Mtw`(xuCasWy`C!8l5iFh~4?ov+B$D+S0kn+xPwZzWr3eiKj(KQOn@J>b<Dh z?xv+eo)7(AR$up3UTV3fO!Ue|4Xr1aOw*1=yjpc2FSNBvWsX_u|4;k%rc4rA-qdu4 z>u2Z|rJUna3L~4lmQ0%b>*;})LC)K*bU1F;UU$0q)}-9vZ^zQFTD~jel`CvMGwIC5 zuOY{0E&alMT+3#W@U`Ogg@xPK?b+z`>bTafivnlQZaA5?`Q*0jRaUc|Ld?xvwz(#o zW*m}oz4x6z_ttLL&*~+?bDk7gB00N3{pxjxqB|<FkEcjY^{`C6pD!d88~yCV>G`UY zwwHF7iQiw7SNm#utl-ood9vA=*Pr!nirp6ebIwzp;wMkE?tjuY-M7Z^>8Dz!+xOS+ zj>@?*zq%yU$*D<5XxFOu+L^l^z7xz!pC%JF`;&6=)fb00Iz3KVSzRY%{`!>kY7J}m zYt5fc_AGQ2>vk3MUh>Lq%jMwJTq-xGe7k5Yb!p|&U49<Hx1XnFU3$APZyHisV%QO0 z%d4U|X^!8?#7R!4wiR!gd&`x#%5-nd{KcQYUOR62>f@p6s%_=Pt=?R@lU5YI_X+<0 zhjrH9e+O50r9|&_y5IWklCb}uD8osI*1uikclLC(rr!#y``6=r_y6~i&%3cu*81Gl zUEil`t^FChYkl#GPeDOb(q68dxPQN^SnH~zwu`UsFV*!d+P&^h3`s3mq+n;57ZT6x z`C2G*bL1@novoS^RV+zqqwYQB^YZ=cmxiB1{#;1<J0&~(*)q$j2W=ZpZ|DBs`|kB^ zhuPC>mn~j8U7jZGRn+wJ)HZMNDGP6v<9f@x%B)pIy{Ano_E)^Ao;g3|-0HPTuO&sF z%`2-FIBus~^Gr^+W|<Ik`uU*qCnBHQPx%)$Y0WI_75kpe`(IM<GUaQm)z3-HF`N7y zH_h9z(9x1P^~L+YbxZfp|G%a9-Qr!j?-nn;_W$Ff@O9T?XT6_uCR9KB#;WQ1@}Ca7 zUwL=fU2ESRaW3=hp!Q$$wj(E&Hc&m4<i1ht?7u73%qBBeJh`&+RnW6sgY>O0_1|8t zc5C~747o@2K{+m0;p46^FJFmT-@T696lBQSq7aWf>B-QLkKUfk`lg-JAf=#b=vfr@ z(XoC?Nw%o`<*8jM>(_yrFsvc-_D-zU-ami2O<hsmI*!Vi)tR4vXnl$iRDNn0B&NCG zQ%IE_`-Po5l}vR(Er&akZ6D5iGi&~nhx1~N_P`nt`p<VNF@F00T$FPGs4YGN+^|bo zQ~Bnp&D1ndi~G*UBC&TTLwoL*#yp<)X4b?_9#0BEO%71warwEUi&mU|6}0bM@|B|U zdDqs(zW!VzQo8l14Z-#+ypbE?tH1nn%+$DPGiScEss6U+_9Ic0ML&#vY(hwhy@A0U z_2R6C#i-}v9GF=v%izFj>0O)qDYEOoVHT)Gcp_MC-PG(Sg=alL#|<AkzcbtIETlhi zcq{1qTS;&~;)iAV*%hD$o05O!j<9tTkDG#<fqfu9gPUF0G}T~Cbu-NgpYI@KzhUPi z_N_a9SeE`V*E(gnc*2WYuPi>BPuE3`bce5>UkQ8QYn<79IUZ7iyt{|N{@GPFA@@e- zR(1c?7mi+bJ$H-CR{qExufy@t%W~WOPNi)>J#X&1E2--<E40mh<&WE4d2hvcLE2jO zU4Lxa?ts#<u6Me>_z9_{v}Z?k#UWcs_mh^mWKL#oXV|4`)5HEMzcV{WCv3`)^~; z&9`BxInfK2TebhTLcUu1!-3VjD&glB?K2jCeK+x7l+`n{qwa26>kd25Du0%DHu94> zZ^x=b3!SVpj|BI>IQK)qGpORbefGB{%e*8jHzz4Sy0Ys$%ig_3%lkqfPN-9V7q|D% zF@>9H-!7P5d~5P+_qAC@*^8`Nepw;U+7ukW!K>2y{`zF)MO$9|oH*;-Q~y(wLuSld zG;{I%%bz|7O8qX_{ONnQ<nqvOxm8P>BfBJ*KRJ_fwyJVx-3bfL-G7&StFsLC4E%rl z-P35Rj7O7LrLL}9Di<|H^LD3b)uUf-U1x8v_Lc5GwU8+_Cw5W2-o0f`p3kP$Upp3j zKcnbzH*?mXtleh&Z#=tG5qWaf-(OyDPoBA|`C4)9{KENd`?omluG{!3ByL*J{r}Ck zemsmWR@(LTV(#+rS9iZ(PAN{w_}RmHtJJxkH|u5leI2CKTz6zOuZp9i<EyU`{;EAM zRvlaZN;A<Vyi|T>$;aa7Eq+Gg?7Q#SFZ#STOL~v<>#($Gv5B$EOEc52ZaXczDMX?D z<RxF`==B$xbCX4{XD%w+BDvo4yZN8{zb4I{Rri1MFQw1wwFYYz>J<Jp$-b(U%O$xY zTx`!GcB|{_uYAw#`qTT%Y}We5v&mP}o>-Ym7>BRF|7EJ&4n;5D_w$xda(ry^itm5* z*6yX1!ADd6u6bMeTs-Cd<%4tFcKthMg*Nc>Igh#X=8_rFi$t&KN4WMDi;9UZ`Y^+w zRdrVA6`k;fx=kr%JMt6^-CebG?(1b=T$(L&YUA9Xij*l@Uvj!1m8kxIUAy$=l0$z_ zZ~3*K?`po#`?C1s=ik4WxUl}xu3d+}KKr%z)^r<h{(CV8m-Rn79QFC4&|B>SjoPbH zf3H^s-LL(#@KR3Dw`ZZZK6!7EGF=<GG5M-Q+Es4;(33MSTh%587Vh&CZ`In<w-I%S za>43-``^6hn&zd_YQ6M*ta<O0XB%#X1{`0sVuJVe8KTK6S2OJn?dy^`ks16=|Nm<z zP0d0rjT6PMJ-@Z>f4%XDSXSlp2wi^3b^Gfrd^`23Jbad2di?Zc)tf^Vd~-{moSs+x z?a%YgT6$)h8aM9G(vsOEE^;cw+9y$5jP-h8gvUazPu?|>$mhRT1k}dz9QVCs>bEkm zb4s$-W-XIl+s-_kZeH>v_wJ&*N6lA9&-ac~6h<_kLJKOMiyoV{MEL&s%f<Ck3!2Yb zuLy2=qEU8gr2=dGi)KUfz?i+8d=0~m<9t)^mItUynS}rD_{_a1>gBB&I<hw=zrP%V zlpGK2eU+`iIq^w}7vE%&ply2>y}hEBbz$|(r@v+9uHSBVF0fzx-sR1EXKTj<WlVPI ztxliy>$(1gH{bcgV)t#fJaxAJ>esikPU>jByWVW_=G?R{LvOC!Wpd5+Yu((xWu?zs zGUxEGr&qpuNmOk<B)V?e;bU0|v$^*A9AE!*?cbRDY~L@fXt&llB(t7T`2A&H_Mk0E z{;l(bk*nXDCBLXP8uv2g+I6YBl^f>8?{Ibh<acu3*3kTWl>zJR?Oe6FRU*Z+k9~PB z^8d~DQ#RY&z1`<syS~~uG^VvMOXYTuZ{;uHt8%l~^vsE!HG9fBN#VGwZBFs*$S!?X z@pYr*IiZsqPp@2b*U$6lq@_>p1bck*yrn+#bJpq;Rc@_2m&eK<+33$bf2TY5{FO%z z+}wP{xaitX{-yh;sjPm+w`%>)NlSW{UbEct^6T!>!>|9}{<QSc?x}$bdM~rTKKAnI z+S{>ZHn)#U6`no5w9NNnu({cqBj&-IQ*>spnRi<4w-xg0=oKvM%_fLgcWur1w)~#- zf|D+)%l$&9M=cefcXiqRE#dDaCGSa#BPWCYimi=DUSC?iIy`4*KO^#hfCDS*Pb(y) z3=B2hX!UdYD|6MWJCmZ^<DWM;TFyOZG~ZWka{nZW*|$GGL9RuvJ~}jih4=rPR<JR< z$DAq+)7Sb&YR_~DG~G4h_!N7Q&oe$vPtpti7jP!|RD9P?pP$a4F*40(Mo;-cZH%Qe zPJ<P#`dHdZ;^3DIu|s&}&okfN+Un)ywd&BpRqp~sAHAFuo(^tPJnq~LYKKic?g4Hy z^jkwlUBGPy&j0nh;KN!(h(p^L_e*1x`=O&Z`l&lNM8C9upPrVcWoCBmZQV0%X}$)3 z-8F57byG~1Hr=kW1~uy#XQ~?-25M?*hR#0jf6jO5gyUOhS@o}1@?R>U36WoZsMwO> zyzkO0S3u4!^#2ALL8_b7UR@*#887QG?O(0wzm(<1|M^pe8bCv}kS65Gh|fD_eF~WY zYX1~2pS1}z9`$G4W2x^c*CFF|_s$i)7k#6wZ$9A&f6lrej=FkvezO02yNb?fsO;Ki zb?(pE)YFV1hidfY|6lXUdw&*WKWoZ7x!fv^)H>Gsz*RnS_7>(-o#d`(eX^OhBkUc^ zrJWOdOs6w{xD(>#dk)k>`<~*zLw)x%b`)1dthxyq_Nq8!dvmJ;e2{nzWRUpI%4Irt zCa>n$|9ZzU+ndlv-1f~eiOilCroIg`j6B&s)huyu+1@QX?|l7z|IWL)jmgI{r`FDn zdl@kGui;tK(!-jyzhfqA*&rX%((t}=YvYl?2LTUHUe+r4STb?*HmCFKhTAWFxIQiO z_QJ`VZob`=zFfQXX13J5Q`7VBcLsmA*S)muu=>>5)7J;zY~S*BK7aQ$A<wRW<;U$W z@$XdM`i4JnA0Kk5p+&f1wc^=7mghg~5AXgTxmn#b^wf#jqSAuPuhpu(cstd)bWPP` ziT<5C+y1`I)|HEnw^aA8nTuQ!giP2d?ICr4y}G+g=GD*2rf*NrpQ66fz}D5+-G2Gg zhsq}39;S&}bVT~TtMZKh^P#(_XsuOc#cDC19l?f^RJwod+2M4XUv{#ozW+^owd|<- zJGy_P4{?3oDK_bXTCd3L?cuY{<fb~ap1QHi<$uf`-_}WIbpNmEUB2()lVjq?`Y-;t zT9#Y4u~)>{?<C<S)u)GA;fImN!pf`eAs@dg(M0}OSjtC{MGtFd89oneJtw~|?CYZ| zbs=5rYM<Hey>nklGyY|!_m@u>zGVrNPM&w8WbV9K9~L}PU3pVu@5f(vPu1`4pXC<2 zyxOkk+S|9zDxQ_E!!v_VIPBf@K-Bia&bp=NIyFC;zq*~VkIk$<boRv8R}#*|?5(>T zUiF|&!*p-;JTJE1%PXu8X_xvuwpciI^Zg6Y+vgh1teK14hYXogF70tf?dTn$wHxXV zYGut?y<vqw>+u#%or`N0rS0U(6;#=LxRklmQY$F&XIW&oUhLz5zPD2jDKCEVGEsKg zyz<qnlc(ORdu?x}*lrZIZ&`g_PuTf`oLv)~ciYcj?Rr0b)rG=<llR|+1(q<I@=RSM zw0m{%L9M;k>6_PRU6|*8|8keS>~pvOKV@x=zW7Ilm+!b2vp@Xzr1X~uXKUa15?B+F zZ5OqumX&Ym`l!YKqx@g(?zaz_<$LPh9<S)S&alPHwrZ6jH+dVh0&3T9xGAbL)q|_t z>;CRMDb2HqTURgWa}89SzRpnlSWq}?*=iZNK0zVzwH&t%Q)6<REZ4^O-3~E-7S7eR z!#DZay36l+CZF1Rv*gA4y`qvSK@(JpOKh)hOw;^(sIJw$<j}AE*Egl)|JW_(owIOS zdEeDf6CVEh-F|t>i$(2A&Rn*bQ@KfQcR@h=Ijc{p=WVP1eU20rpDiMi^TwPTxvKjg zR6Cao-Wm)3_E&A;#jUA)`~O5L!DnNiPr81@MRjHL@u*u{D;MX;wdq>T)2-gimQ}Tp zb7|kB*ViY%{b6WY^(b`jC0qA={@syZb;V-MD|<hk&wsk%))aZk)o$Y7c=DV-Ffb@E zc)B=-aQ9AL`tIp*`;UKZY`-sOwYssV*~-<UFKPK4uAmRCa+1ZuN3Uw%Hh8?}5As?< z2D@OCL975`2eBOc58s+JUE}CYXa64WfZCa&_sci^D{$|-ruW<J*{+9N+m>cOzqk0( z)>@Oi6S`d1`{U!hx-RM1ntuAe{lubxtvk}U{Mv5!J!|HUc>YTptfRG#>1b}5S;g~j ztCZ}`)pIK+74f~E9(-Lp@x@uL@EuWn(yJSDZT4m$_ka(SuUg-@q_lI(O`TkhX}6|$ zOq$cGdh742u%*5c>(@Lv{Pa!A<?zStuRf|?`cR(}IPLDb$C>gk7H-ftNjqVoyFD!7 zPV)SWM=LBh@kK7M-KoCy@m?3%n!kpB?@zD1W&B>ZHpC#poBwu+NorTpHJ$7CKEFRw zC^KiV=j6$v)|p%TA})Wu`XV@L=dT%FDpz+VlGYe>T`q{!5?ZjT^6NzjGMaox`)8iK zY@$+m>*?*c^Os-Te*W@{OQ+-ZS!^gfR~xeZZ0(jSr|jqb|L%DAhktmpc*f%|2X_@# zRlY8peo_^s{Z~@@VAkS-&1$79-d7?I7$k7S<tk{RPlayv(zjXdTc5f9p0Uv$bL4fx z4e}qem^-g8^$%Zvqe%WMa+YY|;vzH^x-M=1A+{BalY}O#q>IXbarfLF_R%nQrN_5d zpjtY};pt83o!dYCt~~Wv{rR&lP-Dfcf4$OqXiJ1i%YW(fB8IuMwSz8ylF;lvVtZ5g z-N|)7J>}k=3_SyGXBl0y`BpSfTS(!5ecVKbQ-^JLc1eO8I>%-mk2@Ef32AKUr-Meh zP-gCWrnpY}<?C7cDn_Sk*BZU2uWj6PA&on`^X`G+(PxrTXISAQR9L2AuYM`AIv@Hn zb>_^O=QgLG-=!Ouh+L18J{5cOIwOO`jLlcBtIc0(0UBQWR8gb|YQ8<u<iCE(6g+d@ zY4a4)UOVxa)BgE7$ecSw{?iWdSe?zo=Xc<0A4cs&ZGnP95~c|}fqS~r25s!D@++sx zN9NhubphSo^MjAqFJ3gqn5%Q$+CJm@;+rTl6e0HO?HX0Ie{Q&>w&wb}>1NY+*ZrS; zd#`Z;Y&LDxCi`VOm*rV3&7Yqg)Os9w=g<dR;%5~rzdqE|v%DI$KJV<cZ!bBy_RrY3 z^xuw8Gx*L)ud|rH#E5J2tp8=(uF0R6b~i8d*f!RxRmD4=-$lxo4gQr|8=t(2yi)!w zX|J_Tg!l0~%YK~jI9gia@%h}HwU*xhmN5oioqbI6<dVI!Y_%gV^7~y=);(vs(dFyx zC5Cgqy^>#FzfAb5^vQ@v@2;NC=b4n7#vdzu*KhIu?6sPk)mAyLSl^7CN6LHdI$Ziu zXKShCwR)cX%FOtGOKdz_Uuw>>{AH=jFTZdjzxnC|j%Tupu6zG_)E+-&a`x4ehoiS9 z9GcrTQ6=)q^P{4->R!xPQ(1ZHpJC+XU&mtatUr7Beb!;c)o-rpyp1;vyFPpFq$OvL zUN-&y{Px!;OYUuIDZ4QFJkP{we%J4pTkR<n%j)UKt1Y?xa%$E0za}?jB%|z-8_Vuq zh;NSi^(@m6DQFfX?YZS3BqFjTuK#Pup^kM~HLK<cxs`-|pXe!WU+(uprMPgz>A%WW z_g8H@XuTybHSMP8wbH2RWnsCy?<jUJ7vOz=xyQ0!tK?t7)>Y!mzby_bd29Us<<oy+ zo<F_(qZdtY-xl7yV)mCK%U*xE(!wsP?|IhkW$*R(VgGK|UNL!Pb@y}Pmb`rVqIWY| zZ-2QKzdzu_g>;k5H^o(Ju70no{uRC{_UFn^+|!=<icbEzdE4{3@e}s?vlmOf{3ZSR zhY50jwcz}2UX`@TNqL=N5&IKFP0xi!gmfHAJ|r?NBP?)pOzSp|NjD$vYCIw%x^hF! z?lrE{*A;3UFY|ghG4Ruu9B%LPyRTl|=#{_!tNh#v&XU^km+j5nRzH8h;(B7?-TCLQ zE_!bq`odzxll=148oL^$+Pnfg?_OP*F!kQt&5>cE8RwV3&pg`yzxt5Jx969?-a9w@ z%bC-EQ~p2U{aYNLU|;KEv^uV0h1a<`*IsgWcYQA1r4jP5v<G$f0#oeQzp3@%8$y&c z?`YP(-<7$<r2Xo;CMz-5)|g$#)<}5Air!fJ>B=_pTSTvPMDv#4t9`C5YrQ#A{!G+T z8&)gwm!q8*I(d7S>+bISu<dqVPp|9n3fkVcbxZbVxBm6Lnq)M9K#iWfo5|wyPF!4h z$R@H_>%(7mhS&R=tu8LudCPrHyuekj>&H@F9++3N{Wo$}yT1x)z1caV)x@qhTW~xv z_oVlfM{gFYA5&ei?@ZTw>&W^Y%I?|IYn6-d7O>vFw6*ws;LBTfQsz&lb^D(`;q4hX zYw2>gfB(cHMOKM@?+N>VZR@&UCO<as**gFA?FVsEUklh>XFN7dcvQRVr9)TP8_qA+ zkrziN<XpY(aA}vzt(<9QEZ({2_Fg&?8L{Z@`n=FvEniQ0*~OgmGpjYNTOk*(#QXoa zSnAEUR`b3!%5nX6N^a}9T$Igv%=TNSTHh??*ArN8O|A~IzUO`A;C1=S|7&M1xBYzY zk~?ej)-M};-Gi5Dq@68#YkU9o0}BiH$!hA_WtXyKH!gd<`r`5}MPCg+8i|?bc$+?b z=^s*^v&pEaEHf`?SDH^ns5DotEb>U?j^dxy$*U%8b}4#Ov?^nJaL~pSP0Q~hlWHe@ z^L)1Fme!_ufr;-jud^4vxqK-7-Ni%cT80JRbiVe?DgBt7_Q{0Tb6(lcvfs}$zr6iy zvg^yH>H2(Y<`&Ce%`KMK-TJ9NwM;+a^OC%d)h_E8CTz^vRHZlj&1>Yr{slsNZaD<K z(YUtg=KQMs1~uWSbF4yRPwk0(w#Mx5(!EvZPkgwy1F0Zm0FR@`O}C5Po3#A56>{&% zp;zq5Yh<MiA9SUNTnCkResVC9){t)Q`bBzw(_f!C<8$=bv0Zoj)h95-Z8J+<n<C_T z^#y2|7J+dp_+ZwmW7kC&q1C<UvwwsJv^KA2W>~>E=lOrrpC(5w&jrO#eqIy<z84Za zUIS@F9J0NMtwEsvXU^_4@Nm$?<u(XSA6w=ZU+<X();gs<8+>78kXWb9ybr~3dh^g$ zo9+3<GU-R-SyB5HM~|Lg`FMX|;5o@wm+N82XYSvbgFLOvuxftze1}O>Ya%j*uVr8N zo_#uY|NpaZ@5%ft`dYu7KX`g}ko9H}W53mJZh!G&Z{C0O_-#vX`TbvhBIk9+!>f5! z`k30e{O5%&>|WkIXRBzHUB}L;ZTq+R+~%7*<!s(`TkF`Br{gYv2+Nx#qxA059cEW8 zo7Bl?%hs)N7khbe5&zfSeav5u`5jlaZdr9%S-A9nuj!UTr9JEK-L7|vx4XFG%%cnO z{@1_V$v0i<%esGk^15^Wt~0Ox*}i$}KZk8^%2pd~)4dQp_wf1;5lD$*!LACz&5OLU z(q|bzC!bB(7?H9uLZtMp!P%|5ZkTPE>}K<|<6RH`$7ALXYgzBV*u2$p*Vg|IN8@88 zR{1qouXtG>o^|q{b}q-tB|ECWev)oZnSImwy36*<FWyIGpIX!TN+8PiFjB*_K|A>k z+oWUPU!N2VjQaY=!}s?m^{1X%KK>WaTs)sy`Gcdkc1PsXzVLIe6XrO+H7PvF)SGoZ zh+p^Al($E?dh<0f7bfkl=y|gE|FtWH@|s^)gx=IVzq0k_*>9p}rq<+4{rBw^*V~_} z?%(e4<o--3+1V@pv_E*k*ZFHh%clR6s#w^pvSl*c_l#Bl>$XNz%ZPogENtB-Z>5$U zH*ZtD&$TW4o<7kot~-<U`NM9}|8gp>C9fCX_FI2t_xt51pPjhyNs@cZ>$ZPVS2nfT z-9*aJ4Ga&jvQ1jBK;diEn&qIz%H!a#Qw$cZ-qr83>&MPwC-s@#&9U#~Fa8YM%6o5N zskZUybsN@Q-nC_O*6pW!k?V{WuS{9K?Ee2X`%e7vl;_FT@Q$%RTXJW$`8~<C9j)JA z{hBdt*ZXd^Uys-P4}SD>`^FhMo>I03-uflE3uYV%{}Mdq_gn2L%1VyRvve<R2)med z=WXcUNk6*MdUh{-<5sxtxaN=Z=~2ax!oFJHcNDsnxnY<2&2^&Qb<?J-|FT83sxqV6 zv}2d2f6gvHf8UA?S1x;<x|lQNUd7*cr{;J?&N2`6xpw~6yz)B7Z+5b0GMBv0UlY9Y zF;Ze{ct!TYr=2z1S~a7X?^&tLKC3qS?6S$(|CWe}p2>Y_>*DE{{pH)5uT~E&7M2!I z%PQY&eRcKK){C3A{yHoE^?h@z=$(B`UHsWsf6Kpax6#c1{r~bDeg75C+uwDq%vjgN z8@1`zj=Z<_9v`_vmmj=)RpqU=uH}OXPcFPW|2*sB_nBHHG9jO~?+z8Y>%e<=Pn4S0 z#@$w;YgSd%%?#DI2~kb=d;R6;d(HUe-A<x$$8YfOLdx_C7eepHe=BG8R-JTc?xpYR zX1jS6N4(WqksKIuVrll7u8mhh8}Dkhubw;CGU{K7F?b!j*wM23Q2S7WERVktuI5sU zc1k{<<)5>A*{aQ&E~Uxm*)=U!#`Dct_v4%B!!yC}PCoi9-&OLYVqKQ2o93oNJGr*J z+-z!fZRdWr*xQlK)iH~=h<bmS>>P9?SIW42Zdv-AkacI5IoH2kdqQFI?e6@(b<3@; zt?7C`-T7OS)6A@P%{PY@J+R{9)(mm1^Hl9a%9#wSqQ9C>kTLhXZn4x&HK=dpnN=5F z+%js9|F@-Y&)VoMyMLsWz7F31rfY>t>FWsZ-Ddey&T<EKZz@i)weUYI9d*upfy=5q z7i-(Cs~$WuJLi@6e&Wh`Nz!o{7MvGLc^8*no3{9lS5NSU%86m=WdZZu+gC?F4yoPw zB;7M@YX#=;lkJhhh}={CJ!>oKJg;F{*gww=+3ov6kVcp0q=s!Jc8S!glVxV^JAZE6 zwJ+9qrs~aoajQxd|9<)M!^PCh+sHhmeaY?8wcX~IcHF*K^LFvCgwxx9y^a;s+doZ( z)jaUL`@8FB1t%@NoYDJIe({y9t5erLu$Z)@>1@;<EAQ<#MlrrY`>&)w_xF!LZ?XNi zRXx(WXNt(u$f~ecCeLupE%u*&egr9NF)**#$2RGj>ZP>JTNER*ir-CDJ9kLvZC&Z= zOKNMv!#+JMD%<pO^+)HgKZG)W*l*J~op=4?7XB{*H^ilkQ|3&IK7I44m&lsS?EioH z^{Uk_pBx$gdS*v!Rn-&gO}zgcto@#7eRr89=J#r0MR@*_lXKoz{oasay62gcX@|+~ ze^HZUc5nIlLqPXV{?&=5{@P_%=bJn{TPrH>J}G2{u6Nk$3ZLAibw6kF&9&a}?M$_| zyeQALONY&5eP=G3VS0M&b!Y$f#}8zDXU&P(`8gwcm8O6FqFc$6r%v4LT|D`cY4V}f zw*n$|9!BzQ!>d%-EZuq;n;SA~4*K&<yj$|mRmbo0&gB#4<VPDmZ_PNI{y#7;Z{6!= zmZlknQKcUkbA5OCp3gPEcG+sqxlR7vhBJNiw(%e>7r#4k)wS0A^BmLne_5$^{^_2Q zwd*qEq`%(rc(QZK#7!P?r;Cq%Pgb5Q51AqZO(TKZ8vD-`U;Un9Z)YCfW3#T(ko$Xz z{)6XtKx?j9Pi)<l#8|X;hkCf7a_U4THU94@`UY8`>9-x~)72f;T@~e=U{hz>uli}q z@$>cP^#A<a^=1BdV`F1cY3bKx_Tu`fE2WP1CF#!kyYf3*hEd?jmBCk+hP`Y>TCeq$ zYqFu5I#S?pSm0T-HS4a!BmGV3E7PCo#4ktE#K5R^0%hqm!-ME$b-_V%wU?ECDdm%x za`~ms=bI@;KQ%fx?zHvyLn`J1boKsp?)ox+E!^4j9GEI@-kP#?lBY=M;_gaZ)1L6= zBz$gkrBr<QiJGUotG*rLwy8QeRXlD-*XlF{l=GRg?AGr))b@<&dX^V+=ko9y1-<7h z|NY!F-+R9LIivy21-oqi|Jk`eeE*L{pOf;L)^9*^F++npfxQLj)2oCQaT!-vGc3Qn zZgTa#D{Z;mr7GJUjE=vaW#<3l`gY#1m=&Jq9)<jU9<RUh-G!sC1Vn4<<d<`Ow_jJz z&vWtd-dV5ORh_pyyb-xlC48HW=Aj^e$5l(??{186zQvw>{P^~)vz_spXJT|(w*+jK zo_}rb_ODZ1!^__+l#SNB@#L*B^2pSK=(t>kLMz!*f8S@+?%F)Hia%og?pLlSo}9Qa zaqZ(TH^qNSnY}3Q`c%LZ9N=9lvoBayRdjxZH+PuD)#r`NMZ|0BdLGSJ*?Q^i{@C@_ z($|6t9ajZS?+^IIzuNT4vDMbd3)>tNKD^V;>Dr!keA(hx7m_zcxwvZFP+`5=6+T%{ zfA!;;FTKv5SpP|}`A}Y1x7ga$vuCGlKGl!BHR(Y;sFRd*d!2G$-Y&Iu-&7aJE&s9X zq1XSX4PC1XY?gms)0Ol3>*05Yvo7suoxVF6IkEm}4v$Y<tTg-FmCm3SsoG5<LSkz# ze+v$>%+}7IZ&r87@AlcPA=a<Lw!Zl=QD}Ylw3C%9xcYdJOn7jA&us^xq`<d-d_?26 zEpl;P?yse@X5Es_lRTg9&pVc~>Vd=BlHd8)U!QB+dP=8MY&C}VU(=>5cvr_J$1PV@ z*UMDY{23|Per|>5;@2WN-G>hQzxXoqlKy7Ri!cA^T;*F|K9zBAWe$@44F4b8mFs$N zZr9Ik6>lSKW9^^M3+fEkvYfr}%h}*5Qf7g&k6(K@`j)=s-G7OHw*AGA#(b7@Rg0di zyD;r-+1-l2y<GcdvA0%yM!9>l?$GM>8w_WjSyg`7S}G`e+rH>)q1tbjs}}EhblYa3 zV>xeF$%maBf#!c>Yi0Gde>R7w=uSE_F}5`L(Es4+Y+Kh?)#S@cBRTRwe8tvAmq|X$ zJpQgaE5e&&eDtf?LEfuNK1WwA*!(-0^|<XycKKZ;_r-QSZS0M+#7rGXW-%mGP(90i ze!yH8{^;!C>l|~}aepgiSs!&jVfuPy372S;m8lQ9Dz`SWG(P+qXa2(qdB@QXE|Uoi zanmMDShQq`O8jw#b*FA^&7OID#m5=PKZu`6{lWaq@DFRT<iCbWp8A6^ZT1OrhvN;V zKjfcre8tOo_5bed*WZ({>Pnr-1cs|8U%qBoA++w@=6$>NU7eur=_zU*2im{`8Sw5g z?N@y~S6<oh)=tg?pk=BZ6G5{BDQhZuzB3iP3yA=2)Opk1Q<m3L=5KcCry;1*`mVsg z>^ykNfa?TE4@YH;@hw|P1A}LVS!+&x?T%4fkrl*HpsG3XoeLtM8#aDq5jr5gZqsLO zyC*jB@75)j#>Imd)OOmKs&>1~n{_1Pd7F)?GKe|J)hJGGN7|O`RPd&viObatZ|zj{ zKYK!H;__uF6Hf#OZ}NEZ@d(%vO8&klnHWCwb=`Sw`yq?@q-M3>%<~Jk9uC!BvQ6b> z&P7Sld72uV_vNK*F@Makuk@i|_#dWc^Lxr_d%;U}KkWdmhN#RET0B=8I@*5+w41J? z^1-Z&>!yOk1Lim^d$7Ph`1}9cJ6n%cpZp&GxNOX9!CX{4EvUVFQT7oB2bY^O-mD5( z;F$cVWA=)Qlk3#FqVt5pg(EjfEe?C6y4(BCj_ENbg2JVbyCMw_o;t!c+e?r!Ql`0( zn`NfsouCN4qPZ_O*ZZ)|UUvSa-Sa)m&#(8dw+|IDsI~Op|NedL`P;Vd=Ll9dMVw+{ z@Q7U`?Gab;ty-$=4`=(o_it~mohcrx?fL8KCVB1aMo%u}T5rp_qWbxt+DQurp(mB? z|CM&c=q+A-cFvqP_g;(dzN_=JaE|I*o7UrcrFSmeOnSn3Drk=KOP#vox;AO1x7E%V z{(c|aYoj-%+IQud-I8y#wYTQv&WWDI{5QfXJyp${sdCN9E3>X9#!r?0eDz%L9M7bf zpQ)bK{n|_8rcXH}akk*C$f}C9p-*iV8mYz{&s<k}XdSorv(-Vm;Zv4(Jzlk_ZuO%b zUfTXEABUNo6S9kMJ@v@Kf2B|6_o-WLHZJ+{&$xikOT&N38p&7M3&M^_9zXh>bC-NV z(8Cz{K<63O{`2i(-@bh-dVhcF6AfPL)JqHPd6nHWFaPq(jk>?GZPD#0w?3_(GV`_v zOV^dH-&gfja+Y;*(=GiuOReRrqNK~F)?JOOTWys$f0gyT*J1DF*>*gCd*$?fo9Ozl zFRb3)-Wd{aUpz%`j%nchpWnFle!Y`kdUVZYlkXSiPcHrUMDy3XTg|SPHg79yH_GiP zTXiel@7mYxx|e2^S3j+M|8nW?<(co!2%COZ=HBuvq37NSh4Zg3ew!|TsVVaEm%HIz zw@n^w`&0J!{G|062U911IXP3f^zLE(mB-T^BZPk~PX8hk{6lT$9VWZUO5fH$uWY}y z=70a>vdvS}-QG?=B^=#h<9NIz?6c@I&F{bFZVB$##<^;0)^^{WHs?Yr<@CSL5|Wgk zc=+GL_fl`Py<rh%w4}06W4h|v&&#)NZ8a_3nAUqEymPL%&ecszbqqgw^qu46`@AFI zmFdi%6U>~qPUc{*yks|B{-epdUwVy}me(RY7fe%r?y2Ctrp`MdX6e-9`Ez9|=Nw)0 zIB09&+V3Xqwr3*VMx379p|$5fk14z5sbIbAqqX6SYgw;!t#}+^^lhj1gh>J63NK&1 z3aYN&9TgSz<wkOUtKE$YhL6AAdKK?&x$^6m{waI+KmVF>dXjYMZ)5oj&)?Stav$dj z%!{9XP3K>enBJYWzvcFLEuPIMzhh@x^4E*m>#j}a{^vXQ`MrfFo0s16^?%teD}Qaz z(dAhQhuxmG#YcrMI>x}j{|U4fVEKC8z3##6PgH!bKNjEj|Hq$e>R-w~$6viNxqH^L zgysJppL?JELihBSCsz*M+Lf|TOfPC#{f@^>b1Pqa*;YROyzBp@rwebZoVLl|Zf5s8 z)%L^Zg;MtG43Fg6zN&ksX1n26@~=aO^<Pd&W?R|Fd27$1$tyPVA6wJCGx+w;Lx+Rr z&4~OZo_S7X!)m**v(dMn$}4*B+_$pqc1V!+UsKVlJg=4OwJL=t@8bC~b!(KL&#`9? z+0Q%9q)p7Zx>0#%?B=M~plH<Wp0ab_DU<Bn#~S`mwuU)X&e<@<^;C@9vnO2hj-A+1 zw9D^Yge-gKo{h`=KDA8S_<eq2$eu>M!?mnetc>UHX42#?Wu5fyU7l{#7LUnlzL)k? ze%7iwQ+<2es^YUrrTaET%$?hxU3`A^t5>nh&z(E|d*8OSjb-_-_5MC!*8X~@eA|mf z`gfP{_uEGG?wlhv>-dW=+3!Pt_vL4uTxF{&Z}wnH<t+1;lD6Bv@P2)A|6;!U&uJxB zYkZ}p=3M>E_o8=xZT$PXKf$wZ=sYbid$I7_&8nKaj!*CZnObN3qo_9LlwsB>)781( zOs)QIKcDq>UDvFIe!N!>Z1XO?U0c8Qq;j|Vorc?dYpz_9=e;hvJ!WNabbr!kkKU7K z+CMmQd0%>Xy7x{Q-{*>X<u~SE=vF<R8$3gGQp>MZ0V{Giulc>$Rup5NxG(OljaZiD zx}aRi>Kd1+5BF^fJAG90lFakEOF7cs&pEQ6pFb2cQDQdp<OwrPS8v_7DdhCDV-<%g zl{SW1vj+XYa4qomm-^<@QE!jrMSE|Z95C%fZTQn|nzL7*DlSTxr*-D!!e6?NA8TE+ zRBGJSdDTtx%*n>LVM`0=x#=FC_UZR|<y$8mZp%!&?l8|;CzY|s>uquPfvGc2Uk;G= zSn^@EM-|_j(C>xWTR*l;y=74|byxlVxY*Zm*N;71z2#%e)K_+SYx(3f_6n-(Pwl<- zZO-D8>bzEe+KvgEzVwgUvaHzoWPJ9d?2M~lR@<+x?f)~mZttVOzX@MmXPKw(nRQAh zWba<>FP~MFzkKBOyV?-rmnF3>+5hi?sOTcAAK$7<d#xSU{?#?h=sC7!@4B<m)u*>P z?mhLCZB_2AN~zn6l*N=Bw?8#sf9Y1BdglE}(xsPOg}=T${XeKXJjeEnc4t=D+&9fD zZ{MA17+>~qsrcNEU;FmF*p%ZZ<{`LQBwp*>%_QA}^P4)pv3%@*zvlj;KNGwi-Y&an zcC>Pi-lyPM_q?_iCOuYO1y1;Sc6GBJ+kAK#lqH@0oWuM1`MR)cVqvLOXS3ceja-%L zootgNxBNK^d*v&)BU@dMPT#mbXx@>K8OycgkF;k<@3-;$t*79xb$>?3%;izVMFC>_ zEe*={yPgpK@@J`;PK(gSTA@hw^aXv|Yx_M+<rMvQ{^}@Qx4pzp|CG@7xS0L_eKyr; zuTll&moAlK8+V?MZ4_y_=b-d8SE=&X%jKolBGX^qDL%jUPvdH-rJQ>&O{uxR|MZ7% zZ!Vk@RK8N=a%#@3_Pz`M-`;t<+i_FopQp3RzZu=N{IA&iqx-dKrN_+V%F5jMf6RZc zKgs@bG5I&&jSEJh#qa-CpOw13g8BEi6`2<lWWDA}uV`#8%f8R3#&`YG?d>mmukH`H zev<p^1OMwU;>G>0t+IZ1wM#Zry}RMl3BC62EOq$<DjQCef4Z!gDf7QWz38*aIh8rb zueQrf-SF+~$ptp8`6^m*U!+&a?!P|em${wsweV|MJKtOmFPvi?&i=e(%lY|}?rvoj zN?N-fR1FyFU3HzeW6mFy{oHIyGRHpd;M#kwXv*>*(u@3#NHS?Y?=1e)b-e4a&C_ci zQa`I)FuOX7Svzxf(BoBCmhE5iIi&h<s%mcVS>NY{$3y=Yhba3m{rb?z|IsN|-=uq? z4s(~zSpNU#;U_D#ydxVVCP^GuyVuX4cSPf<hqTA7Teq&<ySMJ$yS%j#8xMW2+kNld zrE}(S)&IF~7hTfumwM@Geb0Yy_T|^NzLs4V>_5Mi=kkTOx3{Xt*K{wpwZ4D#!$Rkp z_-Z|Kr}UVzy?&CFGjFB$hbHf2yuUk6-|pwCbHAThN<}@IZNl826?p#NU#pV6g6|vn z-q=T-WuEDwa`JQ4m9LYvzrKuIp80M~=C9*Vt*7??aBP|WKJ@aJ?2?Z+7ng>t^K|#$ z5wh8CgVSrX?-qWsuB8ELt@#sP=eei#{L{U2c2?OumtSY2-l~7#?R`|=p0u*oDUEa0 zU6WhK^!-=zrzrJq7x|?%@uwoE>Amo4S(brk8V?#3{oAr-+Xju#*<snWsVk@H_7-Xe z*G{dxcI()|XT1^Gy6%-iiJIM0R@$67X~)lPxXvke$%YW?X`4JcpLWJP?)xNi&3%p4 zdIf*ga7nJ}=qox?S1tWMU-WvA+ixz03B10E@)xdMTQ)m?U*zp=xtABYc5f+vA9w%v zxxZ(aa&LX;s@wke=stau>Y%twb)L=l>^pt!{>t>q*B=w?&$p=Svb*vn_3!szx4$mr zWZi#kS$T8Z&$D*EGv)teXBIiTW!!iWn<W|Xuk>yGQ}<t)@2~DEeiW#hFJEv+KKstH z-YRwd-n=O#37gIKTgFXxpSpjNm+gtCvrK+nI{NG5(vS03Z!BGNET=yF{nMrPSreAT zef?&Voe<2sE~V(zZm!PdKKe&~=|2wr6D(G$ob><K-z(v7wsp@~e&y*6qp7MX^MdmI zxa|dsf<B8r<2?1K-1GjDO(Jra-wUn2nlrnoa?Z+6HgTTP;`O$srgy@pW!-#bp+8l< zw=nL+Axou?Hj<Ii5y9fw*Jf-D{_)=Ac&O6WoXno%Cmz3<^FX8DJ8hj^kdE@!$r9|9 zbIv;U-8vR|U)4n2T~3?x?8%9rR1DT7eT`;%tQE$qdckhu@yMQSA6NHAB-<U_)_Enp z@7(j&<D0@xPYiweH~nbwgvB;f?}P>ZznT%xxK-(uh1qv#3y5=b<&q_wl`9u}M%`1H zTXrPXwa=trmfoIqzUOT}EC?%k8@B$r=Kk`{adl7fzn=LJ{iV}5>X7XH_UZNR`nG?C zdw0J{>eao$Kk5EvFMr)1d#2^S2|Rpb=C8|tgsbY#?tb<4+p6lDi=zym*7(XiQ(@vV z>9LOAJWKrb%0Bb0_g`P^+v@+Kb?x)aPZnmcBf?%S*E2eMkbkep_Q*BciYF`&SvKpu zkh1^IeVudko#rjj*_d@A@it4Um$m=L+R5i0J0<15@pAihDoFSGAq)Opwl8g-esWFI z^mn^1c5R7Wy_({}TN|gT2dQ}{-wBk<u6;UbrQytjw=~l<{iU+!ZkV}h=JH9U5w|M4 zuCKa!DoD$J>2}qr%YXcsxXI$o<0rPQhjpf=tO<*6&78gD_VPO$C*{mv@VvV!`if5L zdD+q&^^4(8pY1uZbxPwtrYzShg)y(n7Ni}W@!C1IG3CpPix18FpV;u;zPjqq!97>B zo}NE>`m>FxnYowslD1mjOC6VfM-=T;ll|Y>UV45({>%3GU&gh`uj5Q*OrwPLcQ}^c zy}RyW-#U+p_dl(fwr0*+`~7b%Ohx?IY^}fU-(T-*``hN}EWeAc@jD&w?@aHr+gi81 z&1a97x&DrbwY)xZ>z>Z?yEMo8{iVL&>n~o7zpvCgbFudRZ=t?9e%6sbZ=Rf8HYeqk zmhrSt5vP<UE)Uz}@nogywOegATPM0I`L9ix_~vP&eRgfCX8%$hp~|ezt=km+b3y%G z?MRhRSG>eJZJtJ)O4jUlnHP1EiNQeGJpEx-{>!_;!j+$<N>^RIT^vyT{SDWi_~O$S zvvQ;+c(f(`ynFujKksST*Dh6?ls4=(UUdJnpLG1~@Ut4_E0;<Bzq9gpn*WM4mC5HU zK7Q~G*k|1x*^=s9KmS?HzxZ5`D}!GBc(QTk(kmZNCQpaBMzgf$(~UDhuYP1chr4xY zpTFGhHCuTrvy{D8KAwC$ZCcQA_5QOuOZ)ui9gaMetO*enV{kCqbNFA-!|iMDSH0HV zx@{Yqoy`?dk3|_dQVSHF-PJzbxpz<e>)X@MUQhqcz5muC$#VVce_q;6Uwy(ft6|=S z*y4Ft4d33sy#G$YvRO$nO(#CzyL^iM+(q+gZ!i5@rd{IrB;6@CK|Oz4<m-6vN}be+ zCxnlHMl7}-iMl=E_<T^9HbtCLx_O$p{@SloL2}-pP!-C~b(X82w#nlOXA&r66+leQ z;}BVvjZ;_|CagMQK0!tEdd<4LU+3??Tqe+;DPa>GUz50Z-wQ40ybb5}lrH`EH_Y9? zBj?qA5t*#`m32Ow>vf-QoZ@PCT-9IRJMu|!%%>YEYjaOLJ|86ZQ)aqNtxoF1^Mx~+ zb2nWJ2L-dz{Ku1yt3h3I;`xpzFOxO9Yx=zB*-hI7;#SUIY6D@tOxEOPP>|$%_NDDp zIpYJ7?u|JgZuia&`yT~K7+~i^64gIg2%L<Q`>`2n(ZuD`BC@4F{n$LkRmoof6ap+8 zK}<`qGbXBcEY)FXNI9}&RfunVBg+cSR_n^hj@16G|F%v!4hl<0kfFiepve9cc}fYa zVbWfk59w>y>wtW$<gdH&n%R?&>F}_G1ZxpgR=_)wfnnuiE)@oWX<P5JW<ruWC|WRF zR$ckvd{jIr`G6995;XWaZNN@|#4Id3C`{YLz%WU$c-?a@m5#UDQeR){o3Jas=3Vo? z?WKP<IUAcSes8aHZqwPQ|5j%%U%a?b(l~9#iAOAzATQipm3%VpcSO?KT%$SdmX?X& zfVjCTndNlB+au2`xQmNqH(oQl_AJHwEja5boH=<A?3q&~a?hT$Oguh+tEHqtgO%G} zuM*~yTR!%B+S&5F*pZlYOEBk$%=J>F8NVxfb*;#wy$*AenNbdg9OY9vJbu?ta{n*s TU*dfpG!*IS>gTe~DWM4fCz0xl literal 0 HcmV?d00001 diff --git a/docs/img/q2.png b/docs/img/q2.png new file mode 100644 index 0000000000000000000000000000000000000000..dcb0cbc83be55a192948611ed05590ba96c6ea3c GIT binary patch literal 60313 zcmeAS@N?(olHy`uVBq!ia0y~y;OJ*yU^3ufV_;xlU3@d1fkA=6)5S5QV$Pep<uxLu z|BiqB{wA+%@&o}6&X%~QEf-iEMMM|_1(tHYT3J5r{>^Gj>*e$I&iT6M^A%yik4w6q ziY)0e(PV59n84TA!sn@wTmJmJyNAL_wVP9P71yixSKfJd=1q<L{hu}E?D=*z#|?Zh z%b4Z$G9ZC~-w{l*NPGqcruIjINCFK}XToZZ9%+waQ{tO*bkd|rDxRF2oQ!=e2UjH2 z#FSigW$a@SEq!ugqC-1E*8(Yn&m0IYgM+TyN80ks!bJLKL|Sjn_~hanw<S1oS>?4O zNol!P=Wx!?I<#l)sgI8$-u0;bHAvi}rguv>yy5)en+p~_zrQ=#$gZiiGH0FK>w7MD z<!3KmymSuV=Nsj_l#d0RiT!{1BiB5m>-Y3abN33ERwqp?(w3PUy)yo<<Hfb}uRZFj zmq7SYYl)j!|BlPwH8PXCjP5Ld_v}`3iQjj*S9N|pZ$d5By}Y$JexJm8&im^wUkpBO zc2@Y7$!p_UtHgQRo>dfnzu~N-`lvNN<a=d$4U5M+{i;os9j|!*_ix+nx3*aR!WDbB z(;Yjb?Nchs@5N~Df6={un&#IlhCLnUq<&vF|8jj}tY~J%z2oUc-!o4hufKT1E>}eO zu1oCt3Wxb?taP?7d2>bgR+WW+;Ktt2<)L#Hl{&4Qz3uge+s6$V;qG6tHK&np!6Ki_ zYn}J6zpyIztjOJkE0t6wuW^{>&0lb=k<U*x`J+hwQU0uo?X!70e=&PrExA|Q@KI2s z(rebIDewL!&3G1H+b9*Sdh^kh>@U2pLa*6{CPe6Ls?a%aT$=PYz3R_I&Z4-V^;J1N zD^=z9Ew0Yru<Gci>sOMF&3*Ov#`~=6OV{}=vHDVfcmDNNTOQ8Z^weJJ>Ve%c%y1We zn7?O_&EIdg^Kb2~-hS!w<<!kLMI<FxURxWzy7u?CO}F>XXG{L`<73?0^3%H8Lw;2# zyZ24GniZOrwd&jZ`|E|({VL*Zl4dO{;{U(ys`j<);|nJTWo(I3`4YC?Z(~tP{^dKG z-K9zk=if?Qcm38~^_1@1^>*T4L%KOmzdZkMSDZE9{3(Z$uP&>WpDP~kzwJ%#EbG+H zJGSgAem*pf+I@RfOJegCi`=c({_OK_zr6WLnagU|=bx|cPOeSO{pq?t$7lNOt$~}> za;9AT;?(;x{o<yT`!nJ<cbDe=m-zedv3XSOp4MOedtGv6+U~RqnSTCVdMdAa<+^<v zI!jVkKabdB$sZa0`SII({=IzanV<J17qyxPKi^k-b?L&`Q}>qb3>JHO+-Skl;}z>A z@Ay4zQ@mX3^ZI|d+ZtOR&o-Xxfw@r@!JgGdOHQP{y0m@0)$v=ZJEv|eZmo;l7}_3N zdSy-Rob4~yOft>Bb|Puxis<cmuGiMb?hc!DWpDL&F4^@3HEZrHn9FYbWQ*&nKqq_N zH$uwaC$3HHu{>Y-LMHp-$DrdUFD*)bdrI-ugImvb_Ik~cKIZ<lpFe-b@qL%)=H>@p zJ3asPq5J<9_V4o!al5_x>y_yBFP7ykyk+<|@b2GNMY8rgB5dFKullEZdF#twf!=KU zn9IlO)~}W4x%P0q?fc+0s;Mge*Jq#Kztr>dcCTFa*UOgwTjsvLCwxZHRHNsmwtsH4 zN6okycm2-w_<8Ewh9S$pJO3&=Dlc+(-&Um_o3$1{=ceu77IpHsPi)Cq_o!>tTkZD# z7F&ByeC3Vl`>w1H-xj>u<GyI0PVBA_v2NGeUtcsg)!p2bI%x^F-i`z5xrpRvIx}<D zEZgdBd~!A#-A5Pg*kLhq*-B=1zKpA@LVx}Je&00d2*=Hwd*|N@3JNaVVR8O@`Q=NO zrp%lfxlp28{`|v&7cVX@j@nnV^G@FOM~@$Cf4DL0&fO@(@|9-t#VabEnxb4&vTk?n zjN0?H<<2tAlWP~h4$s)VcIPd#^^50h;r*5R)NihduV(f3y1Cm!_NQM9T-|amOLpSA zv)#|L?;bpq9*}W=)z4qL%3dGmTCXUq=#U9Jd2K=Kt@3wEmVHaUwXJBSnOxy%9?tL? zzCj*q&b_?oZ2KrV<jJ>ns!nTf9XWBr`|7+cF*BD%AOH3)Y?tJ+u(gj;&U<RAi{<aw zVqQ42OrkLB_NvB`n&m?Ecb7a?O)E7x-FJOOblI9V#gkKvRU>boU6yr!Sz}4g@-Th- ztJgZ$M(s<P%=g4~)lW;^6#u&`|JGG(X+4@0n3S~W+S=&w9eHnWZH?NT*2|-xeo#ZH zdiu2U(W}n3=Vo2n*llIf8{*=!M8~rFlw|SJrwiA7j>}mS8GI^pv5|!QRV%waWf8*f zcRCxdVJ^AsE3Tb#(*ElK<KQiaUryWYH)oc6bZWMt*JHas9{GK5msq(veN2--X|pXr z|L;S$y?b|V&8tb9^Y2R9;<&y4!v4PAF0=FUzU!N}+pS;EUwVzVJZ9yJ*7#Sm7cV_3 zwM<MlO8d}+CwCU}c2+;_dbg%{R*Qztww*H4=^0VK{=d8{e(vg}|L3kPoFUe=?v(M) zQ;8cRwxpaC(v9D@r{M0U)YDr^UIxiO=Yf}AD{g*!dwY2^JHOW?y`4{lV(WfBl`=~4 zcxZpe&)@(0ySux!OU}%gArV`0(N%8xj2Sbyjz|2dNK8z;wXb&foxJTjV%<`vSx^3+ zQQo=IdW-hyfM&VBSJr3SN=6D=8J4aL+V&<a?ed@7cisN7#8xDRUk&G$Y_Gr2cBR(p zP1f4B-{l$KJ)Ix=E*4GP?Dh4vwodN+pl@>F-@h$W33f{n=asn>%$xhuTx;&xTblPQ zR-DmUuB3c8MaDb)80YF&+k3+A%<{eUz9+P1`ns$f!<4kS`<$~S!d$myEOuKxE&Khu zzo)Y0R@(0FJI#~7{#v2g(`8fSPVc;UPn<jARawCOf3~8lm5uo7mX}IOo-$av!uXqE zk3j#&dH3=(jl-VK&C=e~{Og;&|Hfm2lI(ulMavS_U;4yz^?lvMU*-MN`fitgnSIsj zS*gC(@&vceBS&03e@+d_zP2W?U(VL+jM>B9JHd|^JN9>%7GAH~G-p@j+|9-5JCn}^ z%NdC-N?Y1Dc~P=%`tw6Yw^#5SbN|}g-^F47UvclxXNO;9-Bh`KDYB~IFyGQ$&R5@G znz(DjNAF+1D)M{xd^P*ZG4V`tG?#t4#6qj(b81yyK3VnNMDygTZ|3FUmp25gEH0n> z`D@A9xJ};Mw?(e_zqB>;LG}BWXLsN7w0@m=_|NUFyVf+EoqWsUU!kq_>2(M5@2ze8 z%6sz6<fR{5cfCCMZ&&UQK}+LpT8Exad9wS}#3t_RlT^Jw9X9gSjolSe`}>=&p)@Bv z<uqJgw0N;;$%_Ebpq!kXhrjOJxpU~c=*-e1@<PJGTT5SueSLA!+0f9itEcD7x{4zv zzE4xrPiIQ45*E~Ro+MKmqV5tDwyo$)@KNQ`L!xOOK{H;pcs}ctd1`U@)ag@`E|+NM zzjsr8r)#lHQTcl0jW)qh|I)QT*T){8Wb(USyF}sp8HqKYc5P8!wDguq+{&ezySM(& zuzhQprM1a9`)I_SQ<}=tnjVYKEjVQJb>EBq`^=SIKRYj#&~_rxe2MVXIZGE!iAY|n zrNd|N%1!f7(JI|`#knS_v+|xkeR3*Ss%wq(METf=C9fntRTegcY;stzsg-eArk1|8 zyWZtR9Ve_>Tb1MbcX~Zpn6Reuw%f@W-FuR2Dgt63FK$Sjcqi|><bKAyop*yiewMqY z80VWi>5gDm*EWyYcklhrn{wFX?kS5s@#=Hbx4xQue9NoJO}Y=Q^p%5W9`Dn*o*7<q z+9Fa#a-;9nOY4lf+>5q8->qJ9xY=Ig+qeI(?w6}*giPwO$|}D3_t))}d*$jf9ZbJ2 z$T`aGp&^54GMy3^6I-@n!-hlO{gwxJc6Q#{QMg!TrSELBtb2PZ7aaP$qW1SUE`I*- z<9)JI=g!q#e)(nW$BsmaP3^5G(`HyrtPEQA#;C|o!}$7?SM4VYU;SHKsT8d4t9iv{ z<r$rm8!Sb#HlL6a(^{PvTJk<n>XzoF4Gs>I&aCMP?tOBf&CGYZ-<!KDoh`*&%Xi02 zFU{9@{!HGq_UFT<gcPYsDXYG;YqqScoUOL$?d|2co|0BMZmWuCN3=%h7@h7qTI!IP z*mma3Vyk)TSIa$$<StJv33l^X;k0%Vm)!5fttVdHJ*ll8cYfn7p2uH&-v`~|D!h?u z=#x6{RA-T&*6LmFrc@tX^Cda9>(u-9=$Vr0PtBaUiXWYwp>4{aI`?~U^4Z&kwX>Q( z1~OhXwM$vK{Km7g)ZAN3WYv9+UN>FxM%L?IMNr=iiL2!jmre%%Zo6Uge}!Zl&*7*& zpO!oQmS}Soytt8TyKCl!AH2U_9ZO4}Qywh2`PJ#X(^vbZFc+;}SMsIdQjx8k*CcOt zu{|rK^Zxnl`dRE-UAtpec-^AeS;4uMh&nrKrDgFm4P9N|g$ozHy1IJ$t!=r|FD7_( zbaVt9?~_&aJUvbK^0~Rzd+T$2J!1AmUB9{T{$#Q2jhk2Iuy512TC&1(c3M}!ER~bB zCXuJ7?YMGc=AyHb%VctAX&%#RJ+d|Q^@rG7o6^>arECdd-t9fJ-8gjran)BMaeGvq z&Dvwz9)?|OeCrjr+4c7}xk+4IHazWzoK%;-zxXSZ?=5eC=x;OE{0gbIg&UUTUb(W( zy}InVM<8d`)dLn+`;C%1^A?2VE}FWftbN+Q2bb<0OFU(}A$Yf6REYV)tx|IhZ#Q4J zIa=*EgD=;Avi7pxTSr=^NoCJ$H`Up6+$>P}w%*(5{T|&#vo)U_5R)xAy?arsR@CmY z+=q)jmRvUW2`MS#JZzAXf5oTw?d~a?>K4|W%Url?U9#1i7fTxF-kM_l%*(#u=VO;o zc`@Rf4D9xV#y)nQl#;!Eq4}5V-rwsN+&(_%O7GvtQ~te9sokR(@_fII)7`gLu{J?c zi{~Xt-Fy=Dwb!m@`Mh0buT~iz*4pPkH!l43vpo6NTh;$BsV?si+rBH(>u2uvTeiRY z^4^qf)a(8m5FEG5zdw~HaPHLkFY48o{8DW)oVxK@?cJ)w+EHQY8{}S9xO`TtTT{7d z)kW6ilF;Y7QbmsaJhv(JG?#p+^4xiiEjQia<t4-WC(oW~>FWNsD}H}(uc*Ad{DY1? z=^v~7tAoGF-SL-QTs(Esm)YBvx33O8-}tKB@5suTN<23oMXd_F=9hbK?#c^lv89FU z#kfp)PG0octN(g=OIsUX-pvJDrMG46w+@=PP&I!dDB8sCUfmpOyOTff>N1h-kA8nW zRWH)2qUp4DS6SfV4LNV`PFW+%zWM1Vk^GF{t(j@ljju2Ll^eCX&M`RkNRmXD=?gQ5 z=5x7APwX+ty}8A;db84%MXyzNt#O~@)N|_2rLO|{Ze7>Z)w169CI8$wT`YTNd){h0 z@h#zN-tH*N_nfG`uifg}*T1>Xjk%e3mA+n<cX!uH_kKAqAD=6`N?&W$9r&>A)$RSe zqukQ8Qy=Gh-I}^`!-@jQZ)#6_c5bS$^gAVceYv6Cp5V8Soy$spe6Ig;_ILTp*Bc{$ z9Y39)UFEeWud;fXn(YPW#HaEOn@ldHe*Yhud~0dOE$RFhr%m0x`q=fq8NT{@dGh4t zFP5Ipjrwyw{mYH+{}(6CnU)oKe!|j^9D6^U43?7G>LzSIZ|R-Y@fUV*+qymvyVVsL zHRaV5<EQR>3-7<(_4nKD(%*0SvmecR+&cOCkIOS#m1}=}37l_Ndu4lmyl&(sm($br z`5j!~QR{FvdGk$^vNsXEvesd1qqcIDScoRgSeD5ry?t2$XpAE4heF!5u(NYFdHkOB z^?E7u?Gu43XTMmXd2L;+_F)6BGfErt?pn=Uw({NG-Qtn+r%X}t^z!id5Z%Ku=Tu*R zx8AN3`G$*Dq@JF3(x69ZbB|8^zL;g1ubQ~^KK$6J?B4f7*#_>E1#T8)Z)RLub8`KD zi|==eL)XXc<&p>WKf5|Q0%Bt39DkdcnR)8WnJc>6?*y$q{`uEN(|ejfBLwEucHRG@ zAF$GW(t|aJf2^qV(&l?O(G+fM!}m>_H*YO|?&leFyiZoUcFvhIKDl>yZJo8~)4PhR zF*Zzal?)64zmFU}T4}57k-6&E&r@>iqq^LCHf)os3BLWxLIg=2!=DpEFOc~R0v00u z$O2cA(D~aO*c&#-J2^QmaCQ(#u0bevNH8REWG78~pOTf8l|Uf_Lzcukakx<jZZ^(D z%C!s(S%zJd@i#8emAknuH+q4yhKtTT!S3`Uk0du%xWSolt3!M*h@k|)f$th}h>D$o zVa3s$tA!J8?pMitHpleV7F}Px?a^nYu3n$;Z?5UDPjX!x<st6Esjn;_wH~^@dcS1& zt8Z8D_h^^jf0Z=r(wlg*7q=^4Z%IDy`1IM%D|-rSV{dJre2mZ5pRaoBoT~LZ?k@Yc z{egL{dHvjNZ|zptEcaeJ%k09^TI-jyw9f^vP(Je9@XOw3p{dWGcutZjn?231_R`}z z@xEGD%bk9nK^<pTJ-s~tlc!DI<tu%*?IQP;ww?JKwkBpJr?B1iz2EOG?vu6pp)zfg z%sop_Np>;ONO7^Np1rs1A58uh_djDApZwV=Dz?856tuSAvVHg5%(vDmb>qL9x2|@b z&XXo>U%D!}=5f&W<>_hJ2YB;6Ce1o}^}6~0eb>`BPEog3Kd*m(@vJ51H{Y*{+5hL~ z!>jkMH8QhLnKC6LsrGOi@6-ts0^Yt2y?So0wfCCrtE;Boe;lzPq4D@vW;UJ`-?kM! zKNot;*Ie__-|tT)Y<HchElxWV@T~T`=BLl+Q{_!o+)6%q?%Xt$$hBduEmQvPJ$w4} z<lAqjsaVSF`~Mr>jdCbGeeUGt3DNf^X3qNc@iM!p+_Rr@1yj@HEDMd?zuTC5`tP-L z*1q;Opv-TbPTRt>)|bA#OD`_{>nxvr`rO~p%tdZq=g(Z4`&jMO%l-9h<FDsk-`Jxw zbJ@zTv%kN3>;L@4t>6FG&ed%HBXoM>m&v={zT~WWw>N)l)nUDzck^1?Z%y5jI{)6^ zoOSDV{=a|gVS5A@mwQfFx8Cj*UuN%g{#`!N_K23}sp4HVV*N)AzTC*Z{N?`puoEV> z?>84c)!O_0o_)!a6M=t!-<SWbGc93L%Ga>7v9s3f^q%~*WS8x`$7Qpt-rddr`nTzR zjnwJ*`~Td!!~VUyY_`fdtZbfKZR)D~x6hs}eKlEg|Bt<~A$R*^t-W;8clXTBpH_X} zZfSh^+@gK|c3t^fwC`Wk%j>b~-}lv?+VN6t{*g^ZPrY()ZgP#@mZMpI|L*Q`Z9~Jr z>}zX2dg{RQ!@dnEU&G$sD|eY>l6{dab<T;fXD4OO{?H7ZG-Xm*$meNl;y-<sF5hq9 z`|_lDddAOLZ@cmZS08^bv1*;{EX^ZnSMP0E{OiMh``7KQuS@^m|F_yR|NgQUE386a zM4f$T=4<=wRLI(U8@%5}AAkALx@|@A?q!>zbZ^Zr3z4tc=&-ro^OEiP+LfO9e<Q!X zYWuAxwtZ!L{Jce_C#$Cv_zBzAemB^fFRboqRiWbizGwdG$ZoS2arQ@)JjJ>dm!Dqy zW{%CyyUTRM7Q5`R-0Ao9+0K-$QBR60_2O3OfwGmo+PUkKu10^o!+cmY*nUyL?Ny<x zzrL$}fBI>WrizM-`TZ~M^N$71n{dIcE6XTkQVQ=%@eLMt@`CGYpG*J!@wv)0U*_%Q zZJ*}o*?xOvviI8$iLdYPo?D(98dl~vPp;zO{;27D+|Ta~FIm?6{N4J0l4fD~H$s2h zdRg)^ezKUB&6Rbp+vmr>%?%ZgKVuR!D`9Tt5|g#j+xbd3Ce;{U_9zs5zjyhU*Xt&K z`ZT5P?bcP+rK@C=-9&Dxr$6&q8ehG3%5?SC7L7-Hzt;$P&$TKoIcNDi<M+3>arMV^ z)}9i8#h$_moyfhR{QEvky|Zj(*;?t$*Xx*DZ{L%&cemGxSg&jS{dDfEs*evRO5NJu zy+li-|4j6>HL9z(Ywb&Q_O1E+zssg=X=Y6A-VYZ%TwU8<?!UkG!v6h#BK_}`x{B@! zFaERPfjKDhWFJYt-h4eju;c71|K)mNpSFeU{dgo|@1GYLS2@cwj=gcIwW*x=ujsbG z{G*@l{wW28%iLRL*M3~jn|QUVYSGLaFFf{sIib<oe$zC$Y?0l}Pu+5VKR>nWKAN~a z(_ube(Qe<rTP!@=c=modvEmSu(DvI`c5F}2y0(aS*P8ey9WiHnUA><YU9YZe3w1Wl zlUQn%$oKc*!Tv8_?j9~V`Sb18tgl&nKfkMPZB^#C|I)Cl{QbMj5nM~s(^luXWORPL zHbKMnNX&!j5?;k=eScKXzr6H#z3=7K^*f`cf608my=?Eq$*-?C>-*~Iy!erKZ<o^T z+CZD4-<Ri4kNAFVxxJ>2iOXWW*MV=}Rwmzl_%*8hhk0rCh0wcFC7d2SrlAUQp~4z{ z7Z-<?e|mE0(S$GA>jJNA$=noQI#osU`Rx2Db!SamTa`=I{ih{gS;64W3yZga&nCGc z{>wi7Pki&<y30=Un90(!)|XT~+ZG-=sSb*$8td}4Dlb3YZWqy%vAn#uUS<U-?YGar z{`%r=z3`5+Q8%BhO8IL3_|LU#+n47l*q2@xUHa|&!f3YaZ~C6U5+AbJ*1S%2?Xp^R z`TOV3$(4`JX?x{9UKq^=%BEirANpGL<JxJjGi*Vz)BI|Kg6bHXR6tR1*V^>@*27hv zla`&0ijA-c3JCdhNqOJi!V16BZL=)X*Z%u>=j*Nw?tA7{W-m+o`(^XDyvV2si%%Dt z|7puiTq17&Bd$E|ethn%<G<GW=Cdp+SmH46^3vn+^OuFo?~Y>rRq~<wck%bLS{v8Z zhN#zm30xGmhWpQBH=p3DpY!cCt_UtV7W-+7!q??Ozip;`XgPl?zWj%|?+qJI^R3|* z#QJ9}(>(m)Y`*lK=Mtt~rOSOz>I<Dbd-bDXvWRKzt|w*l^<*~Q4lO@5MQHORSTHjj zuwFas=!)FaZnrjlE_vwDt#)x!`;D$MPM37_cAcnw=_THub8nv7?z_wKWM@y`<-bDN z#a1{f>)oZ4rE(VQ#C&Uh&*1iaW%-D8{`SCWy4K&dZO*Lg%awk;c)ghCB%#S=FE30y zbLe|=+qaPId}p_q6wbcHCl`Ks$1l6CrwxakyN?CknW1QVb)WB?YpU-rHXeT2)!qBa zQ-_tS%c)9b%Y_bafAQ3wJzIA3c!u-8e7Tuv+M{!sS3Pc*J+HUiRhqL-J^$_6`ah4E zXD*8lTkXqlSF!(`?e*=g%EJ5OmgQFSiS3J@y~n-$f9WK<%BO0kpD*rMp|Sn;mCN=2 zZ#_3YIOXWeqSu|*m%o1M?w)<2Rrc?#Z_%FXr$v;Px3iagez7lVTiWbCIs2E_D{MG9 zH?B%--sNREafPK^S8PqBjnEsP%OOQYo2*J-iA>n08n~l~?f8j_tE@|3y=0m+QTem^ zj+jYz*R5C?oGSlrzq>5F^)$zqYaYv{zdh9#`0rXpnD@O<_MG+Cd(ynB;x-jc)wdmL zwZB)dle*zss_dj4Z!>B3^B|WQ&fAL8&K6iWsd!dao;zh0y7<Ynog$)=YTbz$_ZyQZ zE$I=qJaz7@cFp^eU8(k_(cbGWKiwShX^vj2l~dP|pd|U}s}0)yrr%EAIOk-Np~<(d z&s}Ra-_BLN_A~0U+C{JPXTqw|wr%^jFYy1b>-$q?<wfd*$%b;Ty<HY`clYc~KRz6L z|Ecu$)|#{5gkHawF%SG-`SjVTddJllcWh5*+fuNk;qudKlk?AAINjfOZE2mJ!bg>T zsm^~t|CBC$zN7Qhi8J3SABJW+<%EF>#IJAe1l@n{IYlLsr`ziK>sOb{*lWYT1f~d^ z`Cn=|J6Xl^^SWOLm*-ZWHu259vB8m@UoPbFvEJ^S)xpdA-jwb3zg=!M*UQf@Y`R`7 zQ;>sE{=Gdx+j*r-PV`R{c`9M(6d1hx)sGh!f4VA9O4+KF^{eFO`aPH4e_XO`*{a0D zZJVM#r*jwYjIk<s;LvFZ9|jS4<96?B<F{q9x;66R(xDL+N}ki>r!3G<)4P0Z?Mfrx zmuvt3S;DV&?)s&b{$c;_m3%(-71YqV#3!f!d0FPAX|<c8bhGZPh)bQlDiG8l{C1bU z^n*v+yxsQy<NfQcN;gj0zEo^q`RrwrwlC2-k`@}%^FGgFd(I_?+2u<zuirb|nkzni z_VY{6-ez)@wya2O-euRVDiO)!{82Z_wEEroUuDPYpO#pqZjHLS$k|@@_Qa+A`+h}6 z-rTwC_c6x0e%-GJ3jaR6y0Yr`y@37OWA8L@TV<S^)LZm(<&G6Pdefu1r{#Xi{&<4F zSzK(NoN0YWQOwQO_qVs^EvdJwZ<eb}UA6D`54-uti_)YkEA@K!zIO&yLto$C+;*Z) zQEYdd?R(kz$A!=MTn-5ensn*Xr};OxWnEpRbbEK%+f{D8QlZtmJw2Cmuf3DE{oDKd z`iBj!>?}@CQ?Q(T)cN7dl{2IMXquXao;-On>&Aw}iC<5iJXzPz3M+XQtokNz6Zd5A z%ZLBEci&jGOE7WLst-42HHw#nT$)z<tKyTsRQdYn^_n*28_wS6fvp9|+*Gzq=2C;5 ztccvJ+w~7+b=YEW1V)$3UN|1Fdnve_|MQOXXXana`2Vov>-)QYi3=6&x}RR!C%d)M zeb&8SC4Ki~%jX`iwfprdJ$2rhi>ZHwQusIi(wSzw^X{@`=cCpNPA{{!W%gbdeE(eZ z@{7~If4H5Tt+KK(c6plL@1VTx-e$9X54-<&TwlK}sQ+HsPB%WgaK7q<Xa6|(H^1I^ zyWJy7V#2rcB`;fLQ*ErG{P$WO&fk1_%Ck*%oK+7OPGsy|xP$LwulL`&(Cuox{^Adx zKHK@_`tNn0eka#(iJZ~<^z;2s<Gwpr{;~aCd6$>5^XTL!Pg0gHTlQ=3-P+&ZrbbMk zHcjoY!ITLT9$aUd<Zw<eXxg3p{Z~J3O#YlMYiWABJw{Jf-q<bh^0Je4S3~PM4?iu^ zw6u&|mYMbNP^;=Tb#?XCo|Dz;IuQ|I_2<1kf5xvhSASJ}QkuDJ%m08~`)2HnG4DGw zzxK&**8Q26xBaQk5bIvJ{Jq?=MCMQPGXlODr=Kb~yW#Vd9f?!Y&P6W%Za;tdo}~Wx znfr4l|NHi@yy!;muEZlhr{*p@_VaM)UQub;l`l67f4$dIdFpKGt1j<dFV4NzpQv(n z&Stm#I-}@s0;|`_*X_Gw_h<UG>#;YZd!^knKd#cP`qV3FHLY$_LG--KOUvzNujVwK zrln)zay|CuX?<hw9e0<_`}g~L)z|y>uU=bbb6u~uP4A8S`GLK+x_a52quz6CR16IR zHTUaJz5R9)Pq);TH)}U4>tEj*{_Rq~{rd0@`L0Z<E8PK;+rDy%@4mY%z`f&M<uj|& zyz5t<zpVz<1Ychzhfn`KLn~gYs4yLrA@+-jSFX-I-S+f-$k{iFVX>A6w)ZbvxpI!* z@=KR5Gt0UOu3Wj&%hQvyM1pbp=d<QNTa|b21Qq|1#v-Q0%Tl&`@7!r+m)29X|Mxll zjS*|ZO5fZFY)f2G|NozqNyY>=Ua2Mj{{B8~z*G9-f}^71KJ5=Iuw1et&+w~q$#MB# zt8-6ZHvX{Rwyw|Z-p|HkcRuUe{yt~k`ucIS$(0iapKBeNRv*9T(q*ld_FG@SUB3S6 z-t&D|(l1Yc_50`kmv>skxJp|x9$yQ|y<O_Sx7)Wo_I^C5NvyYD!_ohqsZaXvHGe0T zI(@x%`TDDW`)gNn8c%z5yE6N#^1`KuoZd$5O<W$tFEict$g1jp76JYHj6(lbZ@;{m zpJ)0}c6BwIGwaId?ezO@_kC$y)SM-irzc(A=IVSiM=bYlse|QCzt+~a7YApvzdk6g zzPfz-wW_BVFWT+2y#M^wbkiO2>Q60WXKk)ntoM5Qf{o7SweQ%Ky{L@ZUD%(ddrM*U zRVC+3Yh0zjyzW`P+Ez?+f5DTt<@YAvemhCidFR61sp-8S*^>A2?63Iw>y~&LPk-IN zSnq@9Z`Oa`9&{+Ze_vNNDNp92yRG&1FPXci8ZPi>-}~)`gzd*;Uxbb(?K6Aw=o~0x zItE)znm2vj-^k3=cb7GE*4?^2cXxi+%-iO&_aolsPFo(`yl&UZ+JFDqxM!bTCTClf z@%7c!Q)kZblvqq!GGm5>X|9wFYhvSs&tI>5?f({~sqfFl8F*JSI7NQme~+yzGB20Z zoSAWmNvQs;!=)XC$(~w9%07+{JtnL9ZppoEHvc#*su=?2^2wgP^39gLd(G|1RZEW4 z-7b^8Fz<?T);)`;Aki*&m6vnEdQD!wz5V6kbo=aYi+E>kw)lT+>D8=@4R*37-@4{i zy}6os%4X)`mz#y37PP#W_<#2rE#GS#pq|E(?Rl5&)pf7jQI$5$&)l@+&*w|q)1&X2 z{Bi>IKU3$Nxb~g9E_j8qi~qgSR{x~T+nW~dt9fo#`{k<A-yH>e&EB8b|1fv^ZBW_v zb@@ecQ1S|?N}Kjf@8*h*0{4~uimSS8mV0j&%bjpLH>+U3pK98K4H2O3iiYQ<|6+gC zW?y}@*?ozKNT0=AzsR*=vL$BM_s`qyU3y4n*VB(5v+k?;y^T8l^0DUrj4MxVMFih{ z@RXTnQ+PaJYlhI<?ccOsO|pCY_15F$FF&#`|GD<;!oS!r+u7Y&d>?kG)Nah(v+su4 zzB9FT5_>k>x?QXN@@kfST4-tZ1y@ifq(nmX#@n*5Z*B&!4qF=(7B($vdtPix^<1mc znoD_qe|_bSpS^5l^6|cvj?HYZuC1M|Gws0HJHi>3#%UUVcfIZs>9cT~qc?AJeCgCz zelr(+3En)3Wl_VDhO1dm3*iHk3ywu?txJCx?EWZe@szR;6%Wn2j|R+sw(-`k*9|I} z>8BpP(v+L{X}N9Xvw4YCZ@wvd*%tWtO^^1Kz6uI*z3I_=cW=G+Cv~=p=jOV50oq;e zDk}qhVr~B)D6lQPF6!lJyU1p__gN`-ZKXSkm#47BU2rt^x83%1_s`cgVKz#h7XwSb z9FcYY`eMHR^~S?5i+0}WS}DJB!-WY#8_z^-IjDYAIq08qc1~FLA?LUAxEXm)KHTtg zOM%OzWA$bWHxxW+{QZ8i?V^ddO!}v)fKo(k@%{E!_crcS+f%qYp4(l8we+D!<G;PP zUw&0T_Tn$+=h}PMHZaD1z4P~Q^Qr<CQ+G$klee~JUtQ)ay?;yPy~^e3A*W8CzMQ}R z@3MDycVB;XNYL}tp8x+Yw<=FoKW$i)(pf8`?6%_FlaoI;Pk!=D>+`?gqHmiYO%zu5 z%Q!tvcT-gD{|qB|o~V~DDolU+#Omt0QsvT~{5AUfBG+xVpR4Ry_3K@tl;w|@w?39j zt~4JnJ-G8@>-^P4A3YeWJ3@75n`vx1m$mi9)%`JR#qEB?6;IE(!mE64{kmsaJneUv z{g}qvy~dd5<;?1JAr&)X@1Kuf{(9Y;x6>nX!n$XftO?K3xql{hb$0vLPm#C%rbqit zkGB7}C$4_)`X{`O+izc4Gv8c9tnbF#t7bOcJ3gPY{&JB0|E06$|2_9s%?(eveM9?P z@L{%zQWust``@yQj=8gXZqj1q+FQq_GVgkIE&JEhUmohS&o1)Tf1}}<6<~j8`9_h7 zhj*?&o%Wt<_Nm9+H+{~P@wGcYpI4pdaBExCS~+g_uJcVn(pTnM1iq~QHCgFiZFpbc zVz0aB4YQ`as{1<C=>2a0iSuipO^&GgdTRf<%3zyIi(I))v#*^|FN6o=k5e7qyT$j( z882CGH+!Fp-|}c@y>~jESyy%3*3LRA^0Oy#)-ubSJF^an{;yUvFu&P#dt2`8M~@$$ zzJHOw<EU}c$DRlqWwG-JCd}l9RV(j)egSVzGcYh*DMBAFcl>=r3Z@=J|By582hlK$ zIl_)^0wMmkN7|PzU1AbshZzZ?nHtcB&^HPh_nYM1u@ER^Naww5(!Ix=N7-Q_NGTKt zgk)u{N=i!VT9f<?b(v=m+Okht^IzRepTD#M-VR`3U|3*Q_3dW5D%u3Y+An`TpZ8vb zs`6UZzn{-FQOE4hqL1MZHGa;~@H6x6?F9-Kut^vsI86M`EvCZ}paP9K5Vc^}6b-qF zOYZHh{%y_2vQtz$tRm)ogyyO(pOM1RVZ)~g&`QVsj~#fAM!-Af3=9j>K1bLny<&Wz zdknND8Dt;`o6dSZ(|rX;!*mI45EqKC<Sez>cI<xQf@Mi-ph6%jVDr=<8z)zWJ%=$( zmUCrVZx{wr1;r~)R^;%mYT1Z1YvpkESaNoNYL6&X35d!v>ps3!joW+UQWM6GqqQZ| z<a*Yx|KXmdeK(iw-<^u$c_2kl%n>Bl?S97VU!nE&S8u%^iQHB2`x_s#sN`~O)?cr^ zRdsXD{d}#IIzQ_8{8?X@n@qKwc6(>6@Ve}UZO?6&A6577Z{L$UKg(yeMeK)DMsszN z=ge7miQ`M;$A30R;UuueM!bK<)R;T0?k562spn^YdSB^!J^b4a9maUC;FXUfXT3gj zx>_^mzJJu5Dax0p+{v5%?VnY+cJb`&jQ{JnrUt%x&aEarQFG_rMtk#nbJU?u2T@I- zn*D26p1NDSI*Lbb)#t4q@_&-IU5a^U{BG6r_sP4AwiF)g-c|iZ;p;1j_3xVVE)-uj z(>nZg+V2-Swk3Xh-pSc}|F5jrqWA7vp!olXk+r+^0^@B<=dzXLum9|ByG--s%%a_Q zjqc{9=KXV9|MzFn-0S~j=9)h#+iO|fzNu;Lss+pRm##drktg!%ruPD0x4geJM|Jkp z*Ja-C{zh5WuPmC)d-=e+g{gfLm4s_%EQ?{^f7SNm#jO8Vm{ND%HTt|Q`;e*b)h*{& zM@@bG+%U*-S*BRzs>j7s@3P&WV_g(l`b4>6N}1e=_WvKNMQu*Kw%++k|C3<Mp11Np zKk(=OI==N@{g1s|cK__`pDfOKqP(Nxvj3-t_D{b~e!a8)W3_0^3HJg;`Gc*mD+?Z0 z$;JHGYqd5?K04XUNOh<6-$4GqJDb0qukoJ0_SBT!9~$MBe>0Zp+Oj)z`Duf{_m%mx zGC~&F{W)#nd-tKu*5`q~mCrcO_R1_UoWA|)Ki2oJubs}n5Wdb%%6QM}qG`3u3gypS zPP{zj_m8B%f45nGeRyq})b9_AcWt|>wzvHGUa9$Wgg>~?nB!aT6@2q?WYpKoPw%e1 zWm7(L+fJXI{cEl?wcgA!Drwl&HZ!hAaIRGDGL`a;8F$j(JiKohB*+?{b=V+9!fj&d z)W0#Q+ZF%Eh|HPYv~<<;zL=kEKRI~(?@w&{D)jS%dfob_(=%)J&xt?pi<!E1PtAwB zAJ=dC6e#xTq4d+I&DndwL84WC?qqxZl<EBY<o|_Uv($fIEcA0l-qWYe&>-6}k6nBp za+qnYs*;aZ_AJuWKD}<9x$P3cuam!g+<keg?RBN@&`T@-s?1KR>b(|fJ6rQqVEEZ6 z)9JR^&1Fk=aV2xuf9LD1{*`z4^OwC+a;cLS-_@=9wexq$^U3_#Io_)`mA$>}IDN|2 zylH8F@<MC2vm9-0U6t5=F6-&71wZ${3!Ha*O-+16m(J3aUkr6~uatYFXC99EyX*GS z$E(FM4xV(AD)KCEt+aHTV!x#8NVrX0@IP7Qr<(s%^?nqI*T>G=WEXxjcd6xP_mh|V z0}lSWw2ghU@nhZhH50V&YwM=@|5caY_xz>)>ZOYE`@$#AIMMz-G~Dh)=+_V1V%L5B z7kII3=e6I{w!bY}`@R2F*7TZ?{#U==JAMkZ-E)@xdh!1iC%!LEs9*Pd-{V}n9rJ#> zyY81?swjW8`E}*Rx2CG{G3WoHGGFE_l{vclm{-C4Uvo}tn(m7Eey?<4UCqPTzuE7y zv*fg1hJ5WPelBvi{44XUnU8E9ALd<RELZqA<FZd-o94~e8b%?Tw&$&~Sgkqp;i~BC zT9$W>?&_t=S+4MT?)i4^mp#|~v@F7Q$VjSd-{-GbKk50638A^a;}+_zyZ-fa*V?Ro zb^H2`@?AdgF40KEvfM|nyywK)KZfA~PL8UbFDGg1*SEf0C7HZrPq$+#DA1n1jlcDJ zvu~#Tf+wfy_jJyz`4L`Ozfej3U!sKS|5S#b9CcSK->s_hDVo}!UHhPLEx%pN>t^Qb zzYeTEW7<^n!!PnbyZZIw|JrrucFtoD%QR2nD){?h{iEmeUhn)L`gP9a{#Q?Z?QGtb zKdbr_SbOReC_J^_|33Kj&VH}c$8XlzeqU0RE~mMpKi@0wX6ZRo{#X8Mzh&RDme0(* zw(@J<^h;r9F726Oz+08L&+NVD)m!y_AtC>sUEa#DP2lB~?8~|*lct`_ah{~veQ1%C z#vQSh+W$9({SM;KsK4d-*8ld>$nPZ+L*1_aIux{Hiro#Z{SlA!uU+ud(~bW$_1V87 z7ei;ZRna>h{*|!&dP}#P$MfCf$WvwBY3~@9E=dvPE3EIEcxu%f{?y3%tKV$vdY3=z z;Lr7kRxes$Kkt|Cx<7|+TbykFFY>2#QNl+N>z^O`AMI3-H+3$Zw|kY`<e0*>{A@9= zoB6N*dT?;7-3G1dUIqDmfnlHo6#udK+*g|oHnr?K=CSuJe-8>1>(4db^XixHnD@Ki z{O5zJclJx4HovY+nDX`SgQ|D+skQd!zP+w2luMU04Bgo>^XProxCPPjJAy91o4=0L zZ{@)Qe`*iCa?`vrZFOzuX49*t!QN`;gXf)%Ta)|w%I?eW{r_A3wR>|XahKXd?%EHR z_EsgmHz@5pbM4*|k*#}wv-b9Wx7o<G%0KmZm&(j-+g_P(SsYiNKWSOY_3JOvt27Q7 zq@G%FLBde!(UnD=&XS+)ueV?Re5LAky=iWLaMslQ{K-ekyZ#@~{8(yw@#dxeM_F0x zZ2q>s%n1<wEcoVQsH^Xp<2QS1uE?00hMQ_$>ss;hr$$AraMCw7-!twTj)#ky8p@|{ zPB`bj;pk(2Q&ahaV%eoDL26`m48ub~YK}bThN)@1l=oq7Z&sFFlj*fptH7#q48y}f zs*Z-w%}TP%lI}O|pR-Fn{^QachCGp1Q(o-dwK+T`acY-h_o82S7e3Foi{II8ckxGS zrPRk;v!$*c2zpiMb)+;+TCn!Zfp+=VC%tukece3$>z&(^w<xWjIqTZikbUwxsn54n z)M@RM;0oN`-S%qEp2b#zwKLhit<dh~ku=gWb937Ds!d$(a%ivFtIyZ(T`iZK{&HjW zxyfIzr0wsU$fZ?hsF%HaJ17~g;@T$v{glP#rVE$N{MVn33cvUKMCi+|@FKA_+75P2 z+&?+=o+$4Kd3tB!>Q~3N+Eo;+T^|Xq*!Bh9%x3xdq4(1#aOSao9&?^~@tXGzmGeJ; z*|nzhX>DK41b)qmPl0j!cg*`e9g>PtzjlN2;nMggi+@e*U&M3S<ZR;OYa;6^N*)-N zzM1e~^09zo)qJl@4UEiPYoa$KFeWdR@PEGYhwHX2-FY8tZQs5>`&?`HT9bK6dKK|A zAG1#0^egDV?R}G0uhM=iQ+3PDu4cW`^Eov?<bHDKJ&m<LF}1#OHb1CHo%r58bN=Tq zk)HxB|HMt~fAqTc;ym?qXFHYm>ja-HuBw>LzwY_JKnzvd#b<6!=x_R-{^))9zo*dR zcKzw8e`S7t;M*VmWbu!x_$|*pBY%4M`iA=W_)Pq}`s+s16}1xDp~3g2@7nS<wRYX6 z+k5_=nfadi>*9CZQ_s3q-aZ-nRbzGjy*KaAK0o1pb5&8@3HPtc@&^}dUFR<f{aaJG z@APdyJFoDGGj?42Ew?}XU~3JF_$R>_P~Euh`N7uvs~<SoHTADQ$NzK1oKthQugX!E zPyKABx9<7BgYQENR<8Z|;YjjFj;{<Kq>|wy#|#V&QfEJ(QC{jJ$trRjwWX`QwBiVs zW^rX*4)1xT#_On!=oFi6Y@vrDR?hXgJS9=0k&k)#gnp2Fpb3Q}PPhK2#E*9bxV<kf z{o;7?(b>vX8u{HtOk3w~f6-q5?X%e559jOdEt3Ct^L+G|!}h-`yX$_W+vi{8|NrK> z?yraQ|L$?M|FfA=#iEvS{c9aX(VF>Ql{vlp4?Fl`b~iTV{(k?jkJ(fNC2(h*g=|Ii zeb^-*_eStQfxwwAd;XIKJq)fwcTQRPU;W#o1!^us@t(kf_g1E+p@xQruI96rWyXE< zNqVT%*?RPGP|SkIoY$7F`D{}E?@z<=<4$%>rHb+gw@&DP5Ou=6K=+CA4zW*yG2A~n z*0t8KTz9f-$|g$skE*HZ)ibA0Yj27`>RB-~M0KO}lFwjx<kS2EMNtPoPY4K!e4hF5 z&rd0ff(8A|AKpIX{%Q61%i^RL?d31rk6z$^f1$r?q5Qpt@}kc6dz|gH+UhFW>q2<` ze&GANLgLp$*<XQ%Uk+R2(Xfo`FDxIGZqsUGR*>-h_Hg5NkE&zs$L)pxYRLaxVeu=_ z?pI*pm&G+-7C(C7{_}<V(Tn|`F7|gVmVdffUew+Gl)JrFU)_}cx)2#W8h);juhW*x zG&71j>$X+5X8*gDs;6$vUb$hJ;Hz&%_k~_FhFnyUm)*X-zb{(qSD@LiK+7+SbG|Gt zdf|Tah5OSB{hKcKpIRuNx>$ayv;8SId#(1mDZO<ee1A2h|E`e1qv3O)z0N+%9M4Sq zx0hzQi)sG+8Y;K_{frG4;}=d@d$4)d<b6^4^P^Ahn#IPS<@am-ujq8OQlx$y!@=|U zN0rY__IoOGD(Kxtnf}|KFBPA*bXvn^YZ<z_T=vq&9^q3qdxBTn?~K&t+HQWSq5XLF z!(}G2zUQxWo>9KF`5X7$s}q&_4s>3rEU#V2dz|m(Z2Rl{B?i!B38D@(O9e*?+Pzp` z^<H21>*Lb9CI9^0MXzVCXxnmU<=;sv?Z0|vuhRS;@%3qP_{&@R;abY;`q%ARHFaBV zIh)0&s8eF=H`dQzVXkMr@NWB^#y-%_V34sOJmpZnasQN~AClzVyVbf*-dOVV^tV$h zCwoO&#?JSNUMu6-wKuMQ=kC2>dw!_fe){S4w%kiScv|+S{rfIyofn?#F=-ZWZCL#J zyNeGWzf_u2zv!O4Tx1Ua?5LQpP5W+d@OST%y}B?~`|DF>@s*9+=WpM7RbD;s(mdAl zEAl@&&wo4T<*6Irw#vyE`klUf*1;Wl_{&T1!lQ{RR#Zt@X6(?jycBKDw<~ULQ1ofJ zM;~_NA6sM@l_$S)`u>_o^KV%pDbY_@#b4gJuyvMc>Fho8(wBUc|N6cD{=$w-^A*8+ zcV*Rn^sV~;yeH{*%=-QRKmUI+qonuN_3qH`)?zEZCd<EEniv>Yvu_{Uev82MCDAL` z|NmGtO~m@k&G!q^_I__mduNnd5iE<GLz$Ek`qyr3zfpR(^!lsw_4`80cE?VdGH;^Z z^J_A-|4#l8`fa_vc185@h_9!*`CtBZEzi88FZ|_E{Jcv?O4U=d1N=+<WG*hud4IcK z%En22_p=x8%Eh1B|4RE@B|A05Vr|Eg6$cMrJ#Xn={y%vmpVy@|*T0<HH2cey7u}+_ z7rZ%tUH<39f3<HN_nLiT^z~o)<0o=z{LtQT*5=aI+VI%guNhCDJbCeY=KYLoYvzZj z@9ATUwQ=yBZT9)c%){Px7v3`8T6Nd;`|Il8roSG17B^LhDU$QOe$wjeRfQ|RzrE#M zELvH!Kd9*R4fSn5>>_WKKiHupWfBq*Ie&Y}72fZ$t0bNW%36dRD=r2lBN%4VNjR!} zR@yu~C#UDz8_$am54%s<RKC7X#&S{G|MU0e9uJLu_WysqoMlr}$Ek;lH6Be{(VI49 zY2QtbMKh;q>X}aUO`h+TZ8x)Pi^VIQ_{;Zqh$Q>2p5{F(Eo<7$#zl#<GQ0YAhIVmr zaL8D+`+j-w^Y25W1q~<4?j3zxw|j5i;Y$7MUw56$epYt*;nUKsTmcEQ4;0<^{a-h8 z*}gqaoU%<<4@aN6xjDMMJMVhK!lmYOryNT>8#eF!{}ofKZ=RoHKU=eUvRGE}S4n+V zlYFJ>s##p8tMAWT<o~a{<6mXkgtYV3F;CYc=bi(lG1qJ+&78l|XLab?+uMV0o8?~F z8LS?uBery|@8y}B)7MqsTYtWw_m#9t{AQK@ZCu>%7f<VSFEwxv`c$;{W8kh|XCu}` zD(_ykQf21fD_hGqFrBiPp`QKO?d=zd73uTeD#v@ydt0^2y?qk@?M2r!RvY`A_uCVG zHZ|<PU;S70_1U3seyyJxcJKGOoTX}SuIzdKTwAeZT3qd_=GW)gk;cOqG}=$kaxY@D z6y5$_+A}C3V*7XIr?9mEE8gvVzJ1fpH&zo$B3xtduB*Pc+Iz>pU0K_Oii=YH?=HUn zTP@?9@#QU=@so0X6<x~cjgDM!aD8rgQeSM@Yr)(Zwn2`mZ*_{QHmd!voZ0p7LucK& zZQ7~VXIJg<K0N9ClC@W+6y|GXAK{WcdG11Ex$_i*=l-*HDK2+<FaEARRQUgX<yW8k zuYXzYw*_S^`|--JeQm`nE4%tTCae5?veo}u%IZJSKTbzJxpMLd%i2FBOV8c>yuSMV zlS78Dc5Rr`DC@q(=#%5xo;4Y2e5z}z1HZ4Y{y6J(pwtD6SFe^&c`;K;(022s_wqGw z?SAeLyEvz=m1}=+N<ndWI&$VY74Z73@;RmDT*h1~o-ZX?tCU~Gn_4G65OIA!d)>UR z-SK-C#QxtA`~9r{s+&8JHS)Z>UO(;B%Sx$?na^J#mb@}BFz~FLw^|sMJ3w^9>kFt0 z?A!nT>R-#qqhg{GQgrQ*i@oQr-EGekWJQl#Y4w$c$h=-*aV@ZL&EiK9?ngKFbtRJ{ zk$$Cg#wqFZFH895ZF`>;Gp|WuU3d0QEBVE4mG^^la@L&wth}N<u(?V?dqw-kCbFpG zOFcY23*&YaEOaTzfiFK~U|?{Nb^DBRDg!}&T3IO*LxA?2>+xKn87r?pl)AFGZAQ#o zP~bsuPv0{^hSKA!CdoTHIc+I@9d`Xm)9Zl#tZ$Q-WUli=r2G9WTd&y&8LVk$YP(<b z^>gD&>yQa^R=2H{TKRj8-St@Z2C0pI_S?7Jm0Gs;bY_?V*Q>y@??84#Y++#dv2;av zwD3~5`9U=<bNbHw+;`<Sr#IjAFfEt@5WQo~wOQ^mKlwz{L|)XCC9eFgf8+f91Qz?R z^_5${%{vuP<~Og%*gxz2A$8G;uXV+(KK~qU_bt;pJ#Y1%nAJkx>sO@j+VLqxj{mEK zwQGOr+V17dGp|0J$l7H+QFFJgw`X<ui=De|V&@|7wb<dd*0eulYWjc4D1n=9(evNw zb#I?<#ou)ydN!Yy@1!RS<1UB4ek|Tpl5nm0)txmH|5))~n^JC;ah|jN^`vhv7T&iv z536Oq6Fa?m^$*)M;m`P{zujuEHSe15<MOg=TUKateJdzU0{IP!7g#u(^v}@x(Bq!q z9a+?ub$$EEB8_;@>T4Mf)vH4_JnQ<kKHc=YW&4@aRp;(vllCd|w|K9<7q#-e_x%?x zpXRQ3@#MTo?W6Wv)8{Nbvo^T2JMz>1{I+ZVCoIZ|o_0<qX7$R2b<^j4uXivumkaZn z7F$-`=J%!|l;3o3&FU@XHch6fXSsS`i!HHV<2O;acCp&e@V~o%E&cG$++#17*~_^{ zKW?&|v?cK$m+UJem&47k9_b-xK#A$zv)yZIW@SYDU-&A0Z*>3n`jG9*woI=6dujW! z6P?``^}Z+0+L|;?ZvX1a&gy%6e{1bHSeF}B8)Lrte8)-kkjL#yU*&(_G9mwj&fhy< z63^CF@7WV>yQXiSW7U_>VpVpp^tnDxVUq8^@Za>m)8bp^VVljqznWRU?(#hu&$`q- zkHywk_U~PCKDh4Mq`-flK1#2-9;Ed@_V1-1B^k>1uROjrzxb!qGegyg=vB$#`qQ5w zbq*Q4iXuOERM(c<eme2q^yf~`DwUEY^Qyjh{{1-b_?Lhi7lP)O%hX3FyYE|*+w1oF z>yE`%VzNsO@7k(*rrlTjbZWEK<2z^5CayfY{(tOz)tFoJjy&Hd$hCI6YrgAI&ASuV z<>s%u{BT>X>4wRX+a@ocDl4#R`M-+&x2*YIuRgy``()rh>(c3wzBaZcA7dK1*2QR@ z3O-oLUs)xYv{@ROE<jYl%+qy~>!X+7Hc4{X!NtwJ_1)#Kx3*|T#m$R*RiEDxwUtZb z>)bQ4|F6w=$_os*&w1;CUDW?Lt6;@>lax=dt69J7Q*Oaz&zLh8W(tSjmVR>Y&Z0D3 z_l&?vzGkz1w>e)foqjU5SLfZPweuD{6pX&|<Kp)%uV3Wp_P<cyFTE$Y+%o07{*;xD z&Zc{cPM-DVy~{mye)+|zok&G<L-vj}l}onPXaD>eIc3I-C1-qAPntA2b!(L91ucih zT&B~t=6`>u&ieI<OSOa}j6Yv9{d}IR-mX~YwrTfDg5=US&Oe_XHFfF+pT&P`pFDo7 zEI<EP)|0!w=Z`+|-lX~Ou(-)0_X+PhJFe$1+qdk*JvsA=<?l{eO<V9qOtxhA_ov*x z)+^`cukw>QS)3UM3N9#KpfcUGKjm4v*5$0w-{0O|+2$)<`tFLSShs6t`sI>mZ)U!p z_x-hT%;H~cC$H<AT)g(sq2DV~9|g*unK)%nOn%Ljb#=yK+1F02%hK{pvoC!syQX2b zh3&C#SFLi3<=32?>~!Un&dE3HA6&SXl|HTJH_!DG^B6yc>=Ww$vtsk}v!7PH4|`|5 zD<QOOo~!Kct)a2jN7U561?QGauPF3qJAGSb0sAtWRk!_et{zk73tx`h-svig{OoZ~ zVfmwPwaT7c+}u}fzyAp{n;pwOiEs6a)wfH}SC?{Lj}LzvmgKkY&C2R8RrguWR;JA| zd+I&OH{MTbZJ{=|$&amXz0PbrooBm<ceA_Ji!{G}&&YKr{rBre{}7V(I3HG4wI|HA zP4hr((fbbz{{4EHxb*q06052?XSCC^4xal{bU*N)nQmqM@+h}=A#Sh2s}xu8ZJluA zTIQ`MQ?m>dqdc!UM~PHLeO6A(c3-$!7`aPv^lFv);hk4(j=CT5oK*MemU{N4(0{sr zp6V36N=a%8tJ@Xy{LOXwpG8FvB}!u>&K>Aej*|SjAj~byVB#4K-VB-2yHBUg2shDs zHud%Vc&o6x)B1EvR~X$7Tv&Eia+O}O){M*ReJ=eHH%<84z0MEW;wg)-&32#T>L;2e z;xXxxG1nKjEBmv`4js_!x@R71`&xW|h2!pjg}dL)o*%Ng7+Islo%R1^re6MRa>3~Q z)ktXK0aFq|w&BQgehduP7gi$oQ5NtSTh8@+KH=rZaQ&P)mF?V@8z)-MJts8ZS8Z~) zk>R$Y{p!mfco}=w$rz{gOw)~iHY=t;_EjMBvYl3l>?3jPX?*PRB}+~uZJe^_d5P8B z=H+j9OYQpdI=5+u-nyr+YI>xe*7|SUxKZzX{{lHT-dBlh7RVjrB9EG`q^f$f^5LOY zp@SRYYl1+YEtNQ{45DF}Ab-ZmmnBy1KK=Wv5+9$jj+w#NbLM5qv>!P}Gh?Q7Zk+nv z_UN%=S|%o2ZXDh^6={xmf#2>wt6xu<A`+=HO=$ZWJr8z=!Y>bCwlXj<#H_eB%U#Mm zFXqwboyE^f{{5-+oV0uQZYh(DfJgi8=B;0Qh2eTZ*D*namWI<;qduQ_KVM>Xo7n%= z^Ns!fuHm<@@SkMzYoq;%OV6gQ{?26-^yQp%py}*@&+1#goW5xFqdjp}^@YIA#i3K) zyBt;Nxj66s*DF^lnx8;oH-SNBIXB1xhqEigZ;Drcd$aPxhYwu#@%MLk?rO2CFtz%| z6}UXQezD*7e{QnXty6Y{-{0-2sr+N*|I@3teh+EeRQzem?8=~Oal4fVR)3$Zo$`tO zR+&j?<hPioPot*p`0s3cd1=qT8C#ZCJ?dl6+E94J+4h*<#pKx4`|Xd*m^j}T6Vp0B zZS9k-k43D?`;BtVZmx4%cP@5A*5Av%>uZB$<sujC5T3c|_U0*D%3J5{J{=qs?dq0( zBJF%|VqK5guQ2avsb^R{-&ld0X$O3FT(U?qnz_!bH|DkvScpMu{iU<Yo}QksZf;&K zSO4du*WUY!ip%d_*7y0|(z4R^`8)HPdz=6B=yv2RUh7{z{pfA~x$Lel*7~wfRrCB5 zu>R%huOGP`tqfi}mgZgasGG~4eac#T%k!4*vJW5NO`q(Pisw5m{w}Q*-pW<)zHwst z$?M16?WRAjm#YaszGk<m^z7hIs{7l&p1SjJ(_XP<*Us+B{B!QyEHP8pgL3Mo<qKT* z+5TI(SA3P`L8IrZmVE?g7>Bt*HOmFdAe$5xRE6%URI#*-<QCHj*q(P+>#9SNzu$!V z?q^vS7qz}Q$!T75PkF;q9ZUDEuWzSVPFcUiHhas5$$Pb?sO)za{h6cwcHYkxrD^X1 zXE~qpzqK?pf9;j;t*JaOtOE_d?VTl>Gp$Bl%StsWaDC1Neox6ww~b4_bid@vt$Mlh z#TJRb+27n`Et99(T6^xE-V?sz_)}SjqW#yp*RJDR<vIK9t*_r5XKhMrJyyznNj_}( ziD~-+C;vHpVzJD>?`JRkk`J1ad-~GM=4?~bE9;r^e`ej-QDAy=Q-r)+`H7m>++Cj5 zOZ(;Wwcgh?Us;_n`Cg=q{%mDW>1XRNEYx#0v03q}+<oQQoppsbO2Nss!7KSVBs;8% zUpm`;$tm**DYAE0_xav2d9_ec%C=;~ttzXjl^Nwb-#<BWG-LX2gR@bF-u?Hl?m1%n z{$8!<orL{nYwgyZdS{&U>E-gox#n4C@^wDFHgsjro4e%1alO)-8GGJeWzM~x5wbij z>uUbCb1i2s{ppN7CFi}|^!>)^Un)<Xk1{$HZ&%m+HR_bF+LP}ap6#=ZySG{{%>BNC z?XOC1Tlufzt#=+g5if2xl#-sd`n*`(G9OQ^69J!223t*jJHfx*?31tUy1(IODkp80 zv1EIfzIjzT`Q+V*+;fn|+ABU-oc{`4S?RLtio>R|w^4U%zu$dzef@pj`TASZSEfE@ z%es9j{`YtJfSNybr8&2w{yz?lZ~eB$=zcM_Hk^KG(2UyIC$!J6>M0J5@VJ=ix_^Jf zN3)e5j(@%NN4|X)JKG5zPuJxln|~<(ouK^m&3}jdx{2!^)cw7>h3oR&j`Ow3B2oF> z_qw`*cPYo-z8ACnaPIG{gyo;g-hBw@UoL-ruKn6ey9<9#QgJ`@yK2+(y<Il~7O2gW z+f}j7fi?X4oaIfQ<vx8`^{JkBUE;c<JL@8yoSkk$A{12eFfb%&g#PobTOGDmL{4tq zlP4*)-|v>E+Lhm%w(`eU@2MZoPS3i%Y3I2)o)^DYf6sisuVU%ar7L|;KePJxRlF;H zf5`LPnM?a7?tFazSMUj4u2<HM(s$fn-}g?}Iucxza&zkYt0DV%S8Whj?mIL6T>RgP zkBfr8zKYGeQt(W}dhv@>UQ;T}qQ6R;hdvH!Te+_O&m$a-#?<{!j6EK^ZN0W#zHUMD z_1uGxCfM!|SjNVC^}{JubA8hi{gAqQM_+l~QY{8&;D%ibeiWL@#DXhehp$@s(%sTo zS659{^O>Qr`sylHZm|^$7A!cEe!uo}sb|pgb8|(nec!$*qUP!nPvMzo(@e9k`FMJs z{FQUoOLdM#;o-{5`{S3b)GrT@I5YRvL*3lFpmC?Pi~|=K_I`{DT6#VB+5fi*SxVje zcQ0}Be3$k9zK?iLSY-FClPjx=ig*8+V;NBAd*_tY(`9qcZ_(0DocXWfw?*zL{mSz) zA-}8}`|Z{qT&8dOZ}Fx5&1;f5z2t8@Zm;(Cw45}nEXewPNNu&s`lzC^t1;mCdT{-0 ziqXlJCDSsb9{zwVAzbCZv|MiI%wrQD)&2VDel+*Kp1HYsuZ&^LVY#XRqnTThpZm?V zs@!zu`=4Jt*H`Rw{AB9=DP#494|Z8ID?-?dRaEt_OUo}^eZS}EEYnS|mp)E8Q}^nY zbp&H*R{zd3x&h3`?3TT|H_5;M<FC&G@o&5DuF-j|Irrq-71i!#)0f+bnq)P#-L0xT z#2uFUJo3uM=;)cMGjH22Ro%V)^;P!0r~mA<xE=m_%h}ouYW`e-+M882?{9LhUL70x z`Xk4+VE-?Hr)IU@%J}{M>7&QbkJPPto5yVW?qovcZ00Wqrnr~h?p%AT;>oUDuT^Wz zp8rzqyek#$H(dxE5*pnnQ?wuj(t=+hyDC)_6do*-c2{}%=xBG<`@7{^la9LI`uSF` z_VZc3wGsQAxbIi{i|bFTUD6poz2I-@)jZW_PfpF-<-Y#A?}a((%VO_MJ-kd`z4zLV zsjO0eZk4G%yOFwG?qb_bWzmpxn);&8ES9`K*LPu+{N^b;Qa9-s>T5sxnjCaZeU^Rx za;CUj>!xf`j{08GxbN1SMM|Eh?k`h)|32jOiMgjd{(4QmZ8Ew3^|h>vH*`#O9zTB+ zG%fqn<^0oP`&`&BZJs(;Zhh#)L@teF+k1+Szj`eH`|HKuSS_8p#Xo**E1hLlxm3!h zBW%*w31Y4DuKnq_c`GpD*No7h*^q<|4he<^FO&wN`&!?3Wk2^!U$kgZ)a=j8e)@&@ z9D01KIA7oQZhlX!@fk;Bk?qUZ+vV9i1kT)Q{%-4Y&d=*>yu$B&7m=1;ec{3d@7?_$ zzJl`+#Q3a8FRv~gG4IaosrM?ESc!;=UafiT@j%2i{YJ`W(SDv<QNaS?<{3R-yZ?2& z?pko~*YVDrxsm4YE*aW}UJ9FdQS#IsW7qAXbEg|dr9eyslMcBC_kT=`-vMhAhiD%^ zzy3wJ-TIKn9pIX|cy*!6od(Z0c97NtSd&3ZeEnyS&{VffhsPSILw*6uF;zLd0n1}= z)`BZ6uzCgt@ucU12d-u)AbIGDN$}0>H!4{QRD~a&>bNWQ`jZUDtHdkhws%*2{;I)F zV!IZ-9X#XaRqzpB`&AYyE}V4vYUG2ifIxobg>uK7Y<pU(B)nHR#m;47U|6B_uP#sL zPv!N973~`X_>)(D{%SC_wi~=Q_d(j)qEe<EN-8QY(cAM%OQm-7R7r4uH4uB1s9^6o z>)9(61_p<%58gj-J2=0*w-qcm%__QozKXB@|A|rAa-0&^92pPi@BH=iz!h07k8`1i z!+NjOhRuJy4pfk~ZM$=o(_zw~Jl@OKo|b;luV!-vJB|CR!Gzthph3MAVSoPK5%}?W z9mr)1<&FiVzYc8N&wYLMPaQqaUc03~IxJ*oey&~lU3i+zy0syCng3d*y}P~OL>}9% znxo~5lHOFCYVT+((z2`D`TG5Wm)1pFYqJv0K0%5(2A;*lj?sO+|E=vA*UUeS+hl!1 zr`d*A?hI3X_dno#iRNNK<=mC?c06W0Yx`owldilYux9a2!6TpR_Wn-ZwXb4Z=*gSE z&RqWY=IyMqY}V(iKYpE!IhL25p$AQ5ASxho>ouF8(0PB;GaOT-b8C0)*11>r%0|N} zKi6u7iRYyDD&P3E{qY=^8YB5v6>oO?^x7sO_}<M0w(41{EFZDiRK56qzBlwf!}nLR z=Kr(T_HKRkPVunFhx;e5DBJkt{@d)CUAJc5SF6=l4fhXMrM@e;8OI&`I_<7WU3$82 z_pC(;{jW~&f!qPbA>!l@^(}cKsJBm8bgt0a72#$t+L!R$d@E`7>u5=<+1@WPB~d;9 zOsg07&DnTr-rOa3y^m?%a5iE;dtv*|_}52Q&u;jUbt!Fk+27g;%hp6LEWF$FrTwbr zrlt8;RQ}KYANS||?1f+LmuTFcw$zyYdREqo`Ace!uI&v?JFh5JwzT@l;`T#_Z@sXO zuUn+dC(rxqx7%C$nakG9kxBh0vUcY8-&6P3%`AOA`F+OmlR7sy2YpRG!%}JzzP9$r z?)wT~wQeja7dw9Xna8EJpV}oWP7Cnz8(lDTgoX!*I<WPuvYnl;$(sKGUpL=dd;GWk zs_5WbC->I9j1I=%Xx*Ji%SLO3*U$TFu1@>1+w@1#_YU{|g0K8{*7s)jd7rxc@`q_v z>XWxw7r&U<?q0ojUbjSW&h$w^TV$8-EN;_!zt%D9@|L}FQMY{d=C8lir)ej9>xB8& z9p9eE?3+;O!+CAms~cBWdp$-fK^tB@-SkZG`>%IZPbS{a{!~2qi^mHO+pjMs|9WiO z|8n7mg-h+;Tm0L0tgj~Wwp8!6*M;t-I#yoEyQ;k=o!RI6WNLcov7K5fAtg~4-|L6% z@zwfMxYz9e@rBPC8I8PyE@~dt`R8H(#KZEp{e{`_OSE^y*PE_ARAT<9_&!JLwcCrf zFM2fPoyYZU=GR{q+}<a3<D|~h_ZMcJO)vdE;UU+A_Ntce;R%1HM-?AZJh|}je7#Gr z-Uo-g@Cf+w?XcDTHLZV6Tk0*#^r-)OqW_|Ge%*4Nr>{>Of1mZ(Kh*Nyu{H2!ub$}B zl@Io`+wA4pcoTU7W5=1){U`UYy__p$s1(!M+8Xu!WmWDi5nX+M{jdAYUDifT-RJN2 ztgrsHzv6a{74KPc3*^_<>(5;&;D2&rYWTkJ;GbqIoSgh-8=arC|JTPyuFGFt-Cc8} z%h>&<r0ewebHX3p%(<F>C#2U~@>s}3;|i?<dw05?jq1CwNM&}8+3cM5Wv}%n@0N<Z zqxv-a`Ym0r-Ps*&+jo0EOk69vzp%Hi%;VF$rS|%_mL2w#Jhkm+$)~Houk4t&@{ZH& z*`^c2!&dplN7f}Sy0m#!QBJS(w?9rZrA$H&Yfrzk=gNh>0Y59nR~sOgMl164vgI=0 z-jAxQ6H`@F(=@)kYRQw7$hBdtTL?_1K9xIH<ea+Ad&aC2kLsUFh?j5up1+LSZ1oyf zKg&@6yu9i8Gnt=WKeBdBVBV>pKC3qgP0QS}zrUuY=E>vB5xskMzuY;a#xv+&`Rc8f zsu9ieq}JB0{r0lk^tkHG($kwao37p;n&10s-7`D8tpAV1p59H+bD!p0*{hpXnc68= z_bD@E>z~bisv3J;+3&uq{pobsFYEd2Rl@FhQ;=hFg|A+=+{rWNLzZ1#_3iELmASLc zUfo$aSx2n<(z#_X&)hV=Zu|av`VP0Rypz^PPI8ZKZT%j2`p9Cd8OoZKJMaC_i2a+U zb8XGUSbbUb<Zr>(?;Yea2zTC;*C=K1&9L<Qw3@1(>+{=xWW~QpOO5n@>67Pv*Lu@# zo2M%m1PYtHxprDOZiANf`9*zqZ=KRPdFP#`?#;ci>+N2|K0AN(=Dw)qx$l}yD}y?s zW~$EoD=Kp<`t7<k>i?~4H(7?3_sOn3P#d3-duZutUg^qHXUn44`_;VPvSmK%u>QaM zx4UG~w!F|i=NWAO9=Cp~%zyXOg$mx6G5fvZBmLeizxB>6;PE`3Y1=Iw_<brvw$Ht> zs@Hv0kqmON503Yfl21bJ?8{g2OiWz3>-oI<r_wgRcDR%%TDrIHJKuV}hreF0y6bi3 z<W$?z{<!zE7g(P6xxB2#bJNSyo{LTMQXAR!Z;S1;EW5eZeM{mu57wRW=2y-Z%Umi? zch9)mAL(_+D0142>7pNh8EU>3K0AMVFr$8MxYsSgwbi>E;&c51C#pQnzdY%C`Kr_> zeyL09mY&R=b#i}c_STG?X;RZZ78h!sHvRc>dn$MQBIcJjPx<v<Uv<KOH73@7VcGhd zYc}uW-SWPBt5v0Dplsx~HoG(Y*LMY*spijByRR!<@`dfMR9}m^=+C4rIumD4olzPU z-*^7%va^}1E-@P8Xf986L~1}dl&<cpwahz3VC?wk^}Xdd$ButGf1IzX>D}FCeqG7) z(_77x3+Lb87r*#;{O#6>>+_$tu717e(()Uo=__`f`T732<-cP6|0dzg-hX$d$Y~m` z&j>lAovOF+!)%Vb&jbS>&3b%1RqB5A%wyvFD^fcSZMt4la54DR))y<2^ljsx?Gc^2 z<)15W#Q&tJ$B}%&V7eUJF!b!bk3KL!?+AbmNqf&ZR0JQAKIrH(X(d|`GpH_v;)0D) z`En~ump{*3u(W3_a%IWzY6qmP9ap^sIqw}<?~XBGo%mdEfmmx>i<e(`dZV_Jt8GsU zxOpk|D$&8-bJy*wo(_}x%<Q~$c@W}%ex5Qwv=yCfc{=vbmu9QKXDaq8QRm9xh!yUZ zbNrSUf7ntR?mYe93ul4rN4Lhz?GIeM=e?$x*|n!X1+N@l;b_|<dgX8gdDP>eB}<lA z=*R2`P_o#DJUOy}Z!y{kJRv?x2Mp^7{gOxV(I?%JB5{S}^!o6*lO`#39}Rjm?I!C> z28}*B8ECXHFfcT5Uyb_g@%UJ;ca2^7yE*6P+v|H4m6wM%v+;V}*?#-&)~Qktq^`en zLay7nuMjy#J#TXQdd;Yh2fev+Q!jnw|G!ke>h9YAe-dXroA=WmF;wl|`@C@Kdzb5H zz~j^m2?cZ*v0n2}Lf-bvpU=BKU*O)gHZuBab>*~UcRn^eliRZ`^Dwln-dlXA-!h|Z zpRP{GJl*J%*~c|Y-pQrj%Gng#8#8xz!qs2PXUF|sW@WR<p-|l@b$hyI=6f#N^6AT$ zZ0qVdH{tBO<%j-A_<mVi)i>|1+~ql6@4rr|+V-Ywb`XE<!vE$+{vXr7CJG(cc4&>w zlrfy?6F%E*U9K7={-%b%d^T~(5*5%U>VErw6-)2sU);I--AnOh)lP@5EGqskZTJ3W zeVdrewu{%6?>_zL?ecTYN?)!mYxbI~Qn}*#m#e>iv?|EX_`1N#JX>Y|xn|R+b9rwS zFIppOBc-_^HCR>dN0hp(<))jbD$Xr#uls)|;wx{#`YTr1U7us-{JXTTGpcp};u|M+ zKY9IFdH(5-_Wb)+CtthU)q7U+=Oi3`gUPe3FDTuY`+wyg_tnYq6RU%F&aa5~XEP6f zoObu?@*C5B?Y}wk)$2gp$KbM|Vb{_he#)=__`0g(vqw?UCNZ6e1$lROg|2EmGH;H; zf3dSy7rAoZoGhI8V-Kmz3Anwb*X&kX^UF)H-2BR1{kOqox2k&i=d6(U9e0-N-+Mmg zb5(au&=(7MV~%P+;{HB&1?D(;fu^tM)Y-?w4<Bn?eRA5-UmtcjKZ}kJ@VTW~`=uhd z^1JksIM&%;&ONHQDdTy|puUx_RC3Wn$E*8Sdp*2oZc=z@_N{3l8kT-p*>CEn+`SUd z$h)d`RlFQ*aQM=VLht}X!>jO@&jeR~;#G-s|Gp|&*u1wYqr;6~cFneVeyMV|V&7R? z78>4qYUi^#?98Rd<)-?D=XaOKvzp&n@4LEx^_p5YFAbXoto;0!va7ka{GOVZb?J}d z-nr#}lb3a~qmOvcdW?O+!kQ1CQa|kr=id^$IB@Q)m7)7@S_vC@e^xKk{5c{1=`+J} ziNzZecmB$Gn0{)?k|rM2`8G?9Z}R<wE?KBgb)Ix4*=xhLkmKv(ME&;(%_^(((6{?; zZMm&(okeu7A7os-?kQ{z;8pn2XM!(-m_hs7OTXVOUmd@{&b(&dg?US-^-8bE^{#(= zzuw{F$KO{s=4Sux53d!ywfR)d`NBWBy|O<yZ8Mcxd;M|WtB8^*$4d5J@l5=dtF+!` zwb8lIQd9G1I64R~)ym#$SS_7#RQ_eVjAr_O_Nvd_C9L0H>)+Qp|9GLCzx_Jv`lzMl zk3Xq;_O*QfnsUBcbmKw?_+p1=6A>feUQch@d;Q*2G_~qO^52u{VV}inRz8uM`BJ>? ze?O#r0~fvt3Zd_$GOn(gdg$=ssdMJ6dB5-Xx--wu-8K^4U$;_d-_M}jXtV1xjWvJO zy|;UNSA6}JEhbwtZ^j(o|Ig{;>*=rd=x)AZXjJ|ux}IyNt7ENtM)U8Cztt~&S}VNz zbasE*JL}YTu|Ai={Jg-;)0DSpoK%~AR_*q}m%C0sS?4D9y^H_RnZPo)i%<EVPlb(* zuR8abh|%w^=XJuLw)<R>^_4z(`hTA7=TvFm|0N6OajlrR66~)7>tjAX&a&7AuIL(G zl?vZZjaeVMI&79fV$+(i)u7zn)YN1&zxMmt>#Ck}t;)k9?%zL^^f7d@ns18P?5k@c zja5}uUvD<mo?7te2=8;qV$3_SiH7B?+P7~h1+BK2wUE)7`OC3t&(O$AymOI9yVpNa zSf;k=$8K+}DNojY`**hFU|Q(5SK%3Q#Q`r5v)TRH!Zv%~l^^|H|M@ocscO{bu-|>B ztNPR<>z3!+n&7W*CkCB+v(l~a3v-ll{nmd!d|Il?zF%9kWRCQHa8_g}n0Yc~(&d*r z7flX*gv>aH%=db4Q)Jw$eDv>^$NgQm_r>Pr<w;p4?P#<8vLMAM^Z2>BR;8a(X1@RV zRdQWmZS#}tX-_VOB|faZV)StZ-!l)dxOL`sUg7&CyG^rG!cC{DY@WaC8()~i)LY3a zu}IB->`OJyyq$Mx(%su%Up3!L{iowu#C@e<WB<zC+n-!c-t*7qNcit0^VL5V+CDuS ztks`j`_)B$>6*wDz1#lB>DiUzYU!`Ly=+O>nXKFY6MNs>^|PDw<YE}}eEGF<uE#~{ zCma*}*uNMY&pR^JCa;7Xg52;b_~o;S4Gj&m^JFG2=@!?2wYU8K)h<!_%;(#8e>rPz zy(Q@<mu}5_+t@u3-#%)_#UA>4J#_cf8I!fEU&-ygXYN=$Z)RC#IN$7jb4;Qhhlyo; z$i6*wM)LH#RW8zL{UH~8=com*`jZ>=>7U=$o;xdhuB`vk^j*uh?pN;3L(^Y4RBhXI z`SDgQBOk_hlcda`W8g90&QE%RZ7^N+xo>3LH=Cs&_$1f6TfM#R{{DSX;z#MD&$q3; zyyc|!)dZ(4lh(9v(fG3EnfsY*eTOa`U(?kl`|i58_V<@QaeZ&nzh_<CP^0_zv&-J{ zUB8|qHH#RgrWaYwJ*Rm2@%p$M7N7f~X5Tq`)^}1!NJvO_@vb?bxp|v)w%@;9y7G7G z?T?3LOq>g=f4zGAjag)BWbMkk8F#YJ3qOnhIc43Q_a`+^hP<(dv;x4Py~0&fQ*%>< zj_SJ5s^=451%!lzeC}0l3>KN2IQeXtjnS7-7l*UwqV<BWO0nySy=<y_Ew8?*H0|yV z@8e%WJV6uc8pY>D?uM1bU9IgvZe|<kZC)+Bq7c+DPo1}V^NO&(`SBOu*Tk(nCg`8_ z&Y}BR*yD~JjAimjZjm^$et+eW45P&+3ks*K-VAmhl$h}3QF3;J)!S3=kk?=A5U}oN zSm(yf2~`C;RAJf7Q~QpdQ+Ckpx+@j^sj0Id^+;ZCX(b=aSA(no{$$p#24N&qSAJGa zX$Fr`!z^mB>LHPT=FKZeRtFie>PY10;1;bdVb3P66nn<Nz_5c2F#!KydVc6xK6xRb zMQfwCU%SI%?>Q^1_%JgA!<6OMX1O!9l?EL65WTL>&Y-lNYf|ym4WY`V^RGVN^%`U_ z7@Mb7p5>J-%bu}KZ~eh%6L)9lWiT)_NUy}cgfzVJ+pI<Y{V6B%&WHS&ZM-GtKAUTq zvG+b<(d7H9BM)xfW9aRBKDy@5Gyja2Q@_S7Z(jEP#MN&r8%-x8pUq*=6O}Dj_{2J7 zM&N?aH(Qt9+n-=x-z@m&%KxWVZ&hnK-?Xeeb=GF(Uher<>TgTW!n)RDjT;Y+mUBtW z=Q-_FJ#!Zw-zD{=MgIKnukZR_{(5vXOjWP?%gp6}Z{9Y2dyVVa%xxk5Q+C~Zl@!+Q zE~fF$W!al=I;wAD7e0UYY0bi&;IM%Z4ymBNNoeJ_`3qSm=5G1=^4+G2-zz^a;MlwM z<$_Bpo^r2DYq#3fDSEJ{*{(QuR_f&X&k4Sj>CNBfXsoteG?6LVEc8+1`qE1im1nQ* zd5#$Ro)vlH?5Y1z*~x2nRf7fv_P$ss_b@T*^L*c^&&gj+nk{HQ`hEMwk;Rxz(>?!A zNTl!0!&q#g`u}%Y#$SHTQ`=Hso;kR6mei~8k4Jy`g_K004U$j46%zQ%=4V&5^y)M7 zoK4<%eeZDRZ*AXtv3}nl7k3#usW0DqZ`B+5Mp{~)`lA_T{9a#mzmWTr86=H%pWxGj z#1EKsnDpe*{U^VFm|T4Dulee=TaM*l_lNG(WVH(VUoEQfeOG$%qoggTYx~mH&REL! z|HpK@JCn=4&MSYvk1KCO{Q9+a>$u+T4y<tCmXlLizhkk~o|UtIowL&Y>35|gTh2Jv zW(8<Dtabg5)j9t67k-=#US;#}_T#62{~dm1@w=n$bN|;U(XZmQx3d>*dlsH^SM7cE zSBKl_UjvpOw7UB6eAKs_I%ntq*y<!MR(;}q;#uA7dHL6}ynne%+2}ar@0qC5FZb}3 z{Em3}wU&CGR<iru*4O--Vt4z#!(qFQi@ODrzkHj%UTfu=mRo(7?^rrdHLss=>(A!} zQ9YIJuf=z1=hhy*7A!TNFZappU4g%g_2N&!R(z<+ZV9bRSTK!8&L%=_vS(giUZ~va z6)QZRpPPGmnr`$eMPqQ_fr%Aydf9S?>))?)m#d8U|9gM((|2_*JtV68V*BQEZ!LMq ze%%%_R$g-X&CJPPs_q!i+E?3|=H_>G6Z21_-)0lT=Y>i>&$7#(|JzMt%RMn$dC}!1 z?OWcSEw%bn-=C47zIofR@DC?uEDG+5{4=rc#KbNCnfLxY%Ch#=_4s{9XStu6pWc2g z$8L3hJ5Trl>G!LAE9zN~t-mnqwEGvCe<c(7;^ow<ekabAzwh)<PHS`J66nzQ=KQ+l zI-Zg8`&aksx4k~+Rp&k_(igV;cb%m_>vP+bk48t*%n$I2ii&1lURJ(3caLN9zmVKy zL~Y_wT68o2Q~v9c*={`?;faY0uhs5~n{DQL`t)V*zwdbiSBEh!-8UKk%8x9&{O`}i zOtUSWx+mpqx|y>{cGkMxKJT(p3fKQoI46^uE)x5%Ag(igbLjrUVAFLUY<}L_TGoB( zoz$X-Z{Gz~L}VY$k6AolcG8~em*=~;y(|c7+mvfIx8|#z>AG~S?H8V<`97ICb=BqP z`tce*Ayr|aeQOI(%!}6uZf?t}csgnJbg5n9TK4agM31p%^|!lgrh7_eAg*L!SfNMm z5cyK`xgpCx&kRdd)w!HeFaPt$j}yn2Z<MMmzg%o6YO~pGl8<l7$NiUb9>H3=-+a$Z z{(m<s*N^ksg5_?j<5$=IN;+HUnRfTno1C++@~=iO-nDvXfB&uhJ6tQxJ&sRI4US9h zj*9a<dD!2sS8Ly#ODVG60ae|6yYBw})Vgf$m2>8y>V0=Kke6=<%%=7Rrd3r2=UG>8 z`mFQn#@e-KCgsGhy=?aV;4B+Wm$hdmo%zSb_jYYr8tU-(B$e$Byb`}>?5g&*vpx3u zymQS~{j$xQx_qPW*-TpU=lz$H&qD56mTh7BUb}EB=X5>uoF|&*w=-pJX6bB|Uec-j z?$7&o@~J0o?h5^A$Nc4s{QD{WHYKN>ADb>I{;O4+Yq@cL*6!O5z12n$_ntA>8axfW zTb8uiOm5|ai+11)3n3PS?O0Q(l0G50@b|unDy^-pujW<PY0o~pj@h$4^vcz@yPoge z)$)4%>N0IZ_3&?3Y^(O>H$Ag4_MQDn^(2lpASJ@fPfpRQpFM5Q{$O3@axLYr+It`D z^_o1tE_&MsRjUc}*1q{tv9^mRxMBB_wzE&}@7fw=VmAHnW)(}mm%BGBZ+UfGM>TJz zj`x|<T@`;LPF4m_n{L~$vNB-z^_**w_t|cJKN>Z6kI9BUooZ+KXYJW{HD^!S?l<}U zG+wK!<~m;YL$kYT45Ox<IO}!BYOVh9=UJDZnS{P<ki2c>J9|$MubPYY$tsU-C;#la zBROw1)|^@Z2?#K`U{`2qeBbOcJyZXWf`yZo%-{3v<gA>j_1yKA-E*vF&R8&Y{<<rc zzrVU4m=j~+7Aro@c*En4FgLpuQzwd^Rq@>XK6lB@aJw|nii;Je<-7jBxv<XC`D&`) z#_;+Y*TqS3JL^syE;hYT|L!S&>Yq2&nP;G*;g_!lM%2V<sxIrPTxavow<Yg$heqMq zkB^Od-|zK#+#SEi$R#l{dkUXkmDgS|+t4>lpP!zyebJ<A`&Tf&j$iZ10_=DQvEbR2 zs?QypPpmxD!{ixM<<@ww<5&1uKM4!hi@%qxPVWqk(95`PpLf0X^W?0ucSbW+CU-9b zcXJ`y88nt%o9&);7w4|76~&-7^T)Zfq~7oNxS4_H)XF=~^Rq7fZN9SdGQ;1zH@|Nc zZaZ<+6RB`q3flT*{`!yM-rsk;Oy_=^$S3<Z=6$<d*pdI;!OvWmuQmn`q=D^kn4N~) z{ooT{#;jay<^3<|PKwb^4dG<%@70U8AGjei>73`OscrKlSA8wt6Zb0F)m<$6gE#M& zXSdp~9z3h^w05<4RsFJk@#il!`+EPsf7JQ+yvymj_LHSNvli?s$dCZr#SpMN?b@3U z$;WywZc06!RW>Qp)Y^uDVZ~#;|60bXs;*+)OP|;-zx-0hCini;3rpkkg^!dL>V`j0 zzM}EIx~sRhw6f0S=qtmL7XiyJSFXBpqQCOg&BRAV>H9uES(@Ev@!XpKOVPfn4;3@t z^T=9VdHUM4>cf6AI|blg#10WnP0e%M;(Aj$qJD3GU;lgd=55Q2w(S4=_WJH<c2l>z z4?+?h8_)3CJ}LVeRco3tWBHe2Js+ge8m*Pr`qnPo_+V0e(dQGreQOsUoBrqPdR2Sz z|MPzI^}K!L+Ah;qY*lX;t{Xk?;{WsaYLB~zKK(CW?{DPkp}?cqDbP`(=_T&6goAPE zDv_1fj?Q1bdzvdFcYMGd*P0vqHa%MP`c&P~rcGT9O5BcJU(^^UrY%$z5C~FJY;5s- z_r8C^jF!wy<H;vq|E!q9{$$%{%kL`X=l1@5az}k$$EMw}-?rC>F3*4T<*@W#5v|En z%46R5Rz%&@uU&KU?c3*1_I^BOIoIl{$H9Z8DQ4G$92nlOMI^BYmaRXzzQsigKj>{> zSYXAybJxywmXnqQS56myGUt-M`>a)!J_|1Yg~U3DJRm;NhKV6n<i;npyVJgJwYxcO zoz3G#b+MZwR#)FyBdxSYRuZHPf~Uy7_ho3<WVHRt-~2mk_xtU1zb<<wZ0~FLms79j zh59&rt<^;=r*D|m{X~#Kqy0j5+l!^^+g_;VBX3C+J(8UbF%&{(8BB7AupnfXLI2)u z#|(<57Cw0&wf$xATp$05x;uJj-TSbqt!&*|dGY>h&y1%p`BM^UytKgEmQ}+e{O*_5 zcW-XFHL9IF@jG<4{o9p?ujgI<p{Fa7FsWzH{3#Do?q8hCy!HEK=`GLRgmUe@bE)A2 zyUC|{nO&S4<j-$d7b}wT=}IIbC>a<&=$%*XPtm!w>c{-jyDhrA4hyM7e>-nATUNE$ zVal$)yUW*2`gCsJ(pGIA-hICfUD>DIvA2IJEgQf;lW$Fc*K&7JS&f-Y(bjjbpZM(> zB>h!pzhiAulb782Ec<yuSCK~=ewczhd%{jNJHkCyG|pQsctKOv_Rz&IG+1{So^DTk z@@o71=~{bE=ajD7>ta9u?AI)$1_=YhzeC9<l^G}gz4GglP3`W?m44|@r{t#J{%%#X zW9}`}{PkjQ*Io2)|9&E^!cYzpx)9R-;l?L|!3F$Z<-RxGikY+i==-wE%d_pSzT~dd z`)<tRJl(HusdBITipY!wPP2Q14#!V_`9!rm+iuO;`K1^3ROXuI$3_1wzUmcga!Q`R zVb+3G%M_BbkVXpj9e;E36w~4ARj(JUzq;~!oa~)1E5z0syyRCtzIxqd5wX>NGJky1 z`D)gEuQT%Ar1^c%5@G+9p5EO)diCPB-E5EN2h5+bz^jYBq2Bmp3fF|QVLQc{X6rhr z1vhvgEzEn6Z8OUzNMl2pdhG4jhO+baJ3sIFxaxIEwDNCjSsTl^<o_1Fy?XA|FOS^+ z@^T^Xt_z>cS}QH&82+tMC{$56u;$Gho4tRERy8$$)~>m7=MF>cjtQ$97>qepAb|uT zYx<5)a{pw+uN~SOvfpn9Q;$w$|N84Y+sri%oqQj_s~jKczW>6^u>K5>xxb9!?`;eF zJTYr$-M+pw-pi$>r_8M#DjzXExbLGjnTd%>R6=6Onl);hqVzTN^}~Pu+*TxStZ-n> zfddIM&o1QR;tFzbSm3j6Idn4w$aaSQj}f0d>YioQZvT|4x-53e&ahfnlfCZSd1kKQ zNZ%>%wy5sz|L&;o?}DaSD0y<dT4#3M=i;^aNmted3$t4MwSAMA`*%(Ax0t+4hab#R zmFG=dr>n~`)SXkAtQo+_=*Y#T<?-jl`RykSKAoy}34ZXDWs(9b3(LtAE)nG8ML(#@ zdCPHTeOd8t&X*OJbD0*)U$y=I_up2{1uL!kZ&w{HQwv_PuJ88B)pOrydoDW=w(H-! zkl6h7M&^$g13rtKu}hkEGGu~BlElwRJzX*%KdmlcN;NWk%>nixn7IFV;}b!K52b38 zJ=+$Z?o?iOdUH#NO5u_hC#Fw!^KtzORs<#*)+<!9Fm(O2-FR4~2q`CAVPU+7ysCkL z;hys+BpVnUc-;u_)4qkcZcdwN6Dqo=#ZK?TpU-XEoxyfOhy%H2P&aQ+mTXJpnY(fK z3XeqrZ>C+^Bip}TEd6=r+gn@X`um;ge`GDRuUUOTeqZPd{`*>A+Ve$!9p29M_hEGF zzYlAj>VJe0CC#d8X?b%;$;+V5LuZh(7XyRojFSdD$v4ilEMnD8EB~5g@gbFUa>k!? zDMpo=LieA&u~{3tJFKv<@Tl3hkPrrj1J5m`qe7P(Ht*j8YG-^%|7Vo9Ty_Q5zf1D} zF7YuqJl%C!U{5M+Ex@0j6XwiWvtq>xq19*NIM^KupMbVPmCM}<X~$(kgL|b;rAnEL z_tCzb&@W%_y_{Y8@JVH>*}BDk#Z|EzWj<85Nz7qnXkb#al#>p@=@76nPfGq2&b?dW zwN<KR<=x=B>muq)4l<hVe;?)F%FM7Lk>~J+-@DdcI?Ww#o0=b4s5bfJyHAf^-|akk z)2=UR<Mz3WE4a3oUa6}twCG}Q$lqAbTljp}lNYxhzmj_NW(EVp@l#c`rDlAkCUGUD zKIyOTu3W$7v5$LlPIc~v<2P?yjhu7t+D@zM3=P_ryO&x0_Sv-D=DhA5%Vox)A9YS# z|FGw@`MmPNdcOK&e|f$euZU!_37x2Wd)b^~@hP+CC71tQ+7l!0-f^uV-TwOb<L5PP zjlG`-_r3i6{e8HwC)a-8&;3udp6>|_%Whlqyf6FvC2<p*ZJVMWaw@(u%Uty?D}KiH z$4l;p=R{9?9Hv;Te)itPg}Iw=iKnW!^45jhKb>=}{#~EOEuBaA^rzJPQSRm3zek~F z$G!ii?)&$cyCfcc^v*Q7wa)kSRn^yqYpW|){VO%_4O<<0?X!65=GZHht3$)GXYuW| z4|=%i_)W`_u<d&F`8TJOztgrA30^hx?flEr*3>-BnZ2|uO|({iSL>O$1FK_fYc$TL z7>V*8dGq)F#p~;mH1_RXJ=@Fu<85i5Y0qaY{hs`Qv%>LVJgeyQgfkCbNIiPBE98&j z+`Y5?)2|C`UoV`R!On0+Bz5OLv313zXZ33DmOr2MHizd`&fLWx3qD536pE!+T3G9g z{+z?yAa(rcj=NV)uf9{fTj3XUEqmkX<Xx5j10&Bjt@2U5<Nk5a=|bt#fA)0$E?&U$ zE`7&*$FmPNKAG6XCH-N}y*bxHUdz2%vf@L=R#_X@_iOGfH*S@dSQ+&%!#Gl>RP=Us zSDDG|HR1F3ZcR~gC>6NmD=asAiQUG7lVY~)zOpUVGH>dpziW7R`@NhcoflT(`1F;< zf_9}%O;`R-tD7!tbLh(6^lH|(w-@owWZmt`Gj{>wG8a~!84DIpJJkF%<MW%ssv^%t z=l+!Ma<ln6OD;!TvHR$v8^7(tFP+pkS=s3-=G}7Z-+t9oTZH>gzn5(<jh{As&5<>7 zyOK*jmP{5Xon_$DTkn0P_0jb-<*j#?^D?aZ<H*9W|Jgg!C10AO85-Ufm7Lx7eR|H* zz00g`uh*Hc`%Nw;ENxC--@`XQYR)k_94+v5O<mD>-}=scX4W0^3uVQ>8-Fmhn`ras z-1a(;$!=YzY?kCk`=45tqxv>x&G-F3;=VmIJZZDX$$R_!i=n==wU698sHCp_?@ZR; zze`1}Dzri+UwYo!_#m;AbLXCSPr_$e{!D&rH*?vP(#uy*=^W*$@;hDU6Sm9Lclo#4 zl`jifGX=XO*WJ|S)3DTamXv&6lJfcgtG?5dvn}qZ7j1ep`LXHuqfdVszMNxZbnfK^ zbK|S_o4S|j#jR+!+H$RSzWV8^veth!z0GCqF+1NrVH4x6OKklnW4(CG8K0$pWY*tw zUZiS$+fMMY%08~s*S`5ymwenc?^W`|l)ROWd!@3j*FTzi|F76x3AK}Voc8WI^5Via zQ?H3CuGvRs?DLBK(=;vZ%jFxlm9;g+%J-GWT**zzc>PQHRn_siS&=0d@@5%WIL*E$ zALtOd{%*=Qrnsj$r%peLJTmXZEmNMwE2gMUJCwR^*?#SOoxUWd2aHGmYn?bc<KSic z^{Ko3rYw|YXgKx!&8)XsJf|WA7_tQFCAaU+XxF#pE?UL(_n~+0m)Xx_@62b8JN%dD zfo+_x+{TDknMWFKU)BH0cGosx(K_F}bq^GFzmz+5XG7HOCijeUhb(vPu4Yzu=M6m5 z`~B6ve_y{mp7ypZebEG!;EH(O{B04*x2}XguG4=R^53$mwrF=#=`;&ln@3yHzUHks z@vr!c_YsS|zuq0@iZ}7Q?y~LLk@eEA^o#$y|2@1!t=#|OV%~ihXNZ-C?$3<>`|_#k zFT3ENEstxtUcFc@m>d3L-s?pocXL;Li~HR&Z&pFn`nz9y_WAvD<vw=ggph4WakzCx zx!<9wx96R_)Y)>h>f^a{SrQim>+fB2>i6C0zOigu?crxO`?@yOZ4do@*!tzNKE<HL z2VdR&q@G)29>+Otp41ghm##gLccW!5_mv(`oxJ3Rq10XDz|;1TYy9_lUh_?U7xMTN z=h3g<`%dp-p0cs3m9KnHZ1OFUJss+AmVJ~G+2$AjFXdA&j}C+0&E1-7&&<B~=}2%@ z*UnQCSEk8@Ojq5#E9P<q(+95n80Q^+3=Tgncki24{^wcG;_Pjk&-ZuLZQJpgTQlGO z#M-W6UIq<m|G4hjw~+y%AGVrZI|7QO#cB`gmN9%cUctHNTlM<~3le(e?H&E?zO@`X zes?9i+P(GmU-o&I^X$3%?%THb`&XA(&%gT1D%mvuu%c|={MFS~qNhs?u3rDJz3=3# ziOU{KFJFDHsk-F6b~Trf@SBs?>#oYztdcigddBwu^6mRASL^*{Taj?CQ>51VJ!@_0 zmV`X6(+e(kaYrmJf0lP4@^<9f`sz+Tlh=!*Z_h}zEpvLgv9Rg^zw?ztWe%(N-CChC z`*SVVEBl2%>gyM<te*1uvQh2tW?$Y}M^43DE1P~NihbI->(6&jnwo6A>PIj8l<55n zlioaeyrXCRqH}AmZks=Q#qG1cR}(Ir-SzH>*jg)z_3!I4mfUlW(q81xA3J-ayLA1D zi78>H3ze^aeZGF{hvPYKV}DHTE0JDncvf3o<oWXpy}NuTP5jil@7{I4!^gKxjZEBC zdB{O>wf)s|dxECUd}ZCMx~^Vk=H7<>&(|0(+;cb|sneIov$K%pkHf=w)~OHOcWkP= zr~UO;U|$(S!~P;Ox6jXRtTkFzv2M*To5qbs3^yJ=EUcay+pT?pFaN*Mh8C??{PW7E z3V(mzpz<z#)u$P$Yv=!#3^84=+Fz1$IdHLie~_PF-!z@rWmB~uhgz@M#`fjy`eVJx zZ{JPc^i%#<^8c``yUz=C`Q=vE*I4}iKGUctM7Ms+Or49(U!L_Ru9Ba%&X~{GT}5)H zmwot;+5cly|4m!BV3*)3ZO@<2OJ1F5UF6#=?Xt3N@x@v5Q>I?cnp*nbr_`KvwO`1F zHS_J)O$@%a;@y$@%-aiPf2DZYyY9ZRd_mpnAit+#S0~QT*HAc6_o(f1nYeh}V!4_7 z<Jc#i)4ZE?b>n~8CA%2Er5`JhjCZ|RceQ-C-^$YOjk~@+^YgkZw!YaieM{Ek#I3Jv zWA^61T5+Lv_7=_HNjtZy^}Jd6LhkZb<)f>ntUbS?y2QVC)`fRnDYHHmRq)+id)woZ zc)aS0s~ZYm2^e}j{*{{jba`~?$`V(%ELB&Y1*=5P2kEW*v1R7b&68JmZBDMX5@WdP z@4Ak2>GEGCE!q4G6>%@K*DwBW{YyJJJf_!CdsRtH=-0?cZ#JK~d)|_vA^TsE@7h)A zj!*x_tnDg3`C0G%!+$bAWWO7~dsxS|?@abd<?!P%YhS$30IeNYRSor2_t!Et4c*wA ze052t@bcgD?tY!P%zk%(dvsag_76eY#b#OW_PiId&fN7b``HtPWmR2Wnm$uF!n$Yt z+LhuP`s=Cc(R!KU;Gn5xUzB@$H=an^cp_=#YO9B`Uv9k0@eEU~`7_0>JbUfEV4;$` zU(8L`rgwDLu3K|h*6+6N=BU3vRnJOJSXF+kB#61&Rd-(8VjcG5Me6&yPF`E*TRr7+ z-i%|V=Ps`^-91HjcHjD2OBUz;&J5ZfA$x0^U*;qytNTlDN9A5EX0BeHe}CVr+uOId zo$(CVeOrBnb82dTOxQ1(UGe4f`gG%##rn3ty4QYerT?q?tr_KhPubWHq>KLV?l|?! zyZ2G>^UADQJ1>2mD%W8Z#eU%Xl<!hOJA7Ye+QwcDu906S%n-1ZYyIAJ>uxT!=bqy$ z8(UNFK41RawW+B#Iq&raPa8igy~gJLeCe9`#S9F`V`B5Qf6r_)pEubeSoC~T4e$Bg zTI}DSJ9yqXzay`C@#jN-d1@N3PjV016g*|ptW{#VvsOo5UY2!xS?{7ni%OntH@5nE zd!_ca{&%K9$-lOQEr|^BFy@uH>fI~EpBevb>T$ncPeOG)UadZPneAnlOt({`Z^`K; zyRT@*Y$~aa=;!Ia@^0&Wt+VHrT?_TzVpp+ZUd^KYQnOWOCSOrqw|v&@r6=N)OVj%! zS~a6P+3PRm?@ViDVYRw@e5LnFuk&4(Ol4=Ce6#BA(QQ+gT(C;y+A{CLK4<l%&zemu zzkKB?Ex1#3Je=(sZ}gYszjm*L=PlTJU$i9T+`)=m-@T5^Rw`M?ce&gYS@cf0edWt_ z`d=SD+k0#G_62MHCv*x$-CNdq_wAnI<vlWU56fRV9r697{??S}WZ$Z7`zP)H=~I1r zqV=Y-ySFx_^D?e5`Nme)cTF@t?ALmwIl0l-D&ELm4%JDGe7<7cqf)DA_5<8p%O{l@ zrfIw{U8!?juKM=w+PMXrWf>m4kLkTQN4NZWRQ~&_cW-9BeKX_TyT|XqJ%x}^X$FQe z3(4)%pI1fK?6p3vRpk@@_QSQ!3qGyWK3^+xe)p;mmEzx@GkiDZdsNc)TQVd)&Rg!J zOisq(cfOOBG%`QFvirO5rYPer<}VvQ-C~d1So^kY@s~e~WWCPVtnayOZMyz^;NDNm zC8IL)7xm1^{q_6K)K$j+ByAsMyyCiDm-|0=i`d^O%Z`}(Yh``iJj>|)hQGO!?JrK| z->3b01?#P9v)GsE!do(KIUHs4KB$|2`Lj3wi#L_4{f^kHd`{o|_1UzX<-aYa?v3OA zx7W3IS?<N1msfu&C|7^0w=MVA%Y2iRtI@wKs;5ko+5YOuF3GN#%`xpc-}--t{8;qq zXt-GVwanl8jz)ZS%S~G&EpOh>KHFE)y5#M(V}C7!Zk(NEv1H*k{og_A-S4Zv6zkr; z8R4w{Ep)!*{Fh%RhOa(2!7}RCC54;S$^FKC`^v*ut=3oHh}|1%RQsf7THVgTNUH_w z#bqaL-mZi+Di;ttgXge8+gTrts0~SF*H^7IxUl3wN7SSxySBd0x^v=VSEhur^7#uJ zk1YO`^D=$cjETC@WupJG?20yp$?uJ}{(W!7%W4nCg7BA_b50a(K7X?^YIoV%M~@!W zo&Uo6VEZYfud)mxnzn8$)+MvmXR<Ra@G=NvV~~HS|G4bdLqpS7#(Si`v`7D0D%-%n zGVr?7kF8Ihq`11ePK^lb)YmNRpO~)k{0^(<rJKd#U#{KRA0C_PyG*O@yU$k+t$iOR zZ97-#JE@c3qyF)N{oDU;-ORRk-jlT}7_J2H2!VX~TSA+eA;9*dBp*Wz_rv=(^K2dk zs>fYk8@N7pr%lnOu>bwf4?Bt$U%9_;#fQI5HTxJC8l1izW4l^-=7IiO&;Z4snfrTg zXR@zq+W(~;)W?5d_;0SwBac%tcZ15;ZHFxM0;ywQVEC|$A)lS$N<^#VJ_ZJcbN^l~ zx9XMrvs4zegxvn~^g`>m4xt~W|NAh#jDf*sRoXclrXNCVnG6gEWd9kZfkhb@{+)?G z_I3+%=)w43hvRQCGH6Jvuh&a{CU{`Bk4XNst*@_D*|Kr{eYhGn*s*fczk*}zx8AOP z``|h5VURoZKf<h`!zEjr&m+c7yn5gBt9zhc$8wNVzE4}C#NjkG5#0#G-K)h9H|iVn zEIx8wZSqO)i9gIBBR5^$-P+qWE?>mNz#tlwb0au){_}HfVLjk+@cR!y38C>-*YD!* zqWSHK&$AgE&X)ZAtYB#w85kI-8a8vU0AItS69*X?4s74~*<tCT`OoLA0FAad9Q|>6 z$K0|7yB__!Qwthy0grOv9V}UDT+7JNkZ*MI<MXoA+IiKBz=K<&j}cDz>21xxASe1@ zWtZP^`S3$ic&&b%(%b#IU(e#f$^aG5M03BZmztkXnK*Hg?PoUaGmEz}GiWXP@_M0+ zt~t-)hnpT9y;xNJ+qxS(Xi|8EExpj9d!<q?D0!%^JLY`unDx89voW%lZ&sDR(tbVT z+|9zf53_ck%423Yuy0e`?^xT(8t0#Ty3Q*OIa(}Uf8evmJa^GmrwX+mWUu=4`|jOj ztLXQBwNHP_l!`7lUbk)a8#9KfCzDSqZ_AlobS^d^ud46v*Xc$@u`-HL%=7-n+?Tgs z{^g9$Tz|`D@9*!A_f^sSV|Lbl(&{;%!y?y8ZklJk?yh(Ig%1(#$2Yy`+yBS)`uln> z+1KWm&l>Lw-FCRj`AY7kkh@p+?U}sAqI0*;@pTz8aw+eY+_W~@k{kAW(aPLIZ2MQw zFY<eBU+ylFD^~bk+{^CY#A7Y-aRPQR@BhzQ9Dh$bNN1+H%igp#7uIL)+?8UxtW@9s z>VCduC$qMms`|GmXIr;b{?=1jzLyt%ch`#fX7QRiz4Y~`@6&uMpYOZmY`ApR&3~4; zCr^c++ZLIX9(M8j!srukShaj3**(=Jd#X)7`S8DN?OUz3N4IDDEGyzw@qQnCFL~O% z^K;D@3Ko9QFY8+U=6tdL6-I`uJ)1vHSX|orUre5Ts$B8T>NAgiTdzKU(aJsf{G*K) zk95v`DkzJKnY;N@pEu(H{hboqckfzu%XW$Md6iRDx@DC<=I`ybUhnjt`tee&$oa)G z+c%z?@BZ_|-^$RQU~Sp+k^!DO^dIlzF>OCS$z4k;=Rk2)aa8cy>J5_@9a?;~?1RYO z%|-LiiR2|L$@;nYY|6$fp*ia{Z(YpU6j%E#jNQ2FO5kKSL9aW%=l0z+O5J)(CR_$S zlrmMmJhCtLpoPt;Z=22DSS`)Y+Yxzj(h`AEnG4Q>k&dtGy!W5xluLYdZ}Z-!Z@CxS z&NSUsYV*6m5WI+q&F4aZ_rrrlTPnX<*zQybO#f#ct30pHmw#LLgriA;Z~o5Le)&Xv z)|Del-KtKx|L+T>-r_v|^n2goUHYfJ!;-@I?;7r^*yY(_<twr5*nZVlP9L*3PmFqZ zneD*-ke`P^XFgbj{F<KI$|e8D^47LJrBhO4U%r+3yEHoW=*t%p=lae^h%sojWozwe zeQ$pM_*X_#&hM$~9zWX0v+tPtB=<W%ukmMI@(7&Sz9sjy=glc=m(1<{`cM4+>3cS6 zGuy*6UVby)wJNi?HKuTZUscUTE#IArA=m9UN54#;_R@duyvx@<-+%e?SgW+_tImAm zEpJ;7&e~P6Q0~=*sPDD&bY9;Sty<LmH+TE~g0&)+kssCfUpjMAKKuJglcj5BuM_Kg z#P_o3=tgz(4H+M}wYNOKA-Oa)P3!6NinDS;x;Jlr>y*$;yHFhUEqT+WwV761-+TM^ zck9KohwRG9y}!j>eEsW{c4D5-rb%5{y|C=Bdfd;u`4@W=C!Nu~vf8_cFE@Izh-c6i zx1N`)uN>9S$aJ&s-D|t)(xSq@dmk^#Q+AHNE+2T^`d!HBMa^ZGTMi1|+VZc1=~UHQ zwawxyOj?`G)0wUPQy=V_Rd+s6r}gw3UKed;v1J>WwE{oxzp-)^Bs~|LxchSA+_&ru zD;WQz-g&o3cHfsfM>YAsx9iWHF7?|6RG9wswhmZa5YJZ8A3oV#XIhn%;e)cT-g_O( z`Dd)io;+LI;zd^pzh}_1wyU?qY@CY4&Rx8FSK7idt0O6IPx$w*>zB)K&)Hw3)-`8u zRq>sKy&FF_mF>CV_*Lr8!ur?^^(vG7UTobx@8b27`!f#CkceE=o)tIkv)N3Y!m5fR znP*?!4Vd`%`HKmQcN7b)v-oz$IMQn3h6w$8HzRqKtzMnK9ra?P=68*2=eFhFIQH^t zxqR4<MTNW6Hf>d_yBknH^SAx0{Q6a*{hKKm_(%?)y5r<if+HUb+BTM7j-F}0`)TL$ zFC~Rj4IOlC9rV1HZE;`5$;!a6BI(>Q=clsTmX9-Jp62WczqoOM_5PSo-<U6~vbfIB zu<7HItv9aE+x>$p-df+L|C647e=uYIqkU@_zNeBu=rINBpvRLZttO!=uJ_MXJI2eN zE!2M}lw-A_WS`yrscU3z2OiD0S#akUuV}LR{9{HLTOKi8dog#Xbjh`{u-EtF7BQdw z_2Sy;le}@|X=}Irz2kB>FK-oFbKbR5^=%nf4_4HEcUJx?cPUD+w(7;L9@|?#ocmNZ zNA*dsTxVauw$(l@`~9KyB};B+^k&9cF0^>hZ2rp3)9sY_uc~-!UisT$X{|4xaWbc_ z`4?jS*()?{)q9g^JN^}>d}ES(vi9Jdy^gatN66d_xV}U>Z^12t$_JnKd|2P~V<S7m z^2dt#eUr74v!0w<bzWFvI#YwJoZR;Cn_G7<z5Vb_|DxB2g}*iCxmR{fe}BHVbWYav zl~?vVNdA2F-THf~!_&`EpFED6$(mMu(RljgNyf=bsZl$P;<g^n%67Q_FYAuQwRd)! zv;Qx&|CYb>TCLpmPY*W!I^Mpyetza*kE@^DXP*?Z^~nDxvFz8vmsY`zXC`j?b7txd zv;TAaWHl|Pc3$4m&AmUc|4jUPX3ytS^0vOZ@t-f~F2ir*XcqI1pucX5P3=!=t-h-D z`rph~d0b(0S0sFAUhlOn{_EX!!C7}}7v$~TIXP(i(boy*B-h@mh*(?2AO3!}l~&1p zwcJxvQu5YvDpzamI$rYDW208;YvWgPcY_zJZ3#|YddT7pbNOqtNnehJb7q<5%&~Ie zxwND1X4KD@VX<1V6DLM_3NjbCf9!eusLTKK%g&Wfb7gN|-pKI5F8n$RgTqs8+havv z>fP%N-*|<_-}XKp8k+3p{`}}wD+Y&~KTex&T{Um_l<&n?!_TRnU%ZMx{zqL=`J7jN zG5apa9guu?`p)56$(othC%Lcd+ZlE7VxX6gPmq__s!4wHz_$-dA7ABpS<Uae?e5pg zzV&4b`?kGVl=pCH*t47~cPj5|mR%~jd;QGbh@8qc9###551*Kdg<Y?6>wMqVS2tzu zF>K=p%I^6mPx^X>-n!UtVs=ca`>0a)(si#|$}c3Z-W+RwLwVEI?8|abw@5E5ocno8 z_F28u)5{*OHhY<IyKdGM-LUp;YlCMknQ*TB<+iZsuXhgIdv$yJ`d{DPu2n1+y7KND z=c|B|!Yik|WB&g7+~ti&Pj6ZMGT`p-3l?8J|E?E%dv4{O2MiT1ANTKAv1UJurXBCR z<;!}sW8+^sE?r#8z`*ctRe?{K1)sKUYvIeccV-st{PC~vST$%I;Z5dy{U4`{_mzOg z5sqH9WM<fL{_59lo94?`Jf7KN9QyIjQTY!xtI~?g=WzXCes?-%`@xHq52YC6A8dUx zampK!rAwBra_xSWb#2SaTiY@huUMfm_vhOlzrSU#y526X?!7X@ZmnqMG?7a_%<f-< z79VlGHNEob#>sY-q1=;xWqsP>xJ$|X@VqOJtxEq%eciix@*$CB*OKL~cVz3Q^Tl|D zR)tMj_wvG;1U0Vo+qWK?yh}Ph4a?|&u1&y7i#4mKWz>EMu>I*$Z))akbbN((jKAsk ziQBJvOe#Gr_BUdG|F0JHjJM^ivQvu<;-Z7|FEsFV-rA@aXx6&o_mRY`U9Y`scT}$b z_D)yhwSB~*mTB8Flgh2%ztL27ul?X$CHdO!=hA68*=sz{e+&O)K0h<xvdI0~y6D#y zyEz<Y-jF_G85Ld=`EviRf^E5xk2jY4gm0XZI(PA|uIn#zWE;E^cKowh|6$6^*5F4* zX$%2}r#`QGmEn_;Z(aS^Ed8-Y`tg!-`SRnP=N^?TTXl<pVZ-5*JNDXKzy0X--N>+u z$-A=Jx6j)><#*jF=kI|w{ht;-4~*Mmzy4KWR%HL%tk}RmHt7zQ1@XsfC9}lVtM;ex znQck@uI72^Kx5&nyT8>U*Gk@+UE)yrcKw{Mi_3NgR@GhPQ%#!}e(dGkS=XPhi2He& zXYCgAi*D!4{?@+px+?j<N3JmaRo52%FTb**MSh=gQ=M<QLX|)6!m9kqQ`J3hzTW!a ze)N@$TVivq_j+Acnwyi|etAc?to7e7=2Dwp>DJD%_44kEE-kvt<GL<#opbB@dzzxh zgCBN(dD8s;lvP&aw|TzdzizVV&N2(wX!q%wl;@?HrMLchPv~jBpXqz+X)2kc1dscT z`+g;aF8I8w{qEIh)ywYZGG0!Xp1PFzSJg$u&<AThpj}%K^@C?}qQtQcGdW$k!_K^m z+A7L6OGGBnds4{uve;f}%Q!>c<di3pR?{j%=Ij3T5jWnHdiq)T=Y7k*nE0jay!reM zZ03A_x(@RSu~SC9vJCcRKR@sGvOn)FKiQC(VS&W4r3??0|D@jWv#ybv|J$#i{@uPu zo0p6H7kAiMSlhPW!_O})BqXFWRj&SICxKxB$WTB?>DNQnFY*~eTNvdT7#M089|tio zWbxU3XFOnDSled*x#ClY``ilO?QVOYz14W2d3ELflOI?a7F2EgzBBeF?tbQRmI`Z7 zn80xb|4HSB?ILMEm>3xDKYOEJr1kpq9br&8!mvW3UUK_ozL4-c^PlI;uY;`x*nJ+< zzyF}|zb=<IK-R#6nPI`N&qtnHCWF>X9{BzfwP)*a)1tCe(zu`DUdp11&1+(}UwdsB z@Pa>H7qS3?z03ION<Mp?KQ*}4KP<8cp8M<Y;kOIyHLk8YZyVUY{QT6t>$P`&`1}yQ za$@DjzYklEr^(GU?G`!qX@==(SN>lDzo6?GL0)Zmbv#9)%`hj;>0*=U=G|eQt}0?v z`t?=&CEQNhnauP$<|Z#*@$cuPcyW9GIY`SY8q7tW$*ZWVYint(I${+U4eGpu%md+o zX3%Om5DS7S=g+i_oSB&N;?BX!TYvtFd-<H7c4p(QU$^X6U+-NkBAYa6;`Zp-|Nfd^ z-T9fjw3B1cp8kb%=Kot#FDJRy{_d?1dp)_eXVdjOf4<z5=Jjw|6<5H7b-P}2_r8-^ zv3LHx1*YligH~AjbLA~fdpkd5zI6UXS5cd?>CaAC{VHF3`^!qdWy?>9-Es5HuZTRn zt1NKB$;s)UeiFoQ3=9iCRp?Y6G05@y|1j}ydb~8R)IP`USGlL3oVMi1+{s^`UXPSA z{uJlB!=g=d!B#Bm;o{aQzZdt+y;A&#?Q17zP~5k?w{dokAMNW_Uwo@w_NL~wdz9$^ z&Ff}wa4nB$N;&;6GJW%6k%*0#k(b6j2%T4*>wC}d?cK7VST?)0VS*32OgtGKH)dUW z>{`0_$E-5-)X=J_j0@*pSrr<+bgBC36%RJ{yb0daR(d7$;nox*R;?9f(WYH}K@6Mw zU>*Yva%G)KKB@fknyuHCua~c0-0J0e!D*xK;gUyJ1fPZ`)wUIS?!3nP>*Ik!)66@o z4)OAKyjgy2`m>j}eZ5Pqw3i1ZA$FB9FnloU-@DCiXIShS*@Y9d!&o#dw(QeOnKS*( z8r|K8Z~cm7e{0CTGVBO%*4?McU-JXw)gDK*_GNLsTX^rW-GzI)#an*dow@3M<*r}% zO~QDcD+`e~^sU%uGu=igCvfeWlvvAj_kBhBbAmH6WId;=On$RJ>DZHZzY}k*c>aU; zm9TI~(#na;x#X=4_AKAU_bX~{)%&XlefzU6a!vkcP_*Y&)w`$mNCChw^;B{uKjXA> zyKe1?>rD4v+W+MLswK(dSC<5Gvz}VCVZ-KK1si{G=X@$ve7z;B_3G+`4O7eC9Jn>N zBzOIBk=a|kpT9{%8Bq-3pEuiBdBbk2yArGS-ru(@{_=V4`x8FttG+z)P4bqkRcLH- zwl|~i)jfAZe--{t|Mhl}Z`(xu=wDoKZ%khJ?fRDR1-mEr-v~aK{5)0e+RZaQPbDW! zoUi)&<5#Qe8}=$`2?--PzF~Uho2{m5lOw-c?OT1(F+c0{rh8En3muJ)Ykj+ApZz3v zaftl}g*hF4|1SvK?(T{=aL?R1X=h%3>6_p6uP$x~Ykj@`#KzCY;jed3&DS=3yXef; zSK5nS+U`m{;o|z?6y$_Vh;;$IGm(dzwN_?a)4BIPNN#i5&vRGQ+5$K6`!1Wb@#1mT zdG-6ATt9kV_&L&ovj@DbKiN;7JlWONrM0oI5^0YR0|VxQ*GXs#U`gd~UamFE^z@UJ zs~)I+DQtW`-+YfOa!$%ieukX68)ls`;7NYbbJx{Xs5NQ(lxJ@%YPMQf`)6NY_qD1< z<k#VFZc^8&VOiy-p>gu$$zw~sr+bN{p^e<0fvnYY&}`ezyuw8-AF{8}!LqQPk70!) zWL&rAqEbG4fTO+ltcPzEz!9=S;oW&g2Gf?~liZWsw}iYo5#}2IzV4Cr(`9iX1%IPn z{W^9wTnA)S)*k;ovJR|w9)L&285mZm+?mhJkk!E8A6jDdZ->yboD2W<=WgHqZ+T_) zs%4K(oQ#_LaqqK|m1k^bPMfv!=N>b^{Gflkr0=ZeFW0}mg^%_1p}aY(ma?DMR91Ga ze#RRXq3VXTpq$}B$MH$-IXBIo&e^>{hJABa%%*2M>^gS#9^1Fa=f1qPdbV4eQIKE8 z_f6AHxAmIe-zU75@qFF7_x<yOtJ0>OTP}0?`}_O);+d+KX7ZQjUw>FtI^TDaYx$q2 z`n&4>2fvEgKl7JQ{PJvd->b22SAULfJ(Fem&o|d%(xRRo6V=-N0sW=ws-U_U97YTb z4DpXQKAG6HIpc(M{EPX?Ok1B!lopNNzHZU(JInj^?ycJ{{y+AxvW;|a;m`XU7|*{v zo9Vmt-9f)DuKuvw2ZO(yJ5_5HylnARq;bBfGjGF|OHGyEvD~Hqa`*baDPMaVckQZU zx81XJZQ7Z+tMnA_@$tZ%z`(#D5?(vWUF!Z)cXgMHub=s|zM1dW2o5^eSz7h@;masF zGsph>_s{e;&$#+z+y0lY<^45HZGE5jy}xouvsOgYa}(xhSL~MiTfhGgp54DxQ~B+d zx~RW9))|T~>2EQ~T(aVf^Q~=Pm*kuZyL9eo)&K7Ip*3<-)xPUo+_yv|HD%7#gZXJ% zdQPtEw^V-og)%~yxja1c;B@Y<0qxgzz5kpNd4AmmVZ*8AQnspl?kC)>NL>2wr(@Ha zZ7RC;wF|#(mtHn;?ds1tT1dY9A>Xgs&%(m;;+p-vMf-%SR)73i^~!qTb~YP{@Gqs` z_uWl7$6d0czT&%dN$i8Twed4!id=71t@Yor<aN~@mG0FFNoudYZkG=@p`LtoS=<&Y z`z?NF=U4gb^KJGxg>|LPq$_U}_x?Kd_Ec5+hFNY~F38GX3qOC3b#qfnUc}nmgUTl9 z4`uG&-Ft9q>8#tU%O{KOdcMoUwS4w6`E|8Um*4%el|C|Me|&zQ^cwTBxC?#a)*6c* zD%>^yC|NuAPq$c4=S0=2756;$ecG_^+@(z`mHhv&vYk0ye2L7pi&xxsEvwC4e~cB$ z_g<f3K6Tt&a^m%C?UIZIMbgW)O_U-MCobfAn;(|9xukUq*MytTEGm!41con+l=M2S zyZ6|#kk^&1S9>R{w$#<xerMgiZC9(Ful2Rko;@jS-<t2+uU*$&{7WmwOg6$Qe*Sx} zu)en|9+}Gp<o#{y;+&}Ti$7rdo2$Q`-@ZL{S&r<V^VLxwH?Ljr4cmg8*1vI?af=@P zf1mtzqnD+<?&a<FH?A!3Tyr{NwfW{(t*sjWAF;-2#7vpKlzXQl*Xr0>wcVg;IH>0q zsC_t>|LHrs*Uw3ZcrvFyZkhNfCsXp&y4*mQf|sUgu2HWvtd)Ju#E*0Ity^F4T2fV1 zbwSL9mG{@I60V%ebSVFd^5^TX9WGfejb3Z@ZGUr!`GF-2p3i=L4Y7W^#ZTnj^vNqW zXS!W13^x1kT>s|2*V^AU>z*D={@Tx<zPdi~Uq@o-sg;XFxSl?j=HmG9{)*tqWj$|X zW@fc&Z7B#$$ZchNu3@cxe|961gBybOOt$$n+jG~g2~tnJS1uD;?eyyUB+ECao^+j= zYh9wSe)2C~y{}m_IxN1vmRj=n_V!b+7TvmYKWIwe`h~N^R2N(c%W1CK^smgV_urpM z&v-npS|?krY&^P1%zu60wWn)yGQ(1@_699J^w()o>dNA$AJ4!0<#Bm_?25&@yH`a2 zcz?QA+x%;FW_$muoWs*z#Z<^C-C9xkBvaOM#?unyhR1`*d!BNf(^4cRomF9-?z47B zUK+pYo~<vZ{+{#4CBJsos>aRtE*m#TY54?sO#V0P&$}Gn(@`tT?JZtyipu_zwAPsI z)%nT4S2dpLlKr&h-+kYeQM=z*&wSmYd7;YbH{aYTv-qz)xp-at?5v49^X3ZaY=3dk zK1RRW>B_6VcGESv6I3*JUH9mHc{yrgny2DflY$U^Q!kq(wKGL6?577!ohQ09+sb71 zw)NXj#_SG}X3oFr{JlJIhh^B|<$fW@m0xdpv|#nmL}&^DQ4Q*q>Xl1!_ieeWlgl?{ zoo+>M=fuhDnf2d>fM(-l>+hWN3;X-M)B5Z3qnB>fzrFCXhv)CK4G!O1>(;uv`Ct2F zV*bkZ^UP&-U$$Lx)4y`?OYyDWarLsbPy2t(&o?Uluz35I!fzV7mU&lCmKuxfOxwv9 zd#-qcY;E-Ad41tmw&YKpQRei&_Q#&Ex@xzdld`r;FMTflHX!L)W$K)JD=TaJUQM+> zd*Rd@t~vAHe2x0>UN_4mxBJ}OxTVXZ!VilGy%suK@yYmVl}()I%HO8;?^@#Kf0|_W zyuup!^2vZX$2SS})STRQKj0msbI`n$lln7ipKMric#`GjX+@XywABALJ#N0imwhp~ zJmX?;>I#e0b$KhTx4$_3<i|}ZS^M9o-q!u{;Q#)|gI_%UTT<ZBTju{6?^^tCOw#^e z^z(l8m4CJ0UroDYQlG+ncDhQg?lwJ7{jX~mPw(1x`r@|myzt%OF{bCXtLj!qZP%&3 zbsIVG8Psj2*-T15effF*zvZvAGK@k$?oMCj9`Lbs`j)rr=bFCwx@6UAaZoft@dByU zZSS269~{ZvW%|lAANgQ4hJdx$`pHm@U<%7}`?ka>KW;&n+kdf<WMW__IG>Zx9>AFf z>vL;${4Qo_u;2M-^=s%-ftYlOHp7x*?-ng;iq8+$2%mC^=Gz7c?X@D*)ZDxs+zSrq zdia(>YvRe<kWweW58R{@fas3ja^f~)$c6Kh(kiSWZD6q19e6<tv~LLNidt_9y?A~1 z{2zWhSBi_q?4P!4U*Tub(~JxZ4N~ug^VtI!&Ea9j`TaRVgOtL7V9~jK_Ul?SrMLXg zzx{UI|IB;yR;}D|aPO~z??t|^A1L`ByZiRer66PT`PYxV^gXo$JV6eQD+Y!Gn{B4q zB%R)-`TP#+Q;Qj)PbQfj|LS-q*W}yN)L;K@SVkHfO_^Baw0rvAH5+s1sMO5)8SuSK zc3t@Qn{(uMA1Q+^#(%Zr;o-0U)?5C1x>u2_ST>h8J?!+y{H((hMN(6iXuka2TD8VH z9l6IwRMSgq<EjaHvp(EV`P#{=x6vZ$1q-i%s#d}Q7T&EE_AP<uO6!!X=ET*ME?JY$ zbLHw+>lY7ob4`=qg_LrBEK7iT5JWB5@oKWor5}2>R*Fum=hp{p-v85e=A<IOHJ7FN z{jY!8z*+U@U)s0t-E-DlmAs$rC%zdpy?FBDtly7{{>~`z1Fh74F?(iL_mA4$;_Kfp zTG=iBY9Zg+()-U(ZkiXnP(4XSGfMf`m(W*_#J89%o`39~T;v*|&Fl95pMR@H$5%3Q z`Pa*@j+ge{EZD18wCRz5-O`zp?XSI?oaq&59=rBT(3u6#x4b!?vNZZ~N!I=8v*g5M zH`JafI2%{!a57W!)Cr5_%CrB6m%CU*zH@!}m+kd2Ztbt<`Gr!ps%HLt&AoO1U4!17 zSjqLQ$i0}UM?rht7#Vkc?ccuQeapS9ntk(r`Mp@n$bU?<_U_(%^O>KSWZ%i(`^y$9 zz9al~xZ3oXiQD2{3+uhyC1-x}Xo|ulmB~xzyKRYGSiP=0sAT)fTh(ucXO)GYdH?$J z_m`-1gs*y2JU1qr>`GrWt$tI){F=#YW*@t=@>r|2X|>1teMP%B-IH9iOi;Jvc>C9@ zn~OKRE}i5<(PHe&AB*xgZOK`6;`071|3n$h_EM9r6W3+N-(Fn^$#9?;XK0vYGu<Z1 zO!L#PUtF?MEAAY7$<@md7Ll^T>G$lZw{>C_*D|GSv-FcQbiWds5!QC8$b0=p&8t;$ ziKWU<uFVmvR2TXGo_|Wh)S|kmW%>0RRyt0O5dS^vYSA5!(!W2mb^jPfSBuvzRle(% z?en>5tKeVu717_a{(gS@)+=}$-@oU!Yk%B)RutO^UAS!GJ}E`gB&v`x`^&jIyjI!P ztH0*!Uu;aPEy<SNyym!{hOL<&|DVK;s2hA4SHq>Jrc6Hk^j>n<-VFjz<M%A!ZtecG zsYKD-C2{NO^C{+F|3Qc=Ecbr;{`=>k86Px5@omu@gP=LOy3RMZ>UMGH?LD@}!&^2r zeaXqSHJpc6O$pwgzTgR{om4QfED6VK)#S)YJL_z(`dP0PZf!s7dFAGortr%a(cuCI zEazGUO-Wk#fGu#<{fsTo)FV^3My1}h55DVraFwvA&g<v>*-xgJuCo3Zf2%B`-EQV3 z|BI7Aeh79e>+{*_BpS6tW5YJ5=He-Hr@lXX0OEQu>7aSfQ|{+kmDpU5-cL(If;&SM zzh<A5DN8kSe|ApRYQlBTzij3jJC&6D{_bMS{Qqt3rd^SHA68ACWLUb-d;8W~tDduc z3%kFs_UiWheBPOp7nE)H4`AP%;=NgGb>0*yQMGL?E~kT*KiGfn+RbitbJfsHcl%2> zB)WCPx^<@QS|xnXv48EkX(^$Hj{9epY`^x(R@L#kZ@u&7sy2(rceb#0noM1#ql>g@ zzs*`@_RV{wkSj}#lh!Ad4^HB7-ZXQ`gGno8uAhCgux-29-lF-F=f7C%A8cB1t-2~L zz`NCxEAvwP<ok2iCPs39ea7ytt-lYx=F^ia@O$UsD<#*b|0?(#_x5gdz_t1fE}Xjg zmyf(tJQcIuk8@h|mk09ern@R;l^#DVb<NCU(wudhkMGLryvELdb+Z4L@Z`-Z#XY-@ zd<`kyEOIk@$-6g#s|`}MKR)pPrF(tNgar=mr}t_WzYWUe*%Qit_rB}HXA!*ftpa8H z*Ie#uj;o!ITqkH8wLYnw`+4cxw9MiKCAkN)eR}59$W~-72Mq_j{PDP~Y}2d#{&!Z| z>rOlNFT33@J>|vr7S>(*U$SkDsy0nq9-35xm>pTFy8hythrC;BZ%((0teL%de)i3C z$E3=_^I7F^w9j(<uHBsar*mG-huBDW-3#|Nzx1-7y}<P{o0eGwS6=1pdGS>a>F1+M zmHsKtw4c4!e0z#@%)8KQs<S>mNniD~V$Y<Y@7Z;CIQQB;Rn0y3F)sp~%pk;qCEEA- zgI!5)r+xn<e)PY-XXY&XO^wgICv6jpPQMIkcFmEs@cb`PHT7TN&(*b`<uCMpme<(! zPv7-_@t$=hHmsAv_pdNq9lvMODSq{phubILiGP>sd3xS8-P7~Fl_8D8GaTUTSM7gt z^V64~v;VK$#Wl+(^v}DQuL2+S8sKcDeUA9#G1dCxti0Z;v$q2wK7^1V+KiBKBM6rP z%O1}(Bc8)Q{=dCj9WkZ!-~W%-4{$Uw6?p{*aR*=a^7Yj<KIklW>e7{Ke77@wPZ#O> zuYDOd`<DLYtLvwR&CY$A6}dBQrqrw%>z<38wMngX5V1L6aM&|p#_#X!UJDv#7!^OZ zk-z?RU&*`bcjup<-BY%HPWSiH`+L<@L}VkZK8o>gV>Sk@D9r9Y`e>ownYDM$pA=wV za5(DorG$C<!3uv!5qCiK(QiwJ2VO<b!mopd2Rk}6D$g14EWZ9D*LCTk`2652m8E+A z-;=Lty)Ta1nl<(K@5Lt$uW^-oruE4nd}8~~E}Ju<PZHND@fV9$&RBioa10?SjWti6 zq$u0l?|1ol19^0lLI2nXNLvjOoDJg1704so2f7VW_Pahfx@KM;Na+F5Q!D=HCW*eD zRvTdWBvB6y<()qKsLht4g75zMy?m83xGQILUw<BWZvSry@E~A7rC7+jPlkquUH$#* z@Aw8JqG^AUs5f=q@jB1P+WD0XYKOiTuXmDr<|Oy*(bmd0(@hrs*}fyULgm%I4A-x@ zAz!L)f40}qf4k++p;fP^y)2(s4mu6_fM`<OQ{B5;Bg2oL|9nWlTFn(~0K^yw8T7L9 zPE@5gvw`Bi`PICi48%Vfh_64pnCbt!&ny4vaV<R}8+Pb~>8-D~mIa;v|LNMdQ#W^f zoB#FXHdU$G$?Ex=gw}GtHriSi^S9duG~5UZ6c7&BdB@D=(U~%>?;(9fr+2LO*k}9w zP<O=aj0e))Tfbh=yeZ3P86><l_oKAbjU{sqPIx^3#rf@b)|!`F{ZDxQlw()4{GVy7 z<L|#;dEoT^D$(@NFZ0u~&NhZv%9yF<&Rzff)+bG*ra*#Op0wP~62(`Rf4%pb9|-Sm z+ZrBHut6!>cGb@1zca2K`hWTE!gudAwp>>z-6%8Bf4bu<(V&0Tz4jIBPIc8dOuqL< z`}dc1yVTyO@y6Z{d7R!8q+RcCjy#Uowc6@1XtB-aW~Z%>o*ta_<L&xW6Q<0TSpU-b zc&6tA1>X8Ki7&6W_Fv#S9_E{uI`xpdhMuLLv6}A1^PbC}6wJAFFJE2b+nEQRA?K%W z&G;?2beZ*(x|-SdUI~X(oV~c-H1SCNmbWW>SAKka<%E{!#9jFg>PvNNLgOv1vJ>Wi zNSYmW_07ibTi#9Z<%{mBi!_Y69^K15UpsmI>i55Pi~XOorewG8@v?v6kCT+S@7I65 zJ8MVD_DQ*XmNLsr67JfU=4V(QzpJsLn1Ac}$aUZCye8b8xkUByv+V1<d#`Tas4g#$ zoYv1h-S$vWUS7Vme${L%-d8KCW^TQb8Q@+jDHHu=SMGANO=3T$Rn`XFj=p-Qygf|c zU$64ewy4#@pRVq?o4f14S$h@FX%o)vTK_W0_L7a$#9X&b_s!2ue=S_SbhZ4&E#KQ# zJe$tHGIDJ~?CqC<d%rp#o0*+t`emQU-b)+%4kfF-4qv`x1>>&$hXr+KZV&jp&-Yp` z^RLzSZu&~`U7Q{KOC!6C-;`so-}gG9Wqi7S^=j5_+3O^KVfK5z&<joMCAAgFwm*Dj z|CS!}?&6&C%HAgE{I!2!%<H(rBAWfGe)YQEnicr1u$-$iZ^4Ht_qY6gvv1|^5`(qt z*6rWeRxOQ`pctMO-T%;Wb<u}wiCiz9uHIAil8fVOa6&}`Q_`AK*)#P1yV-5BIxQ!+ zv5iez;k07(y`AZEyf&w68CZmf?pp5Zxag7H9}mtl&F|BXM*W-|y!Exa=9b%gUxjRN z+WarSx6gai+uJMS-=yn%T|Kou?&@{+;`3#TU$FmrHSO>HjDP2E-ZK23Et^ripDiZ3 z!pvk@_pOxm7pga#om`gl_UE5k-MjDiJlJ{Z8`sX)bw_{ItiNe|?ZuMMOTx}aExF74 zKH$4r*iC*_EnBTHf4h6Z*DcFm?_Pgh>*PwK{@wkdTbceowVJzl&gOQmO;aX6Uw=R< z^m!VjFb3sX28Ige^MBOpr>}o9NhHI=ewwX8(45Q90?K*?T7S*0KDO$^gjuJ`ZiFoS z$#HnymE`^Y3wFe`)zqx{v|G!^@!MlBt9%RBNw25$$)5Q6ck9-TY+v6lI8pX^i{;gI zS9tYT<)*NIl`d&sGigoY){w)~79H7~{Z#IvXY|9xnWwD7Z^hMZRTc^RUU$}W)9JkJ zr}t$y-HQ4XVtmN|n||Bs_b1+q{&}!}OX2&pv(h&sCkD+te)qVhd)YdjtIQ$=-zMbq zvi^9gWqtbTH9v?)z@)=Z8)KWILrSs99;LBCvz8vw{J-JVCboI?{1bb0XK&rTpw;U8 zir7ys8EdTW2l?(c%boCd&uXvqDMe>yPFuHT!s?Lsdmc{ty#8bU&k64`w>Z|Tt*~u{ ztp#|0D{!t=Y0z>%*{j7xO?fYjJ<KCjm!Dm?<^ji5+uhUZmpEn3ySaQ$@zS?br_WNm zeQ>8#MQMkVlaq*$(3)9}<}Y`O-kkKt>&JPCyZ4uEwCeK-_*{6|bXRk|<?*}6x4mEB zcdaw*^a5jcr`a!VeGrkoG5P5=<Wsu9K6|8o(m-Xo>nCl?Qx|KTtgpVj_ux-<-?_`T z=Pz6NTKoO$qq`T0YMk6(*W<RwI&kmiXW7r(+4Wbd{yw!d>izxL^ESo152>=o-S*2} z<XtV$xBr&ijcDGwKkMxxw>w*xiClZtFQ%#ZOV0MjwRp*oAH6tUE<4!2J*?x;)fe{` zzPh_*mde!XbyxQ7i(YcIZts^vpAA=~PfghywKmE6Mbv7My6X6^`Ql3*QeU5#7hj@u zUh99>zErvL<vpSCnQQaQc`MgUZCVq!tNKdwuAdHjroAhC{ka$%XAt55Yeibcl9k@K zQl?(}>2;P%J~`>ht}Va6>Uv4+bki(s_uhG8UF!Mo*DrG~ZTx?0jYzw*x{%$q#f<ke zZv0i=a-^)&R<&-4c-z^~gNx%|T`y^$WPa|_VRM!_|MwlR{+e*!>eiMY`>mq>{5Yt; zrNZ0xYu$>)HT>r;z1UdTC9yZiJ*P9u%p~}9xZHH_Nt@>sFZG(YT%2vccJ==Lt&dZ7 zUGi7UIQU{+*Qd#U7QMfCI{xjolRmqJ9=DzNb<^c+%>3AAh1)mjU-}_#7yR{Mp_kX~ zrIs5zXPJE}U3<DTANkO^1vN|WMlBAK&^x*Fbilnl=b%QBM_PM+pRn`%t&;6l`t+us zwz=){H?DJjZ%H__H_G6dl9}J!g?R^!&+XCpSMIqf)9?PRS;fz;9&}B<T72<Fv6#j4 zS#K<Ci=F2NnR#8Z-n;ISb$Z;}IiHu@I$ycz#?Sp?f7iY#e*P_7qw=T<Z-n8?Kf3mn zPUf|rT#okdj=c0}<Ew2y?wm)SUY^l;yUm?z4(qR^XV0f@cl;aJb=%DGt(Og>TD<iC zi$UeS-mCW1A{U#h47T(3hwM7C@|B$7)yuJvsDzLqns$&T6NJmaz%cJHTAOQkkz6!$ z2;)Y>jgua})GG*EbK<biiNi5a^jPWb^pfnSy8F#5@|Ujb?{>y$$voO-^P?|Vu}0oR z{L>DP8vE~B(Dqay-(iEExX0T?r*i!d_6~f0b*IhU{>asP&Szd-6)N|>Ux|OS7;z0q zY|YNa8zxPj-23OxAEBekC}%nCkvOaj_bmehLtM{8<e6v8M(5_LUEt7sZeVlwKx)dz z67Xmv!ym^Qdv=Bj!8Y*3xN+2jmuz|$>pUOZ-i6fL(>vZjXJF8Oxb5M@6~7mWUfmve z@pbO`{|okPx%`QH^}6U~_bcxeGB7Y4czyPB3G?&^VX)?R>Y?w&3=QHR3a`6q7Pe0{ zDC#ZSyKUu_&-?dm-CJa~?r(bSrrb`q`z4K*GrRWjBYEWk*Q0F@1=F_$?_6FqCHh#b z>!-In#p90La$&w7v1V<%-^q6cCnnBXk=uR$!U46XJT)@^UF!Ee&D*>4a^$~Ts`s9+ zH}mhg<ZM1K);uWo-?!Fna&}9<^ys86le=_{UoQABcln#6Yr6hj_E~E=L96}nvL$Oj ze~r2A;BSsR;dTz>UvKe6^DghdQq5emH6x<hm6ct4)78bZs(1CL>He|5D_bSG{Zsy8 z$Md!etrz`K@obF!w{GTQX^px9ZdVr9Rhj)0XYH+7-WPvNcfG|#{)|hrYg6an3%%ZQ zcge!nv$Ov61ZF*b|MD1;za0ug(<_$TbFL~2SP{0zCPH}s-%!a(MZ%9wYhPICa>^`u z*l()ych?8OsE0RJom{&n=m*D9)#I7RmZ<)+d^ts?FZkB)w=757{{7qMxi&iU%Auc9 zMZw9ozg#!HQJ?;5=Ihs5O3CZZ@+`ELZ<@L#b)nslqSZyJk<$NTrH$$@+^BMue)V%I zUvAab$cJ^Bg|jSEcYae@u6?=8BYT<cipKT2SJx$*rKT;(xb0paxLNyyr={cE>J_!; ziuQgFY<hD}MR%rI{O-ILYxni8KOirL@KuA>ncTz5c6N5J{)L#AN?i&3YLvb5@`Aos zMi$#%l-~BsP0{_J`}x;`ZQEDve9yNwZeGl%mfWpj>Q7cz?!GM&)M%%pIJY$Z^3tj$ zl`TrQdoJ~*ozMQ_9R9!V-qzq?`KrMCD*spYv&XERa3XHj#-vuWUw4<E{H*@^;kK>% zubyvXxxaE=>GPNSUT~O7?};jHJ9}};?CCEbN;_R$a6V?|uf7WtZm-*Od}oV`c|gUf z{9E%1pE<m;ll57>`gQ)AhZjMc_Fz77@O&pNw=qiOo|O;d-kPc5`mu})>$rIM0~~rn zD|s(Jy???zEdAzT<!cubSW_%hPFsKP3C{fH!^+ma%IW(S4UdpJ?edxj^`8FQ6ro*T zw5sgyilX(U|Gur8_u{|#+I5xz`D<^kt}QhF;?d2;S0f^G?fd`86}310RM-88v%l$= z`FHtzje~ki^P(1>J$@);yW`fbwV|mIq1qSX*Il+eWm&yK!P~O(AJ>||(!4TAz6LwI z!TiIS{7>aSz4SaA+rA{<WL7?Enah`Yog;9?{hjG+xcFDD%xU*M*QJwkV`|l#@0=pj zPfzGS-+J6H==$Ep%af#5ew01D^+^7Ldp}baC7qffzcHfg{dC3KA2<KDocjLEBxT$G zXI|HcibOnlT)mVx#Nz3Rb5oaAH87o%`?Pi;!~U4m^qn?0kw+;k+S8Yb`9&<r=2^MU z+iUX_i>LBovDXfKZnrermUHc0lh~^}kLMge)^cpsXWgq?vM;mU)ZVf8Bm3=XOD5Z& zySiil+3N>igk=e<SaS17ewz6Hrldr6t?M-{OMzm|-=U$~YS~LS`E7|}n`<d>%jJ68 z@)rl@>GXd?&evX_&V1;YuX1?89I=z@pJcdx=6oBnyt?`GdP^(+{Q6nT)~(;OUi^Jm z*aDURzYL{5zH|9{Zr1vl^0Iqs{ytr<X{+sY{r;7JFwcqGzh7;zmeRhXb#nj0UEg-c zmiE87dXC34v%OU3b5-f9`%aBqA&(gkm&R}AvUPagHErW7?X_Ruu2bya8Z^PwtGvG| zz+ArL`XyV@UG~UpISPc&^YojPdd^;R$tr$j<ilj2o|x%n`}etWDqq)7eOI6<esk-a zpZ}_=7MgsBpFQ<a!3&o|$E!>pNb~NlZ<%&OFS-8a>aQQ$Ux$`|shaEc)6e<#x67xw z&0c%BEtAoV{&G#0-Sp|pk5Th<Pkvjv%r|!Z3i0b&KYz&SO3hjPK1^-(1EX!hsyD?m z|8UP=`Rjv)>e9ED=G<`cmHxTw+S96ChTtRxAp+*y&YPIHL*==ibLDPcPu8H0)gRs| z|5kZBd5z@Nsp-~h?|hlFNxbmg<tD@LSqEoy->@ic+r@g`;`5>(_f=0Wv#ia|?o-Kh z-dOCHJLi(SxzEqPbJvURU(=G4JL8tWxu5RaJMSj_zG;7TLAIT4S>~R3yFb3_{*kz9 z+LEKmS93o`_-_YSWe~S8oB|crOzDmLX8f#tnj26*<<U0ZfZbU~`JZJ!wvT$XF4J@B zc73Q?5al5HY}><$Uehf??<#xk{fj)Zz;Nop<Cn;NbA|_&JpCX|FkE5Sohae<o|Ds+ zeVX5%qs?y*oZ&gF@$pvD#)=if#oFJCjaV2M0t|(1?;c1sxOW*cX;skqqmGYZ$D}zw zua*`SZBkNF@|tJNbNGd6U3B2e57%#tl*Y|HefjT<t*3s^30oWW^y5E`PX^iK3_?NM z5M0`pmN!qFKd&EXgK~Wq=2#PCun6Y64@v00UA-LKsw`+V(yH%{IJzrUK7<E6*u;%Q zcHjQAVMiiA!@R@ai`P4Y+fI+ZR!;f+-mVSYtO%LMI(2uAk5A8|$B(tkg+nBe^g_(> zUiy4v{G}hKqU9PoKm5J3dP4io3GF*8a^-%j`fB{w&6Aev+@&8CSSu~M_ujWZ{HMIF zb@y+0wJY`4+~=~O2rOuw5nkzCo_j6y<L5mePS5k42sQv>41^4+lG`43?-GMg$NT4T z%{FIRZO$Cc{=DMA{@*oP`@5a6G*bBF7mD_+opt9`(Ycc@*@t%dtd$M_Z?q2SP6mbt zb$QZqg=yAPtF_#Brrrrvxj(P^q3ar7lMlT|Z&kgR@`kTnW@YECZ9jN<a~_>s{@!KP z>HGUGTsypc%Djn7&s=f7_3L1A(wZZyUf7?#GK+a-kwuQzEvxwRTY0~f1j8bQfq~(H z3^9XHZ;x4gPEVM=Kl|AWwl4WQPJ)gTvu-6XNz=c-^6|Ue<26e17nYd+K4rIe^*YYo zp3c|Jul|r+eC5>r%e_e1so~M8b00kRwtsoEAYg4p<p#C7->Z#Oo~j+o{&k@+O31?F zuzYqzqwAVg_2;vHJ$s()<-Psom4~{s>_73fdQWn?^7!h~TXipX<VZ?--IZV7X{+45 zF8kY!>ZtM)YVEqN``1XUd%d>xvGTvK1R5mi-}$e9)|S2XCCgZ|rsYx4Ba`A&MQ=U* z!k4|BaLuPWfH^*TRqXMcQ)fIbZtMTIC~e-Jm8VoWUfpqiTRiRc-hQE!a<$BpTYlau zb<Y2q8{=9JS=tB*({%xP(sCa^ehmD+d>5!SvTAyy_guEpkm(0Ex~{)nmZhBCm_PG6 z`_{doXKTwdzJ7W#Nj+!vRx8E$v$yXqo4{>a__cYK*=x_A=cQMuZB@Ej<gsU+?B{)I zU->3ZS$d?Ub=H;rOJB9zTa#`UxBR)A-69RoEDM)>zn6>G+_b%-@WtxxZ_gQ4TfR(I zNnI1Uu($4e=BGa=t9InR?f&JXbFh3>`rXQ1`c_V7+17{6O)NHf`Yg5TM_YjD3*+#0 z`;<+dY+Lnn#q<d;*tq<E`rbA^C*yH<d2?oI>EB*cn_H+;OSOlU!@3Vew`(q#zW&pz zrP>Nt{2L!Ka&>IfTA#S<kMz$IuXL&_jz~$ZY0zoUseC@KQ0wfxl?NUK#J-p(rg-<) zip9mPY5V`$&z%zNdHVhZcIiV`*2b%|o?Ll1{_I6@do}Irl>vp><=Xmd`qq7$?v%W1 zh3_}6z2@1Ib532GcmDqMOMlNDx?5iz?*HZg?6B)HE4E*Ib9LVi$*Lu;tn7ANe6N51 z*SWGUXSq;#&HDK{%eVZy>^~)8dXV|r3(t}hSKVF^b@ZB%=;|!iU#Cm0+%41jMN>4@ z&&M~Kt~z%XJUkAGN`?<?^M3mN(~Cc;s(E2TolbSa5{u<$7rv2F<oas;y(jdcqUqGP z8%|a>n*LEbERtfsZpk9XDKfIwiQ#8ItbQVVCGPOEHP_xP3HI84yY$8Ua?KmZmE=9I zZHQWx=pFdz=If&V7fa4+M46Y~wXV`yHc{%nVT|`5wpVlg)wGuN{1oh7dV^cpdE4W3 z@lvh(GgaNI{>{ApQ%hsRiR0U~_@aqw5UB}cX%M|voU}1@bD8*-fah}0ZKTvp!sh0$ zToyaq>_lB-?q|Oxrvg{^Nr~_-xDpWZHfqy+i*>us?^$;;_L51p1NT)v&WokV`@^rz zEVs-pOJ#Lm@$Tm9Kgyy(pZ|RI|7Q{Y_@7xk`{L-8z7wA47^IwV-<SS8dCgbR$kk6a z^c4Ox3TL^!uh}Y6JxaIvoD4_qqU^)LB?<mvJFg>^5e(l^+EG7Th;Bzc*!FElYnS=1 zS2G3YhD3+CewA6h|IV~!q22Wpwx%tpdE0qw=l2yQx%|C5_Lp9aH8y(mc&fl9-)8yz zwE{m^U)<|_b$8~&$0<tr?^iRHn}qEMomB5!`nG)cE4iDi=Sohx#P>Sl+1FQB_O~*L zt~kcr_Ih8Mc-4+`Qx%i1hDW`=9qTNYy;LE4$~(EQ3-as))-SErE2&3rpFZ%LCn=Zt zYLZ#x($alFn-3kIA+b~MZC!1*klVUIuR9Ne^fqPR{QURp7pKez`^~hEKD^M`(*HH% zfVouJe-7P^p$YdN&(FLvA#&4Q`}pch{+Y*r8(LXB)!h3fx9!`R+UWl$-z|4-e`_UW zANTOS{>6L7#eC<QH=9)D%u#Y%e?>5Q(KOz(S3XTW{&Z5v<F>l0%7baLCr?aKPVK(8 z>+ynRHnwr6H>=*<cV)}U*P>q^MXAc)U+mgk{N?Vc6w#Pv{THvi={EV-dOoDKV$P&X zWto0Ci<b4)#J(=Fy^HKcjdQu%4;sWwDxSV@&)v2qOqxeRE51*xo%GG~TF<Lfo9Bk* zRr%fQw)mcTa7Ojkgfp%=bF7^2Hl>T~_34|v;`d{<dH?stKg-H!-zgRK=f;vny<3aV zP0q4^elkh@f7P?|?j?`eQ*-C7<qiJ*^4Q9yb8p8k(noE^Z4z52G)b&Kbo<d{+q=ju zEsb_;<3X!L?Psj-{hzUN_cGPcd&oU9hR_+e+uXHcABB|mYkU<0?dF1(2n-Aw&Ha#O z3rwWpxdGZ7+qyZ&uQ7x=<oK*fso2FmM^yWhfiPr#3PkSk_^o<3s`B)E-h0t2Uq5~X zX+a>9J9PQ?Jr3cx&wjSmMo;Me`Mq4wHqDg7@JYu1SAqupBqKP4Dmos%WYbgb1_#=T zU5GZ}f!VqrN|>i->|gS6ULF$z!-A~h+aElx)L!g*b$i8&uiKv22gKdV{Mj0MUH9^P zoA>aW0SYEp&dYlsbmtYgF}=!8zC3q|P<aHW^7V}C*|WWD|DTS&t)2cDHkP6He2&i+ zwKb=&+$#L4|9BSIO;F<9^KC>A2F<Jd)wgh2Rjv1`ZT@eU99SeL{d)cB{?`xgG<JRQ z2v1AInCN4R`(XsN2ShyxBd(P-A?0G+**SvCH@F{r)#=vCtg~>hTXw|ky}zzc`TuH3 zb+pm+6YM50&+gmg|9(~Wp}b2MzWSQh9n;wQ>3c~s$Q~$Ouw#|6P0)KqTWgIF?KrtL zo%jE(;_=+s`EizA$((5{e3w2PmkLV|T>a|)=UMxH{+w~?Qm$2LMfbD*J<bm&EfM%y zQMz)Qyp>+GTU*fg<C#h4Pb_*p%l6y6YqFb8CVF4g*KT`VwOY~B>tE5TXMe6ap6}b| zt@v;4mp3zhFLe$6dd@FBwT3IyE#SFa*8I&e?`x+h>^AoFUUv5SI_av_`N`++pzL*+ za*l#woT7zl>*@k7r`c-$uVlWuBy33(U+49$$@i`=xu-a3)07z-0w(|39y0gB?5}5z zz5a6Ngu95UCvW?UvzoP2On0|SwZtv2w&x6PpH|nJ{Veb5?f-w=>i!<j`0}B!YSqd9 zS+=D!-_-wEyi19u!#J;;zHi}pdCgsRuC~eVxBp)*nd24pug&g;z_Pr*R+=7uZ~tZW z?fYREx$|6eSM=`a%EZ!=t@}l!F1zM#3V*RTa&}7awr@56_Dy^D|L-}amGQn;e_Q8Y zo8h_l$&WX_wHwawes84|Nu}`|ajBahx_N>p{M|9Bi!Gq2<V{Pg>EZR0`~#|aXK#+$ zJ=st5Zr=5U_4k%0$amMML>|ss*{18-nZEwC?V`zMizAAyzuwz@y5!FnwOX6xR--3x z=M<$pKNV!_z?jbe^IAYd{JKq>W9H=`k6X++Y<yT*UFVW%TgY|QrIB89KJl;C%YN|l zyTHjCn<95}N3AICzoqIAAJ=K)w$oC3@3Kl}>#G%x7a6>GU9YZF{oVO^)$cQ}XWdct z-ORov;Ozu~So3eysdFo*Urq}TD`<H&Z|^z#AVpK}b#tqYd}r>I+_W_G!SnA-*oJ-9 z6s<=Z24i^lbml`rd(SpSOWjHH10RLZv$?X>`=7+R6v@^5E=ApcC-o^pn>BKA$@dlP zrJoxsbniZX+y4crVRazJ#@Oc471i8LUaxDGrnUAP8WzRg`uj^vwI^orlpTkc#-v=| z^!)ehm#vo$)aQnE9bPERDgXLnL%zwIe}Yj-s}JluZhv`&;^vgy_4~dpnSZJ8dvZy^ zso<)Yw|U;q{Jrhp<h%aee78$Y>h>JkAHQgC`ZJk1?CF_bZdkbX#I01`=AtV-Yvohz z{%-aSQx5O*cpU%3=W6?LP0ift&yJ{N-8yl7tKN0A&f>XxJ0Dd;nzSdP9({WAe*RV7 ziQ*Thi+e=bgxwYE|5^ebj)0Y*pl-2df!K@gne)T%v3+&d%)8C9Rr{mK%$nRk?%sDz zbyt2u8UI^x=#H69&`Y7^tE!KL_?IJvJVU_J9~F=p5~$$}6?}(Lr&!J;Nwi(8c5=Gd zxKud*V}iAT@nHk6J=-F5<X#=QbL#IL9;kT@JCT<n1+Wgluus^|ll|;mceaY!sC>IS z-}Wx@^4=XDdD3!?<ufk*W%J(-^DKzgYr<$Y6+DN}Dg~5EzPqUww(;G~)1Y-n3=HQE z&IOO|fV6_}9*J`B$|De)A@qLJsaQKTHMfr+KTh3#ka_BM69$F@tvhlnQeHl+jGAS! z{>9hq?R9Gc{(Iak^3OW-%>BGId=+6q;Ir5ty7eK~(_%&K`#}?11*t{1le3dv-CZ4i z`(Lzjw2$`JKk>7A%fq!NsVL{~wv+$NpSw}o=+pIAZ}sW}_nh_Rcl*<<wfp>|*W&+c zzz%{C2d19REtlima4n=^>#`c1rRP_-{J6U7fmGYW3kTodyLRU%_twuD+hVRRE-600 z{Ofb~->-Hlc)z?Z@@JW=|F+9-n8P)X?Ef%B^^xaZtM9D5b1rTAVEgmv_X5q@>r!)9 z)h}PiuUG49Y#hV>_3H%oDWAUZOBH!LTr9kP^8D9N&9AR!KP)L-ao~LF$Lz>2KmRPW z<8ik9{XF~Ilgy~{B@PeQ&cCu@<KZhtcfRw-9-qO;z`)Jp>Eal&9(lY;&wl&e$|pgR zZNbOI?APwEeHQY)bM@AQr+KwMpSHgWuHAR6fBtHfbj$J+A95G9r@g;0UAye{Y0=$Z zk1kifdM4@^Lu+A7wB5Y5wtV4F|0qm78x)`WuItkOYdP057k@A|<e7fSO#k9Nzr7{N z6K4N9@jtRV?&tQV`Q4YFYn#7%dRxdOIc?6R*gGd7bAyl|HC}0SSozfN!25LtKIQ$g zi}}7bKgr&BLj8f%)~cLMzi$3tc{F;ne%8)Qa*WA4g0_Br>;CoHruSdY9X4NCKR<kn z?)8AUOGamZX1nvgxaL$Z`LWGR?YZdMkLQ?$x7K~WUG=n4tg7G>SE_XC{{4$;_g>DQ zGwtZ!MTX2#tKzpy`2IP+ET~=2>-O=akD+tF)rO=$Ex$Fry6ocPTic8rWs`1cUpR67 z;`{iEE%w{5H=OJJ`ryIzFNY_7{;mCyL;7&Qv330VuRfQmuDjSK)qG`h>W($j7_Pql zw<0R{UzB}n%oXIu;ets!b1RM*#6<nszq0H8w}r1#uLb?t#{c!~v6rsv%il!kWjo&N zHz}08y>9-T@>Q-|>Q4&qyZ&m~x5iulJ~LYOdhcG{dQ?4T?fjq1|CJPr^Zq{XSNrp; zc2?@m#sBwx*=6)w_rjhXy0flmdv3lLvEp%hn`O_F`q%fh*Ig3+?|0!(;a!ml@&A5n zAF}wjaG&=s_s90$7sTiLhuuwe{&LZ+J?7{&gHL7CclP_=mAD&O-)Z*7F80U0D_f&> zFK@Tn#xtpZ>8z&pyWRcIM66}~$XT`avEN?PdgqI=US3l-2hQ)?z2o7;Kaclou5@&E zo%1(-#(&dY%kJr0O$sUqMBKf~z|e5&>9&UxnZozgoxQni?$)}1xV<HB?|in;{jC{i z{r9@Y`ovv+zhtLfjr{hZV`A=fWs{J`=cPG;4h1VN$<EX&{<AP){xjRVPJefs2LI1H z`{jbP_SDdWiG5A?r)4gE&G_|YM0lN;eeBhh^P~QL*;{t={66KVyZ^8Fe?4zf_V9bS z!&bhoxA%e$?i2fYWml-}uejx<(&Z;3FYeO`%(|@`l^vdc`K59Hnw$0M{&ypsH$~`h z?b^0=9q(#Ad2e3RjMCLiLH=61=kzar@IY!Uf8~ZlZ>8H7?zwz()34vFH3GZm|M_EF zYSn-I8YEGJ$pp2q{x`c$hZlKrnJkg7U1~i?e9sXfiA4#~U7KQ`&oi9z)+2Q7gISxV zly1xywl%5VDwiGi_WSBj*TYtXPJi6e_vg#ZdG9}-o5{c0;paNDtVwStWqGX%i+`B7 zPqex|<K|&Q)!)3qXQk5XrQ}&#uU&gNm(Nl(VqvY$!hKyY3i@B2|LIrV9=BRpyFRR) zJuh^@QKiKXc9hlqTlTD0c0Kd*qLe4gPTqUA_LF_{8Izk?=Bpy!YsmzBTrH=Op0|!m zC3LcivVY}HN3IXr#;RcNK!^v7^Caag6DQ8vwIr);%gz+;mphk-+%<P#yf#a<@AsA5 zm9y9CXC2S4zkJqQq`JiSAmiIR1yQ>5clw2$ek$+tVWs54U2o&B9l6=RdY=Euuf2Z` z+3Skd)VGStOuzeZl2fh9&J_DnpT@0DA-+FF?;U@>N}@FD%QmyM`HQCfwJrI6)-*+; zt;o#xs{J(gtUqV|zkJs5O3R}nwExWR1L*<EA&M(kihorO+5M}^)S|{?c}&oh^-K0B zTTQB%8x<3JkP&%Z?E|sA>KtFrx=B3FMNumIC-oc7+?KrLQNNzv(^+P({!Xv@Rrbmx z*XvYBW8#Ua#V(xkdzY-w>b<geE%W*P&-y>CT)AMvVXF!iXT8G{@)yg!nq}-Czt%fw zUE&D?KW$q}*PCuH`{Om=|6TWH?XRRe97kn~ru{7U4Ek4fRQIk{b>l*&+yA#b+239o z-Tv&;+6Q)%R8C*by8E;$w<dpD-P=3CXPLP8tv4G_kq(OwZx5SZ#Ft$gGbcy9Vp7lf z(()CDJ6XlQ8(w?A$U265OHTDFt{xfL-ro_kqwX!0cGk<1ghUmX3^<9}Bs{<H<M);8 z_TT%`7MJ@w|LB$7-}iXyh^>Bg&pLYYFX<?=ZIjtba+fv!oqyrFW%HN*PJZoERWsjN zC9Pb4TYjg%`~P@%+YA5S|1$jV##>RlrfGkrQ~&+a$!y==EUK^B6+1JPCnn-gzSi%* ze(ip5Zm+&_+3jZi^d+ypzu0!-=q!s3OMlsa=<>V!d7s>FQxChN?+k+8*-g?BlXu;+ z+x;uw&8rX3UE|sik+Lx&Wb@z4QZb*MZEx@0DOXpuOJ=+MI=(vbA0AA3mz~%DfBDGH zEj#(HZQ_ce`)`-B@7i+h$9w7QAKbMfAKl*{LvF2TwceJCPM+BRDlL9}mRbGDc&&~? z&o=LUSJzDP{d9WWx(RNHT<hc_ze!C?aQ$B6x&BMd%16I{xvjoGr}Vk}`m*+UfB*Fv zukZSQchQI2$Ffg7`nZ4p&a`q{qoup$EXxJwKf3<+-1<1l_xybqH?$h2$4&Y7{gC^^ zADXL9tJq$uFWUKg>dx~wx5t|X2yf@nsa%uB{k1Z;^_Pfs+4-BQwWoghEO{`SZTd#< zo2$&<EKmP`)&G3lm#N@*gAfN|@0i&<dL8ZC{H}KErEBIzVcFLj(naP+|H)X8q`vN| z@mnUX-20~r8=s$mXn>G0YI)LfD|4nboRiv?vc0Wx7rYe)I#xyNNtdMD&Y&qZbKor| z1_lO)r<)|&62Huw5Kxlv@<_B+>SB3*k&sin3g%zC{%cA_?#2kOJ$<#`(|upoHCsm; zEj6-NpXUav$fu?^E~%Wl{m&B5$zLvc>xbTBix0ndiGhJ((h}qSyZrq8!dzTjI)m?2 z!Za|1)FKa&F*7h!2pv}5vF6y3w;xNm<-Y%&@NDV)4IZn*)&}L|tf`iEnh9NM1z&T8 zgCV#7Z{gXw2HQWG%?POUj_>^OA9*Iv{pKArn@i8E;>&Yey^<uJ+LxzZy&!$}O6N)5 zhd)gqfd?WRRvkVLoqPpxK?{_M5yM&_A<U^x$Xq2z4unZwU`1$E|ETq#V1;0k1OLr` Y=6w%8)_5JB_5oyqr>mdKI;Vst02H|PlmGw# literal 0 HcmV?d00001 diff --git a/docs/img/q4.png b/docs/img/q4.png new file mode 100644 index 0000000000000000000000000000000000000000..d73ba0f720d8bc59169beb4a749947c3d169812a GIT binary patch literal 39298 zcmeAS@N?(olHy`uVBq!ia0y~y;F!R`z!cBH#=yX!wPfE`1_lO}VkgfK4h{~E8jh3> z1_lPn64!{5;QX|b^2DN4hV;Dr+*IA-<f7EnyyA@flK0H}?HCvsG(n1-i&7IyGV}8k zLNaqx8FEvL^c0ND6w+RnffxoxrV55eR)&UF#+DPCub*IGP+;(MaSW-L^X6`OO-Sgk z;~(G8o$15Hxll!*iZh61Ws`@XprF7~&R45;Pn$0)J#Fjtt<$%z+r4g=lG3^m$5_^t zO1@qUnv5?^7di`h%%1uCx3bC-pGhYTbf0{FRvMr7&i4D9^mmgdbKW^#+^ap`)9ASU z6_*D6+#4GlCtcZH{$9bosmrSBOU4Fg1_WT4p?ZT6!DV1j*rB0-EU@AcrF^Yi#?F~L zgSplFt{-W&o|-x9xsOiTv#_&r-*+B6dv{e1|F5E@`4{>hAIti4=x!0)PpcND&p%{r zuWj6CWoH(B+-s-Ivybmf!{;pAQT=bZu;`wYbG7lWcS$8?{W#+EG{nMcdeFX~le0{# zqq?=~jF7xi7o5rE5tCWHCGm0G(%pXdKW;z%a!=pfs~`7R+&sT1{_;-u{dJ~ckM~8d zPG0`o?Cj)QCZD-$?ef-bOREk@e4sW>=;NXlbK<|IEH=0*@ku_neBQn1Rx3`{uTxdd z+fwKA{mm}R@Bdb<-xs-R%H>*#i*HR{?cDz5&WdkchIh7qoIU4n)Ss8}rmL!pk6vB1 z{#&@+it}M|6TO4NPKo8(PFj8AN7brpCXQQ6f;4Aud);yRydhGUKVXdB!2F>lb63fa z{-;qp*DlQ3nzum0v&}T>Yt+`zy$S5gCvDu(z5UT#sd=W86+>@IO^wN&@ny=p-&q@; z#TIXT6{&ht=t}94-d9m!vo|M1=xo}dyW6O==&X3vpNWM<F<<Mea+a(-D!+gE@4PLm zK5jC<QgQ3<t8X{tvnm}+=lXD7|6f`Ys2$v%acSEBjEdazMZAbW<>1}9bLX$W-|ug& z{+_pV>C%&Fn>E$c+|JH24PG6#Hf4MDK9OTLHYVF<mA_uQz3T4$4T*<Swnj~zG-(kt zJKu{ZCnrmpWCTn!OBI_iW7*0%%JmsnKb77p{4qr&(7X3`S@8AGk45Kg^(|J@y>xQh z-YZ7QbFaOz(~PdsE`5L3wRf>@`nkWkbIW8bRb7v+t~~y3m%+xioXFcI>6emr?)nnC zSb6o<wC$m}?B9d;UI~r*d%RB8^Yj$$J=3JN@AkNR>q^M8Y4KlQA2ZoGN7}Ubj+-QJ zRYr<__cXtMp}(c$7QOy;<YoW-w2Z5r$6gt~3Nqz4e_}Of$xHh+p<&&pOMUx)UAnmT zUGm2Vk7mt`{`~0e4e!$aoD0wX9)H@CwW7?n`c;!vnP&FvXFgFg#J%sU<vrfJt=`*J zvd!mu;o9Hvk{ip+R3(k(9N&_8uq5}!WWA#%S6FSUSH<p$o#g$}div|@PoqnZMEdeZ zt5$sBE!q~gK2Ep$=psH@E0LS~)6PnH1|9E{t+iWL`KS8s-A7@m`+hC0`ty&!_EYVo zOVKflm*1=OH81bD(6_Qb>&xrYC66vBm2R|OIcvM$)n(fceaTs^ILqo4d+y&A`}=BM z@AKU_amqZK(ER;B`qa+c*4p}F{p|U_X3Oo>3Y>WA;=P;suO1$^yVlebw(HwJyQ2LW z9SgJW%3ZpC`u~!swX-yDow)ib_<r^^>D5#A+z)x5ZGZ7n-s&$uUqo-ss_%Ie|1)ZT z?A0LO<Uipyn^H~+Me2x^zQ4Ek#J{E9)4e9??fa3mzZWSvJ=eNyVw!zTN7kyuL#*4C zlatfPH<+DY?#ijD+Fy^$*Jr%AuyE5&oA}GhR#s7*obTTMU%qUama_6<CquFK`ZhK( z$NOY2Z%RG=q-f`q88adt&DkWMIC*pQ>E}8ZpFMt<b!FSyS#IeWw?A1%ZTY$+W|`*6 zrOB_SW$#`)G0J4svNu=0{wh7{H`mBlGkbg8?A<Q)DIvj^x4pfhW92(r{Osjh&aCIP zFaDeQ`Ac=x8ll@;!}hK)XpL5letRtI>bn}#4f5BwiF$AOxWYRvVv17kq(hHN<DQ>; zHAiB(k}AWr4AWdWb!Btc>Y(D3S$eYfw?}8DEtzHJJHfU(-*RKcp_R3s-dhiQ1avRk zx>VSD>X+MUb2T>pYL6`2<Mwq^vMG<}GMVbhTkqy92s@kYeY`yD>ZZ%dbMLH5?KXdz zkk=zM_j<&)DRZp^8jdfB&=FHrRn2^RYb)#TYilAGUtJx3`a{81k@qqee^kG}WRe|! zx8}1dSM(o$+aG6C-WKe5vTE7_x2<b7naZt8xBuso-)|LvwX5Zkr}RmieGcpYyj0t} zd*{r&s%MdZm;Q9yTX#73*Y)=|i<bUd@I1dd@V;E$a_RTGyf$+0yVjGu^r+OzV{2z? z-kR{_#^SG@zn`qR>i7IAe{f2Ta%=vaWty4)mw%6cwM6y*+@*&LdqZF6%-bKr*L`%+ z(QfhVo10Que^1kkU8U+h?T2MLqKqkccWZzBem+?%70+E?E_s_K9AMy;vDomS{+++K z_vLqYcWYlskBOO6_5I!3vhMEg)df9Ae|PuvT>19)_S2%BDH|g~w&&dydOjuc-K5Ez zoOc`XUVV4Rd+)956DCToojiGZ#`|e^Pi0I97fQU6vs%vf*6L+ar!77$zP9|$b-{Gw ztCky_cl%3rWd(R&G+Q0Ad6`o1_w4ukgkRk_H6`U#$4-aoyl)m+ZQC>ZW?!4`)C`#* zw`I<Th7*nYmTUf95^6eIMJw^Enry)l?T=00R;3%Jq|Mveoi7n~)Ff}+F74@=_uny} z$`x5`yW8(XPu9{jPP3<PbZw{kZMCWY*S)kV`|F#_t{<(m9$)w#HGAH=lHe}gE5{5D z-8okMY{$|w5mT$H*37u}>gIE&qtfoFGB(CxPY%|4oiz>FoSgslWrVj?;`NP^t4r=Y zE`K=p&s6tGlP0M=4Gmcvw>N68b-CU~t<LqXv0o<KtNWd}b$iaF+><`Nk^7xB*KvH^ zRWqaNO110#c`ueFZoj+mT;in48t;RZZGsCwpUPDBTpm`po>O{FR;jnUX-Ch5TcuYj z@B3X{b98q}^k>#z@mWjsem`K|TkG$gna;a*e);mSsiGSyy`+!Zt$Ut+>+1iv7k8~$ zrZ{uwrYo-(&Yu5fv2~lwROQ;$i{|oq{#&hZ^8dpv6(1k%+29lGJxwR@{5)IlHC{}J z)bPP)ZPZpSx&K1)^6?w&)zz0jc-^(ePKd+P)AQD@($!Yw@7C<tVR7`xktrF$Sx1&- zYME<$^IZ<u`a(l;l1QBI)k7+&X_~ifVjY5Xy(YG)xUPy?k}~g1*tWw<@0{_m^qF4t zJvZdizCU)nl9qi<hCMf=R^^@jeXFvjIqh%$PQGU%eFwGrPg^gVy`pljXw;_i?R+w; z7up{8Il3ipnMJqv&D0~4?(dIGQTY7j^`g}#5{6elhfOy)ERtuwWb!=m`4vLhdqP=R zRouQtxJLW+i{^PO_nEoOd*@BdqM2<;tK6Tvic2oeYWoxCpfpuS$@2Ci!NW>BW7auY zmYsY$sVn~biEEu%36r06h2`jWZ@KyO=XY02pUX?XD6^MbD)iE}ulCWlKW#6xl>4&r zl)^tNie$=E%{(W#P7=KD;QK~vaf6C`^}c#1^S+;fAItxR|KGQIt&+;g70aH5+bq~W zH}C5Q?b_Nee5b<pbhJDYM1;77^~8x2yLx(bD&7`YOqnueNw2iI*Q8nI`Rm+zrC7CX zwnuHvTDoF|#@5WsZjq6ZMHVuux28lnBuTWrnK*Hi<TAgsIg>PZ_q>^W>V)CaSrfVJ zYy0op%v>0}OeAq$klV798;3*_H=mG`R9u}Hx;F2y>5D%SkzBS$htK%f+j_*UY{=YI zQgq)>_T07=VeO2&7A4DCn*Cq0@^s%5cIC?xRDze<S11Sd&bmH5_kUgP?Fs9Y(|T^1 z_J_|ns<e4c#2j`}$;=aHES3rH3_4rj+4tCLR@y0!?tqripnu9qYs4Z??|&zE<h5Pc z?8G^mJsPQNHWcjEX<ONI*)s5(X6mn1vkoyYd%5_aj;YA~6YI|S&ffBP$;u<I+NNxE zJ}K?5X`|<7dYJd+m6zKR&A#7X(WZEKgV_3iD+8ntZ_wBkwr5e!##iQLd-v^JnYi$E zo>py|@6BAxTN}SGh*_m4YrW!%%=TE%qE`?8OfP+`8}G5bW~F96U*O^mmu|83oi76Q zN1$<_k@)S+O)p>HP#2e$U1e`qiRnhMST7M;w0QB=Wxmp$mo6>!-dg<J?{3xWwXV0O z>`e2Da9T7g^!7q~Go4FH?#ws&@&dag*Zn#xtrfL$lFIdsDY~(5LSm1{<@%Y6-rKYA z(VCzLCvMa3TT69UKhLPE627|4dTODHv#E9bs?UqN`cqDb<xNlAF{QF#_oVRri~rWP zFa6`huN*T`aMGo38Fx<=yzTA^U;WHAze~Dp;R&|0R}XA+uP#gX-k5uJ%eKN_wNnJW z*WSvywP%{ynwtw%?X9-1k6Tj2_wLHNV6o8r+die-Yq=g7mVcZlzVh(x;HN#=>z)+~ zE<F~Nw!O{u+mBzXvTrZ_`&uk3(a*V6_Rb<_y~?l~MboaWi46X5L+a%jFO|5pPD$BI z9nHU8)aI{QV(mWfl5efhlyA3PYIoT=iSM-vk$r0w><}cixb4xaTTfyvo#pq3JHLIs z?fQv>qWQsVUz_e)dU$!&<CFVe?7ORcWntB_Z~yj1>&n;qo0slz2|rT5#&i9h^~W!< zue#NB{>%Q!L1%>y&hU!=yD)F}M^oLk*W&rNTCUpp*#2rvWa#%t6L;;3{c6-T|4;hM zOG{b%*G@dQ#^sQf3N#`a7#Iq^6%`dtnKdii{^Htbb5%7pHcO@Vk*933@)zX&tzPN7 zR_5*954*Q5cU_q|{q&XBdtO{#T_Lea)g<ulnYp+2SUU@Tz5RO9x?a&liJ%JS(%p-u z-@0a4l5x6fZRGw?_87yiPK`?Gy9PY2X75($`DULe%~);rwp4Rt`1L)<r+G|Tbal&L z)>YCom)6dnwDobov^nn{^=2%1#{0JDiACt<O5>|%<X81X@El%sOZL~Q_~pyGPWoP* z<{Q05-#DuGcKj-BD|L-CAD(U7KfB`c_pI2UN!Q+3%oDH9+&JxaDR=4q9aA6Ws&A=2 z9cL+Qz1p|*|8zAGJ-L?`7B*XzyjXB<uC=zNrf2r`bzc{`?~c0vPyXzW4{}@W?SlVT ze2w+JJ9|a+{kiKn`OCsme#&}G+qLUa<8zZuPvd+mU+dQH{grX@JvaX?3Dc^VCp#y9 zImIwr?C)nu+i#!hw^;1%oOQ(@Wu<wDe(kp@Y)98!`{AFi^?cU!FFE|>7k5tCRkKWN znZ=VEzbD_@_22Jq<@33_KA&qht^3;9<eI~@vf!h~_jh-%?yWBW`sQYEx48bbO{u4w z1dz(VIng<0v)y{7mIf|%TbX%z*+IVNYb3($Ca3h(ukdX3H!<Fxb#6)Pt@|7L*X>`k z^Uz+cEe@$0)6Pmswgo0_owzmo`Y99N(l<9Yp7_TrZRRnl>iav{4HAJ@E-m#=m2m64 z>NZU`TJ5q)>BpnuyKUdUxVX5HgP#EowDvwe-k<&T)zwK${N`G%bnBH8eV6>^#>TF` zzAz`JrrVEKu3UNQ#0ia$CnV#)hd)!!u}PkGpJi&?N2ZB2akl%|7z3jkIr`Tl^(OD1 zICbjMxw+P=p1HTSeB3p^r-vu^?yjruftA<i^K*H?ZDwF#ST(Pug{427P4n!{dGA;M z+oPvos1&{8|5@EVwzA0T6b}i#LFO|E#E48l76>Us=Wk13ZzvAFy)Cy{mI+Da2LWvY z8qp0h^IR3WI&8yZ1_7lXl}ILq@{?*3y2<E##ZZS$xwp3^v<Eaq+))<$-f`46sjwf; zga`45wG*^ZhD;nD1UevlGT`XVJ+_6{)=Qhze#^?eHBD>z>7{L@*;xtm%cKk!Y+khZ zP_5+R=-9S>As@c3k~}}Hs^)4>`sv))+RHT0{wm$OZ}#pm>u)kae(QpJUtPWO)b{-K z6^`Osciw${y3Szw=_~)A{a^R~`P!@7?zT^PU9c*%`PQyI&wuXvc8Xh6Q101xiK@8Y zyEbhqR`q;XHhY>+-KE^!a*N}F%le!riKK1Lx_M>!AEEx!CR>xwC#stD>2JRKNmSb; z@6PmH@Ap+-T~?aC|47g@WovbfzYh*6{Z>joAAUEC|5xfBbNiVqm5&>#c%HYna0wJF z+x|9Y*~;_kx|iNw|N6~aX3~^Bx~{oGucubo=qh{H=GS;nx?-?!S=yi9cKeS8&0F*4 zPQ#hSr<VNwe1GTN{cnyc+R49uaIpE-hD7JizooCP1m513TWfdk=B=H@>7BK{v(0!b zWIjJXU%q>P{{4M+^4jU=WR~8q*}Ta9PryTEw<$Nxs&AR3-l^Z8wC_*Qx4OSIa^7KK z(^O7oZPn6Q^QLn4?AhAWPpf)z@qhpS-WZ-}_D!2QcXi|Ted?D@zFvNwEF${ypIkwq zXk6oyCo6OA{yxNF`}LcNZv1ZN$eWg%B-+g0dtCh;f94`Df9)z=YyOZQydh<ok~4Sx zzWK50*ZcdgwwvBAwXqFemYH?;S#8z#&o_6yYpl<He(x}2=hKQ?ns+~bvDo|JV0qNm zy6G``(-$edxwRp6|Goc7ed}r;+`lE*5b>$oJtwSt-HX^?ymuXI^(NS!(egZ1YVlXB z|ER&28`8mF?!TXY+T`x`$c;%$?S4Fvx2pOw<KN%+{(r^1H6r(9t$wECd2NpB$s&uG zyxTismc>>4xBhGJzkL6(Pj_}3Zz(t`UnhH5+-P&r*B9B7neFoY`kd$c{xW^=_|coU zH#ROlQnt=}|5LG@-`<Dc`g&da_w9V|-06ScZui>#uL>mi>#X^2)oG&IVHu~V>E7Cu z>b*0!{QbSPOO~jtjoW)me<M6te;j@k8ojmpf1u~3Gp(<u)){$AF5hJO_PL7ZrF&PB zXYE$mdB#p_i%MIjm;XLn-_v3F;Yp7xZ0oKoY|XzLkZ890+fup9$N&FzwbzSS&i?OP zyl=&KzN=kppFNFU9TT%`rF;DBHKDJ|SB7q8v8}uAur>RpL)dE9-$DH^7q5SoeQmvT z$$Rej>_gVuSG#@H_<!_~(bo^FCvP#U?7uZHJl?-wZcW%(VHMBzzGbiXZY(w_epq!^ zydgs6WRl^Nf?ZRl&efiNddktHDIMn4#~03tS-vK$dye0CR-S#Awm9bgP5xt}8@Dx7 z-^RkF&~!0(-`bd+UhnHaES%%F{LrC8C8y8Uf6LZ+%GteQ^^IT6DxTLiNI7;Nerojf zPPOru%lfactccUy-#7Jj!K;nem%r#e?!L-@`?NaQ)6tPPcUFB^`adi8lic19ju%DE z<0=k(*UnuNwSV=4?DoSe>wO~@tl-$4Cs8!<{P9ns^=mAGW(C9+E-^Vf%apr}W740^ zk30%5%GWH|@_POBqT;D#cS~2<Rc<=e&Zm1xt@>BV(%AC3Q)X+owrc)r=Kmw)y*2A< z$-T<wnZLiim7AYDEi97*7JC9oV(V|MGSshCo}RfXb@Q%UyIv<8-1z<2xoiEOuV<b< z$6PdXSzKMk47RU359Hd5YAMXzZ4%iXo*SAp*-GmFxBsG*D&Ea4v#MS$Ozi6Iyi&hE zeqDY2-|l&Po_p!vk9+#*@t^x!v;OLB^;@%C|K6U^M6=a)^Y?h1ydCuAn(*Q;uNEtZ z=xW7WPE&n(xs&_rb!)a?y3;^q+pQ<IR{LFTdutzuMXpU3o7uTubaA+x<hm6eyO*8y zx|BY-?&{+0{2!uq9+u7iRhF+i{q&Xd-DW2yEDV>Ej0<piR5Y{d+ufJ5b{6bi_u^`p zSogyD;!|r>TJ^VPM7e)`b!1gk%8q{~&xCDlt2XHET`@ImUDVR=_x>+fQ1RqpyIjce zKH0xg-ut%n=!Ew7X>7bNCARv-j&5cl=S;5W8UaPJezyOPiR}G%J-a0Hdl;kib>W+q ze70Xs9cfzB_x|}h-J(14tSaSpe^Wba-`D)xtfYL%<WJW*JNfg%+5KxS-%{Sc+WGCb zR{^*GwsYU%K9-!_q*`-?BXqvS#sv;4Z*OIbTBmls36IzHJT=eIIrDm4bbw7z?IV{~ zetEekE8w+|)_k_o>=PmP{>%J6-nYoV$Iv(Pb6<$(q{I~oj9lefAr7DJ&M}>|<oJ7; zh$R(EHvIlqGc!#uX1#oU<Z}1-5(^AwZ&h;sab}g|dA6K2@BQw()V-Rg?OnT{|L(2$ z&2@Jd$cwMJet3Iq;F1l8r`OGxE`D0LJU;T31V|c`PvyneTn0%eg$l$+8vXS2taRm= z^yTv+-d7cM%CY7<R;y&5IupuzRQYGC>Ux_;_h+|1H(j~-<>u=z<n`|?kee&~^^Emp z)6dW2x8$Fm)bwa#`!SYv6)uzF{=HzoTk9-unlB>1!O&K)=h4KPoqWsX>WjPL>fcCx zeS6R9!Q=Bc?KdCU7q;>F>&gC;w$$W)khe{mb!qkgKY@Y|6IYcxu6wrpPt2mT&bjkH z-2Rc>|7Ts{Z1oq<!nkfS30*cxmH7AbCfoT|e))B;CaXOa?RxU$#gP*eyXIPj7VSJI z(tqRaD!a^}&a0lV48rhW<}%*LR~C83=H9GaR<We;Z`7vtn_X+%E*UHgoB4gIc8J5K z%IZ~b%4S<_$qdPw-SNSoH1oDg@iZ0frw=$y*S){*9_JA>eWCu(+MZ20H!B?iCzfr^ z4D_F0vpHbWlr4$V`Q*=PRM-{;h?i#E4e*|{P4nvoPE(H+FPBH~X=yFW&^g*GbHjD* zEcJ}sPja$0la{soh}eH*lKy^!CB?UoNmc!vd8j9GdifN^m$MpU|AZY0x>M=<I_vhk zJ)D;o`YPL2Mw|bAEH8i3Bz99&u9WdJ#?n_$?mwFN@xI>GZMN-PaqB(rExvf(_d@#m zyKCC&e(hVKG5z$F%k}@Sz1Kc8<><qbm!0OzUtM)~UlHGL#wh!HF7Nv3H_Uf^ZQhmY z;;$RK*Y~*J9IgzTcP*`vq07rk-`dVxax;%B?oXtH&?}$IAw@--&e{L}v!F+}^U+kN zL+!l5Ur$axFEWuwdRqB`ms8%99rc^1^LxSp+i&m!=|Rk-|1J~Ww!U+;FNv<dYqDjx zO6Ilo(qFFJzO3R|9o(OFsC@s+SEsFZ)OxwKa(NUL&F$*rnZ9<;RpUd4536|Y+}YPv z5}*0>iIu3dux58+#{YwlCoTCh`R1upCv_{{7VJo|-<###clhP@h$2}(*OqqC&ZREW z%Qq{u^DRG}zfmM@ql8rH(^l7SH{Wg(`u%5Z;NK{((<g$W+@@{&w=wYluj~6$X6Z%h zgvkacuf1F5C2Kuv(~pnO-f!A^duz_uZ$iJ{*Ol%0_xYBt=<F$VpWf`g+#F>y>HNcz zmnXL`e|gp2{lzB+@rgSo-&oT3@8=_5P*cL|{E1K1A44+_<%I41dPJi%^SX=u8_!89 zkv!eEu4cTyUiiB<{>#Rc$7cQ)c7Q6f;`RS7u1~H$W#YRwet%p$pKQ?Hs;^x#tHajL zdQ-ML{&=~CjF+F^HCFK$fhkIpb{0RMR<$O6e;s4bk`*5oI*VFYZu0Aqm>6!M<QcX) zX}RCjzpGX=$@zPEb-lW>QhBv${#AqJmsd8k^H2LR4_?-AlpcQf)8ltgzvv#nj(%MY zxrs{{_uhWB`la{Q!t5@e;Hu~L_OBOz{LD2^BPgQvU8wo0@L=g}Z^B<K6i&Toad$)N z{xxCUudc2QocK<AZ5yZQ*4poivR1R!iOZe%y?=#0q(b0|+wBv4GCD{kZL^l*xq9=t zv#+dQd)7K=Yxez#O&hyUpDfM#{4K<_rG2H}+gEknsv?m*&YiuFO|#GG|H`{n|Fpm& zWoy*c9i{rR_b0CO-}lpXW~8N5ZSehu<I{>hwEX+^D`jtW{7J9%4zH^Vv(Luu2|ULj zDlzrw%aY80mwXv@6@G5~-_*3e{k-&wob<4Rucw`T^=iKUy%p|pv)8=0-+TZ4MiDt> z^Xn@Ezt^t;)kO1RqeL>r(>A{T%6<QHwavmaCcdDV*Oe<P&lg1REJ~gD`0efO;dghH z*4n*m<4x~c8>2U!PsT#wu)&pevDRTqnr9v@`|;&Vmu};fNs|^md6IH<W$^M7|DHT~ z(r_H!nJC!CCu0@(Wb4ak|GHP-SoiAU#Ju0D0vJ!Gif_Nzru+Nr%Omk$-_*YQ-~Z6k zd(zh8_laNM-irzp{P)*>ue0lZx!f(K*BxtroprJ`t=e$g>~ekFT4wi4SC&V6ySlaf z)SY&^dfUZkvMZ~ef4*7u|K{tv+kQTo9Y3W|D?0p3mUi`wtN9y6o)yiUWmP=2E$-gO zGhRBDe6{A~Yu$KW$ZT-G;Ssd=6`$|?Pg`U3mgktwZtM9m`QD$TR=K^&4Q*fFFDa-l zxW>@gwdM6jYj&?FfhixkckgkRmhA7l5S;JNeM8H1>CQ#r(R;sK*s$POK+NkSRZGw5 z$FGim?xPszw=Ven-TKl4@-HXr*H4|O|NlgE<&$S&=CAa1^Le~<-l?doYpbfNK6w1@ z{{H<(_FcIWBH6ZZTkdVPEgdQ|R`*O%eZKpBNz#=Sd#<|st^cLCJXqbWFXYV>%}w#O zr4Ek@tmb<8`Ay556}2J3vGeiClP6cj@2_)6f+zP6t^fZ%cl4dR(`&w8jK<|Dd@_EQ z!!J*Nxh%1A^@KkL|I2f4O)KX=n`HRq%Zr0jcdq}P%zLU%%-8Tb-@bsaua0E>dUN&H zhtoSx>3-9gR_SftVA*O9TMO_qU%qaA!ERr3zP%@Ae-G3A`|?#@*Lu;#D>qO2a&X7a zQzr{wobuMcaMwIW%X5}p_11g;a;CjW47+RaL}}-rAN4Wu^`YihR|~Ip)!zQ%<o0DR zD{if9O5Vx09Mr8i<{Q1}M2A6aedzUuH3kohW`cVuU+e!b$xmOecjN!tH&?6PK9>Lb zX7}Z%T5+GAsDp;oe;*gx8RK_y!-}%Wc~Yi14eNHVT3z=mb?zaifPmoLZd+bmUm3XJ z=36t@miCu>)7ORXp2qvfZr}1>Uo#e8m*2hYj(gb8%Llw~y`1Xa#=hmiiD2DXS2Xue z?7w+<&8}5b<e!DkJ^U~rDk^HjVGSi!RoCk8?|9239H-kRyTyI<@as$2u3dJ^<fz|# zy_;`?{QCTQWLD03zn|YjCv0_S?yW5o6D3|<Uf%!f>+9upe}Ap?pKoWx<GeO{dsxJb z|6Mll_^Q!em$v2IuVDGD8AWNT|H_Y_yS8Ds{iPGt|Cc_$KRa-P{l-FB-q-g%6V1MV zKdQauic?5S?0dV~x$mkUX4e{)cGk`KzT0YR?wXDd2Dx`;Ey~@^r*$XqvaR`-i!-M0 zyV!6q_v;he=F)ZRAIJymI=#24oh$d__H`-q+|GToce~BKZ5Ei)61)1^`;b!yg*UZF zmcE~_e|hnG->~_4x?le^JEwd++zQGDd(+oluhp+vJ6}Ba!kwijvo7xF%Q5TT_Rea> z8<}=c8}Zq+e^Q@6xs<-X;IrP=>X5$qi{0^`SN-~K6~B{jd7A#M71~u>udZ+u7n6}U zec`nB<_>pb@paR$W=&Mge0J#TuiRUg?fP9$Y0Fi9-^>4`$TIa*fS(KZ)2L)qP@m`f z^V46J8_v%-^d<Gz=MUX~jq3L8@0E;t^7X}Y^%u()-o3gsR(OBk>)w5LqhI$PcYD>j zec3b(iO<^`r*FCJ&Ckm{^U=gDcT8kY_~>r-y?cUXe!q44|1(DY`Bob*K2M&u-1+Ud zT{}bX)jPMIO$&a0Ztm8st6HfkDJ<49o<T7&bEHf-<}TWhaN^&$Xn5CT<*y~4oA^&w z?}>UdMbpZ#D8*6Gb3vHW%JB8`R74{s)%I5`sr&ou*0$W)_mkm`u@6fRA2-@kdw!wP z?{7<FbT8)JUe|nUa#2X{tE)w~{afc>EsVRoYu1N%vcG=3jyK7ESamjTO8MtYSzA}+ zf4?SGnksJl?UzXEoKx4XM0#@Z^Iul}U+(JKzOv+8exSeby`9eg=WK8&7R$eKNA={S zPwTwT>*<z!?vShcvh}2w{miBE&;GCL_+VgLd46hL=Tg_nR=<Ajwf=I_ocrtLrqWw` zl;1DgemC#(Q`=iF^p&e#>=WM?7Uj0=na;fxFIIdAlJ_hzE0Xm)8?z%$Z~DrAd(Y`_ zoYZnO>BUs{Ht$LQ%>S3)TqCyMR!?<*#gT^vC$hG_ny`4{zpsaESBXiki<XyP9d=gu z%BILBySZOhxADJN^I}E8{v!|1377K*J^uAhJws#1mTK+2AAfk*{yR3Mq1ST7dDdUI zXDn0<wo&qo&y`-iep|2Z=Cl2O#edY?DL32m?z7$bu=ZQxE1chQH_5tjmhFy>-Boh& z)Ku-MGiR<$J3C9%GHz{@>HePR&1q+w*85(*^6~NU(A{OZr7tcxig|ws`@p&FP3Gk( zWq-fAcDWyFpQpKCbA0XARsOS<Y`MI8GRxu)mHAh*o?bMCMcsl+Q9JX}4_<Z`dXzl1 z>V?Ze!|tO2pWQy*+Vy&YO6K!>%KNkxCVracQ2FfM!Kyds8oewlV)&MO^S-(k;8HPT zj^FfMr5VdAz0Ex*rTyEq&r4*2N6_6Juc|&CRIGY6PkXER(-lRsex_@}y)OnnH1d79 zsXIFR*Gu;uHTL;y?{j&mtPIOBwJp4^U|aQ@LDpuH+Vaawcg!;E>fz89JbkA1_1_=| zrKh(0j-_qY&^q*PpTvO)hYsh~>B>!9vN66#TSKn$L*wt%{&lOL^*&PdH1B`0F#G-g z2-({vmv(H=<Ieclv1Edt+{x?9qrLb3JmOJnkvH%Daj84o_r_HuczB5RFZgsqUnz2P zTJJ3Le7OmR`g=Z{IpqaiSaWq_@^P(L8zoOkew%`26)BHgTKT2J7Ww|TuyB=KVbVP< zRZGiL6%QD%esX3!d2+J)*3{Etk!%0e`$)n<)?oqfo+WcP70YDZT(j<$%C*bk#?xNj zJ)iD9X`Y;I#Nl7%m(J~NpIY>yMep5|h%Ea!(FspBw?|%G;`mnS_nNToxc{$Bt6naa zH%b5KcGu#|qRo<vg1ENdo^oS}-^AKBMcd-{p<P{DUbk0fm;MdC%Ouo&HY@P2m8n;6 z>ajVdyYdRc_xHXn*!84f7ih%e%bmZf`CPkVmVqK^LB)|g`_=g;gYMRTkNx#xasA6_ z(f<~$i`CaD%DFOCe3jo@iLY<(-O^8<udVm;R?x?-Nq?-4h1TAFy)C<X>aPm**=HA> zuHG_H<?1@gx7Q;+?Y;8ScjuG+kCuJ<ksLa?IJTl<hgIn-ktrM3hONH+XyT*Q3qx41 z9`6so75{J1i|_Zte|&hjbQ`z4UCh<}Kiwq1-(NpNf8Pgho!H&aYR^>$Ib7ONm~5JJ z<AQ!6EFc+voW5|{TCB#lC_%i|uymsg-*mRPOMa8CY)PCm%dAIxGymgL>66a<-zzdl zI`?Sq?QOoDolC=avj}b6;jZ&eu)F%mBRMz|-iCV+9a>#m3%7%Tfgu2OwD@Z473Cac zd4Y;Gs49-4k1vzTzp0{WYHE4|;Zp_%1_h6ZMiwM%7j$j*1ob7FWZ4;hOv#+(8YkT* zxCAj@&~PFA&p)Xe{TbhNf`fuY#KptYq@x=UttJKr2F2e0nz!qn_B*t@-#{{%A*2x1 zLtPsGwut)AD+vBE=hCg+udm;o9BWg%$S<<6s(Q_;MGeTV5;5qR#47sko9OiVJF$)) zm9-VY2PWG4JuLiu{KL11P&Y<SB#S<1*NbL|yxaUEOYNSVwr?h@Mr;3cv1pCze?OnA zqJ|mMk}XPon|1eTtadnhJNfBW{kN}AsqC+CL9_kQ%Ptww&^;%V{%_qq)kZ67LSR^Q zet+ne+Dz@qEvpV4RTg4Jn*3u}FyoWl`A6M$r?Z~-Gl|s~ZJ*_(AwEe&Pp<OgQE^qz zX$h>%NX~Vdzx%2KQ|yDlTNSO}#q%G(-MCrwRIBo&-;V@4nS_uO26+5aPSN_MG4s#2 zqx+|wTch=8-Tg<uZDlwA_}TisvieN)y|~Q@C-kK>V{DW@a!8}-tUSuURMcZid0ggk z?I4|?iN$+upS`qfjc+eH*kOIN+g&9LWiCTB;AWTV14*=bA`5hW^af;)Ff43HzZ{Z^ zGNBT1RI*L*jlj9cG}V=-9}1cwIf@}v1|`&J&!6Kv>Dk%Y;%_V(io@>jtL@%1&%VBH zf)cz1$-r>HHu|6V-Cd>JX)*^aGk<=1n)luK{-4!bUh^RwUa)>a!x59S3C9>iG|!kL z#2qv=H53HDZ*Dx0xDUxlrxP<pJ$5YSW#RdZv;<DTi_7+q+KfboP;N9~(Fq0a(i|es zkm65a%8he1CwX%f3TEUZ>}$AiP&ubTApylQ&i)OIO$|ShmKAWQPHS54V`gSHKYuBw zlg_$iV^g(_%Y@`-E;X4h`6pYr2gPrz7c?CC@~QvyMz{BIvlc{c6~6NP=}|A^*yZ2X zUwAF9`eM&>F|F5M?EZaNzUr@LuX*iGncJrCejQs?{E$1U<kguur|y2+>1O78;plqZ zt1FG3-I{r-D<NL=|9_+a2{|*9N$B$Fvs3RoZ<}Oa?JoTPgzm2Ux9e`+IM=w=B<^c^ z<`OyPU0Ox|&qr-hj9#X>Gsf@j@7%tyCnlE`*u521Tzuv8XK~qynmc0-?l(KQ;~gSI z7`}7a9(tDZ?poB<9sd<#m$d$RA-{Rbs}j*&-LtPBD_NHPc*}Itsu26q+{r8Y{^nib z4mQ)e`*PCj8$Pxraf{sg=dS#3W8*ZvBxAAnzb||CMbBQ6KW|rDt@XA`u7~9>FL}bV zGe&Qw-gG0g^B1JI^IvFPd+u^8^ZP5eH<xLAj@hq$F6`&FOBENVE3TgPMP`NGx7b;G zD>fI!=$$Ne(0-;H=y&+wt~IqTGe566aY$yF=JSQ`OY)O+w^n8CGm6!lx%0N^yIX2m z7yg#6J+t!3=QT|}mvuJ%d}Nc~A6j~Jy|2PL?Mj`yH}}|odQpAv*vUPg=ASC6J<<OB z`2_y^D|N27*HqN;{rs>uV87jy#ecRvIlTSU?scM;*Ts)JW$sD%U?zWt|NkdfznVgw zDaW{%bsanN=L3KF^{GDvZSFm5{`t^;>eF8n_|KnLl;0=(;&t$z-u=fvfBQT4t8$Ky z=Q5S+KkKhKZ{L2c@#Xs$-BTrtL~T4Cl~^ms7?w?66>AXj|N99E-Y(xu$LnjuCYM|I zZMRwGdEREBrD3gUkps8vjQ<Psqze}BD=fQyLh<d?y<fO(e_xD|HT2!-r*rB4`yJ8p z`MHY%`R!M|o|Sirz5M?2jVEt9)|ar={|Nr!zf$<_Y5Qr*_L?kM96I~C&Q-JJn%6Ii z&f2fw{gwS|=x>k9&6Ue88(*99L`$r9bwKwi+w)pV|Jj!%N*M7hK4F)C{_{79Pfy}! zAG+@G>3pebf2#I8W`EXSyTYd0RL$-`^xSBD&2f{nLf1`2*7N_=kpF!6`waPotv?I% z66#vyp0(FcDT_UQ=+6iF{7-?`DxW-lv*WkV_a`!)KOgend*Yl__oI1t_NhM{a`~SF zZ|xNNX(9dRug#VZ-#=dvTJv*a{hl9o^}Wx1?auuZG@GQd+{pOttD?`&Qc=atcD41Z zwmpwX+300;*Kd>Ny4M`Bcb6_qI=p&y;$^o@>FcidiKIIk1kQbTX|sR-wY~oLmn&{G zYu&yl)PH}${&#hs5__|fLZ7YsYxQJ`(e-mzw%D)ndUGL+bE4p?%e&^zvbvsqFTZ-q zYoopO`Z+mv_q^u6iOZYz?3Lw)xm)V`>TbWi(mD0B>Hm;e-aT6uEBT&(HuKZ6)QLa3 zj%TkbI=}go$bZJjABV49j!!#neg1axQq4ci_wVxm`15zEEPtwK=k@E?zvle13IF_J zbs=+QEVFH#!e@z&_spLjT9)sBQr-MhFzTlU_s<V9pBL9mHIz?Pl%J=)_J7y2Hn>Fn ze~tLmGwzWm+MjdHuQ#(_K0|)t)c#Eq_|Jd*8MyD%3^3Es{#3Z+=RmPf4|gV<@4s~G zXSPlL=X~uSr*3mUv#OnUS@Ejug5U36E}S1%75{(M=Qb%<535+mM>i}zzsG-Gu<U)Q zh}F(*AukHeAD)%gI%uF;ZF<zj<69c<lmt^%W3Q{LqgC6zmz`eOv$%cALyM)g!f{t- zasI4z)wKCL<@<YP4i)|V{=AV_7d@Z4>%h-tb&>l*d#|0n{PD-ZU2Bq;@Obvg3ZI^v zUZk0S?^*kVc;6(er)SRIPPAJ6%;xhq{rc3o&);tRbZh#zuR9m~VBfL(`?J%ptG3ln zI=+eZ)h@QGJ*TF*Kb!i$^7C~5XYKz(R6u$2!;@Xp%I!WMF5h#O{qte_bKB~lwdc=0 zTdLXf^PzN2-4uJj)D!HV57%?WM?Z5v`E9BqNE6rB*TOG8YDL@4kl&Xsp&B0Y;Pc{? z-=`+<KXcEp3V-hI$k_Sv>E8t7|8`q6ccxsgyxw;){@8!d<omx}#GlT&_rB=Wg-<hQ z?KThk8#ilV{k=<WGw<JDY`3`nZ1n`UI{9q|FTY%0<~`}?sz0JW=kC1TreU;g+oBx9 zSAXxV|Mg_nuT5grhwtqZR|q)wtoq8NicYq<|7Y1n{pl6DCKr0=!09WBrzV_!8nR0L z^P4Z%^&(R|isqgQndZ%P-g3p>YGc*aOSG)c@A)gB_1Xs9kou?3zwnRatIkIsXD@sC zt@-Tq>tAzztMsRGUn%ANQZZGt|BUwk=Z9|_&XE5XI1xmic7JH+y?fofdGA*zFEoft zJp~H4pBn%A>+U7g{pj2NGokK>y8OJj>s?<ScEeTF&wqY&z4GV9f4(i81`4LX)t-rG z`X40M{doU9w*30E^Zlo$f?}oazU}S(i|4QY{Md)FbI)#vw2$G2;;*-{ufH^feOcB% z=T)_lakEdn+Ss+>X-54e9p5s)>yJ8%r)F;!m%eTD_tlx##`Wj+^t~~OxW4VLm;BzM z`SWg=N4!niv?c#=+*XOdliXBqv9ws_KcB5KbDP*J(-pn<{v<T1rCz<#k#41Z%OLgC ziVHTzi-fQ2sM~SrdF{&n<<C~#-1a}~lwA82ll8ox*L>3WH~s3P-&)4;H4Win&x_<% zPdYWh{n^y|Je$_2dCr00)M9`Cc<9SrRjZAjEY^BzIKh7Xr~S{0mz01KPydBqm7!BZ z+G;|U+WfMXuL%qB0c-hhp7t;f#A<&3G+`wVC=lO&-m@y^`lkr4eMWola2cyQhh3E~ zng8ycRB*uDq!Wv7#Ff818m$*}?$CLYGRJjo`#x@KyS{D8E&t9PT>XM~WBKd;tem&! z%A))GDnj-5SUa9BmR{vp+Wq}~bMIOC=*)AA{GAiCZdOk?ciMK@<gM#2q|RM^TFJSs zr|azI4c~TsTzb5u^ltC3Prcv2*8UQ$dUKEavzS7l>il%kkU#R=r>)+-th^^@9lh{d zchCB{XG>N4{|UZ$?Im~m+1Y9Z`Fp4TAD?jPS6bZ^y(ymp<K{1&>u=}v+_UCP|E1O- zI~&{fnvga756#|fI75CZ_tKgvtu;URKQAs>IECLIR23fmqY<m|^zh~46Ycqi_Fm#% zKR<kt`SdUvp}pd~$=&q=!BMv_-KwbKb+VK{Hub#9%>KBtnB1uCUw^1D%=5gr#j*DH zH{DJ7|Ni_uV{Ttuez!YZbJyyqlIz!EtNqV?{uYsW=jfdOw`Tuy@{D}%`sr?|YX7{I z9(G;Z_4n=H^7+K#=QR`gpM@U%^|@rtrMicDuRkyQ@Nd((kIy0ujukK8^tAbB;KqMi zR$-BUjqRQ6<<InYeGQ+u@s#zkKOBE+4D7Y8&0n7U@jL%_a4{kJdi|p0saNekD}VWX z@Xv~f`g?s(J%1ni?cLp7Q*loGXF^@bn*CjUp<(~e^j|p7{&{iI-%6`#>b1ZB{Sv8p zT6V_aiL$DyYhhub>M@NsYvdD|7d)Dgzi{au{yCTWrkBp!e%!`(`G?n={~q8`z4z=< z&N<corP}(-HvKbtvHo?`)Xy(+?Pi4kJNW0rn>hjJLB-jgpT}>1I;Sju@3Wfxnf?PG zzQ}jq+4}Y9#!Y>b`Jc7#zP|8BW!$};PYzrEse5|Ua^b(qxO<gP4p;xF3;k!ga9%%H zYjWL`dcPIV7w`FeqW${}`KR-RU+sTCt$dxjVfdE&4$qvmLL3hL%~5EWk<W0BvGLFG znkoG?En=SpqfWSID9SHvC4#f^)K81eOr5iz<}>_Y$7rsz81pR1LT&P&oauh!gAXs* zQh4(eUwgeQ&(JrxE%8Ep2gld%(y#vhj9Rh(e!%<l*PZ_UZL6x^C-&;S`IX<Fw+7Vj zUwCi+`qp2+dB6Uv(Y<m%f5rFb*$e;GIq$WP=cb0%`263G1<f1+4DQ1n&cLv+S=0l) zr{U?ycyim@dKP281zxDW?<{<3?D?!;znrg3=78mCoo#nKH0)QujtRO}s$a*0F!qo7 z|G3l#?}MM+SNHYw42+41x!JqEM(t!s+?1T0oVpub++{YQsoJl1ou6&4|Kj7uiTwe0 zPq=5~K2hFc_DL{G`X|TQ-kO$cZgx)D%JK`hPVB#Mi-2^~T>i^vZZ}CfdwF%uoIN}G zSsKy;PzHuoCg>ep@JNq*{5u(NpYh)1;BzPHkBR(Qedcu@Xm2UhFHB1Rzoi7c6PYh( z0?8h!W~r>mJ@*E!bI;D7ljiddIs8zUD{g&l)<&c6sT!Lcw^;4DwfRUL)EqFyGA}+{ z!SiqB^f3EP0Vng<l~mUp-_iR|=3epE;~8bQwD#UQdAe%Wtz;AHx8{G>&W(SKGMw}0 z(0W#tzF@vo`Ew!XKIUvcUVORe)Jw&<zw_p-3Ej>2y5g5-s?5!mq4V!9I>vhZ^{V%W zleZ=Wuj*Tx`Xc36;M>U0Q{QDeE3#{CmWZu5A0AczXG7YZIO7`!!A^q^s~RS(+tTss z`y9I;*}{KIYT0AId^S`qkzLa0TlT6!MKk8^{JCp9zej!jnjHRm*ZOb`+l9N=?c23- zTW&dvWzhleZ7#jPepl=*J?i<^?hRL&3B*(o*`Rf3z4nADM?Wm^t$6&a%lrP4RPXO6 z7Y5(jowUks_9m~JlSJy9|5@#tI&J?SaobN%xnv7GmVeW`e5Ag1@zi(XHWO7$Wxnj$ z7rWWry>I!;AB`%t7AngkxMxen|DCiiet*1szx?HgvD#n0D(?-wefe{)?w98uXKzXW zXZ$L1Pxb<vK9i;Szr&K->{h2{rfpb^++`JH)K}>2UAr*xZ`+GYi|>{M@0TvA^D@49 z_|V;LzVQ~(r{5g@^6L8fSIgFwcFT0{+_Bxtb<M>yCvQLbSf%{+-<tjZf9tvW?+ko? zeZ{)8>37!f*L&(;d&c(n@BIJEKX6`O71~=-x+i<zEZc9Lags8x5B@a0`v2+2s<nri zdVhXC9Tl@CdqGXR$>-+nCbiQw4^P(8289+B*C;IL->|X$X6fIr*I$0Soj>_*-gK3v zOP8PAXc`?~XSU6y`uMi~(%T-Z<Enou*6#QqXZ!oL#oj;Hmz&hR)x4Q?Jz(o(_fm_~ zpFbx5=D(_X?xxh=e~0!Qe~=TmJb4n=H1UZdx9dBqEYq^T*o(cKpmMgxdA{!6NdMj) zE+4m^w%h+~ga4QJulZi~Ch}*!y4Mvi4|NrYYDnOC!KLyxdh2!5>pcNwW!v`6wR1L3 z|F<S9@amQKllEm@Sg`PRai7jVkMeK13#aXszrg=3`|HK&`m6J&Jh7OzEcj~Hs<M{n zk8i)e5_G1M`PS@Ux7j})<r;1M|A5o=`nts@Hl5qP<znym_;nV?4P|X&jv1GLtc77G z9|vv^sjJybe*Ca_dvkNRd!NkJd$qqyzPt!D&AAZJJKIF>^BrgH<F8k$@4wb}#BY~@ zn^*kC80opPSL-`|9}+H?aqoYfk|S%nVfsC_=MMyzUiAo=++=q3%7#4NrLtBF-fTK& z9&GaT+rg^j{|<Y<ee>1&eHUgoh;Hd?5St)lS?c26Cl~tp+1V>wJcYOBUG*v~EVL^4 z(C|(EPW+<Un)45(uI^r%bz7y?{OVKXs#X8y`9@9G%e>F>_woMrl9$EtFRo5}8xlMz z=VMlRp}MKg(}On0pZ!^(`Y=4>%ZqudbTwDqblqjJG2^kYz5msk&qcj*#htHCEo*C* zyZ+X;FY8`Ue93|}pU*z_y1UUO-~LDW+Z}I0_kOPLY^=2t0J##18>TZwIZU#<aOKbv z*GWrG>+kp3TlMuqr*LM}?()TR-mX!-6`pPEblyK^o$X7>U-jSK+1RXl_2K`I^hpyO zoH$YhnFIw=f&~It0ynBCDCG%=i59NB*7WQ0qK361YjOqmyRH-|*VgOcP}-rGAh1NC z<4u>2-WyKFlV+YC95Yku>KzPP&ZO)#o;mr<@2@t`8)sHOzjxmD`8s3u?`iTkSBU?5 zb9oE9T4v-tm780?TojbD)sJtU7r)rq^5&^?H<lhf&l6l7UM^wzW1C#{i&)XSe;3xB zJQeop*L2fW!OfeGTUz-)cHh6|%);WW+S<PN_sXrWz5H)QXLqsI*Pl(f|F7Nne|zcb ze;@b!yV0nJJdAswW}D;WZ5Gpgc3#<S%(+E#hi9#4(BchlyGl>wcIAp`r%w$2&HwiD zp8n@AUCQRkRPwEV#!z$cWy!p+x6(HM)aa})jDGKjC`BB4HGWoJQr|B!f8PFE=|5m= z0W!0)RxMh*_-<d6^7a!2VwRy3rs;cHO`En}JpIq{-NreVah}m?tHbwR$`{M}YrpH| zu9qk8%|#k^WN^?8HlM$-=z}G1N8P#Wn$QRZQ(3JH@$6t0lxWDmh%(xL;5-(7!~Mvg zSG`WEbt$%fGgy5=E=}~-;WbXxGeR@?H*>vB3|nY>Mr%uZBtgj^du+Xa6y2NZz>ZuO zGT6J5$QOU|nt|a#@HwxZ<j++|)$RvPs|gGTYQ*3F*U1d>WkZBC!~G9g?2~pJ%oR0% za>#i7{@FXieroQ_y0B>BF2B96=6q*7kbY15`QCY^^Wf7n3=B0&2WAV~PbzVUKEC$a zy3E<9WcR(FJNMokTWHXMDXoL6Wj$mn`9zmIeVr2KxbragX8$mQR*A3GNsVUm9>HPf z3ZE`}fBl*HyTH@Nhi7a3+qiv8)_1|wwCArX^4j=vXD?>A`uXXkjpD1q_Z4?9&RovC z(5mUmw{0_{_L|4uygAeBo=rG^yxgnT0buLEM1$42)v_LIxZZwWlIEAObp5;ct6qGN zD3!7BTd#9_-Qr101Y!*<8|^Cg?A<rJYO<A^S%}YZN2&j}l5+37{t)|Og50jZ538@L z>)j}q45&VOXVvogvP!={|1GUc5$}z>9ymFzC;YoWJUh}z{*_d_*A7Xmk60+`&epa{ z-+rxrvQqn}DSHYR-uqFonM*}8ZHb!A4M*u^ca|1zHh;Ck`IL9u`k(91TweAmze35i zH#F$f@>w=zecP6#X#HK?xy!(#YwtVH+E31_Hoci?wWoHXn%a>$Qug26d?Pmnubg@F z)H%P)_qP7Ort9m+ul3$o@vdpz!<gQ8m*UFxJ*NJ>A!I9+y?5OM`$NC^u896T{pHr} z=+ttR?2lh|-n#gbjW_eWY%VyUAjG}k$Fd$uN>bSc`)6M@U%cGytMtn5ApL-Xy`S>e zPuN_w^BOz<wJSS=O<#Rlmd?xl{;vDo*ZG$>u~vsn{oQ**NysGagP?6`sj=%(|Ev1G zc~>{D?+g6#C;F=1s=aP?*L><{eGEU^?xpMAd-;F%ubpk%GnbqQyZ)lFzIMr3%SlNw zHEIQ`=k0#1|4Zkq_+De1FhRrSFEv?TUvvFaUzDruDtmKruI2Lk9{&S>8_ilB``lpu zGo&<lCD-&;qsorB4SBoYmf3#$uy~hl;*59FmHl<~UuAbK{eSjp-}@V%SG|+{))Hna zvrs(j{k48i<C6620iHntA<3<>=L2qau3F}CeY*ai*!l0QOJ`5&c-^L@n9v$Gb7RzT znd4htJ?-DJxm2F_g@DTMf6b@5yf4)5-yfGNWBv8$^6V*Z3jRwj_|<g9!o=mM`lqS! zpTaH{KAq1Km@(s`|7>J;7McE9{Y6z)@8X4pomv_i7u@CR7p0z_BqAoYtnT`e)vnz; zitH!v`=<KW@SoGx_n$k&*!wSdZ(gop7gCgxrmerGbJ44CLzlu?eD7^Pf8NtH+f94Z z&w#UD+fL_gKfNz`liqgL)rln=-f+uZoKUEJCF4o`*1GR(d(GFaPty9q6Hv>S`dsux z*#1VTDSJdEma!dVJ!KvD=5zui0f5K`t95SH6XwWQ?@LNrRQe)d)1}MCQ|3%s)YHRr zbZbZO=`h{9b>D6*D>wbvx%1ZbZM&;lye*~8GtvXiW2=jQ%KG0aoc8Pg$uB&q@2bO< zlaxgS1s8U7xMfM)bLH1RKRM<4HOv0&jQ(%Cs_ulv+I+0^eIXlkFumc`=|6?@`%JS} z=RI70KjV_4xQpz?d$GrBXK{nv1i=B@uV%1M`m(2FX?n^E-`U((c7<w}zPaFd@#00R zU#|mY|9$;n`S^H@smtNtTf##oESYfa)%=R7v*d5BeQP->=-%b-_wvE_)$d=sk~sIO z%cQ2HD?7`}kA^<<H2S7*cWKoF$Er6ME4Fe>5)oPbj&pCR;{=h?s{*{QMVALWeR*Ns z{4cLhDzskVF8M7fnx^r(V#}@4OkKH*=2fR6e{Q_S!gt|C^)7824L|cf`IX+^>XxeR zmf6>bG?%pEaMl)P&vOpT!}5PdO}etbzG>aQUtTxg-u$IkxxCAYeV%b$#B!Ivx&PQ? zPn`_?HnF#A;dwjX>V!$to_bD-^_G`ic=7Wm(-%KYt)@&~`=%#0>dzU2t?N%sP6@hy zIr;m3t?EbCzurYm+tinP^$+J?QE?sC?R!1ze^)H;dwQ$XAahI8GvAA6N-Oj17w-wJ zdVaPv%Pw*5rM{Yl$8TTmWeH9A?=e|!_VQo-Dw>wb?P;nqSH2XU&&X|D-;7i>yxJu7 z@@~PS6NS05+oL9ZDZU|=x+FzoTjZKKu6}RrxA=dyo3~u}=5xD$ssE+RSACYwQ+sn` zX{B)9r9GTec_!I8UpJdoc<%Pf)AO%=c<Mjj`rn)CtEu1iKJ`2GG(BX&*UNcf%QL5* zVVN@V&&m0vZ`JF+l_GU`8{}6`<Wo7Pw4CeGCsohmmp*-c)bqchyiNDGcIN4nudBj; zopoCK-`zac-1g?~&q(3Uup;%<v(KS?cFP$rp8sjZ3Q0;}(qXN@gV$gdjQF5yg|fNs zhvn@Bp3!r>m&Lq#E#olvX^GYOs@%;tYo;98zhiBA`pk*Tcg(z?((j7M-w)co>gIhp zseg2N`m9;AR=s$U@%=I1tSv`Z23Kl|bcuUK?mPEb|7h&q^)WjyJ^!h(rF|vAwgApn z#L??-AC}D1+IMEctXZ#gbaYChD_W4|Nf{dEFJ?xb+$YT6%sXq#(VZ!$mhL;pcqP(r z`R6s)&1Ro<_x#hnyDENX(NZBHA+O$;;FVd1<*V0neoe0eo$GW!W!ZfD$>(Q9O*wz! z_2;ON`sWIuu1vz3NtYAn{|Tz8TDEfK%C5e?GV81W-Xn|*pD(UIzV%ei!N;e68QX$Y z3SB-w|71Gv&ozqkO_x0f)uuHkm)m-1onI~MA!V9Xa_zOSy5EZ@Cnrx@^58+j)%EfJ z*F3y=^X9!CW`^fQr+&z8J%97bu6cU`>Q&48SAMx$m1O}kHemJ4x_>@)*?Xp(e_1kb zr<?ZQ`ruD<O(hS1jy&|$lHowNj;u2yLup&M^@KZh*Y{=#$W}iqz10|R@_g-ouSsrq z3b!wcj;%<GsEb+^S6$d<{@BC!{iQccmACwTcd)8#_u<{2jU2B;=-w}1ZuW28bhW&% z%}>{IXBh8){4UVC^zBFY)alDzZl<n#dzzEs!}`b43_muXdUJX8ALcFXp;Ini{~7bJ zBGT~k>l05tE3P{a%4Iu*0;W!^{`zX^kt0XE`s4NYY_w9nQ?hK!ZlyR`y<HI?{B~W3 zeRjm&567PRD7yWf7qQ?h`=u#Ui(_`a{Jr&OZ}pW=XFe?Js(+)q_ExRS%$qx{=FMK{ zeEZppMnAn@M`h-&-L1FxV)tBkrz?-|ecZLU_~ak$Q~7NRzWKkJ-NYojJzX>PVuq^C z?!cF2yWgaHt@9H}dolU<>?wbj+`4BLy!!hxZENe`le3?{dU)Ddb?dd3B_=mF_pesS z-+9dKXVS_BuMZ#N_fPq^Xw#SYqEM4F>R$i4R&3F_7JqWnlsL8iTFIx4H4F^rSA-rn zXZR2<XHl>Bvv6n0#~XHcou7YynrfmsUwhi?YhPX~^RKO+H2s|Bo;|e@=gxh#1Z7SA zRYB~No;*qU`s%9pVz=H^*Uro;eY0xy?{C+ye*AlNP4acF<NV|_@fKT~w|u$qmjC{0 zyTXH0Pvna|@4Wged-k!ci9cqA`R#}a6cp^Th&{LaW$E7;8y&U7pUwHZa7OgkFPoiH z>i6wG*Z*db;cKg`OFbW7$!&^1&({$a(qErhywG24`LyD&cQZGx5caBf4E}ans{HUP zspXd|uT9VVGlPR+tMqYmh84fHHuHafD$Ao~oFD&OJJ!qi>5ms$@veunx^@?H34hv| z{OPB__2&!^?#IYF-<`Xgf#KCOtx^R~PtS{IW*T2z>ODQHROzJO&E+@Je6OyFG)`Uq zW_7`z3DeGs&fOgSdcWsH=Sr`8I|A}+c0{sH5-|=EmV2{+H~8J99XF**uP8oMU4Q*g z__NI5#%@lx-m9P9oSk*c)}u>m^^z+pXDg~U)_M2^mzB*btGA2f^!4kVUQ)92-{&(z zZ>{Dme6uDcw<5h~SNoiO(eCg5e|avJuC~g3|CwvQ_VZu)(OPV}%e(sSUWL$a$=+WQ ztj&LlE^MriUzGd1U&~nC{iVHn=BclS=|yU?o|n#Kp5CHu>b-O3@2yq8JbHgT7kX<k zXXTwa%C|m!lP?LJ@Ow?_(YWtR?0zbSMt<{=y|>RpSU5@RVpgD(ja6W8_@1?v)iPYW zUKHxuS2?`z&sy|hA9JbeKcl_hzJ=YCJsD+FyRNKcm!tEo>aQm~>J%Kr?|i@c@|#V_ zD%-njj8|A4{`c$XUC-@?>Q9#b?>dq6ck16o+up?6hQ8)D;eW==P~o=LVjp9}{!br% z7FXIEMf^&<<NWi^UyetoYeIJ(_So7tQ|$B9z0JogCvUHMF3BGsKWp0hlzmIPce~qM zE!nq8a)%u|!<2$jp0Bs&K4)M!wlauaXyvcD9!BN)VY`ntO4Xg_l`8zKu$8Y=tM)kC z_W36x_r|<#X3u_gXQfnW<>k$7t>51*e)n~I=FKMCRqy_~<p|cA?szP8x3X|cQdQ6O za&g;LoaIO6Nr~0<=H=`SIBe~*%JqJFiACM2W&LlTR7F{>c>eB3x1IN2*Y`aAp)O|> z&PKem+FugGzFqIi<-@yHMo#wr^5B<QRmGjTUD`9_7T<sQ>)HFvg4-FfCI7Wdt23r8 zi`^8m*vd3;_3ZnhA17>-s!h#!y7}0hRq64vi$DC_zA84Py7$kTng2{4ukYe7jdyp< zeSc-|-{P+?rRQtKPWp6t%BKGfeCd`V7q?Aa)c5A%wn@^Hm;7H*D|z<ny5fk`Rr2dt zV%N+wTz-4=dHd22vzD9w^`9GZ(W?2@*}LLK%l?`QF)&O$`jMC6kMm3SqA7K6vs0}d zr_{D|tPg#*zT)&X-E*l)cSF7zx~QeGGaL|o=fK49Z{aCfk0rr#J;ZdQmdvxQUX_1; zpJ-qFgt;r9^}bqN`Z*%^_P4p)^6oAxTYLU`W#ZS633Fe5D(<sg|LR_%e@5tG!K%cs zd4Fe^IG2~%UVW^nv1;R?zI2VZE~V2RY+2OoRrNVHcdIw6eeELs{pBk%Pg}5h23=&- zt^Zy7cY^ZMoN90V`Z}MxzrO`f*7CU*TX%BdT>0~tntWGk6}$<)G;6Mv<V~NAs^xr| zRtrRn#a<n^Y3I|6U-;hm@|JghZ{Et9erbQ~I^K5Wt9wHC8b6*k-E>vRG+f<}2k-I} z6<-zp*NFZ0xn}P^tM?(VYV%*si@Tn2=TVI*A8+8t&YGw5SDt@-%3gBOg$-G2!!!a* z9OC!ceh;b6o4+{R?tkdr-)b7G7L~G1ohC5<K>YrY@RRX^l^@p6wmHT86I9^tf4J~- z^~yi;hfdpZEnfCH^qHX9zEj~BW$nDuSAF|B`Q>$Yv%~ApXFL8atmR`^p*hvEoRQ%Q z@6}&R_q%qBdHMK+czJd0`}-|=`s2A<mS?|K-@Ntx>!n$D_pIcT_q)36Z1k4O(_yl< zRUvC<nO~jvzjoc)`Dd<qR#)x3>=FNa(m#p%g}1&}x^y?YzgT)RSVUgS(rEKk@oO%D zUsrEtS#J5(%f9B*nbVJwmNPN=m9HpxrlGxdMT%$1i|aa9v#+@Ho|@USJ9+8qKV18r z_|HZyoqIC#)TY~8|KGp9GO*$8cDBN28lN*f&DyzSk58Q&TJfuNVOLiU7YEOZl4}o^ zUF^!S3)wvB?WWsrH@%;+=5+4CED6~+Ry9W)dgbc&?VI^|+P3+{v&GvtedbD8ecyrC z>mC<RaPtGEr?UEGw!R@}W-dOHynpNShbFsUxw@<hbbZZ}we(Va(6n;z)bPU$3<i>* z+K+!}y@$ryH*>|k?ishf{Hb!iwEO;*XT@E&=77$OaJU=x^!~i)Eg1{l<tkkyB_(HB z7BBns`EzXF?_a0&UtE3pf4QoB{faqrVq9HaR~0@!*45V+mXflhsJQ6s%R`k_rN92a z{5n0p!1{jj?0Z*_s6We!zy0o&px)D*I}7Hz-Yt21_L|&-ho6MbUW>T6QFX3%(^WOI zpw@3ZsUnt7LmHTv{rS1FR?axqxNccc-oMj_no1rvpU=qJ&$HKP&+1ujE-TK?4}VdS zx;fM+J8Wg3-?DU#;`_U$1f>^tba1%3m;@dEpjTB<Iq&qzZ8DYn*=|mIb@uz@)3Wn^ zKDLw1z7}@(kpKRROPcjMtQPIveM*mW)sbrvflF^0zuR-nYUb31ZBrxC19nf@x3~D_ zew||THD;e*#hWuS^gQSXg`4}*_jeK>y*=YCCmFPBM#&k?+3QV&UtNpcx9Mh0;Cj)y z!80bDzxpfv)Xxc-w^<kt@T}`wC^}b~f#Fr3R;j{Dujdml)%|;^zBX>NTVrFRlvzm! z+x?=+DVsCj?kcs~P{055`J+Vj9sO;i)HK}YR+op**}Z&INLYe*Z1sEDS5kVeUnCZ{ z=XG3~HZ@+$_;CZ@^KF++5AV_nS(s)2N04{li!VIf##2gdqTcS>5;kG?pPNC=Z40@! z$Y1$(SMw4pvuW9j)cto)9uAK5?oImtNO>)n+>+yRz2V&oo{k$|&ASjSzGKzu3Ga5j zU$=V7t`EGr8~=YRyTJPW<y_wEFYjj`n!5Q@@>))r1sA`UgjWR2$&;}Un*Qdmmv`CR z;@v_at{_LAfBQ;EueDrm>BZ)xEnipbtNO1quFHEGuD$w#^Xc8EexKfcis$ppNw?33 zZT_bf#drF2rlCB;0pqN@pmKO>>*wd2eixVj{+jjvy=}qYz?sQ?nLk$rZC87;+HCpO z*Pmydc)s@Qd1c9+cI*rl5w6G8*F9%EU>~xQ*)t|4W~z0+M^Itm#(%$my`E+Ly>{*I zw7vWORjqxt&pbT;&-eXWdS!oiOy1zv`Rn!{UaKjSSHDq~{^~TpcGkB8D!Qj9tL&a; zXXUi``P1wRpR-Fe)T3`o?%wiaW@6U+XDfB4KD)6nzg~9LPy5tY7rKs%?ES;pTm8^o z^Ru&Wecs$h|2Da;bT5Co`1j|pN2jmUnzRJ9|7$pX`uzXhOzmZRe=mCWMD^8T=CY+V zrk;V?V%g=Tzhiftii&zwUG?T)c&dMm`+eEdLDx2}on^mp|D%{Ip%s55?p{lreXqWF zFP9g8hQP`jpU;PHc5|HcSJ}5JXWlFONlTve$j+Kt5ODdp?c%MhPyL0b2G7~$!aXVf z@}YR6shiR^MXj5)qEP?Oi<_lLeb$DcoMyG$LcN(qnZ0KXK7836-__NXl`}6?%rxxu z_ufm#ve#WWlH2y*>3!oG$G7vZyy~s};y63oRQYc4#XY8XZ!LTC_Rfo$(Z+YK{swJF znK0qQ?fAnBicVddo{8RPn{@uh>(5a^^?LEYXT1cM{qh<=UtXGSFS>l$GA%2sTW>8w zcQJRWi-?L=?*Hs@`lFZE=F@rlv6sFa-LXT<=SWz$UXD(hu3pf>s@L+>n@fHyzPMbD zJ;gKI*TXCL*RhYgmb_TP^S%kxrvp_i3=9kn_qjinGOV}wF^A2?{`qT>t!<B|FaNr` zW4W!x(Z5w?4qN7ZeIUZPUZYYhf9*@1XU<QrPWD7~wbZ9bXS-~l^Pu7R18aBPY&^2+ zi{!3t7kT2Bub6XdiYU|~5GAqln0z8LgPZ10ZHXPv-mP!(Sz&+qGpM(vX88Bd%$jQx z&P#oYOa}L38AKoPsW2#fRBa1gc6~l1Fec9ccOg%t^Zs082=1&t$lYh5zV6Utm7UC- z3^z1H#q_V;KeawgYVP^_UGBG<ovN=~L>upS+tzNq@7%MeHFbr59qm4aZvJufTVQwB z#N+(-dYE$oHTUFHYb@V;Eg-S$j^5QLqAPD>VSL{A6_5WqwI`d~ph4kmmksu;;(SwL z1bsz6PwjbU*0lZ8+<AG>*_b+=6U~AQ2kOs$zfe*)&E%x>WvyQFOy~W%W~JA@=({z? zqJJ*)m=&|NzhW!1=bZ=Jx}=L9&b)r#H)i#pDKVxQ7XxCK$CaM@%@DA9@AJmYFJBIr z#1QEL$e;s?SG8uZU&8EJ`MBCRUX-<>_>uc0zKqv9uHG`u4c>Y9``*o$XK%I-zr5R| z`gD@-i%06_ah+1DpWd8px^?&TY1_YixqB@)+Ba_YYE$9pDs@m21*Jm}-f)E236P8V zcLio)odDVS!l1BZ+q+#~+-!H>4Lqy5%A=#|j&)pliQde5*PpxsReR(9zno!Lzu{<P zetXQ<Iond#UR=_xb@S(u*QKxh4_$rSX!%P~+jst-g`MjUgB%6Le;UHACq#(edhdC5 z&IPadyZ1vcJ~Vt~Q7|Via$Bsss;A<vq)$w>A1bPA&A+OZ_T;RX(bsJ9Z|l)pJFdIW zm2j$h@vZ%R==>cIyq=i5-21;JBekvSo<T%m`aPb&{v-dgzWz1R{d3Z|^yQZmA%7eK zqIv(H7ytEP;<8g7+TTj0pl$$_4f8)lvI|A49^=fs8FSHc_tt;2MJ%T*f6~kS^;rAt zPz6szWz&ZT`ED6J)$5(TH_%-+DDG3$+4|IZW>f1APu?uQQ^+*TbEW63k6)7hPMEXo z%^Q=q|7tb~&6*>n|MErA-{&(`Z<Sg&-;6wY>%%#TQvQiex9nHu7yVyuKYwSU`xLpq zM$xbT+XXxpKX>(o-rLgeO(8e?buTu*z4Iizh3#K$=eD=@tIo`kS^9s@63y$!=bW5b zqT*@1T=(+2D>_fp-d%t7QrYy;eD5uf3ziiX_hp>EU4OyV@!jTWqSbdN|9a9Ml6up) zwBomtuTRIsDa)^RhiUD-&MOsjsaa)7yU_3Lm%e-3U(suyJ!jpkFvndl=3U=XR=;eM zasP|uPy1KI3dDM79i6y#j;(y=8{NrU?Pgmc6{%Nxq~1FS30{n7{(X1NK1o~CRrft& zr&KjIy`Fmcwqww_S5KqlZ6bo7`(0gediFe1ojv99rR(?mo)&u(So(fqV)u*H2W6+f zIM=;c^m6i^eY;--`tDHvc4fnd?2_cyty1?CgLHq{Ek67)*t9lB{rcrgj<)5;<G)0{ z(yvOdSfiB0mU*n``p>10t#*_yoF{k9_w1~gMeNtNUp)NyolUX0Ks1GY%c-CC#Vrv% zUX^v>rJRbQt<cr=Pt9~AcPu|N=V_L0)Oo+%k;mgDSA_ZAdNJ+h#8-E9cU*e==zd@3 z%`@p=KQb=QnffvnY0S5Q{YnP=qz6?8cfH+q`|FLv{javQB<{+8V*Bgw)$$k9{+Um= z-j{rS^{(<8!ckWXTH+%1M%$~My)ZY{zjPKySJs*hk0rgV6BPq4I;!sdxoz96rA_ng zE>6F1ePv7PoF<i(FB+!p|GTg5#KfX)dzVhPw_o<I_V-FpQMLDa`=4Am*Potwa9N0` z#W&5&vwm}Xa+ckgw9mwqeM{0gr@u3vUpV+w`%7)wtZRGiqodyj{n~zd>%)R2v$n4) zPrLJJliSo&LWOq&R9V(s&^8WxJ>&6=u)TjKmTh~tm{GQA$)2rIKc23CRe4z8sHTgp z(C?K`uPu$*aXql`T#-rV`{i<#r@!7heP1iM^48abucJO~?w8X>-Xf+IvRKwb?`1(} z8=r58qhq7puOGs?(bJY}xM1*gw_2QT)RcPv?k`*QU-o|#UsXHbeTzj!OWZ75*N+P) zDH}&uCi;qm-gi=19{aN0e_mx|%$pDghYK$gEl+uATiHHY!uNO9(yHEBD*`Pi7nU#Q z2>&Ts*D5z_tC#iU%TrRfXaC>7K6o+1?R?%xX9AyHoRq^SYSpK0z52tK*G{6MH$?;_ z0$#2;=(||-X3dH;)!V7tZ>QcjjGTVE>8gQM3vXXDY%M@U(7bE<Q41GOzrR3HJZ9aI zf6udDPip;jG_Z5dQ;x2jH5<+-TSW-%e=wmh^^=7dqR3(J30*AfajxGs-q7%(Rf$IA z%a@W~ex8ADZf%dEj;u^wJuT0^I_L84SwD`%yv@EHYkO$voL=cIn^(+UxA*4T!y#Hv zHbpnD-MA>(TW+_|8ncZPwYH>dEIy&RoJmBYqADQ3`=|+DiRsj$&+%enOSt80+=73n zzL@$j_Ns}JQ|`Y#PRF%pubmXR*{iq6$kuu0?|WA}Zg9A|xM(OXnkdy)e(~_>&nljk z_w_DJUwPN`!#=@Rx0AZ<;&-?QJuRB{^u(6e6^k=W^*cp`7I(Bwjg>(1R={P@d{b52 zu1m&7SC*Y+&APj4s#V#G1utG?$o~Dhg71ITk2xQc*GVmUSbIxb%Ol7m`>X#Rue1Db zuYHs8)O`Q)==c7W?<ePHzuItaxty-B$l`N*cWdjNU`}L?es!z*eZchs%|*v0Y)hP{ zY+LguXr?54iN*H0>m;v*F60XR{jfjdgX{A2h#3plJieH9ed*SwJ5SF~lQQ86UGR6o zw)cJ$^(0nobk+}M_D|O}?fTo@zeTHJf?TypoNki}>(N!!PWiC`LE)A6Ume!(f3d0B zeZ|vh=eK6uU%7qtWaH<n*KaX>6m|Fi@6#d+1!5~sOWeJ%F|Kqv(l#@OipbtFg_Dvm zuDsHX_n!3YyZ?o&;qjWgZKLn2z7Gj}Ui|)%;5E&_`q}#(+lz{()}}0ud$HO7vfPX% zsg;vbu3vI@&-k*ZhPSG&m+xtcwsrD!F?(a5x6S8ghFrBQdvQCyJ?o$UOsg%A3|;l> zogT;i2t4&C;PU+F<vaGT34C=i_ScJlHMJj8S9Pgq=1;v>Sv+@^f5h@F%JCUzo<4p4 zf6;-3vG4b-u&nI-^`aqGGu}7oO4rd_Z$A`VyM%ozuYB1$t}TfVr~fitwQpO^K9Ar2 zzB{5UWq-$AYq3|?tU5H^YD#5*_wgrLH>bOY#+*HhR538zd)irD8uH@E#K*U+^0unH ze7-^Vl*h?{oXruI-E(f$XUu<AYvZrJ>GQdtcmA2b3wdsS$LGdI@6T#?mQ)IBNvhPg zt;;oe{H6QS|LIG7&PrVh*{q_uYPw2$UFFxRUWwps+g;}EtJDjeJ72qA?Dg`yYy2+P zRsTIN`LzG}kC(B%FaNGPHl^<K%gg`8rkqTxkKaE3f89!s_?HjQZCw6OUiEt9X&?2{ zpO>Zf{mI-J^x>;TtdP;VS(fMLUH=yO{bg6=_o=@w%#S%I{#4^fnD8$1ucb)qR~qK8 zz&9Oe^?IddYt;Y#yj^)!MXKsalXK!uJQLljiM;sFz_+(VVPzrv{L2j);@deGk@C)g z$X99XLaQ&Gw!3#M0Zms>!+PWZW;n2Y2Qw$b{>cLK6)bISF3wl|`sKkFQku}HEl}Z4 zJEiYm{;3xJ*cj9WoY&{HFknx>sZXVH^_uTq)jmBn)$Y9eLR%kQ%$@_WON{pA@h|@z z@-emT%9W7x#KeWSA6>PckaH{g$=Q>K{l8=gzj)0NH}%6S{(XG`>T6^!-@J~r(09SL zM}lSzjLUdmJbBHr_10t0-8HgEA(yokW6{)2Hs4#1VvL>!t@oMZe&c{})^FvUIeyP0 z*XNquj$AJs_qWk{Ld2JfOY4f|5+AR-7rriLWlKv-vHBC6@47!2XLo=1jg*H4=d$O< zb6<XShjvj6ZROV(?yUt)9KJHU{JI}YAH&$|WYW&4ob^_=m#<t2admg!Ej!iy2Ezwy z&X1hw@-;P^mLv7Li!U^?Fq~TC-1)y?p5D7#cc#B)2G2-7*?jCv%`)S?{}9e-HeI$| z|K}RT_`7LUe<y;vkZAp;XCGhcLgp`vHXEO-k`1yC`P%f_dlHxbynut9dp5V3=ZL&K zYr*g!O7~{w;<g$4?7$sSaJM$y3gMaLD!Yi}s^62&OMSYUyX?9=)IrF-wRWzGt;{Ds z`QHz5`Ft%s&iZrF)%VA$RXkTues)sq)`v3&zaRdUGRoRx{@7zuSKr!8*7efs{maEy ze{K%G^7D3Ymi0@|uN;4)^m%LC#p{2>A2naO)AZ8IGgr<1OE2&GcR#yk{spPo`8y-I zuK!rV$MB=?a^<(?Cpl*Jx4-4CiqXHW^(kWSuS~m>&XTrM)|X8CR1{*J&HF@q_F3_t zr%nf2HLriYev_ovv5&5H{;M-8do9d<1pc12r*_7^dc)fPF>;gp@>6Hl)g@HfU6$OK zzn(KL<9^pS)6hrDZ?~WPsUv&-+|LC)_xDfPzGsr+Zx;KQ|6Z#<{mh6o-S98+mi)B~ z-x4qVj1N7(^tAN(*&yqT>Mwr|HCeOl)6}y`N&7w(g;YkbTlhHNQ%?Ak=d<<o%bu=& z_qDS1ZEnE6Pi@z~eAf^C_wKO&r^?w=pXyupUF44Pnrb!S%d4y2x=~v$EOPDEGOf#a zQrVSp|LK|6Z<?!163+8aTGDXh^OY5;w~Y^ToxaoSGHK4a$yU3*vF`nM>-ViXjac== zRxdVL|M%~|e=GEBu9@Xr)?cNEZ_oPuEobfTk1IaO@Rru~+rL=2-+qbS!>4PP@V$-x z<DdKD*RFf@FNLmZbA?>k;JCa^bei1yh)C-&?X^$eUs)G;)-=WSbavOHJ4<JY)o;IM zV(0&ycjnTSYtu6C^sF!abVfpb>gR0d-iq?Y?{+V_H?w@M-||oO^Hzs1^Sge_*|lre z8#~)C&)ct<erhqZ{cim?J93wi|DAQ|;kIkNU(ejUXWz3Dt0`OGhT8uAaXahx`}~sl z=~Yvu!{)4vxBI>Q-mK3X%hD`FZQtnbwOZER#1l2WCvemIZ<|+XRu?`lv3go!b@s=j z6Vr9p@jtz~(Eat+zUPx|<~*O%Ise~wuF2))2OoTVd*bj<FaGZxSx<_$pPLy_A9r8v z+^i2T>uYz+UYk+bYsYux;5XCS-v+h+lYaf%xyMIM_WrqFJ03n~2W9vB^S?*u-0%9< zI_>Jmw}-#fOqy=(FR`tD<;KrS{vVsao?mS<=ltW}&iv0mS5#Mj{PA$&oVYu7KIflL z-1hC!w`?!F@XudPY<sit?c*~~yi!dS=f8YCzw_s+>e{%q-@ZBSyYx6LV!GV9@W5|c z>1&#Q?peF*bo{4(H)Z$i5xBs;>Pn-ErDbGiXD1gg@6|b$#j9*KyFK52@ttnA>THp_ ze^+rG*Z2GD@W1kxy6T_uUbU?p*G~4tZ!y>QIyh<0xun!J|GJNz*SU0}zHUjo)lrQN z55nKxpStXXL3prE$gzjayB7FYn{Hs;8CUf@Ft_Hp=-eN{@>{b-BAz~7vue`SV|PEz zI(F>`|F7qhCiThfHTm9lzfDwr=6ZGcI}5$_b%GDJ+P_%5{9e%FLeF0hE*Z%FeB$Uk zYvvNkdg&FX)pIVf^XpvQ?0oIYi=r9lUY@!7&;S3T=le32n5|`6ZE$Aclz#b3#nJ1o zFJ7M$@_U5<>x@6G3^mKXG_KC!&)@%eVcfd7zlkUKKUIEhkJZ=R<-Se%aCKz#$?(AH zU%UQkZQeKaujBqxJX!JOr?cPibCm9=^}TPG)iVFhd|&&0;Jm!=)&c$VzkT!L?Qh!o zoPTZ#ipZB=pSSK;`u<|y&GWC$KmMaK|K;!W%b(}YoU`!u`j^+`<ARxg>Reqd|J?ZH zuRS))o)<4YCEI)=d~wg;bz6m)Rv8s}d3B|oo3m0cc2~xg6@j7iBFj$M%{_BE$Z=lm zP0in0GWTazMy$LTdsT1SKBk<;Rq|II#kcm_eRZyQSpF;Lnfb0?>w`;UmrJnT&f!{f zKO}c|{2DdJ=Voo2Eu3$s-CZqiXS-&}{%==499j9xE`Mv>oA2zkQ(x+s#_clOU$H$d z;#X^&)K%BRd39dVTyv~u?g`oauViam{O!<siQoEb{cTJ4^QJ$P+FSBkWbN$_i{|ax zy4uYzcAd@g*&pZnJ$+LVeEHq0RYw}bGw*DkuXR%};Nd5$xqM64RCrB(zsu?H?`_vl z{Qke{zpU2O#24{fOI}W{s>w*dYm~5HS^d7)>isod{yi@i&Hfd)bosNKpuWqMS$B?f zM$P&%MNa;nZ|C)6*{9~@PYtbkckaSfqaC@%&pqEpf17qGe^=;SX^*2fwZA4kVmNy@ zbj73Q)n9#Yyxp}gdP-9c@0FwjcVF#$7+gC)_+iT5hz(8AJXarI{<mb^#MJBA=7;_A zE=~F_o&98o^%cWic5~eW6!-sNx;gdI>~`^02aE1jDaL#1XZ8R2x^nuf^(A)Rd+zL8 z8mbK{_;23}eYEuJdad`nLz#c-<SLu)_!l~T^?tq&C%&ammEX4h<!$%KWpCqS3|Id1 zvD<gG?Q^s7WRng5vbg8Jzn$Im^6l&P+wCuZi+dm6yvZm2zn9@B?p*%s@hABmmaVUs z%VxiP-CqCs<~#T7R_*tC{^jDw!_$ApY)#*K>z4oa`?m^eK6}{y`tT!g<*v04|8{fU zZr4_eoj?6}tljj|%nKj4-}z&AF8txIjDWwD-G=wKhB;2Pn&5Huz00JX)thgb@`XI= zy`K4km-&j-m6cy)rSG)weo+2u^7p2+eSbRZ{PZodBR)Rty)~zJZB;4X>MQ+^w>5Z_ z?tbrUTasKHYhOB9OlQ^#y{9&6N5uq|o|gNwJ#Y7t`Es*1$I93JnzHM5S?0y~`GI+l zYW7aw66nwGb?x;3s1=KsTgO@i-@g=n`uyd+`MFo`bY5TOxN!Ez&(~l7?abH8@tI^7 zu`8;6zh>}#mDKPZdx927ZQbel{anP-pYgd@?bqL1C;qH%vGDS6v*!~dy{xQ4{Pk|E z`?;Pc>{Rge*Z1w?R@<Nd8`u4;H}rw^<*#2aJ_>#;R~sC!a({{E=WSUB*@Lgvyv*3U z*Dm_#Qmcs;{_je5pIK+|dH+@M`6d^AnXOmNJFCWO8n(GlT-)l(vO|ljr`%b!b&>bA zT@yZ+)^*P>dEpV*`u6$yoNWnPUkI+Bw$Lj5_{+SAj|<QK`nPAB)yI>}RWFX6+x7O_ z?=SbZyN85ym-(5V+wk5eYgS>r)X!P%s}?_LIq>*v_SadjzP$ZzQ}_F(vGIm)A14W) zoRk!0H+}NgW7FBf)I(Rv*<QOB_HV+$vu24-f2W>(K6P?cbJ_N%TidU1w_p9L;P<S3 zwR4O$4}a6oQ_uGJJF~9F`0t+uEi0dgZ*9Fl@B8e1H%e=M8&v*(H1+XKOXH7kp8V-M z`g!%H+?=4_*G}v#GyQb+MZb*Pndei#t#h%P|4-w|!kT?HbvsT!&-(vn<F;)R&fooW z>UB+kuGs7IEwB4;?Wzqalij@KZN!Q2i&oDj7E6o&T(fQE_VwpqSJ{=X+G2MtVPDqT zemkrBZ^u4OzdQemSm-+krMa(MHf3B?0^Ofb`}^D4f<H}fd`$C0;@8eTo^^ZM`z@uf z&9-LO?mNf5dU?|upX(2us$Z@PzkkKlGC%84udV67o!`F(Ut4*O?cC#;o7`PjCT^X! zAgk+}&eiEhx4(L}dbairu{EF9d4_y{AD+>^H>GErR6+Ejs5Z6`i@J;Zu11L)2AO}c z@^{?kVEV?ug?U|0z{5|sa?D!e3ufwdtXa<xJtM00{Yti%$ESpE;=8`v>EmDP)rk$h zifgM@N1x`~_3Bn!mP|_Uh05uxqjw+n(%yO5YwtZTi3`5HOu1YccY>MQw_LpS)%wYb zOGfigztjnPHtXiEU9a!%+7)@=W$Lbw!^`Z}XGgQWdeUjb+S>Bs%;B({gIs(kuXavu z`)d%$E|M;HZJ)08l$wVszW;M($IZVII{Uv_=>1)p_6OEiKHTy4Vt2m&yzm(_&TrA* zvv(ca=gQYj+l+kvIxcS6U9(hQW>pPi;)`F;vnqRcPxv?))R0(lWlhbF`j1lfcKc>l z)G1t@_gP!wz?W}dAHQv`Nn3FxUio*|!j0!XT>2LM_VdL}HXFIBKfCu?%{cEhPb<GW z?nL;-t&`S&o+@|VNdIbl<?Z}RS&?k3`JC6~r>?Y*FZ;25*WYPAi;Ij`f6~#)xqs@( z_Y%ALe+Aw>t`S|gn(c}9%(|b(>+Y27xw|jybLDLFY4>jwzvE&FeeSFqwIv`aX;Dy6 z(3iK{@9&b)f1a^v%UX}VKQX1RYhmjmR6I9sJbPtTW$@a&I~>0rIyALdXX~BJE8Q>G z9u&5}y1?*$=2h+f7a#Ytzu5XxSwvQ9q1(&$(mgw@yaP;+-rWD{!uQW#UL2V27alQ@ ztGjxIbKJ%&0YQ7Gb#?Kqx$@)aPRUa_RSTu2uF>Dd;p+1DTV(j@>Up2TbN@ex-244y z_^sK~^wS;N-q^<d&`n9$BGoqa%QDW81drs_)?0PevLQ<rP1@bg;<hR=;^YQ~R`bkN z!nZd+4aj&p&GL||tLWQ{tN6<_{ym(T6>_d$@ZeYHry3do6PHv?dSbJ3GV8-Eff#FZ zIsalSS+B^F?Z@`-3gv5Eb$+t;*AG`8Uak7mvw4Sy<KB1I&W0abx$o?<34YF{x$Q1* zt)5(%+WWdvu~zr_@zn`0h2<arJ9Q`AW`kYD*V7i=lfV8FZ(Ww}ANOn1`Q+PyvTN<j zU(e<I`dlmi=69WZ`?f9XFWO7&2zI}H{ma|M$2Qb&JH~&G-^XtMD&9Z8_T2ySZSCXR z?zuPiS4@5R(<i;^Z{(ThA3t9#PJ6J^vy%1anuk9UeR=ctUyTy8kNCgm)ajo-zw1`K z*}3m&?s5M0=Y7vV_wz0JwtAh_&i|i<L*F@ETI9-Y`{{)8sS_tIoSA7nb^iSKMRyYS z&)4v@Dte(VYhULO7&tNO&W?>uO-&+_k}D6dbT5f~-`JIVbKjw#Z0=>D|LyITTy-{o zwII3WT=qTR=`8zK`|h3}aNS!fq||Vg%7Vl#v*JIR`&K^vo+TLY?8t%-SN3o7>U)18 zXHmzOlBZTnJv@u#!sE{Ev|fDaboGLQ8Hdd6m)GlbUtBUX{`I66zqD@sD!=>l-p%<d zznF!VgnWCGw9>O-Nz%>BeA-(ITVAc)5Og|VPV~PN?rr<mpYM8iDR}Pd>DGJVa;&#n zZHUi28Wgi&$>jEu7YWj(w)z|HeEi#cR&2?Rh3_-(1-!n@)swgKv+?E9JrP};6P756 zT1shHblB$aob%~)^Q5!iZm)gkwg35dopt={{$-s=F3mQK_uM_b_I&cIgngGPPknp# z?MK;@hc!=QKm5>|zxsCOtf2b1*!Sno_}JOs3t47+YF~bj)s1~yQ-5pxtrz~Tzx03p zrGGn3g!}WC&YXAt%V+J&KmS(lZ@;$x(*N||EB4&lRIhn<NzJ{0)mFmSKYl&`8o7h; z^G9N3^p{T`4`2UzXX|qJTerGzuXn%Ztbc93`?gg6EAv;Eo@uF>y3*eJ?VE?6r(gQ~ z?drF{yRScIZ7t`muMc?occJ+H`a;t+Ub%72KUZzFld7nDdh)4x-ouy`mzWb)U8-EN z<#W`QtM{5$a`Et7*|5;r<j1txDzkmrC-tw&jESB;-@5XR`djN+Yn_${pL_J!YR|)Z zs|!DqO!+5?+;KMlvvBJ)yU+Z!Th)X4mIwPi_e_=dzRbCL?_nk>Et^LT-(*h(ZoItm z05|)p`hV5GDsne*&GECGt3G9Fl}n}Gv&VUV8q8|1{J#D(YMW^+U;4&R-|H6Xhs&=o zsFba=)auAtc|+~%(m%{m)2A#s^GYx6p_QucO`YnA!LBpovp+6k-nHrbQLVeZi&vd~ zqvLn^m@D_ytRH8yBD0*1R?gm<@F+s{ZMnvRRQs=*^VToDeJS+GnQ*U5*1xvhE`9ap zRiE05Z4F`9U*2MSpLy_9vsQss%Ky53)8sqDuZNXfJ9yLU{-o8{Y8s#Lit$S?ow_!- z|H@kS&z^A^->v4YU-WIuoa@~`Z8qsuDbC;Bv+Sw1b)N6@&nD})w=ci?@!Q(o+wQ4t zGbgOy^U!qedY#Ll;et8q&E~|<Jh$WT?(LP8e>a~wzpejPSp77~ef5TQ@(<i!$472e zoS*qTJay)j^+wNEg@!dB=Z}A`ee%J@;=fY2>Q?WSyB6>_v^x5mlU?}x*^}09`Wn8n zC+<$&YO6ca;J)F@U0)u4XE(jLPxNiS?)rYaKXohAZe72<XWt(-&`RVTJ9cQ5%S~L; z(BM$|vUams?(L;#qxWB4b~buz=4rmt-By8&jO*$z=vi%w`Ct3Pi+A6qxovzs*Z1#x zxxjs%%t|pvvzs}NS#_sR|GK^+VC}3s;m(h(vpn|St9tZx>!#?~i#fWbSLZfQ{Zt?R z`dXrYR&ZiEZ~e3LSzm7M{q?sr*5uKzx?jhC-=6Y1$!^;OJ-y@GO?L#9+Z4~f^j~(p z;Pv>m`}H`2|E$pCD%iZIu(2_#;wba3{SRmVs%O@_wt{_L__xsg;qM=0>Baw@U6Oi6 zeU{Op*==`!O}Ug;75n`QPq@QM>)svFZJ$Ks|AoxYky;?VuG9ASMD4zuzrP;mEuCL* zO6*FUxu4wPrf~L`*XpKcUh4W*^<?90QQ3fMvl+JziCqb6p7*PLR$bxtcdyDPy4lZP zRQF$I^|^a{BmLi|{b1xWU6N9s^6HlZd=PK`OP}@j;1wMw(tBV2Oz3_2*4r-oMELfZ zarG$k@{r*-d99OX8JEPKPtu%TmHKG*H?g^&;|f2n{C=lYrt!N4_w^S`8#kAJY+tXv z&-1HQp2%}OP(S#^Emi;5m#XHy{_`+vm+h@9?@E_0x^1kw=U4MG-WMU0C%&3<d~#Xl z(;4T|_deftFIKkZ#px&Wy{@%yQdeKLh9BmN%b#bS`|?W}x-w$MJpOAl?(G9fmd-|u zN+Pd2kX-s?xp(}%9u-s5(2Ex@<{jr;wNNMhipz1q^nY4=ZT>jch6en)8=&eFxtP7W zkeluGcP*|E+q2j0*=kp<IAZ?w){N7lDT%)2R{hzRi}zpNKdC50z$A!y?Na7d=T}-) z2RNBhGH}Rlp0$VB(|3dEi`DI7@2@!T;|p?UZQ;F;eERzfLw?`$Y225e3Y)z=%qcfZ zAt2PO&|9ZIG;a6oEffq@X04hm>+xXL+Bo^Y`$cPOWSI@b_e%YpyxPn>wN?QhuIEb- zBb~>-)NDBR<(uk!(<iHMBN7VopgDi23%d}*gmdq%dc1d|BtV{5?~7QcRdS5;LmU?a zLl)!Z>J6ZQSIA-`Qb%R89`LC&Fv|Jgdit89F7;`+_S6Xz3f?jBh1hK@TH5{XgX!h# z?D|hXM^yjF{9AeEWlfI!)g#{mw{NVCIQ%WqSNG)cZ-Ktc^5r+Qy*(^-c{{)TT6_QG z-z(I%@n>gw+HLF4O8UKF*|aApLPoW}SFD?4-7gXMKklu=rNzDr%+>j?aB3ef`BsWF z-P<t#G3sHGb$xs)NV6Xd7<|1G*BKcY<eun!t)G29Xonj#?;<C4P#{4vC^Q;j<Jl;J z6$bL<3P|$>3?GW)_TpX9gXFFim2rESPflFI44TR+^SvGN?nCYSH(#E;IW1asw4!#8 zw(rfGFK_+&G`XrAv@%CX#kCi*v0?AB`L8aAO}_pt>+F$frZ)GBDt7aE`R{)jJ1aKm z`*VX8en^&lV2*q1@aRPG3I*MG_03$TWaDPkZfepzvf{ol_m-ayvo;m=X`9#XH``y> zU-vrfLdeJdy-P!P_iGqihaWFCF3GqpV|(FbD0>IT-A~KyUv84^e?95MwO7B7gxsxs z*;`e%d-0hHMaTx`oy)8zhiuuy#<4eU{r?i5`Sv#+t=aCpuFCKBrfV6OT&J$tym)hI z_|DSz?`gTqc~!8J^VXbuF75k%&;Mp!l(K!dg#MEDy!sz;Upu+Kookx<(B|*@-%m~P ztm=_<nj_jDWKQet(Rmd@mqPo`{C9d>Wp*L8{^p`Ji|1$foGzBX`H1JmqK_s&w7D1< zB-VSnIEHXP&nlVzZCAD9syD}{y|@^m`E8f_*OUA!Pi;zn_2HZAtQi8uub2aqr%w5_ zQmudPVqMLdUjMTe>G2d9?`tZ#XuCf1?LVK?bh(TEr{x28E2JMiy_$pf&u^jJ+w~zc zEiJwNTY3hs)1Ug#V^W%5^s?BMk*ChyS%3Aid)5*A=^4i#`aFFmb8*`C{|maC{Q9d) z&^FHa?35Kb72jsFHf~GM%e{FQ9!vtQYMF9l|I~f)zZRBR-g%<)cFpmpJJt!;3*ReW zu<k_svg`NmY3){y>|TEUYM-BZhR5$&`=pU(yARC2Qo%l{qvM#=o%d;9&%Rh0_}A^# zqAT1YG3)=_)_$@kZr#^|&8DUALV9=Yc^P^5Q1$n~@4s$u$vG$&`f8py>;24UYni|P zIaZTfv8!9ke6Q<zIs0;x=#OP+t9)Ko9$#Da?W!Ty{QA|mT(@37aemfIf8&3veq8n! z+vuv2apr+?)vH%&U0w56#n0Lyx&Ld1t@Yb9+4|H4QKp%Tqto11m2ZE*H9g#FU30Yf ztCxv)udF$#T2)XhZEN%HoN+nhM$=z&*X944$F{xqn(O!VL7UstGH<DRo308L-n#2& ztSG<a>Wks?xN^AnyP0o`Tk%j<>FT2Q##S=+p_h-BSyh)AuA8p-{<UjV;hN=abKf}% zT~ZGG8<lr?Qfsx=nMghJ(ntA9x3&dcnP_`!TJf*mFY;UU7w^1P%J(@_50U*H?uIRv z^=Nv{`g+^#yz9yQ_N#6SwY}Uo@%xMK;rACt|GFRZ?nfW?9V47dupJ{U-;3R2%tJUC zwZEL|xoY*yVp*tu{gSzBe`h$fPulIY<n?Lsf9w3ei-uU#P5x2$YU1{o^?U!UHJZ9S zR({VqReNi#m^kdaM{4uC_%e>pY5iq*eqr<J@E5<&n5?a?2dzT-l0QBB@Iw#N{LuGj zcAUOn7jOT>!}9jMOQ+|{2Y=u9S0wai>bdCEt?~a>%`@i-oa1ywdE@-3yx3K()v}_` zb=r4Zebjf%l95{UNciU|{mRuZc6{=0(=a*nV!1C;VV$*8>Tl?;Nqo~YUR-by6%l!{ zxZnQbrc_TZZr<Si*^jO+a{c*z1%VA5d*@s4$lRhdoB!&Xl-+O2cE7P!dtDU0LCS6Z zK5w_eS$yx$uD|lbabmCNv`QCt|9NsdLF;w?ecK@xetPu>?JpPBgx;DtXPM6K*x&za z=Ss2OsLOUp?=$M3=5uAC)A7R8bkTWru`3oS&1Pa^KG!pQYFJ6t4Nuu$rruwrOam8t z=@&!R2>su`cX#jCP3s<8{Ie}&3Ve_vvrMCD!OGlO0=v>)rLjHRaMk6hqpjxYCDpDc zZ?4$3?9nW}=yg>=r)R2aZ)LyN{a{nfJ)Y-t7Oh>fLy*O?z@cCHLOuV4pw7pPPyguu z+!Ocad&B1L;@bb$^X=!&`F^hSQDo`2Bf{Cwwj6%-(K>(S{(R{JbN(N{Z97@!c2@BH zX|HbNSMc8dxKxq-%7=x~tKL7oe#;WJlIQmhM_vy;>FS7H$;+|YVQbcWeO;aPbXDlp z%a?;6^T~!kR_}TL{r<Y@H^+Xxd%AyZs=LohVYjf{x-S!}eqB9j`q%jHKAESnAK!nq z-I{o_*EBmPytK45H2nGsDZ@`Yem$$L{l9ma>4DeVb6>2T?p}Ib(fZy6qa2^o-WxyX z<^S0e%>QDI@_w`Z>wcy9|NXP)@#_Wa*3Bxp`S<HJ^A9{0J74^8DDA&%c;Lb;lW)yi zw{Bl<_U>5vyXqg`w-$VzV)fa3^Q$e+)33;G|Fd`%o7wYd-Y+v%ZhUyNDXRQ~plRik z*YOq~Ud*zn^0nRm<?TErXeNBK(~;NX+V{2VzuMZGW?njCRdcAt>e-9Mt9S3-9T)N2 z$#(y@pL5sA7k|20dT*us)feYhNtIRj&aNzy-SlW?RZ(&1+FdKx{lB}*>h16S>sFt$ z-Nv_O)hn6c<MT|G9xHwKWo_B{Yx8dXC@QagvE%US&8w>3?_4V<VYT>K%%4uHEt^+a z9lti^vj3{i-8=m2ujj|@*&+9SR-AZEVOHtY4OX8vZ+^Wd`QH8i&+mqq)*Sg~x}?0) z_UD;Zt2)!Kxjy&#dq02WjacVn*S~zWk3ZhO=9N`qS?%977q_NdJao(8!1;jC&|3cM z@?z^&u6%xe)kp7lE25|8{{J-Z%+348o|`K#%D+CSn0}=-enyb8_3^9A_5a4yKG}J+ z^!cSD;lH4vV-Ue+s&KO=ZS}t`PtJ#in&#emS$axSe*L|BzF&`=TK@WKa{HT-zl`$p zBJCqSc&xqgCnz`SbH?8<S5m(&UVm=&?%%6cRlQ!<oqn~|e1DK}-JgWDH}<SF`>M0{ z_VfAC?!0$jM!%i6kNs6!ylqJPnc5X`F~$D1=}($w6(7`JRsM|k>ycf(TMO?#-kR`k zi`4ejzt`-`oPIq&`rGDN)i?KEwJlFvdt<|%ceDNm-QD}4wQBAB^VR34<!=3Q?DcE& zTI1K->c3uFb@X%Am%rPu8&}^F$*Eo?7gz14`{Q<7RN?<QulBwFwCl<8^L#&ybG9#) z+nJiUR(5Z^?#{>8em(z|zsJ4!+jpNwU+#r{es(A3ckSzYQ8zz_{QdOhY3W<l&#(2P zZ`s20%ofSd)3#*&zU}|*)+>oi7p1P*?lE0cU6FmJr8(;Uju*GrYOmk7tMus9&(ZPo z{!YEt(d@p}>ihdUuZttDuDU3`_1*0+5wBOBocBFp?e?tiHkzyVKi`*eanZK(+pk}f z{=cPq8soX^EB;pS+wCu}-n;nkhd=ke{+!dFcJ_IVNw(ed#gU&a<<HJK|1;xS%{lkY z&x-A4na=-waSZ__@w?Bvuijd__4CiQaeH@dEq#5h?z(mD&C?BA;&QJ}6OUiHYE`NJ zzM$*z-zV>_KKya|wY}c^!sV+kM+a=X7GH0%)2{UGslC<jzqj<Q>MJ`BO)d;3M;EZD zH0S*^Ep_{D`WAj<&jZ`U`#c^uwtl^S^-JLOt<|uFRSO*NU4I8J@iW%<fdcZ~o=1z{ z&SU--xi;*zZ~glhFETExME<IMx0VTFi-d@Eoz2Z@^F{X8UB0?HJiNTTeE;-cyebZJ zT)V|{|My?YI<iUrY}B{Kk*DiSvTydM>HfaBCe?n{);I2(r~S>iMo=>Me!uLk%J+-{ z@S_=8gh!d6z#k0<P$)1kjE2K#IE*F&NE#RohtY5tEfJw<U^Fv817fs>gapKBIE;qF jXrTZ}1Eb+E;NkF_Kiy%c>=C;F@F}dGu6{1-oD!M<+l#z? literal 0 HcmV?d00001 diff --git a/filtre/filtre.cache/sim/ssm.db b/filtre/filtre.cache/sim/ssm.db new file mode 100644 index 0000000..08d4c4e --- /dev/null +++ b/filtre/filtre.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 26 10:32:14 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/filtre/filtre.cache/wt/project.wpc b/filtre/filtre.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/filtre/filtre.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/filtre/filtre.cache/wt/xsim.wdf b/filtre/filtre.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/filtre/filtre.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/filtre/filtre.hw/filtre.lpr b/filtre/filtre.hw/filtre.lpr new file mode 100644 index 0000000..afc0a86 --- /dev/null +++ b/filtre/filtre.hw/filtre.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/filtre/filtre.ip_user_files/README.txt b/filtre/filtre.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/filtre/filtre.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/filtre/filtre.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/filtre/filtre.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100755 index 0000000..c6b126b --- /dev/null +++ b/filtre/filtre.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh new file mode 100755 index 0000000..7c904a7 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh @@ -0,0 +1,261 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Aldec Active-HDL Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + runvsimsa -do "do {compile.do}" 2>&1 | tee -a compile.log +} + +# RUN_STEP: <simulate> +simulate() +{ + runvsimsa -l simulate.log -do "do {simulate.do}" +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous design library mappings + true + else + # map simulator index file + map_setup_file + fi +} + +# map library.cfg file +map_setup_file() +{ + file="library.cfg" + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + if [[ ($lib_map_path != "") ]]; then + src_file="$lib_map_path/$file" + if [[ -e $src_file ]]; then + vmap -link $lib_map_path + fi + fi +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work activehdl) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do new file mode 100644 index 0000000..d1901cd --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do @@ -0,0 +1,18 @@ +transcript off +onbreak {quit -force} +onerror {quit -force} +transcript on + +vlib work +vlib activehdl/xil_defaultlib + +vmap xil_defaultlib activehdl/xil_defaultlib + +vlog -work xil_defaultlib -v2k5 -l xil_defaultlib \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + + +vlog -work xil_defaultlib \ +"glbl.v" + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do new file mode 100644 index 0000000..f4d078c --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do @@ -0,0 +1,14 @@ +transcript off +onbreak {quit -force} +onerror {quit -force} +transcript on + +asim +access +r +m+clk_wiz_0 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O2 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl + +do {clk_wiz_0.udo} + +run + +endsim + +quit -force diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh new file mode 100755 index 0000000..93e01c7 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh @@ -0,0 +1,287 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Siemens ModelSim Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + source compile.do 2>&1 | tee -a compile.log +} + +# RUN_STEP: <simulate> +simulate() +{ + vsim -c -do "do {simulate.do}" -l simulate.log +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous simulator index file + true + else + # copy simulator index file to current directory + copy_setup_file + fi + + if [[ ($lib_map_path != "") ]]; then + ref_lib_dir=$lib_map_path + fi + + if [[ ($b_keep_index == 1) ]]; then + # do not recreate design library directories + true + else + # create design library directories + create_lib_dir + fi +} + +# copy modelsim.ini file +copy_setup_file() +{ + file="modelsim.ini" + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + + if [[ ($lib_map_path != "") ]]; then + src_file="$lib_map_path/$file" + if [[ -e $src_file ]]; then + cp $src_file . + fi + fi +} + +# create design library directory +create_lib_dir() +{ + lib_dir="modelsim_lib" + if [[ -e $lib_dir ]]; then + rm -rf $lib_dir + fi + mkdir $lib_dir +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf modelsim_lib) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(compile.log elaborate.log simulate.log) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do new file mode 100644 index 0000000..e87f07f --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do @@ -0,0 +1,15 @@ +vlib modelsim_lib/work +vlib modelsim_lib/msim + +vlib modelsim_lib/msim/xil_defaultlib + +vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib + +vlog -work xil_defaultlib -64 -incr -mfcu \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + + +vlog -work xil_defaultlib \ +"glbl.v" + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do new file mode 100644 index 0000000..d25b53f --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do @@ -0,0 +1,19 @@ +onbreak {quit -f} +onerror {quit -f} + +vsim -voptargs="+acc" -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -lib xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl + +set NumericStdNoWarnings 1 +set StdArithNoWarnings 1 + +do {wave.do} + +view wave +view structure +view signals + +do {clk_wiz_0.udo} + +run 1000ns + +quit -force diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do new file mode 100644 index 0000000..70157b0 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do @@ -0,0 +1,2 @@ +add wave * +add wave /glbl/GSR diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh new file mode 100755 index 0000000..8f954d2 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh @@ -0,0 +1,297 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Siemens Questa Advanced Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "elaborate" ) + elaborate + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + elaborate + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + source compile.do 2>&1 | tee -a compile.log +} + +# RUN_STEP: <elaborate> +elaborate() +{ + source elaborate.do 2>&1 | tee elaborate.log +} + +# RUN_STEP: <simulate> +simulate() +{ + vsim -64 -c -do "do {simulate.do}" -l simulate.log +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous simulator index file + true + else + # copy simulator index file to current directory + copy_setup_file + fi + + if [[ ($lib_map_path != "") ]]; then + ref_lib_dir=$lib_map_path + fi + + if [[ ($b_keep_index == 1) ]]; then + # do not recreate design library directories + true + else + # create design library directories + create_lib_dir + fi +} + +# copy modelsim.ini file +copy_setup_file() +{ + file="modelsim.ini" + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + + if [[ ($lib_map_path != "") ]]; then + src_file="$lib_map_path/$file" + if [[ -e $src_file ]]; then + cp $src_file . + fi + fi +} + +# create design library directory +create_lib_dir() +{ + lib_dir="questa_lib" + if [[ -e $lib_dir ]]; then + rm -rf $lib_dir + fi + mkdir $lib_dir +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf questa_lib) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(compile.log elaborate.log simulate.log) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "elaborate") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, elaborate, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do new file mode 100644 index 0000000..2fae3b7 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do @@ -0,0 +1,15 @@ +vlib questa_lib/work +vlib questa_lib/msim + +vlib questa_lib/msim/xil_defaultlib + +vmap xil_defaultlib questa_lib/msim/xil_defaultlib + +vlog -work xil_defaultlib -64 -incr -mfcu \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + + +vlog -work xil_defaultlib \ +"glbl.v" + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do new file mode 100644 index 0000000..c30c9f4 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do @@ -0,0 +1 @@ +vopt -64 -l elaborate.log +acc=npr -suppress 10016 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -work xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_opt diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do new file mode 100644 index 0000000..81ab20f --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do @@ -0,0 +1,19 @@ +onbreak {quit -f} +onerror {quit -f} + +vsim -lib xil_defaultlib clk_wiz_0_opt + +set NumericStdNoWarnings 1 +set StdArithNoWarnings 1 + +do {wave.do} + +view wave +view structure +view signals + +do {clk_wiz_0.udo} + +run 1000ns + +quit -force diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do new file mode 100644 index 0000000..70157b0 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do @@ -0,0 +1,2 @@ +add wave * +add wave /glbl/GSR diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh new file mode 100755 index 0000000..526b97d --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh @@ -0,0 +1,264 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Aldec Riviera-PRO Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + runvsimsa -do "do {compile.do}" 2>&1 | tee -a compile.log +} + +# RUN_STEP: <simulate> +simulate() +{ + runvsimsa -l simulate.log -do "do {simulate.do}" +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous design library mappings + true + else + # map simulator index file + map_setup_file + fi +} + +# map library.cfg file +map_setup_file() +{ + file="library.cfg" + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + if [[ ($lib_map_path != "") ]]; then + src_file="$lib_map_path/$file" + if [[ -e $src_file ]]; then + vmap -link $lib_map_path + fi + fi +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work riviera) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do new file mode 100644 index 0000000..82c45b1 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do @@ -0,0 +1,18 @@ +transcript off +onbreak {quit -force} +onerror {quit -force} +transcript on + +vlib work +vlib riviera/xil_defaultlib + +vmap xil_defaultlib riviera/xil_defaultlib + +vlog -work xil_defaultlib -incr -v2k5 -l xil_defaultlib \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + + +vlog -work xil_defaultlib \ +"glbl.v" + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do new file mode 100644 index 0000000..5dfa2cc --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do @@ -0,0 +1,14 @@ +transcript off +onbreak {quit -force} +onerror {quit -force} +transcript on + +asim +access +r +m+clk_wiz_0 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl + +do {clk_wiz_0.udo} + +run 1000ns + +endsim + +quit -force diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh new file mode 100755 index 0000000..f6cdfb9 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh @@ -0,0 +1,337 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Synopsys Verilog Compiler Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# set vhdlan compile options +vhdlan_opts="-full64 -l .tmp_log" + +# set vlogan compile options +vlogan_opts="-full64 -l .tmp_log" + +# set vcs elaboration options +vcs_elab_opts="-full64 -debug_acc+pp+dmptf -t ps -licqueue -l elaborate.log" + +# set vcs simulation options +vcs_sim_opts="-ucli -licqueue -l simulate.log " + +# set design libraries +design_libs=(xil_defaultlib) + +# simulation root library directory +sim_lib_dir="vcs_lib" + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "elaborate" ) + elaborate + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + elaborate + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + vlogan -work xil_defaultlib $vlogan_opts +v2k \ + "../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ + "../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + 2>&1 | tee compile.log; cat .tmp_log > vlogan.log 2>/dev/null + + vlogan -work xil_defaultlib $vlogan_opts +v2k \ + glbl.v \ + 2>&1 | tee -a compile.log; cat .tmp_log >> vlogan.log 2>/dev/null +} + +# RUN_STEP: <elaborate> +elaborate() +{ + vcs $vcs_elab_opts xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_simv +} + +# RUN_STEP: <simulate> +simulate() +{ + ./clk_wiz_0_simv $vcs_sim_opts -do simulate.do +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous design library mappings + true + else + # define design library mappings + create_lib_mappings + fi + + if [[ ($b_keep_index == 1) ]]; then + # do not recreate design library directories + true + else + # create design library directories + create_lib_dir + fi +} + +# define design library mappings +create_lib_mappings() +{ + file="synopsys_sim.setup" + if [[ -e $file ]]; then + if [[ ($lib_map_path == "") ]]; then + return + else + rm -rf $file + fi + fi + + touch $file + + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + + for (( i=0; i<${#design_libs[*]}; i++ )); do + lib="${design_libs[i]}" + mapping="$lib:$sim_lib_dir/$lib" + echo $mapping >> $file + done + + if [[ ($lib_map_path != "") ]]; then + incl_ref="OTHERS=$lib_map_path/synopsys_sim.setup" + echo $incl_ref >> $file + fi +} + +# create design library directory +create_lib_dir() +{ + if [[ -e $sim_lib_dir ]]; then + rm -rf $sim_lib_dir + fi + for (( i=0; i<${#design_libs[*]}; i++ )); do + lib="${design_libs[i]}" + lib_dir="$sim_lib_dir/$lib" + if [[ ! -e $lib_dir ]]; then + mkdir -p $lib_dir + fi + done +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(ucli.key clk_wiz_0_simv vlogan.log vhdlan.log compile.log elaborate.log simulate.log .tmp_log .vlogansetup.env .vlogansetup.args .vcs_lib_lock scirocco_command.log lib_sc.so 64 AN.DB csrc clk_wiz_0_simv.daidir vcs_lib c.obj) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(vlogan.log vhdlan.log compile.log elaborate.log simulate.log .tmp_log) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "elaborate") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, elaborate, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do new file mode 100644 index 0000000..b77c6f1 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do @@ -0,0 +1,2 @@ +run 1000ns +quit diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh new file mode 100755 index 0000000..8191b0b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh @@ -0,0 +1,343 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : Cadence Xcelium Parallel Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +# Prerequisite : Before running export_simulation, you must first compile the AMD simulation library +# using the 'compile_simlib' Tcl command (for more information, run 'compile_simlib -help' +# command in the Vivado Tcl shell). After compiling the library, specify the -lib_map_path +# switch with the directory path where the library is created while generating the script +# with export_simulation. +# +# Alternatively, you can set the library path by setting the following project property:- +# +# set_property compxlib.<simulator>_compiled_library_dir <path> [current_project] +# +# You can also point to the simulation library by either setting the 'lib_map_path' global +# variable in this script or specify it with the '-lib_map_path' switch while executing this +# script (type 'clk_wiz_0.sh -help' for more information). +# +# Note: For pure RTL based designs, the -lib_map_path switch can be specified later with the +# generated script, but if design is targetted for system simulation containing SystemC/C++/C +# sources, then the library path MUST be specified upfront when calling export_simulation. +# +# For more information, refer 'Vivado Design Suite User Guide:Logic simulation (UG900)' +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# set xmvhdl compile options +xmvhdl_opts="-64bit -messages -relax -logfile .tmp_log -update" + +# set xmvlog compile options +xmvlog_opts="-64bit -messages -logfile .tmp_log -update" + +# set xmelab elaboration options +xmelab_opts="-64bit -relax -access +rwc -namemap_mixgen -messages -logfile elaborate.log" + +# set xmsim simulation options +xmsim_opts="-64bit -logfile simulate.log" + +# set design libraries for elaboration +design_libs_elab="-libname xil_defaultlib -libname unisims_ver -libname unimacro_ver -libname secureip" + +# set design libraries +design_libs=(simprims_ver xil_defaultlib) + +# simulation root library directory +sim_lib_dir="xcelium_lib" + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "elaborate" ) + elaborate + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + elaborate + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + xmvlog -work xil_defaultlib $xmvlog_opts \ + "../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ + "../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + 2>&1 | tee compile.log; cat .tmp_log > xmvlog.log 2>/dev/null + + xmvlog -work xil_defaultlib $xmvlog_opts \ + glbl.v \ + 2>&1 | tee -a compile.log; cat .tmp_log >> xmvlog.log 2>/dev/null +} + +# RUN_STEP: <elaborate> +elaborate() +{ + xmelab $xmelab_opts $design_libs_elab xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl +} + +# RUN_STEP: <simulate> +simulate() +{ + xmsim $xmsim_opts xil_defaultlib.clk_wiz_0 -input simulate.do +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous design library mappings + true + else + # define design library mappings + create_lib_mappings + fi + + if [[ ($b_keep_index == 1) ]]; then + # do not recreate design library directories + true + else + # create design library directories + create_lib_dir + fi +} + +# define design library mappings +create_lib_mappings() +{ + file="hdl.var" + touch $file + + file="cds.lib" + if [[ -e $file ]]; then + if [[ ($lib_map_path == "") ]]; then + return + else + rm -rf $file + fi + fi + + touch $file + + if [[ ($lib_map_path != "") && !(-e $lib_map_path) ]]; then + echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n" + fi + + if [[ ($lib_map_path != "") ]]; then + incl_ref="INCLUDE $lib_map_path/cds.lib" + echo $incl_ref >> $file + fi + + for (( i=0; i<${#design_libs[*]}; i++ )); do + lib="${design_libs[i]}" + mapping="DEFINE $lib $sim_lib_dir/$lib" + echo $mapping >> $file + done +} + +# create design library directory +create_lib_dir() +{ + if [[ -e $sim_lib_dir ]]; then + rm -rf $sim_lib_dir + fi + for (( i=0; i<${#design_libs[*]}; i++ )); do + lib="${design_libs[i]}" + lib_dir="$sim_lib_dir/$lib" + if [[ ! -e $lib_dir ]]; then + mkdir -p $lib_dir + fi + done +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(xmvlog.log xmvhdl.log xmsc.log compile.log elaborate.log simulate.log diag_report.log xsc_report.log clk_wiz_0_sc.so .tmp_log xcelium_lib waves.shm c.obj) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(xmvlog.log xmvhdl.log xmsc.log compile.log elaborate.log simulate.log diag_report.log xsc_report.log .tmp_log) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "elaborate") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/hdl.var b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/hdl.var new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do new file mode 100644 index 0000000..baf3d48 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do @@ -0,0 +1,7 @@ +set pack_assert_off {numeric_std std_logic_arith} + +database -open waves -into waves.shm -default +catch {probe -create -shm -all -variables -depth 1} msg + +run 1000ns +exit diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt new file mode 100644 index 0000000..f22bf27 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt @@ -0,0 +1,50 @@ +################################################################################ +# Vivado (TM) v2024.1 (64-bit) +# +# README.txt: Please read the sections below to understand the steps required to +# run the exported script and how to fetch design source file details +# from the file_info.txt file. +# +# Generated by export_simulation on Wed Feb 26 10:01:16 CET 2025 +# +################################################################################ + +1. Steps to run the generated simulation script + +From the shell prompt in the current directory, issue the following command:- + +./clk_wiz_0.sh + +This command will launch the 'compile', 'elaborate' and 'simulate' functions +implemented in the script file for the 3-step flow. These functions are called +from the main 'run' function in the script file. + +The 'run' function first calls the 'check_args' function, the purpose of which +is to verify the generated script arguments and print error if incorrect switch +is specified. The 'run' function then calls the 'setup' function, the purpose of +which is to specify custom or initialization commands. The function also executes +following sub-functions:- +'reset_run' if -reset_run switch is specified. +'reset_log' if -reset_log switch is specified. + +The purpose of 'reset_run' function' is to delete the simulator generated design +data from the previous run and the purpose of 'reset_log' function' is to delete +the simulator generated log files. + +The 'run' function then calls the 'init_lib' function, the purpose of which is to +create design library mappings and directories. This function is called before the +'compile' step. By default, if '-step' switch is specified with the script then the +script will execute that specfic step, else it will execute all steps applicable +for the target simulator. + +For more information on the script, please type './clk_wiz_0.sh -help' + +2. Design source file information + +export_simulation generates a 'file_info.txt' file that contains design file information +based on the compile order when export_simulation was executed from Vivado. The file +contains information about the file name, type, library it is compiled into, whether +it is part of the IP, associated library, file path information in a comma separated +format. This file can be parsed to extract the required information for generating a +custom script or can be read from verification test infra. + diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh new file mode 100755 index 0000000..50cd34b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh @@ -0,0 +1,330 @@ +#!/usr/bin/env bash +#********************************************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Script generated by Vivado on Wed Feb 26 10:01:16 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# Filename : clk_wiz_0.sh +# Simulator : AMD Vivado Simulator +# Description : Simulation script generated by export_simulation Tcl command +# Purpose : Run 'compile', 'elaborate', 'simulate' steps for compiling, elaborating and simulating the +# design. The script will copy the library mapping file from the compiled library directory, +# create design library directories and library mappings in the mapping file. +# +# Usage : clk_wiz_0.sh +# clk_wiz_0.sh [-lib_map_path] [-step] [-keep_index] [-noclean_files]* +# clk_wiz_0.sh [-reset_run] +# clk_wiz_0.sh [-reset_log] +# clk_wiz_0.sh [-help] +# +# * The -noclean_files switch is deprecated and will not peform any function (by default, the +# simulator generated files will not be removed unless -reset_run switch is used) +# +#********************************************************************************************************** + +# catch pipeline exit status +set -Eeuo pipefail + +# set xvlog options +xvlog_opts="--incr --relax " + +# script info +echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" + +# main steps +run() +{ + check_args $* + setup + if [[ ($b_step == 1) ]]; then + case $step in + "compile" ) + init_lib + compile + ;; + "elaborate" ) + elaborate + ;; + "simulate" ) + simulate + ;; + * ) + echo -e "ERROR: Invalid or missing step '$step' (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + esac + else + init_lib + compile + elaborate + simulate + fi +} + +# RUN_STEP: <compile> +compile() +{ + xvlog $xvlog_opts -prj vlog.prj 2>&1 | tee compile.log +} + +# RUN_STEP: <elaborate> +elaborate() +{ + xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot clk_wiz_0 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -log elaborate.log +} + +# RUN_STEP: <simulate> +simulate() +{ + xsim clk_wiz_0 -key {Behavioral:sim_1:Functional:clk_wiz_0} -tclbatch cmd.tcl -log simulate.log +} + +# STEP: setup +setup() +{ + # delete previous files for a clean rerun + if [[ ($b_reset_run == 1) ]]; then + reset_run + echo -e "INFO: Simulation run files deleted.\n" + exit 0 + fi + + # delete previous log files + if [[ ($b_reset_log == 1) ]]; then + reset_log + echo -e "INFO: Simulation run log files deleted.\n" + exit 0 + fi + + # add any setup/initialization commands here:- + + # <user specific commands> + +} + +# simulator index file/library directory processing +init_lib() +{ + if [[ ($b_keep_index == 1) ]]; then + # keep previous simulator index file + true + else + # copy simulator index file to current directory + copy_setup_file + fi + + if [[ ($lib_map_path != "") ]]; then + ref_lib_dir=$lib_map_path + fi +} + +# copy xsim.ini file +copy_setup_file() +{ + file="xsim.ini" + + if [[ ($lib_map_path == "") ]]; then + lib_map_path="/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim" + fi + + if [[ ($lib_map_path != "") ]]; then + src_file="$lib_map_path/$file" + if [[ -e $src_file ]]; then + cp $src_file . + fi + + # map local design libraries to xsim.ini + map_local_libs + fi +} + +# map local design libraries +map_local_libs() +{ + updated_mappings=() + local_mappings=() + + # local design libraries + local_libs=() + + if [[ 0 == ${#local_libs[@]} ]]; then + return + fi + + file="xsim.ini" + file_backup="xsim.ini.bak" + + if [[ -e $file ]]; then + rm -f $file_backup + + # create a backup copy of the xsim.ini file + cp $file $file_backup + + # read libraries from backup file and search in local library collection + while read -r line + do + IN=$line + + # split mapping entry with '=' delimiter to fetch library name and mapping + read lib_name mapping <<<$(IFS="="; echo $IN) + + # if local library found, then construct the local mapping and add to local mapping collection + if `echo ${local_libs[@]} | grep -wq $lib_name` ; then + line="$lib_name=xsim.dir/$lib_name" + local_mappings+=("$lib_name") + fi + + # add to updated library mapping collection + updated_mappings+=("$line") + done < "$file_backup" + + # append local libraries not found originally from xsim.ini + for (( i=0; i<${#local_libs[*]}; i++ )); do + lib_name="${local_libs[i]}" + if `echo ${local_mappings[@]} | grep -wvq $lib_name` ; then + line="$lib_name=xsim.dir/$lib_name" + updated_mappings+=("$line") + fi + done + + # write updated mappings in xsim.ini + rm -f $file + for (( i=0; i<${#updated_mappings[*]}; i++ )); do + lib_name="${updated_mappings[i]}" + echo $lib_name >> $file + done + else + for (( i=0; i<${#local_libs[*]}; i++ )); do + lib_name="${local_libs[i]}" + mapping="$lib_name=xsim.dir/$lib_name" + echo $mapping >> $file + done + fi +} + +# delete generated data from the previous run +reset_run() +{ + files_to_remove=(xelab.pb xsim.jou xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log xvhdl.pb xvlog.pb clk_wiz_0.wdb xsim.dir libdpi.so) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# delete generated log files from the previous run +reset_log() +{ + files_to_remove=(xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log) + for (( i=0; i<${#files_to_remove[*]}; i++ )); do + file="${files_to_remove[i]}" + if [[ -e $file ]]; then + rm -rf $file + fi + done +} + +# check switch argument value +check_arg_value() +{ + if [[ ($1 == "-step") && (($2 != "compile") && ($2 != "elaborate") && ($2 != "simulate")) ]];then + echo -e "ERROR: Invalid or missing step '$2' (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + if [[ ($1 == "-lib_map_path") && ($2 == "") ]];then + echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n" + exit 1 + fi +} + +# check command line arguments +check_args() +{ + arg_count=$# + if [[ ("$#" == 1) && (("$1" == "-help") || ("$1" == "-h")) ]]; then + usage + fi + while [[ "$#" -gt 0 ]]; do + case $1 in + -step) check_arg_value $1 $2;step=$2; b_step=1; shift;; + -lib_map_path) check_arg_value $1 $2;lib_map_path=$2; b_lib_map_path=1; shift;; + -gen_bypass) b_gen_bypass=1 ;; + -reset_run) b_reset_run=1 ;; + -reset_log) b_reset_log=1 ;; + -keep_index) b_keep_index=1 ;; + -noclean_files) b_noclean_files=1 ;; + -help|-h) ;; + *) echo -e "ERROR: Invalid option specified '$1' (type "./top.sh -help" for more information)\n"; exit 1 ;; + esac + shift + done + + # -reset_run is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_run == 1) ]]; then + echo -e "ERROR: -reset_run switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -reset_log is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_reset_log == 1) ]]; then + echo -e "ERROR: -reset_log switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -keep_index is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_keep_index == 1) ]]; then + echo -e "ERROR: -keep_index switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi + + # -noclean_files is not applicable with other switches + if [[ ("$arg_count" -gt 1) && ($b_noclean_files == 1) ]]; then + echo -e "ERROR: -noclean_files switch is not applicable with other switches (type \"./top.sh -help\" for more information)\n" + exit 1 + fi +} + +# script usage +usage() +{ + msg="Usage: clk_wiz_0.sh [-help]\n\ +Usage: clk_wiz_0.sh [-step]\n\ +Usage: clk_wiz_0.sh [-lib_map_path]\n\ +Usage: clk_wiz_0.sh [-reset_run]\n\ +Usage: clk_wiz_0.sh [-reset_log]\n\ +Usage: clk_wiz_0.sh [-keep_index]\n\ +Usage: clk_wiz_0.sh [-noclean_files]\n\n\ +[-help] -- Print help information for this script\n\n\ +[-step <name>] -- Execute specified step (compile, elaborate, simulate)\n\n\ +[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\ +using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\ +[-reset_run] -- Delete simulator generated data files from the previous run and recreate simulator setup\n\ +file/library mappings for a clean run. This switch will not execute steps defined in the script.\n\n\ +NOTE: To keep simulator index file settings from the previous run, use the -keep_index switch\n\ +NOTE: To regenerate simulator index file but keep the simulator generated files, use the -noclean_files switch\n\n\ +[-reset_log] -- Delete simulator generated log files from the previous run\n\n\ +[-keep_index] -- Keep simulator index file settings from the previous run\n\n\ +[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run\n" + echo -e $msg + exit 0 +} + +# initialize globals +step="" +lib_map_path="" +b_step=0 +b_lib_map_path=0 +b_gen_bypass=0 +b_reset_run=0 +b_reset_log=0 +b_keep_index=0 +b_noclean_files=0 + +# launch script +run $* diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl new file mode 100644 index 0000000..6ac0dc8 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl @@ -0,0 +1,12 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns +quit diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt new file mode 100644 index 0000000..84ea82b --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt @@ -0,0 +1,3 @@ +clk_wiz_0_clk_wiz.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v, +clk_wiz_0.v,verilog,xil_defaultlib,../../../../../src/ip/clk_wiz_0/clk_wiz_0.v, +glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj new file mode 100644 index 0000000..23ee7f4 --- /dev/null +++ b/filtre/filtre.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj @@ -0,0 +1,8 @@ +verilog xil_defaultlib \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" \ +"../../../../../src/ip/clk_wiz_0/clk_wiz_0.v" \ + +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/filtre/filtre.sim/sim_1/behav/xsim/compile.log b/filtre/filtre.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..174acfb --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,2 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' diff --git a/filtre/filtre.sim/sim_1/behav/xsim/compile.sh b/filtre/filtre.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..ed2ee1d --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 26 12:04:26 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/filtre/filtre.sim/sim_1/behav/xsim/controlUnit.tcl b/filtre/filtre.sim/sim_1/behav/xsim/controlUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/controlUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/filtre/filtre.sim/sim_1/behav/xsim/controlUnit_behav.wdb b/filtre/filtre.sim/sim_1/behav/xsim/controlUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..7fedc78d545da0a3f50e95307443b29cabc76704 GIT binary patch literal 8739 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C(BRe_uM338pL< z!4!i#6NHWzg3#oW1`G@gFpSR(W~gL8)INW4hycF~ghnSFocO^)FPRw_SV1ff5W&E3 zK?OpefKYG}>Me%z3Q!>p28I*{28M7KCq37))Vva?FpPq!{{R*6fYQ+L^bF3-RS1a; za8+>g^l?=%Ffe3DS+V&3zI0Hqoo8TR@M2(KU}j)g5FFwX@8j?8>C6C<0b!6&IT;uj z)I-%7)Fadx)D6@b)D6`c)T7iH)Wg*o)P2+$)IHQ0)OFPvK(2^|niLXWT#{Il3O5Jj zYY+~1^bCm)cJvMKab*Y&@eguk2nh0bb`1{p^mC8*@%Im4@DB|M2n}HXD|Gd9fhh(V zt^xHHEL}jo7ZeiW36+6RAhjS?29$9h#9?57B`<JrxH!2h7#Nr_fP&%6|NsAYFfcH9 zFflL)@G>ymU}j*DV8f1QurV-rurn|S@IY_`4+Fyj9tH*mUI^x9U|;}YC>LroDpke6 zz_5maf#Em{L>v^rAS?mlf&-F~k%0k(8NfUShX4Qn{|8Bfyad89K1d9NL4gaRK^SBf zDDpsT5G}<3F+~+hgTz4?WCjR>)R-_ZfFnx}j~ZJ@<T9A!QIp8PzyR_VD9eJ}39<)- zLH2+!$gU&?NHze)8ZI@-3=9lx3=9lGc<cgY33dhsh8R3*QW+Q+K)Edqj~b91D9j4* zr~#EbTp*7yK;i=A7hx!0fZ_NoC=*VB;zN*ufdOPLC@p{r0Fd9cq2UaQ6Ob7o43Y<F zyzu|nERY&dT!6$uVjvoXVQMBo)qvsxB#uuFC@u)80mTI&HK4d4qy`ihgw%lIf{+?e zTo6(NiVK(;kpE#A6n3&$;*bv}R$46pN(&(MAhmKZagYEsUXj%bK*d37<q4=&Mp6qZ zwb9cxvbmu61t|xatBAu~kQ_s4wE!p|!PF`dQ0oU$2u)ug_h54a%v?~O0VxOZLA4M* zwV*hGsl`@?fcyqB2jpH*9)hV=BjDZ&kV2??)d{HWLsF{`RSR=7C@p~80LpV9H_Ss) z3#!gR>OdGIjII_W7GIKIz>u7uS5lOp6PlM<!jM>$oRJxyUyxdqSdv+m3Kq-B%u9`r zH#K5_Fw6|03`=tu!ve;zgfa{b4B#x7azg`{35Ev7Aj=sT7(C;XbMlk389d{QQj1ed z7(C-M^9o8!f)jHKa#F(*b23vHJmU+B@{?1Gi!<}mUGno%8T{jO@)J{nGcwak82sZi z^D;{uQ&Ngji;Ka$<RWBVW=U{qE=&iU70KWq@0OWUl3J9Sf^1cAP<#Q%9eE|e;3_;g zC_b&Uq_haa136hgBR@B_SU<(cq!<)L`o69%&i;P-B?Y=^nK>mzsk*5pr74+-c_q4V zCB;R_`WY!X`beRySC)~&pr2n*qMw<Yt{;|JmY9-nWME{XX9(r!LwPBQC5ifFAl)ES zic3=BjSLJd^ovUp^HLIvQXu9O6y;~>S0t5Y=A;zsTUuIL>KVj)`h~<Bm>8JG8(5ea z=x62?mn7!o6zeDE<ir=`B$lM*7v&bi?1E^~C(17J-CUAdQIeTY4;LfbM6!D`Q&Ur6 zft(Vblb@cM9B*i7W&(0}4ud{T!kU1cRP%#wQhrM1KzIb%VhVhdSDKqzl$jh~Tmnw{ zw2ux<3#sFJ<nW+zibC=NlC9u2HK;y-VNlry!jl;o7`z!67+e_{7(k8g|BMU_>lqms zPB1Yr6f-d}^f5CqI5IOZ1hOzN{AY%=2SNHk=74Ar2DRm3d}3)c1_p53+Jb?B!IFW2 z!JdJE!HI!^!5QQhP(8uGz~IBcz~IZkz~Iloz!1#9zz_!N7BVm}L^3cifI45GHXo=> z2Wqo{+GL<M7pVRQwV6QfLdG1R#xny0g8-C<)se_*u(4l2)qjA}==#w4Ff$fF8(%A+ zG`c!)8v>>niH-m@q8S(%5}-7?K2RqWNjaP;0Bx{KKxuS+pneKmF%shkR6V#O2W@L0 zNkh8u$O2II18xZ81(Zg&57eK5s6Zu8fclRN3=9{bG`c=$Fr#W>U|`q)?G)^Q(&+l2 z!Hl7A2B@*bz`(EoN~7z81~Z1f22dx4fq|g|N~7z81sl4?3}{2T07|3lg9aD6HiiID z2MW}0htlZ!puvTq4>~U3;^dAh1=C*+O=vHm{(z~v4{Er>IHUAv2#kgRN(h9b@J2ZU z9Ri^8OsyQ@3Nz4P0)jir8V!Nb5I_onsYvWm=D>#ldiiyFwEP<QNEvm(pbdeDLF=-S z=`i&23)Ua4V0?RFAtQqV5A%km#{cV|@Vq(3xFTfhZ0}3Pr|)P>ras@({_6^-fPzB< z10xd)2O&mdJd?Pe5hF9m*n_-H4gwArLj%`MakrfE@S>2;VIz&^#j_{OJfJdf@1DH} z{xNLcbM0KVRZjfhe?>R8?|ko<xNF*ms~hf}5}v=X<@?^2=ZjlX{|NrtP<vEc^3h_+ zq?|U3h&GF=wjVor49`v_%0VDU|A~IU-Pp<%1ag!i#IKD`DG%Zqt*x13ZeA^mU-j(m citV4f;+Ef*_@pUJtX~<K_Or-MU&62t0Cjj(hX4Qo literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log b/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..cbcaca6 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,22 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:350] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:352] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:355] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_Y_OBUF[0]_inst > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:403] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:430] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <\SR_Y[0]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:437] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <\SR_Y[2]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:452] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_Y[3]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:461] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <\SR_Y[4]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:471] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_Y_reg[0] > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1208] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_Y_reg[4]_i_10 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1248] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <\SR_Y_reg[7]_i_23 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1334] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <\SR_Y_reg[7]_i_33 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1354] +ERROR: [VRFC 10-2063] Module <LUT1> not found while processing module instance <\SR_sum[0]_i_13 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:2517] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3268] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. diff --git a/filtre/filtre.sim/sim_1/behav/xsim/elaborate.sh b/filtre/filtre.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..1a1d61f --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 26 12:04:27 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log + diff --git a/filtre/filtre.sim/sim_1/behav/xsim/glbl.v b/filtre/filtre.sim/sim_1/behav/xsim/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/filtre/filtre.sim/sim_1/behav/xsim/simulate.sh b/filtre/filtre.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..240070a --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 26 10:36:41 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit.tcl b/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..1b5e5bcd4cabba228904ab40f58b459c2b101231 GIT binary patch literal 80505 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C(xmwl5vZ1XCHJ zV2VLd0z!8_gwW)Y1`G@gFpSR(W*m|`q4rlkgD9|k4WUQL(GVC7fzc2c4S~@R7!84; z7Xm-u4?Q=Jx_C4MMnhmU1V%$(Gz3ONU^E0qLtr!nMnhm|gusCt%fSwP$;`me&BVaK zzyanm%m@$xGfsd>1OXe5+^o(B5!7H{NMT@L2zPPPb1h5FD}ji@NvJ9Y1?c#x2UG?+ z{^}W=nX3>I8Q`km=IP_AU|?X#AinGCqkZX|3=9m0ObiTK3=9m+3=9i$^3yYu8CapZ zL8h{RM^FtJq8K8;qRI>mAo&Hw$%#3MMe&(=B_J*XBLhRj|NsB%L0SwM7#IW?7#4s8 z8JHOsfc1eSU>GdGz`*eD|NsAU|NsAQ0o9P1SCX2ZS_C!_n?8A{#{W=#UQkyDhq%Q1 z_`7>LGk}5?ghAm4@|$|7I)i$II)l1_I)l2QI)i$YI)i$+I)l28I)l20I)l2dI@p!o zNG63+W|RUnfczXoLW3NAz)k|W8x}f_P|w009UtcE9O54YR>;V(0PK5ENU<?6fZYbt z48pL`iiNs0B)+&Lu_P6&59DW1tbuU2qi0Bbu%mB)k1IoPh<}hPLqL$fvukj$r=NSg zkH3EagMVm9Kxha9SfQ(*3rsP{QVozz3=9lGP`VUKFN4zeptJ@^F#`ibF_fMRrLRC~ zXu=B$3GsyLfKX6rh8fU=S_yJF0|RWL0Gv==oZJ-*49pln3G~bV|NnO|FfgoOVPKe1 z#=tOxgMnd!Cj&!(FD8EA$H1^6n}K0RF$6CtVPNPeWngG1gJ2m31_ltWVq{<dVVGYb zE`yL=j0_CNWf&MZp&3+`fq?;p?I6<Nz-DA*U;trQ^nj8hNE+l7kpEzOkQfMqA_YW) zFo+FGoFFzxJ;*&EJ&GU!C<Y~5koBMhz{|kE0HQ%^)sPYdh%d;%z@Wpx0CtlfBvCN9 zA*lfw2XYGtgVY-{Fff3!vp*g+CXmz%&g>v}fy@A5kQpEhGRGY1rbImESTQg#urn|) zWI^2rG6RG`W`HorU$)S4undnHR|W<KP<S-qQ3J}nTnr2heR$O9F)%Q2GcYjB!lMRM z4Dm29Fs#O-#*%@70p#{Qc+`OW&d0#Oa2AgmYX$}eeg*~xP<{f1DJX0}7!)=j46*}M zH3%>;Fo4FYU}**v7Qze+3?O%a*ntcT44`x%%Lp#OK}LWv$P7?g0I7**U|<kpU|;~n zJ4h{90RsaANDT;s!VVPgAaku5A?9IIW6!|A0CJNH9yMtU3=E*G?T1GVXvR+rl;`oN z$zWh$5NBXuNW!BglYxN&G*6g=M-3?bNir}nl;Kg6&A`AQ#lXPOfJaRZ0|SFJQka3l z3KT{H))pXVf|zg&%HyDT1BE>(?}FkP6vv?I3q*tRJSe||!XBgvl&=?9T8M+<9Hbsb zgC;IPX%b`(NX)^?LL9_ahvo^8n?YuPFi0L`7R)YCUI&ST#6UC%!_-W$vJeNk0~F^V zaeQh(d7Y3NP<lsK1Iqg#b3t~2>;>g@LTW&Hosb$(UMHjml-CKV0fj3eHK063NDVQ5 z2jz7_=791QOwC_V{4zqyJy6&M*jb2!@~Acg0|ST##k(#eB>#fK*o2XR0hAs=Wfv&j z!Dx_qAPh1Cqz=Re=_eHLAT^-84pI-(2V%p_0mUgu4JfaJ#PO*C<#j@8KzW^z8c<#* zqz07N38?|)bwX-Dc^#$(<Tnro<rh#R8B`~N>QImvNDZi*2jzE$<`e@^-UNw*)Pmv) zpIT6P3{wlL!$I{uD9?cOfW+bEHm2!=$_kJe$Xs1$or}v2rXYpTdIyvbkj({|4L7$c zP2U|!tv&&B<DhCmX#ivf$SkN3xE=x3PvCG6w*Qg@l>n&)l}Gs0g3=I3IY=!i?c!8h za91A`zc96+dJLypVf!!nAcfGn8Wh&})K(y=1?3}jwV<>P_M5Jsej}1vP@Y9s3o5_C zYA>JE??zG!s_)R%!o%#&N&RU^YC#nWPPMmB>Mul63#$Kcs%1E(zXnMys2&8R8&Em| z=>gGjH{ABn--4tTRK_5y1*rpJu;1KQFo4=WAmyNV1J!3B^FVwM2IUJ74OS~`|K$jX z1C0w%TN<C*Ge~OXplK2+1WsolHq6|sAP&@AP~D2p+($@im9Ur#_nU=<_y;7lpzbcZ zxp1|UPwM|eQmcZ`+$E>=d7uV?!b}yP+JD~qQb=k+?E@$mY#S(i;ckdIqpt~-1epu! z(?Gd!wIBvK%qq|5gW7i>K1i)57B_(8z-lcl#J!;gfz-mvK9D>JgWLe3VQQn1)WXU> zkUR*Zs|B^!K;j^CL1iCQD@X`6jx8+2>!6Y#wV?70%EeSGZ2zSfDhW~xE6>0Rq3t#h z8|+?T`!92l)PmYsP%cObsvCsuzpREzg3JYtZ9utL)$W2yg4BZAU{EerwdbIcAhn=6 z8Op_~_7PMPq!v`hL%CSheuGMa)SBUoV`2L*TuhK&EJ!V=t^kc*fXW4s5up4JqQPM% zXa7Y6NiC?ZLskn?16M0+|3wZ-EvWoNRtqX4;cDgVzi1+<1@+O8)xzpTkogR<_Fqgu zjsvxip#5@?IUsj}0s@rI;B`}Tih&K1T3A_vORWo%T2NU63JaLsAU53G_B8z<B()&7 z;&VePl3Gwb4l)a7E+`B^=7N>9r|Fl08IX1#tc*f;FIY))ia`&U0Z|L8$FaEq9A?ca z2J?{Af(8chsa=hv7Bq5$Pwg%wwV<Imd}>c3sRgy+@u|Inq}B(Te$m4L=C}JuYJCZ) zg{2)(dW6+O=;ngl1!~K|(hf*1p|k@_4<NOmv;#5&m$@&X?ggnOly-h1sRgASZ03TM zG^ZG_f+_@P|A0{15k^uAtKZPw3o};{NiD2?!=+XmNiD2?!==^~NiD2?!==_1NiC@F zi!U64k<`NK08pMoE+1fNCmBgCtPa4Xwh*co)VIP`Z-S)3<~|kIuK{rw7#KimvDKR( zX|USXUwW`K2~rD7lOVT(FsM8OsRj9$LF$)YJBR}{7hAmvk_Ma0z`!sC#DS`X^%+2B zf-uZnuv+e4ddra1!qN^%9SFnJg5ALWOK%I3T3Gmk)PXQeE!bQJ28QEEYGLUYqz;5( zYQg3*{nERNq!wEp3zCMJ`vSy)`VE$TL1uz5in+gj>U}~|i>*EeNyE&A)d8Tm0Oey) zS^(t(kQ+hy6{Hr#W)Qaj!U#>QAhn?S7+Ec-4g;wLn=5SpMFdGLEYE}73c@Jv-T6~b z0ZA=r>;-HeNFlTh12-2maf755mN%hF!EG3jTCo1jKlQAU)Plw}z~WHFFgJkR%fP_k zg`^gikD*FY&0Y0VF9=C3Xq*F|xv(-76lSn86|1>Re(J>|nF|^_!e?#{l3LI>J#t)t z>;i=Y*l)u2U-FRDg31zPwV*Z-NG&MNL2+D!q!zSc0a-1m-Ug|KnOlvd7FM32`weVv z-%q_BB(+Y^ItyJb*jxq%hDAtfouOmKAag+B0qR>LyI}*8S{LXzK1?mBZ;h<>0FqkJ zxEshktZr}-*T0OU7Br@eQ*E__{xc-CuyzSnbFY8a`-!9$)-J)S*6_0)4>UkP@d;~} zU{(9`vz|PXT3EXTtJ=e#^^B0z!rCQR)t>#V=Zd5j)-J)S*5tEZ1d>`<y9BFRv(I|j zNNPdzI5@+1>Sw(=B(<RNe4J`$f7Y9bq&5IwI@|hLZwZoG(3}EJbDe(aZAVfInkU4m zw&%0nDI~SQ_}pOsOYbg{+7Ntd6F%#GKvEluPi^UEJ!Vjc16p5%;Zy7XSx*c}Z8$!) zp`Z0Mk<@}F$8m;3)Mq^#B(;(F%ys#!=Z~Z|3ZGif&w5EnYNPR~E%~KailjCMpV|mN z{SG9xpb2N3eoKF>KO0FcXq*tY+DH29kko?arEsc^f1rO5No@i?_d4Ixzk;L|+q@*a z>@&Hm{~SpzXsi;a8+tG4|3XrmjL!{j7xZ~S9Xn_`11;9TX|DSU1_dOwsrbwlJgIMt zq!u(@iqqVn<N9t$YC&V~IMrTt(~m?_3mSvRsaDlpKL<%IXe=J5+C}#I^+;+#W41We zvf1fRLQ)GFo5!g()?a@ql3LIhJx;Y>{PedYsm;X~zK8tuPa>(!!>5+dPyaTOTF@9X zPB-lH)qjhmwg8{G8+`R)3xYuPTOmHR5x)AupoR!Eoq={#;&g+Auf7_R+G2d>*81pM zBB=!}Fv4lBw2!_Il3LIj5u9podh5p{sRhmT<5b(=tzU$swj7^(ZM^kck<^05@Nt@( z=%o)@Hv+1ELE&48&)iy1{nbe3R^d~-&_jP8lG<u~YDGNsFCwX}!Ke15yZ#d-wYB)v z_Py5sj-<8@pW58l`dpwvCurKK$ESAvD}5OxwGH^xE`O!3kE9kfM}jjhbYAH@A*lte z>%gh@#7q59B(=@>-0<;*eg=}-7JO<SzR<5mQrn78?T_dBeMoBC@Tq<9Tz?^w+ID<u z4?fr5f~2+spW2Pj^^YT|?Zl_{{4@PqNNT(Asa^L>|1FYQ(0monxS0M-A3XUCO*=jK z%#C=aFAVB1L)G@;Q|t3gUkynuXblfeH&{H=w?tCgkI!6{XZk)!YA4`R`|qiK9Fp3J z_|(38s$YPlb`n0dm!9f3A*r2=Pwo7t`csh9PQj<P@u~i5B(+oVsV#k~e+Wq}Xr2*g z_<B9nzlo%FIzDsNp6Y)<Qab~mTE?gP?4UsrXnL55PwlNI`f^BWLF=_}y7&AgeN!a0 zv+<dG<FURElG-`=)b>5rPexKZ7oS@7$NE)BYUklo`{0rOL?pHI@u}VWNPh*ATF@d| zoZ*o3NPi!aTG0G3PPLAY^sgYPU4+jKiy!L0LQ=aJpW42M`rrXiXdExWr}qB?eKAl) z3{|@npW25H^mUNbg4Utp^xKjL`c6n{K})W2s(o``KLSbZ3Vd#ue_uZjN$pB}Y6I@; zw;-uqg-@;Uef?QTYC-D`al7}P{sttqpm}|qYOU|-A45{R7M~lw-qpW@q;?%XwX^Q( ze?n5b9-rFyyZRiU0X1kGZ@{Nk_^!SjlG=^<)Yjh7H$_sr37^`ZxAlFH)NaP7cJ*!j zWF)nqwGcSNH~O}I6_VPm_{@ECOMfDg+HLsMuDPYZ0!i(5d}^oP(%+Ay7PLkhr+d?G z>0d)qyAz+eBG>faBB|YlPwnZe`YfP<P-q<Q#;11kRefnBwV?GQINe)(Ro@6n?OuH5 za$eQ<KvKI8pW0<t^y84!?#HKg`W5|BB((?dsr`CczXwU}L40aoUDjWWr1lU#wQDZx z??O_07@yjum-R0qsRgaM!5O|im-SyDsXdC%+)bDC|0AhAhEMJN3;JT9L1}1uIF3(k z%msZNB(<OoFgV?tbY9;HN$p8|<~E<xk3dp;3ZL5Wv-){RYER=+TYp-=1xYPvof1y> zemkW<3rQ_#9XL+4uTJT2KvH`SpBpqz=pRE;dmf)!=P7!Rkknqlr&irp{~wati}=(s z`|3-9CKI4>d<mc0S3de?NNO+RQ+wY>KL|<f73f|C*!%@(y&rrGPuTuT29jFPIxtWd z7^W7q-Va%A9g^B>&^-q*wV?HW$ZBUJslAS-7PQ_ES?x|FwKvezg4VzztG$k-_9mKI z(Aq#`wO^6c-a=CgS{sP0Ru~j8(73pbrWUj|5LvAulG;0HYC&rQk=6PmslAJ)7PK}H zS#1uITF`z5<hTH>nMYRJiKO;Enz^8Lgve@_A*p?UrWQ0;j;!`Dl3LK2UC3?#%{3yc zeSoAEv@Q@?Eoj~sS?ymWwU5!<0Gi81Rx1Tcc+j|bf~FQU|BbBH97*j{G_{~Pcx1I9 zNNPdnkl`##(!KRFk<>oNm)~N%_3Mz-zQCu}-CKV$lG>N})arQauRv1!3ZGgDZ~eVU zYC&hK;q=>oFa1kMYTw{9_p_J&b0oEI@u_{`rT+&>?K^yG&w1$!fC>y~9E0}d;B@an zFMU-cwV*YnIMpuo(zilV`w^cTW_juRBdPs_Pi?Q4ehQM>&-m09c<EOnsr`aaZKRie zAClUy_|$rM=`Ti7`wgF3T`&C|NNT_1Q>)~qe-=sY4}5C*y!0O-sr`vh?LSZb??`HY z;Zyt0Q=c1DDL~W1Z+vPmdFm@5sr`db?IBNnQzW&Z{UA8g&RkD@FC?{~^~gBY_Ic{Z zBdPt5&kbds`XxweK|4`#nj7z_-+`nSv^Nr`T6<6Zxkzf6Kzk`c1M^UfvKB?jQ-2eZ zT4sD|g*^3-BdKM<r}meJ{v9N>toYPE^U(i@q?Qez+Up+rY@muCnjYBksXgYQFO8&@ z1E1Qh9{L7IYB}+#o#UbJilmkcpV|%&{U{{0-1yWMc<ARLsRgZN#~H_w9{SBlYI*UQ z>*k?99Z4-8KDCA(`m2%D^5au0;i11DNv!}rweQ{aFC(cH#HaSGyZ#F#wL<vR?sV7x zi=<W<pW5~A`a+<FAT;fW;8Q!-U0)qZttdXV9q#%zNNUCKsa^6~KLAOsI6k%0U+bqJ zsg=N|w)VAt1(I4xd}^a!>-Qk31?_{tna*5Z>n}i3D~-=wt=IZnkkrcHQ!Dpc{{)g+ z(B4{{ZeV+@e+Nme96ob@z0&`Hq*flE+MBQRSwM|_XnIh<r*{7<eF-GBiulydeWkC1 zq*e)^+KI399gx&2<5S!CN<RcitqMN1Ij{6HkkqQ;QyczDzXnOI8Y5)iG_3yyTK|dM zR&Ga93pzUkXS^xA(qDk27IdBgPPJUG^mihu1?{)NsrJuH{Yyw{web1v!At$uNNTn5 zsXg*ip9R#RgQf=^d}<fH)R#q43)(A+)4h{l>YE{{)x&3Q-AjFcB(?hZ)F!;t&p=XZ zfKRReOZ`S9wTAfA+P~DFg{0O9pIYOW`kRr|8sk%|_EP^0l3LK7TAX1f{8Il3l3G)I z<}$w2|AVB~44>MYFZ4w~9dT$Jo8wb^@P)n(l3ELVYB#;mcR^BXiBIi}7y2<sYOV08 zZF`|#f}|F-w+v^PmA}yMK~igj&)kR?`b&}2+Tv5|`a*vnl3F`_YGq&OUq@1Fk54Vb z3;hpBY8~*Yeg0gZ6Er9SjW<VpYEM4bS3*+jgir0-=la%2YMt?^o%>ur1W7IEj0T)> zG4Z*6E|OYTeCD=4*Kb2o>xNHl&2#<vNNU~jsm*+@zXM6F2R^l-&-E`NsrAIC*7dpm zOC+^k_|%#_*JlI`P(kAjwBHeDm?=Ehmqb$QgU?)^=lX_7YJKskef><|6G<)T+zgy< zxbjRt5lO8-K6Cdy)2~EQ8-P#k;%E93k<<p_Q``Sce<hOIAbe`8p6MS%QX7m<ZN@YG zn@DOy@TpaJrvDL1Z74pq&!6gZf(90$aU6zE?fIwrib!h1@u^++RNoRwZ3I5G)1K-F zBB_mJgq;5cYr}x{ZXmZ|B9PQZF+$GlfvE-U$AGJ~da7TGq!zRX7qp}vrWUjh0j^fq z{>wrnwJ~Vsg7!kd)xLb94_&$l?Uh5$ngETH;t~hxg)4^cRROsLmpEvg6<s}OJe6AF zxZFuCap;s7_>3cvKS1La_`(O5y)bh?W`Hor9MDt@DC|Id7zT-hmb~K%Ph94YivRyl zPP|Yn+;GJ&F7t84FR9}3CHVymB}wsVnMI*_nI#N~MadbN@kj#cIY~JTP}z7x7z?Tn zD$bCTnU@+LZ)yl*7{M5(Fop$#XI^GWW@3(+VY~sHZ5eL}W1E}C8^PEnX7R=heyJrv zsp*Nu#hK}Ore^V`r~>BkW~c%d@#d%kmhl!S0!9Y$mJG@Hc_l^pIUujb8zS?d9?CCB zElMoOEK3EcU_jx;8=~@|J~K0hG7Jr1zBV*Kh?&4;VL@SNU<Ma6hqFNE41w}+uycHJ zPJVJWL$GsvQEG8&35b!IS5R6KoS0jXlZwU-OU%hk0g0t$=9HusrKTWMp^88hx(5d_ zxQ7HYxQBxd`}1*Oa1U~Y9Q{`iUs@1fl3TzK;NuhT<L~V44mu6c-6`JP$H|Am+1bb2 zAHsBV4t9bvU0}2)M9Mul2z<_?dq^;t4hZsb0W)B>fH__vj_&axFuf3-vp2*92+sv- z9)#x`j4T%v9D>Akb_@s!4RS>i333f~MOG2);pv9V4-If}3_;Nl>KEdQVra0dk0FwY z!LB|=s9a-It_c!1G}tu=<T+#mU}DIoz{HS^f{8)R3-Wi45BGEl@n8snvp@j}6$CSb zLmY!b7(Ag##uJ)iJmZlQ3<?jD4E*C!69)sj07O}^b9_NjesXGYab{k+OMV_W@#W+v zrUYkXra=>CW{G1;N>OTYF(?sc<|P-Qa5GDSOLIXoU|k4iB!efq(f;vZHDHtd<1_OR z27`DAb3r_au}~eQxeO3iB!hpv8%j2SdLt;l0F;&TN`k@XU<L=pr<InJ7D0G1Hp%&U z#U%!I3Jg%Dp`8LONaH=7Lfud}@lK&`?hK$XMK}qC2h)P=1CRo^e~=Y`LI)xlT$+oJ zD1ha5m=GdpJQ2Z!B3YWt0F^=*1kwcxX)p~_4GM`82*-!PKOPilk@5Z@Z~9|K32O91 zlm$D-`<CXE1f>?2=71AHZfQ=5Kd9WyOEF@I2@Z;nj5djl&x|)TjL(ZVP(Tqf!W1&b z6f(gSGQ|`!!xS>d6tch+vcwQFGQbqVbiEO#>y0p7Z-nW3BTUyDVY=Q3)2T+7PBq4K zsxhX!j4|D1jOi|8Om`V$y2}_dRE;s6YK-Yr6HKR?V1}v*rt3{GU2lTvdJ|07n_#-$ z1k?2<n65X$biFC2>rF9TkLd<XCt$h&#R2A+nFS(*5-<=Ulz@Q<p|}7dgyIB<5Q-Zh zLRcJu5(;2ds5t{7gc1r6Axx*Drg?}eOm`V!x(hWMfHh+J8a2^?6`>{?h!9Gmfe2v+ z7iyw`sKRs>YNCOt!VE6dL<3fZnrI+Gn89U==|@vc*PCLx-V`%XO)*`MsSi^drY>av z#TTWfL(6sKjDaR>2rcf>gpIHZ8)FEgqz8l{U|~$N!NQnkgN1RJZGzoOQ|!WK*oDn8 zg^}tHBxlFx#ba@Gd|o^jN5|*IW4akRRUpg-iDH@y62&wZB#PZ!Og|$hID~B=QB2!F zqL{XUL@{l{o{m7GnC5~+G0g>uqL>TLM}`<F5h09XGC~-|XoN5hvr$qDLN!XrA%sy< z3qlwrwLpYH!Gy(uAYm*n0SRL<8zhWrHmGR9^dv|W(`1xZ0yx6K&O>P_APFNEB1po> zB?*!+a`l2F42nruqYxpC(o{eaMy_g*gwgXA*x8`)!1Ost6w~J*$6=}kiDFla8RH<e zn2`b!g~mxyYGMksGZbwAuHq481hSkV9yudCa>j(@KyhxMzz`f1k7OMv5aZ(wz>V@^ zP-iPBH9fPqB(*5oAQn=pp@@Q%gIo`5$74zw8pOuqku}6CYlK(U7_Y1eURhJTviKzN zx(Tn7@VW@EgUs+b$Q+-f1wKhjB+1fTP&{VFBbS-Qa6wQl0nL>NK~UDg5JV~j5Gs+1 z0E8e?djcT{${-kKB9#dUm5AH|7ej80A_S4j2!tRs@q@af2tjPVMDDI3RATcba+eLE z5}PlLu{jC3mj>64TmmBmv3MQ1vjbO%+;T+-VsjC4>lL9ATexG3bmUeoTsLyN79of& z+>zV12$fhZ!WQnxEnI|lY`!$bmby%_`4XEwW=O3GxINfXHa4rV*^FkfA-FV03m}Lf zTEc_~q6H8{5Y6EbK{Q8#M_<tF0gIto0~SNG1uTYU30Mr99cTdoR)-c4U@^3SumIIu zXzl}xp}7w%hUPx77@GUQVrcFIi=nv>EQaPjuo%>R!9nrGrMaLg4^lls*wA7VHA{mO zAxS{na!}n!63{jVrUbN^fhhrPa$-tAn;@7H&{hbh1hgT7DuEjANd5pxVD$$`0;@kj z5?K8KlECH<kT|07N7xM#$7VH19GlG`acmZY#Bte+E%-p{v4tK;99!TakA$IwD)M+3 zssuD?LF*xemysL1C_0cw$WSFfuEG|2$O9j!I#BZt*m6jL0ZPnh0gI483t5B&S`Z>6 z(83TQffk71!T@A9nm6F$Xo&zWj^<XlIGR)8;%JEgE{^5{xHy^z;NocR2NxDt+z%H= zb3a@h&HZq3H21^B(cBLgM{_@19L@c3adQQRf_Q`Y%shq|KcDb;(AYdU6+k>_5bqx! z>gVk5=jZAi;_9M+O_4EvHQ<hyp-H?mnn?`$8Tq-X#ri2mCdHts0exRr7iWJz{gMJ* z(9}~=s%~mYX-Z~dUWqPTNpVrKenv`;K4S7&uPh^lLBF6VKTE$NsWdYurC8t6($Z4T zAl}n2B;LTpz%<^#!o)y7Gq1QLF(;>3KQSjKz91*DBrU%vw^%<cvn(+sAF4$^C9xz? zzYJsn$fDwslz1Zp0}K7)lEl1}#G({>*j18RQIeTYLz^;FQ&VByN{I(w(id-NXl4Sk zGKWSXiOWvir2Ldh`dFM-nwwgbnH*nS0**%7`xMDSWN(t6=AjEIK*2>x)<Ig{05J-Z zcarlnQi@9w)6xv}OA-t6Qz}dKBZ@O~Ba-uj6Z2A%@+<T~St7NfM8BdqGq)_YC^IcH z8Jt(*3lfvF6Vp?R^>Z^TQd8n{67$mI%W_I83sNB#knd&0+#+bLg=c_X896?OlqUKR zO0PIGSHCzjH{MV`DK#Up4D20!&<Yli;oz_+O38$j1kOIi`lbfvCZ=Wv5CM?4bMn){ zK~b8QS)7?$tncpULZ(j8G%=Z4L37SzY6Z>XlBv}vG{l&Etw!W)H6dTCDfwE>$kgiQ z;_OPMR%gecph$9k>l+&3W<jRKAid^f>h%c?F(gxKn6tAU=om-PvL^6(;GngMFboz1 zogogzp!04(7_@&0gr_hvFn};G69WSX*D*0LfbbV41_lteW@caj;WlOl1`vME%)kJ` zrYsB$AUuhMfdPciurM%yFgq&)0|+~@GBAK}Eh_^92=8EJU;yFItPBhwtjET{0K%DU z3=AN=gpGj#gzvL4Fo3WOI|Bm<N3b(6fbbM{1_ls5&(6RA!XW>F{05^zY|t7_7@t%c zq!+X;1f~zPG#9i^5wsQ<w0s>VPH!4?Mn32+8qnGEpu6or_s4+Ppml7P3=9mQ^U6Wz zg@euk2c6jsItLqc4mId}Y0z2Fp!1bM=Np60Ee4%2oWQ`q06Mc4bQUe>jM+@+ouHtz zUO{KLYOyjf=&~{}7_u@jWU(?ZRI@TLfX=v%<Y8cl;ep&Y3A*<Obk_jrjt0=(05CU# z&fN#O59T(IdqHQ=gU)~l-CqYfvmJEXF38Ow_kzwNhq)PaCO7D;ZJ66Z@d?AoYb^_) zd%-K9H1gVfn0jpV0_dLM6;K*oA37gq#tP_u(G5@<T^(p`H%u)uy#czfa0iq|*N5yU zWPLlJ`_B$QX>@&{bc(DV#{K|GqznuUKcF<aKG0fam|A370J`v10!pL%2YTExvKBD= z0#yACD2=WUDhyVOOmu)U6$1mq1SpNJ4=RkT1<dw<o{Jd(rP1|4g~4i(2@dF)hyqX= zT_02!Sqqqb0xEF<N~7z89>WJ#i%fJtB_=>=bbZj{+K{z?*$z+%4=9bU4|)U`SS>Q~ z1F9Z;2`==WL1cOK_0BN44NwDjKxuUQKx^J%YLV#-sDTAg8eJdss2pT1V73C(Kn*C3 zt`B;w3s@~Ov0yDEr>ua|==z{Xg&=DIvm@3)^d&%PbbZj`1FROA5Lge<Cjq6=^+Agd zWG!I!38?xDP#RqywD<t4MJ8@Q!{Y&zM%M=|K9IG5*%P1vJp)Rk>w^{_V717E2Q;7q zpftKZP#uV@9?pgaGzXMM*9YC22UiYb?0~920Hx9OL5nMxayZ?v0TK@#P#Rqybh{B; zJ&a+o5u(olN~7xo)$1^|$n*!O`X5jlT_5OtBV_e3_KHmq`!+ynbbX++j$mq$>4eP? zeHl<1T_5_s8<<`R=!HKDP#RqyXwMEzEi!!ps{RI)M%Sl^tQd*iu@&OZ2~ZkcALwi( zB;|0X!#0RM4=9bU542Alt{92&1FD{3J48RaK2UoMNjaRk0jhonlt$MF+NT9qjKs*; z0kNk5N~7xo?b$+74reOtgy_?N(&+j?`?uhVkr)vRP-{43eIPdIzDOkHaApDleV{wA z;EItL83go!?!!V-4rdk+&<DCx60R7Df!;oYgc3pl=$<SD55YojpP}mm-I;|@jbNd- z&(QUO+NucE2o`$#3|$|neTz_yV4=6q(Di}Z#0b?07JB;(T_30&jZlqX-GCN!51=%< zJ|l!u6xIW1LH7bmqw52;*-<n=IVYe6-32I(t`F1~fht9zHb4uy9Z(uwAE<ADq5;a8 z0WIhjKxuS+p#B6@DGJp9E$BL+G`c=e9|=VRl#>B1=n9}Tx;{|f1*#N<LQmJw>JzR8 z)E9$ukQnIc8eJc#kA$Qg&O}e2==wnYD!5`K26}oy*Jp#I7MY2jUeNV{?)*Yl4`ZXJ z7j%7~z8_32GL4>I(Di}(i^%F>Z1nVkt`F45gsDZQ(bEgMK2X0DSv`!6o?g)Pf%>vA zwa7Gjen-~_x;qS6J&YXytxzMNG`c>}Jz_Am$g~BtLUn-B==wlo1jy=PYz1hAssW{; z%`})a1E`-4=O8g|K-E8h(&+j?eS0M3aOR0;ke=ZMD2=QS)Mo{a2f!60F(yDO@EK4V zSszFl=ngUvjgCE_6?g!YM%M?rj|^Q8h;Q&3;!X=FjjRvkPSD+CAaxl1YG}pJ0i}`k zfz*S>XFxPM4tNEzF9J#<>jT*b8Xp1aLGS<XfZB5aN+at7sRxazfM|3q@CIU^1e8YB z2eJ<|4g=DI-v2LvHc%>{G_pRBdeFENh(^Z~UO?=d0i}`kf$Rg_aR$-@Y6F327}kI` zYz&|@vObU+&=?npM#lv&A@)^3X=HsM`#^V}f%Kr4{|}({ynxck`atSI_n?7jbo>Dt zjz6F@vObV~pgYk(dLXU>u^@N`v;npNN+at7sQ``NfoODm0eYFy4JeJQ4`d(ct~8Jy z)Yc{gLjbhl76GM^^?}rb?o9*H=y=6*h|4!XX=HsM`#@uiAUz;9h=ySfXoF7xN+at7 zsR4~kf@pMn0IL23lt$MFx?2rh4~W0uJ;a?Wpfs{RklR3GpdfY7VI2??j3uBAMFl90 ztPi9Bbmtm~M#mf8LG0TBrIGc4>;v7u2GWB*J{16M@I^prWPKp@pu5;WG&<&hHuwaf zG`c>}_%6C05I+Ih;LCv0==wl+w1L#2V+m-3PXS7!>jT}_hOP(1zW`N#14^Uo1Kr&Q zQiqN^pbf<dP#Rqy=pHw8Js`dVw4vw$rP1|)?sNmGL&ra$>KUL7Ms$6kv2t`hApQoZ z`W;XjT_0$C9i$E&XFwa61yCAYA81S-T@Q$_0BvAuKxuS+pmBVVI&^#ks{R3#M%M?r z4-Q=qh(7_^Fr5LV(e;7m3qb17u?Mta8UUry^?~LX(Di`%(1s}olt$MFx-$-}4vp9W zReu0Vqw53RABUy|%q@U6Xe*#Jx<1fda$tpMga))hYXGIu^?~k{L(>B0K7gu!0j1IP zf$o?CD?}q^KpVmfpftKZ(0y}gTEN@@XhS#xN~7xo-8~0Zh(>Tg8^Qum8eJdg9y&BF zVD15^`V&wZT_5O9I<P`Cq5|50Zh+G0`rs3rX!;DG4QLA}jjj)LR~?!bF!u#i{Rb$G zt`Br?9ate6u>jieUIC@i^?~lNL(>B0MnD_h2~ZkcALu?iutGFK0NU`DfYRvtKzG}r zX#sOjK-FJ>(&+j?_uPRMq7e<y273pTM%M?r^A1f5m}>!Tusc9$bbX-v@4yPth!0Tp zKcF<aKG0owXj;JB70`zM1}KfL4|FdcSRopb0Bz`JKxuS+pgZ!=w1Bx1(1yMOlt$MF zx-So`5RJG1Reu9Yqw53Rork6c%<X`75GFurbbX+E^uP+y2nT2f!2?R8>jT}Xho%M0 z{Q*_a0PQHC>jT}d2UdtiY=ElY0j1IPf$rKv(*ovZKsy!%P#Rqy=-xfBLNr1F+Og1p z(&+j?ckrQU0dsFa)jxpJ==wnS@qrbh5fh*tk{M7MT_5OfJ~S<0t_QS35&)&q^?~l` z11m%)pdAtpD2=WUbY~yB77%|2RQ&-cjjj)Le;-I4Ixc{AWGbLEx<1fde&~8Yd<|$v z#sEsA>jT~E2U3TQA3)W=fYRvtKzID1>jCj+Ks!JSpftKZ(0zX(b?7(%+5w7y(&+j? zcmJX50r5GY9UuWHjjj)L4<JY#Iz9kZe*#LQ>jT{hh^`03uYh)(8lW_~KG6MuAa&^2 z0NQb~fYRvtKz9YA>jCj!K-GVM(&+j?_XdL0q2mS64%Z4Ojjj)LhakEh5I+Li;Yxtg z==wnS34+w2V*zM~O9D!x>jT{_h^`03KLJ&L0ZOCm1Kl$SQiqNkpdGaiD2=WUbmt(t z9uVIG+EH_W(&+j?_YZ>9q2muw^*^9Ax<1fdgy?!e{1wm+-UcX*t`BrCAxIrMPJnjs zGN3fNKF}S7=z2hW31|mT0ZOCm1Kn2$QiqN&K-J%X(&+j?cNe1T0r5Ma9m5Gw8eJdg z9z&2ibnF1_7<xcybbX*Z4bk<0_&=cP8K50RbbX-v4MFPA@dl{+9Z(uwALy<_bUh$` z2DC$20Hx9Of$lv7sYAyK&<>>rlt$MFx&ski4~TyQs{R3#M%M?r4-upe9Z!IEL}x&0 zbbX+^5z+O4_#V)XXaJN(*9W>M5u^?SLp!1zP#Rqy=*~n8Jq!#CJD}<hKxuS+p!*ZC z=_`PCU@M?Bx<1fdirDmNKs&GoP#Rqy=w3x^`W`^lzkt%{`apLqV$=5m+VTAWrP1|) z?pwsB?*p{s`vXd&>jT}rh)v%QSjQLIkww=Bx`z>)J_cw9mIF$o>jT}%h)o{{v;!*u zrP1|))~aIDCjjlhN<e9JeW1G<vFVe5c3>5tG`c>}y^YxPDL^~08c-TtALtH8Z2B~y z9asY>jjj)LpCdMX2G9<y1(ZhD2fEu4n?4I@2i5^fqw53R^N3BK1GEF{0j1IPf$n_7 zrq2V~fenDt==wnSKVs7t0PVm=KxuS+pt~Tk>5G7NU=yG;x<1gokl6GkKs&G*P#Rqy z=#EHi`ZAy$*a9ext`BryBsP5o&<<<`lt$MFx;qk^z6xjuwgF0`>jT{*iA`Svv;*4# zrP1|)?v%u)uLIhFodBiL^?~k}#HMcov;#W>N~7xo-8G3#-wbF6b^(+|*9W?H5}UpS z&<^YhD2=WUbO$9ieJh|H*bPt`T_5N^N^JTzKs&HIpftKZ(A|{S^zDFlU=KiPbbX+E zDzWK10PVn@fYRvtKzCMR({}>efxQ5w(e;7uuf(SB0<;5r14^Uo1KnkbP2UY@2lfG! zM%M?r*Akn)2ha}e3n-1Q4|K;RHhnLk9oP?08eJdgzDsQSK0rILKcF<aKG5Bl*!2B? zbzq?#QgnTwdoZ!-V}N!<IiNJUKG2<**z|EgJE8(m8eJdgeoSoo4nWnPfYRvtKzC(g z(^moQz&1c>bbX+EGqLG2fOcRlpftKZ&>foC^u2(p{{W@Y^?~lw#HMcnwBx%1N~7xo z-K~jDUj(${n*gQJ^?~l$#HLRG+VPcu(&+j?cWz?ScLJ*Z0+dGA2fBX~o4y8Uhq(hv zqw53R#feRy1+>HL0Hx9Of$rtRrtbq({SPROt`BraCpLX6pdIZEP#Rqy=)O*D`VycW z?F=Z5t`Br~CpLW&(2lkOlt$MFy2lfnz6((GH=s1SKG2<>*z|QkJLnUjG`c>}{hrwL zIY2w;9#9%xALy=6Z2Epc)iXdl>gf7F_kLp2w*jht2b4zF2f70ko4yQa$G!kcqw53R z2Z~Lf0<>eV0j1IPf$j#yrtbz+{R1eCt`BriC^mf)paTLkpftKZ(4C>!^m#xB1OlKm zx<1hTq1f~>KnDakpftKZ&|RX~^zDGEKLDlC^?~jc#ip+SI*?ESrP1|)?ij_UF9SLt zPynUT^?~ji#imaIIv}6{rP1|)?jFUaF9O;@Pk_?s`at)PV$(MP+F_mnrP1|)?j*&g z?*z01djU$L>jT|SicKE_v}4EtrP1|)?kdHm&jQ*}bAZz5`at)VV$)Xu?Ko9HX>@&{ zJ4~_ZTLJCJY=F|}`at)YV$=5k+Oc>6rP1|)?l#4yPXgLtSAf#!`at)bV$&A@Z9qpr zX>@&{J5RCc>wq?BCqQX*eW3eKvFSSiZD5{&(&+j?ccEg__XF18gErvM^?~k1#iq{y z+K{q<(&+j?ccfy|mjP|q6hLWoeW3eNvFTd?ZD_23(&+j?cc)_07XfW(BtU6&eV}_( zvFQ_lHZ&xlG`c>}ovPUMoq(#p0Hx9Of$mqurmq3oK<R+e==wl+tzy$>0d1f-KxuS+ zpnF%b>H7dx{{u>+>jT}vicQ}NXv1a$lt$MFx{no`z65B)CId>N>jT}*icOycv|*zF zrP1|)?rFuQ?*df)4JeJS4|HcMHhmq?2GIm4jjj)Le=9b94$uaX2b4zF2fE7@o4y}V z^$gI45xPFmy{_2wZGfuZ0j1IPf$n(4rY{59kSc)E==wnSy<*d+0BuNVKxuS+pu1nO z>AL|{{{Tv(>jT{bi%s7IXaj5plt$MFx)T<gJ`ZREEC5QQ>jT{ni%lN`v;oEerP1|) z?ux~xZwFNU0Vs{G4|H!VHhl%qhFb-cM%M?rLl&Dp4QRv707|3l1KlT!P2U5k`WH|d zT_5OfS#0`dKpT7upftKZ&^@!*^aVg0d=XF@T_5PqS#0_^pbb6&D2=WUbpI?ieFvcG zPe5sOeW1H&vFWRTHWVA6G`c>}nGV?W89*C~7El^pALx!+Z2De6)qjA}==wnS)ne1P z0NTJ@0j1IPf$pxwrY{28z)XPB==wnS*kaQs0BvAOKxuS+pgV1`={o^ce*sFP>jT|y zi%nkxv|-u-rP1|)?z+XM&jQ*ob%4_7`at*IV$=5ls{RL*M%M?r0~edV70?Fl1}KfL z4|E?cHhl@u25knEM%M?r8yA~C321{>0ZOCm1KpF0P2UBm`WsLhT_5PqTx|L}pbg;( zP#Rqy=>A-6`W&DQVGk&ct`BsVE;fBXpz0Z*4PtbCpnG+(>DvHRzXM96>jT}fi%nk! zv;kcJrP1|)?%TztPXXG1)_~IJ`apN@V$*j6s{R3#M%M?rhZmc^3DAc33@DAR4|FFl zHhmt@hIaszM%M?rpBI}x257^Z14^Uo1Krh&P2Ucv`U6lJT_5P)UTpdbpbhp4D2=WU zbcZiCeHzdPy8)C&*9W@K7n{BZQ1vgMG`c>}-M-lL&44!a7eHxreV}`OvFQtdHuNK) zG`c>}oxj-haX=gT0#F)VAL#yHZ2AsB)t`XU==wl+0b|ow0qr0(KxuS+pnHL_=`(<K z5G<fHx<1ey!PxY@fU5rhrP1|)?hD4IZvnJpu>wk?>jT{#j7=X0v;i#urP1|)?h(eO zPXOA0mVnae`apLIW78)AZ9pqPX>@&{`-QRTQ-C(0HJ~)QKG0pm*z{>Y8_)(&8eJdg z-eGL|44@5Y3n-1Q4|E4HHhmV*2DAf|M%M?rj~JUi2WSJ@14^Uo1KmxGO`iv}0UZFP z(e;7uDaNKR0NQ|#fYRvtKz9~n(-#44Kqo+HbbX-vi?QiTfHt5rpftKZ&|SvZ^kqOB z&;?K$T_5OPV{G~gpbh8>D2=WUbjL9^eHG9KbOV$|*9W@q7@NKZXal+fN~7xo-F=Kr zUk9`SJpoFi>jT|`j7{GJXajl%lt$MFx)T|jz8TO4^a3c2t`BrSGB$k+pbh91P#Rqy z=&odJ`c^<2&>NsMx<1go$=LL5fHt6aKxuS+pgWYY>C1pNpbMZhx<1f-%GmTNKpW5+ zP#Rqy=x$|f`ffngKY-Hc`at(AW79VQ+VGwMrP1}pf;RJE(dYqfcn3gfbbWC+^f5pi z-W*UGU0*y7eLJA)4?t;jeF-@96+j#86;K*oUm^~D8qfy20hC79mxM##1E~5JP#Rrd zG7fz+pbh;6P#Rrd3J!e%(1v~llt$N=ibEd<w4pBmrP1}J;m{`lZRkrtX>@(*IP^(C z8~O@R8eLxo4t)yHhQ0=rM%R~#L!Sn;p>F`C(e-8F&}RT`=vzQ(bbZ-4^jSa~`VLSU zU0)6keGbruz6X>>*O!Y!p9i#|9{{D%_2uEv7XWSOM?h(Gefc=_ML-++2~ZkcUjYt% z3DAaq29!qESBOJj2DG7H0Hx9O72(iV0Bz`3KxuS+#W?g;KpXlEP#Rrd2@ZV?(1v~o zlt$NAibG!qw4px%N~7y5!=cXs+R*oa(&+liap?1aHuM9aG`hYD9Qp#F4gClxjjpc} zhrWo+oXorm1s5mizB*VH0#oh?J#ftfI`0OP-w7T6;)ISDs6zX5CD8Wh257tHF|?k8 z=^Ld-LtrF?KmZ2=gA4})Lk0%}gAE4*12YHYyyB7I_rd5#&^a+`(6#Cy_g#m!PeJTa zJQ@O{A%GeJn&=1fph}PO=o|u|_84;c)eBp20qr-@**T-ujfTLW4uR*K3=CV*52G8@ zE*{>F1D%_viq!tv3LOs_-o767-Dn7q7Xn8>8HPNqqq=Ai0<iX11!L+h-aV63WsiNV zjO}oCVOzvgnJIX*XH)9R;M3DG`JAI>8uFGdduFs*a^mST&$2K3q^IdxJw3DOsrMP3 z*&0Tdaw4CZESj2sx@TINp0Tvx%?Fm>m9Kxk|NOA!^Ut>XpWpj@=X>q8xrI)O@2}mk z$^R{W+JJAdKWm$lb+6s;D>Eb-p6M+N_%W6F&-z!^)qNjl|8~oDN@yru%((6D(QWm& z^S`qs<_f&yI~3~}A>3l!yy5Mh?f2iVd|dwe95dgY${!ET9cC|=v)l3c!@;@j{O@dP z3Z6H9lDpTrLo+>PA=4^$ZG*}w?0J5Y(W_WrscRc-3}QUHi77alD`S0F!m~Km5_7E$ z|617J9hp$=#{Ffx)&_&Kw;A4jGK^VpASj6Op(@v!IZGN|aIs#~`+6WZS^m%N-t}BE zmv)3Qp8U#{lN;c$YyE+9Vyr34tLy{c<=vdUzTpoemyAxB!$%g@wsT<)Ka*J9R&lMk zx8}eNE7mLWfeA^InP$xvoiWR(>WakOJ1U18cSmhWj(gZJbGz1p>LpCY@<9&&by&sp zLJoY0Vx6{ceZz++)-Wrs4!gAnZtWKpm~T_%B7OHycIKI2ha!8{ZG8a_zbshG&V@K^ zTXNu@soW0FZwKeHf4a{tV-&Ht;n8|gi7GF~r}df!MwwrB>^ppQk(GPn#ulbm?}HMO zW-`r6*DxsE$8;-P+u(C7YsqY`Gk?7p|Eh~d{8-M^ChUGb&pJlNySn;;nj~M`%qNv` z5AE+>+}-+}mnXDxIn$!!S{tT?JN&U>HCq?t@QICe+q(dVUyQ6}a^Vi&<hkDXO4ypd zGvGeqE@~06q~VdZh=i3J<I{c(gQ9gztKx$a-c<45dGh;#f8AgAMrWa1RulQqgjbcU zTORJMDr`2|Je_IRZw-U*WvpL}xpd~NYItbLrBfTo`0%wz#LtCHi@s}b_*Tt&WPWgh zvWe$(BTMJTwXJeHJlmMf<yYu`;;L`&-@m|HUPxlq<`Bl4{Ms9~2QmIUEn*=N?67g+ zfeW=<8vp$oC#H)A{GHE~e7XE;=F0tHjh)RR7h)D2uyn6}5T|N1^)l02QLZyJj*NFh zxz7AOz`R|`?#Jqj@$wc+K18$f{N~d68_xLfM9R*Zu(-tyFB-Yl$S!U8(aDuFXKlk1 zRj!;+(Fyxp8|RjCo%rY0xYw8K#DDk3X3gCvk1qU?#oG4m`vG4gnIDs5{Mh+Ab;2FK z3Ul?uE@}7`E;?g}C*#?zTt2pI8opiTI>RG+VXlqqx@kMZH5crk&&2&c?7#;LRz3TB z%-^L2z2&bnJ>(XN_}Rs@sFd~EyH5ws?&ANq=D0kU%%uu1#*@=UZp_-UJI3x(vFHs? z4Tb6*Ox34FCj6hl#Qt1+!H-ndw!9FBpMI=vav=^|mmj#%&9&maQK(*D=S{ARcdHLv zNd$*z{iNH++4xS@gfQOyEHY!Y#)A50CT{z{10NV!r_Bp+_`%8=R@Qgos*;s=<5qJG zg?T{<k0n@D{KFsIu-LJdm;GIN^|o_YohR%IYMlF8bi&__Ox3ykcZ_~K(0Bf~@ql9U zbJ2h&CNufl(zF)*sbY2G3w8Kf%hfY?SwmG|W2d&rg*|?aD{qTl*cab8(_Ul2?>S7x z*1prbo$K3}xC>c%_yZGO?u9z@@NyQul{Ha}7f*{u{9eZNXuIZy!ud>-Vp+G%3w8LF z%38Lp`u*1*>9cC*GF7u{D%5XbVz*=!v0r-N__2ezxfTWa(S|1f=1s1bdz#O3tYb}> z!`J0pJ-J^F=r;5JTkv&18=q%RsDrVQ^ET#SZjlRi0gW@iYb>Z<#8f;##NodXtJuDE z4G(p>bhe5J+*{zlJavBtBlAVws0$9c-)&Rv5)|y>xur7RtY_s>5zlsHUi&oe;WaV7 zKU+;F=&`VwUfAiuEpejGms{$^-6gC%Pu^c_Vq@daW@J8UpY6!J@NCophsy1HQ#3Ob zgf26&t;)aH#Fpfq#mM~i@zw>6M<SyRIDBT(%}6-c8uxHs+{**DY=)(4`xu$u?h-SZ zurr!l;)UH3R^BK7o`~jUUvAvLmG?_L7f;rL4X=G7b0j3rU2S6X%D=|McE~-$k$LJG zF#`eHU~Z`uwQ<}M9r24<c}?=JFtJU_-m;+aT65F^hhP0s7aX3u>Lw^i_nkU*(IJ1E zn8Ael$zmo37Czh(8g&8OQXF$1isn5&)VRNpcZ$8Z#1)4pS-J@ee#z=)C|tY3#P(|c zl_oYJ|13u4v*KG8G+sFpxoL{Qg?UR^c~kDKVC5+Zj=JFRjydXp!~YW9j0GPebrTXQ zmWr8d_@lMHp#NmSgL^wa9o(z;<>23PHShb^sv8?W$VOdocq$%sz~M`wZpH$$4_w=S zzC3XM58ET*Z`0m7F!#=kI^a+=QOx9mRS36)$NqS3sTXsWvGSzYFJ|TavhD&C+b2`q zjD&*SVg><!c8Zw@RE2O$X#9`mmg-2@wnm00<olH-wxr(~jLcuRXF4)>_J|o=uyN&< z@|gP==Fctq{5)9-pLKN;7F5p`Gnw#vrkKHn_gRk2t@|?>nGa9jx}forTye=p-WKsJ zN9L)`TN@f*1nFihDBC1vAP~QjmDl7H$c;YSQWDbocev9n3ivPOti5KKpisMB%;dt& z6|6id^Dj2BRYmVTl$&eyVf(hmKU;Qgx?y4vv4E9FWOCF6hm1}!g8(~EZmEtn7ns;q zP0w;<-WVEnz~OJ0Zid3fIBtoIybDciLAAOG3--ElOP%of`p@l5d^GdjpP=w&&`nsd zKZ09IW6lCr9v<$f3l1-n))z>N^Zn^I7Ffr|W*V?Fl3Su<-Q^~>to{r}=8wKn7aD#i z+x?H?$@$ND=i9^oAz|HbDi~95)L)sLDtr9n?epykE?0~;uUx?#*v8@KBDu(*UcmUY zm}`$p^h~SXjMQgFi&oCO?BnjDyLgVE<=LXNi%t_}rgi@@Je?zXL}Sy`S)ae3IWy_^ z&UeLjzwPP|Sg!xP@7?D2rLns!`8q$cSFiu8GTrU_pSg|CRo!&_&)<Ii|5VzQei;Q* z@5Z;STqou%Jn)W-^@(0+Lj77ME_2O--yC-=)1EX}MsIOgx%R+^Jl2r7kc5&s_La3? zPB_c5T)xKliJQIWe27DGBh%foU8)(n@>-W%Sxc^I7*sE2s+uh_quznBIhISuZhgar z8=d#E?cX(Tv~gsdxPxi6y0*eLm&UK3MJGfoJ@70`{*Kz=#@{j?xe`&A@-!5R=QHiL z7M)NX*7*10<di6pZ{Z8fof(%3i%P_;Y4GxEyf~F>MeOPWKdM+w%(M)4`ZBJS<?^v# z+i-4$bBaZpvfU0}K7|`k?rmA9`tv83gicVxM;X=@y|9Fz+FTuX794oxFCvhwx#6EW ztIE8f1mVf4QA@ssCRDFtGE&#rFgwiQ-D0jc@k<&GO&5I;`@Z>hiUo(+&M%J_sy?h1 z4fwg3X|cc7f@iW?myP!IGf4%D%$T#f;o3_spL!R@x!j^N_69NTE#*3MUDRMlbmQrF z9}n(b68NWCjKg-_flu|K0ylpf$nLLN!Jl{irNWJ`H|8u<{aUW2FflaYGZX8QbpZ*# ztyoj)mK=B&%XQ*!T;tz)OkDp17l_YOy1b~QgX!>Q(SXW!rp4)63%+@>9@7tZSiI=K z6J5I<zHQA3lcS^Cr!A>p-f%9N>&(As#=Rd6XHNUs$t7_nD8X=P!v!POY4!^nPNZ_J zsf%UYI9oL0{-TB>&Rj9(Owq@B{;YbC@R?)5&gkh1rU41ncS0oPXEux8nESHXx{aYJ z`NZDZ4A1(NOkDEe3(7m0yyAlsb}VZ+CCk-gx1!-zyof}-BV+Hz_S0K@<W@9<#WcPQ z7P%0)=)jxfA{U~U9{3Y3YH(x0fk)?S3ug1MA5?39Cm$8`PD|m_9H!OD%T8|TkX>-# z7Z0n7Ur<8vMy8<Wnj7{8G0xn?wEMN_gx@one%}@e__3L3d;fv3^6RoYzE=h8I4yrb z_TB9Vwu|{)UzWO`U^Cn^pGnJI!(i5zgR&tE2OY28v)-aow~k3lT-%_smnq6z!{Bc) zYe^K>nY?g^_nNHFEMu1)O^9a|;}3Vpn8$SbeW1gW9M&*yu8!EX2Y!9!^0>3^z_Y#3 za1HL~)6KMB*>EP#I3=p=d!U2fvIGBOSViuwb$GACI>m1Bfe)cvD{7(}FWwdj*zMl< zv5!frU%TLtu-%S)W|<xJToY<Sr!Uz0ec~?pmxo0z%v^ln&F-3l;{px^JbT|<nDl44 zsKE}W#-sU~3MH$VPVWzJcp}Ie_J3i+4Q;M9?T?Lgg(i#Mn6tLwN+ws%{FM!7mW$lj z8^gFWUu#2sHxrjHYZd?R2WFCdO}=`6Yco85q_T$GtC-?*`h^PXmA=4)KOw9p(=-ic zhC94AVqLa>VZ*tzTxagBY`Evlb>_b>V{;?Z^u14-ci&>%A#U51KST4evPfvc&kR-< zF|7^180GI6vIxjH=N!E->6a>($C}WD&C43Dq_J+34{><5p6kuN1r2vz_fN~bX&=DY zx>)3eZh(X4;sYOwSVQ(LIPgM>^~%2W2Y#rrn!F27D4NcnH~FE$jVITNoxLwDj5N}< zV%IRJUcywRu4(YUj+N(JaKgvWTpVk|5`I>5b(n}m{Ox5*vKEb~cVlD|THHS|Gc)0? z$HRMnN*?T!%Xqi^{Duj$*0J(#nH+V%;aP3e1&8l3`wQBA*$*a4pQz1yv5@W8^$bSl zR#1&{&rLUB!Ix~^423<;+!8CkY+ca!Vny=P4T%fBndxRI*!glxWSqISpWOGD$e zn^6}Wer=9A;P5<4H$x%%Did4P_H0MypYfTD%+2mw7c@TF|L0I_j0K0@x<-Mt(}9;9 zey)u=;E=LP%p|}T)C5?%bwT4VP#fcsl5WO=%Bf-o6MprHnOxZC%Pn!@PY}1%i?S^Z zjdz5jE;#%T)lFFNVOq<)wT*9;TdUO%&tBxMGo|lx6WgKX*^JCn`Li9FFLM7qbT`F{ zBX3h<ROX9?Y>!@VX=psQHtK@Ir##(+1z$VFOeXwWE@p6{5>!X+tL&Sj%kxDI<l+`F z0|N_RZYhmA4{iyL*afV-Q}!=m<#7R3NvrIyFtH_-XF4)}ZQt6^=;F^Um9g)Q_`64k z919A0m)t9vBcsZ@MLm;|d1ms~1&!}kMjdc?*Bo`h!G1j}kI23ith^PXpipH7g=#3b z#EL&*+)^EO%UF4?#9eA)d-VAEsgnmClvlCx`pkGC{;r;R;+&=4I$OlD9GPDlZ)s>e z$EBO0P`xsK$7~<=gT7`LqOHFKF#nvrrJ?a)nr_B|8`qfFoOW+r(D<nv6t;Ih7Hu;L zu=U`U=s0_&iR~5lmWIY7pw__77;Xs<(X9&_ze(vPC=@RiGr0h65XxO>V*9mwOGD$K z&ruf|%)hnRsz2A$lVK6R?vUBE|A?rGKp!Z4CxeoLkZ!_)vVCGE0`W^(c}&8x9hpz^ zMjdciy^xi6$@|MpY*yA=7c{;BrHK45Hh+brPP|{w%EPlP+mZRG@YaUL3rV^e3+7yA zVpG!Ix}fpZ*1Cs!da^sRIhi+pb>=q>xW9sxM`c^4BlB6=r~?kKGIcW+*k<jMQRPWd zin`!XK1<AC!tC{|ykEpK8JSy)w=8J1ZRYv=(LRLjNpS^Z>UG{d!KJdtKmPoywdPEO zi^=?=pGQutNfl8JVqe7LI77ogq;TOgjm@Hpm(PTyojIPCrl%w|bL*KByQ?k}1YJ)X zr7!b4Iwy6TNz$~Nr<Uu@`ECFG>)rhI)jQY!uB-i*ZvFrNf0=W<F(o+(-?J|}?ylE4 zaG^i(w4uO_<QL&0>%S?n^Q;Yb_^HS0Hj8V8-O2+u{8+C{l&IS5aG-wrkqZ8-#clTO z%;5^V=7)!T=VEDcc4mC{FU#-ComL;&wGCxnjWaikUWi?K;LcR87wdx)9`doWY}efI zWe3wCYmo>}AMQ6*JNx@ySg>AG(@?MrZS392<)IgxkiC@Y(sb<&o3AmO|FruduM;gT zaq0G|hCj(%JbM;2JbKN=(>r(F@_0L+#)+C-E6xQb=qzsd#l@-?roCXNTjR=T(F^w$ z9XO-R^`cC4Ms+CTU&G@kPi`#eV9%TMFd=1<dPVF0!!O@{c<`jrd8%KCL&Zua?fHQY zUyN9<o#N`azv#fRG*%V)u!Pr^tV{kcKXA^@I7Mti?RKW>$s!Z{wF-V4<jB3fD<u)J zh_!2eSi*}S)+zf}9yoDUZpYOR2jhEREo)TV*e()KAJ*8pk7-u5y#Dg@R~)%A<d+^e z!^!nRFD&6*FxLxlk%%8{OiiJz)82(T{BUFq`?sXw#c8fJdtb6xS{~sKNl<>)I6K8c zV&)UixQE+p%I{uj-#6KqjqhsIl7=tpqBnNBFy36Ry`g+E)2Htm1|J%jx@1|~;({D1 zzxd2#b^8_$?h^WjJJdEZ@khL9y#1-5;Qot;*$NwD+80!AWC~Vg-I5=Y@GM<!$J37o z<LABm%j{fKAL8)el~wGU*QpwX9qXCA--kL_EIe>ZldEIi>I1i2xjNz(A2?RUs`5T8 zVfD&}FJ-J{Wm*cSBa4dO^oBe9v*qHEc?@-PX57PgW@qt(>()Oy$=uF0cX2~eT;s&m zA_0HbF(sF4E!gMV*r_gZ;m*PXSLD=B=`67IYn-`)X;-l5jM>W@{u#0AS)OJt=iN}y zD8>=D@W7>V5rKWNjZ@<*9)ulcuQy%vwo!4bxQIZ#b7Swu&82pe+O;>Bx-!0;EOO(d zhQe=4)^B0j3jcFi+46!NK0fE-v0c^h>Ar|Wr7z>v3o@3L$M`}WYBw<nZ?-L%%)_oc z+2*|VooVvBp7Y=Ra`M@wM(3q=s~f(ha-FI1X1p6MG9z1K!T$|R-1VUjA4FNF-K&~( zhUpNy_J&W2tS;%A8@9PHew@Q}ov(TK>B9$?T|B(~@3Ey;EGMN!ZtRL=eED1CM&$|S z?KXBlPEY=O`oM&^c*dXG&rJQ9ps=Xn5xc0wtd$L)GP!!@tZ#TVT|{Dc9OKtjOv2Kl z7it0;SK4bY_`8Y8IDekHdi%RZt~0d`jDID$be2F}z2}Jb9n%f352jz&uDEw#p;vr} z!w)Ogu(|~eFW6YG$ptw4kz_TC3vw`;F)1=3yPkE){q+aVm9VCWYi-yb!}wEQqu{r} zj_iiU?5z$Te{=C%6%F{;%cSgI4GH2^|79eEq)Ru=v3vDf%b;)x(=1NbWqzR!zhhX_ z?AABDGvzu{>(BUiw`jzVtxQe+nj5YJJ5-$iSyZ%UPcY*}WswMLH^z@YxpL;fQpD4^ zhui*Kkgfl&UHS09!l-afh1kG^=YFgy^-B-j6XrVc-?@=-j?!lEsMo9&4WHaaB|J43 zR83<_UM&)^->I>)lq=)jx&v4AMK9!O8+<N@j)Z;hZB*R3eg3ND_w8dETl+N>EW#U? z_G>Ea32L0Wh-p!_XvA(`#*b^ca%@&LJo(I(vv*m;m)l||PHrq-!L+H6RqDmrYfNmP z(z6_y8%5(DUSs9^Q)+l&?*ZvY>n6qWyE)(2s4IPNmZ#-f1|##+`5BJP6Lq5wIDF-g zy5O*8H7n1R_=`<!LdjyMm<~C7PSDLz_?oDju)x-vTk6D|g{(X!#h^Z-HmFa|rkj!A zc~kq&eCL7({}z8aXsh#U!$n(LvAQ+ynV1ir1$7+1M;&nZVX2$3;8Xni0&!N^AL6Zz zFYLNgtS>m|E@I_5qMqpp>63o~b?{5~i5X1zw@J+8!p=Bui4*@kxTRj?USVSUq^p~u z@L>(8Pu?$PB5=!ESDT&rDX5O0xOhuL<ExKR7aVdniWvmh`*TZqi0nC(8)Naod|l(S zQf7A33BMMK8C<xxfR#6;ZaFJY38?Q}^#2+Y+pmL1H%&1KuyEj(;5fErLF1*ur~?ia z6U9sdezu4i2<&y?mRb?Jnw95B{-q|iYkcDG=J~L{o7dwO+n=cTGg>!e!PhMy=S~+h zxgc43>)qDU=-GUK*5)ego^O6c)Z~J75Vyn$|I1BmOrT0VDU4f+BX$id&y@YkSb1GQ z11YQQuQstIea~iO{<?h2g2p4D20`)p%U_L5CvasmGQU;cvY_$I#;5}h<@-Rx2s^|K zF6<BBmePoM3m$5?Jx#3XH9KF{f|BWC1_F7Yu>^I{Sc0E!#)3B0O;Zd6<kz$Eny6(l zGM`+$bwOj87q^7R{I#sSC1IJ2%r`-G`aLV%1cmx`F_R6oI|}?Sl{}cYq_)63Q~q74 z|E0VWZH_zA1zzzn-Tu;i(BWgDZid1ZXKo1%_Hz?>otY;V#ywmoZuMckIP+CbW_DA7 z*{fK2t~}3jWbRCjI^a;eRLo?;t{85KjP+NW*o;hd6Bg_a<(4{OyNs3R%lzw2Y+UQJ z8JQ1m^e)}VJB9Bu6WgKSEeje?$>=61%(~dbmZiO=q47tSZpMO*$F%PpYh|u~Eah>} zsvv-QEhw%264cFDaQP||+ottdj?8-v(@zB*a`-2po1tJ3$^FLe(Fyzi?3Wnz4JsI8 zXI1yiNfm9s|9;N(O<WpNS8dU=NQi0+@mdq=zAMYIwe?0*SeI_{oGo6<0~aoM;1c$C zhl_azLo!F4+vd0nf<msU2A$p;7$@@{^!|I8d!13|0_OuR9E#16N8kUxS9$Ks2G7fH zFF*fYectk={AN#QkNCOP>(}i2xuD1K<L%a?-)}zYS2X$Jx*+yXX=a3m{=b06R{bR^ z6W9FfWzjHgQ0#TSXW+2%oNX9SK#kzVUl%trO_4g#AyN4-w3$&NR(f`=JIe(@2A7nw z?foqW!-W+lZLNR7%Peu}XRkR=f(F~-*Pkq!6ubS`ue!#b@w;WQR>F6N1Q&*-ObM26 z_gpS_VUT5XaCxTxbz;D8KPHz=_wL>^Xi)sSzv3`MTav*pKmFg~DNpP5zkYwQwtnV* z_J&Iw3qlxlmjC_!tB+%WGDF9SOX{^MTk7U8Ow6e`A<brxV)CVpk>_YaNv_?)_=z)< z`@Vjc$n!h!ua-fhm%)qmK#|q0x7JDxeGCdp!PkFzMEq!#*IE7lnlzin)MLf>3>p?n z^sKvE$m0C$n%wjEf%m61++}u9VbTy~IGvfi|9uC86;ngUnR`!^6E{3zKYi;oC}>Jb zO1E+^mOc=`<97XNA&aw^xy<|b7w^quSjwd!#5jek;pvvon)d}6OIR2LXZ~N--*QX- zPeSB-&U}dlA-|5V{rH_BL8IYfX;o=g!iiI}<KDi%czZIEwg01_dLv)`^vL*omwy`X z{LgKSUa2LIvj5mJNN^>T<j$L-Bw<v1Evml%;Xjr)atum>4l5ZV{M^ldLPP0_t(}nA zzqjnCUlq>1eT`x1r5m6)>N@!1maMx$O5X7;``!PpLeeQ63$=7+?E&{g5BAq>ShM$+ zOFcis(o31psL|_Jme^Er^7S9vTh~<+zB34Pg2VUL&xx>L`hU*d>FtYI(mJo_PGM-4 zToCf>{YOyboalb_Y4H-j`ID6-HeIq?`oO)$?(N+<4Cl2PJXoH%uK88Nm0<by{$pq~ zdDnlPc){x7*QYVx4q8g*`7|8l3D8>ny&9B2p12m4_CNFc-*qNt@4lL=^R6bEGtM)0 z$UJhQJED}I;q=QFj4*#xU2;Ek=ojzP7_*45`e-Jew1%L}Eqf9foDDxM+Of;MT;||} zov&X%UHxs%wf7zk>AV7+5|x6h-!Udw=E{7N6?AZC5SVy#Vt>oQXO~ay%-OJ})(>pP z%bG*dYz89A`dzE5b-*EV`q-z?>ag42)edZC>u~AW(-HceVZ)rVf6t))`K({*Y<cVn z`@LJ+SUOVn&IPCU^WZ>za@6~(?XvYB*Pl4G-E3;I&%2A&28_Ewi5}{o%>SNH|3p?j zm3%5Oxq8bsbq5vcZ6J@h#e<XniSFsEs!g8%)ix@AE`8ci?Yo4W-+_0G3rv_kDTUo- zK2T&O1xpnnxy6>pp2%@m3n@&xs{gr-ktg%cD_&-au8HFMq2FKhDN7h#zaP|RxYPab zMM&b9AinXH9K*EB$5xq7pSvvvlJmcn$a6OT<rFx1>pI9ACHr1O%s3qSJwndiAmw9t zzOMTGJB$B@FqQE$Oyq!h=J^k|o0dD-!I|-M`Y(?au~Qi)t}%ZPDn0&pf=Um!IG*sk z$-ngecdhyJ?@ZCBleSgo)f2um1V}MLBj@e)ZPVsXu4Z__!JxFMWL<yDwNg%jlWp6p z4l}g%i0uW(Z_<uea%X@4(Ka%!sJ8t1MDB3t`xT%JmdWy@H5?owR(GKV(K5YH6E_qT zGr4T~^&J$ZQv8*mbY`%t?6@G$%-`3yC9PF{7Z_h0#tP156U5^{$t*K@H7w1)`#RC! zg_?s(_Wh?IZ~R;g@&>FB{9hTq)z0Cz`u^RZfN*08&|;W&`P>?q-uQRQ3(MR=g<vf> zQ5*-kz)&J~x%~I_Cr$-E|5g3P43yIXq#&hAJuIi`oc-yMarZU*={Asaml{>w0;S33 zi(7y8)Vrp|mG0YrydWE7<x*(5Pz@`zU%AP1a`XQ>xarsYJ&6rLnR_09q7GUtyqog& zpWN5aLK~_XIv^!>uFMx$R=8cG!o&Cb;HGbn!DV3I&A_*ZUa%R6G+!*OlsP!zsIlIc z?-}pC8iH8i1q0lp_4nPK-Uix7T&q3?DtQ0h`u?+;!9e7|3#+|)t_CToY0LM!=f{H_ zJP}&lOT!%ea=D#Ql>g6zn;vq5?CmkDIdGr3VIhOt^=B(Jn-q<ozyI`ILeA&FJ4R^P zTT(I`8ooYv-zz6RthA2^v;UT~eJ!Kc(v3%<;bh&HaN^F<-G6Og&G$xV-BP$4s`ZTB z8|B0eS^d&F+3UT*1<>5h(8!s7T#zU7kH=-()o=Ax!C9UMRL$J_ITNP$|4nzN+_UX> z5+dJUVUak)u=LXXhmcUVs%@-y4O{nPLv>hnymv!7Z-A5`xT^VI2g~Na+WT9sozyjt zFuOAeT!y+$2j#J(C$6Poe=emNyBefC`&`XkcjWokhi^GQtYPYKafmx|Du{8zoIW{N zxwSIARz)Z0R(Od&+v!*7>IV`)wH;%=#DRdGJsRuYT?Ewu?@r6>#?Sp@6aK%F$!0Z! zk{~>*EALZg0%b3w*|AZ5iS|P62d}Yoq`c(@1%OpJq#OnnT+_fIQMFqpxv2k}zA!k| zIK&-URm~9LXZ;_RaDGmg*El}o&f>B-CYMcdm!;VZmelVBWfHfzJt23Kf3bn``h#Rp z+4iMwE;O6EuGz)j@N~<6SPIhF`|UAE#g;Nv2Nh|#4{eM*l6N100u@|xWz-$ruVh>v zAJ%8M^Z18}prXr5SwTPPsx5=g^2KjHZMr<I8(fq7%zdVum>3Vr?#n@Ch1C6TkP7PI zs_GI@j<+sx1(g_I6CsHaR&qTS4TqOHM!&`6Ih&h7#h*DSYCgz+leo*!mh|Lk!ByK+ zn(=?W*8e`g{U>OusrCkVIO*e0i8CEBX=cJnvu<8l<Emo3dD<jD-RLyKrh-K$CM<7M zauy7C@iUIL)7!my=FILBX=%Q4DQVY?dIeKY8$5U3qEkHW(xo#~ivOQ0tv&bsU-R!r zrF-kszrTMt=eI+~Ey;!9`!{V?tG@s7)b>Da0}-jur{V+cZg$HU7`ZcEP37uY8|<)m z)qx{ytR~yF3X)DYuYK%R&&OWQp4i=dg>Nt0t=%FsDjgZ`a_+P>{;odV`b6`wi1*1a z4~V|-=jG8{B5E*a>48f@tS#5IHWbZeTJ%~p;`XA3A7!j&^FkboRvftY!`OL!-OplA z|FDGgE~ZWD8XL@m8Gjz-l9?hBu*a=&;V$;Pi4PC_KX__3le5xok$~Em#*KHmR=l?j zPdj}?h1Eou%f~m|p}LL9m|OHh?BWA=Lb+btUv%J5ESH4cf&(}Cs~+&}=YRL%H;9^j z=Wf8Om#%_!evMNL(@!!fRxM!4e*ft}?iBuiZb$pMc`|Ff7*Dct<*W^J*tO`uxwBj+ z{<$>n{Vh7-|70fi^I8jj%-Wo~jYoDt!zXKSxA&JP>#=9r3+fg!35RPfsGQ6cTwe7+ zOp@=9Yu<KdXCrIT3$<>ImggqlT(o~b6L-6ILd6;;@A&}^74w+1U0Ge$2PC|m&DC*# z;eli4MJLomG%7ECz-KR$Q<lE>>lQx8sxy{GwPoLe9n97pxMwK0Blp9>`)z-YGdr^t ziw5j*Xk2(&G~izwlk#@$1;310kL}`G@!z+xbGqn-JLUWQ>`PZL-E!A9_-x2pQp|N` z?)rv*OSyDvVi^zL7K!+^5*(`WPd+#|Do)+6rSNMKQ})C?XT&}_i`<y8tl^0!SI*f$ zhpL@S)~`h-{GG*AEiE!3U$bC?Gvm?y8U@cacBtFS>^S^h{N2g-;_vc4aDQKYpuP98 z+x?|Z`mc^J`tyr*Q%jG`nub@uMI@|GGH;)1_ai!TzO2L~4UGjm!x~q<7QGO+{=k{L zTrcv%6W*QYdciLmQE_*tQ8bUb_J%KUtS$Z_2~Ul=I`je)-WGFp#IHW!7Rz|@ELTqM z=L5P%GC#WK)F~M(*&NMy^Sa)Yo14r%8GnA)C@_d+Ji3O-xmzS)pG)J!)uI7^`<RlY zMFZ*s8at1RT&VGD6rTV6z+HX29k&m&mzyhy#;Lq+{MN{IW=}NZ-Nzy`es5vVi?8|c zed&G$gCzwUnc75Ir|nzYP!QZWHC|KU*9xZW{~-=FZ<1!}O0#PyR4!qP)?{7cAD)oD zk7<**=7#d6OrP!tJ$T^9DpJ4lzy)Qw9j<N6^%GAwADD0=&O2q>ihYrd8<j-@{x4t> zUaq;o(!X(KC|5@O!UI0OjCZX?X8dkt`o*uk;R8P_&vBipCkxGN3esiy?yP5;a&L*V zfSpg{RDKPGS%C>}b@=a?{dkb?T$7sM@wkXpB|jwLbs6iD_rVFvS2w)5FM6XolJTdx zSV<#WyVimqb*ycEp$<QNSlz-j7DR<5<hU??mDeyRT+cL%U(?|06lhBL=G~|`(_Clr z%|+E6OvRq8U;G0T9`dmAOxND<#g(-sKOo`hZ?2Aeix1q&7ZrG~z2V~`rb)kbrk^ZK z5xG+>AhTohJMnjp@0+XNetf9+UG9oiggxua#UeK>JsEGF*V+*N;lSHD{Qu59-7g?v zv?Gr3=zC3rEuoB0?`s%r@@BmHU&Fxcp-rUIm1Hg-pHPR-F09MaG#Bi3X`FdpdqMSD zrsDgd4)vRvxMf*+<_9Fayblf9!;jBTzq#pC7^};2jSb%fS&yV^ZP@6+cyba`bhx%c z?P@0860<Bu=9}@^j?8<HZ)s@!^Ev9m0fjwIVLWVI!dn+K&RyJE{kMs^eD<Zr-?C4e zcl!!@y;vTh0UBg*Ny}hlzFNF>LE{an^#$oYe1DA77W}(<z?D}e?;;c1EML$lQiGVm zg}M-KsS|&^xg}oo?RDs5=6!N+5i8G^{wqyvT=LhL*xHt7J2EfbBW55Fx0aPRWZxoI z9+&)UO>9~A*O=HIeb07e?sebN(D-C?)CGs^^;4_M&dJ7DeBfW#SaL=B5tq~pnT4R0 z97|YvzxZ8dV*B(w!;!fewC>^K>8J}1FVu7s7W`4x%}}^>jfrhlJ!m1v`3y$pQjxD} zCKGPNb4z5%Eo0^NvALstCwMmB9cL-NJEuj1j=yr%-C`Vd!QojBXb>ZaTk1sZN$oq4 z%bCk3B`&B>ez1s*3pC}__C3>)d8vH{BlE-MTNgBXWlViz$K&!Hv`FIp1tzvf$1@z6 zdxN(&G(L&Z%~-J2iCe-Wei<uoiQATj#vEtRdY{#-JWuM^v-0xHyTruS_5X4co73;O z75tg({|=f4)a?chDhXIdaZ9WKg<{9kEe(yoDx)qqJPOiHSWwvv8gBv3_uXF%8|eBC z8mQYJb-<y1e)kizrHzMfZ)s?J7#MZoz?;mthjIr?9>mS5E%?9B^<~Q56|Em+bTbxg z@_{Yv2wnd{{u19E&$h-NZ3kR=O}2rCkwA-UvZsp~OsI3@mU`h6p}U)(`DXK$1&#MY zbQ2WjUu$Ax+q|Wr@lg<HtSF3ILL+`TE3eD7Y)0m*paoDlpj9>XLEKUvJX;$YpZ(}g zeV(CUdQ<z(!B*z~52P~uIb*IkJY&&KSYRE@Ep<W{G)AYYo3WsvSIod*PbjyPM(!mh zwnMkKENDFSIO>2y$#yZ5fZNr*IjYcs#1=7w33Jx5^7izIz1wg7;J{wb%Z=4Ni%p&N zvlRCRa7(>dbCHQ{Q+Vb<+tZ>y9{)cgQ=L}9n0lr5M=)ra%HijWHy9O5UF15O>h`&E z=FN^ZuG5T-p9h~aiCtoNDJSxk$)TSOJg##Tj3%~A3Z6dW=Hll%=jR2bQ!`V$J<_M? z_Rh%MrnC4-!Ti_1=DdI3fB*fixa+^|_TRn#+wQdPjHi3QO^%nn|IwWN{OPw_lx*|Q z{Ga+awC;+GG*kG3g6;7Z4+<tTdDXI3>1!@9diP0IUa`WhWD!$VyOx1nC}VH1=!`iF z8?O1VE?dp@VogZGH$B!bW?BaSmoTyEYi!t&9ck7c=+pSLxwgQ$joF;v<<dgctCK|q zX0JQ&E0xRROnAa)Gu9>7G&kH0bV%!F+I?GO!edd;!j;9F?Hw6gnMEyZycm}Xi|$BO zzhi%He``U(eCGEtu}xl{jTbAqR;&$3s9DSZPJ*eR+VSzk3zMFtv2NL>WnlZvYbLwZ zW6>GD8Vk%_8-EIm7|d99;L%YoiL;>zl{1-?vPB|lJs3C6V|smFTVZ2t<JrCIF5O+G zrn$lPCcAlx1xMQ)OS>&gW`{ex%jSA>KG5M|7%SiV5Qmq?xxUN`aCoZE)w6F^L)4e7 zo4SvGYcKd%$EwyJ?C@HL^<C{Y{&(xxHzYof<v$SnkKtN-clF)Z6ZZxD=eF7`I%BUZ z<6di#8Tr2-_~|t~c*54-K27BRnud$oTsr#;xAh22{3{YsJD(}(xYmZcWlTb@tY+(j z9I`et-QKRPu-UQk?s1U`zb7;OR%R8sSJ0c&ceqwGplUtS-sGAB=eFjA6I0K(Omoo> zaCpnbdQ4n%!Nq`tqP<K}{aOZpdzh^BwGDp%vfLE?<y&CFKU*#dnY9O!{1_L17O8ml z({9IgX1+VG8$UhW#WJy#YfW7=<Hl-{i2pl5LCevn_^~9z^Rp`J5+%`@?6-wQCs_J4 z-d)LcqBg4WuOyd7jdSDSRZL#@gBDy5a(J4~)nm7`;nsao33=;qv-V@rqBCq<7}suL zy1e|ygEk)agA=STJ9}@64|mAl$MpGr$bp2pOx^aO2Qs>uPCK)@l<f>FJN+tJM8Mjk z@hiWkLg6H)+0V5UN>?)7{wy+KrS^v1VT?QHYi!tmlimCYLzANTKd~qync}%`#Fm<C zU$AyyoXW3dFe}vI?Pso@_(cuJwsQICg*#;TGF|4^UT`@$;oEwy7rEgH|9n4}Y;X4D z(y4P`T>Mxx;@=$pyb}ciJeEa)8J=4gHQWedy|#aS!;xmL9J_@LSBklE_OEI<Gw=H8 zyqkC98Fx-$`h8q;LBTerc5$r*CB000Rk>Ew_%~i;7YX=1mFY3F{GAER9Bp@glxKJr z_5a)yz2#eQ!Y>cjlD^P{Z=1PZh>FhGAH~>wluM^BoN+NPm(Kqn#);{o5r3yMCGEbi zFgw|HUBeMUt{l0=4Ob+&a^fE}OB>0sIG>A=jJg!Nq~T7v$c^~*4Nl>Whtov@el2I( zdw12Q=#YEs4!n5CwW8Li@#AID3l{N>CpR%gaf{A~TibBXmg~$vC&s<YMQ8kP=g&LA z$l@&Lk~ih<rXAav+}bra{0U@r@e5A)+RfE*HYnk*8>>o~=7yU=4q20#Zu@I0d|t$~ zJ6Zh1vkBF+nSQrxEchVE%I6>GuyTPz{xV3o?JRQ6@GM!%lw}{3P_vjxYJYgbCsx)i zWsw@YQ>~UYoO9$lQy0Owce2Qg|4mG6)3r8K>}7H*Wt~>PqQS$x@#=j|g>Al#rFXU@ z7lR$==ktM^Jx6Xq!<9g;oOIC%mhO#ry+tPM_G@JOb$V+<<01Yn3mP9DjymA*a(mQ; zhV7vB5Eb2D4oP(MU1ws;dVj5n?NRWShQ?!{35+Kux(N$PCyJR&nD<)z9oxai-3DS- z-p>4{6LPZ{nfJ19UC{U^AG8hvG=ubUf7F2k8Rutz-M~BL{!&&R7tq3vtDu#j8~2Er z1pHqmW-ws}XvyjH3`XXapoI$GK<hxCT?ef#Sis8j<R56k=J$v-@wI$S-PsF1_|<o6 zXCzcC5i<z*)gor{VD3^@9#9K{*Cjohk$LMZF%yCP&fF3ich<A=s>okwVv9NtTCx!i zTC(xZw3d@M<^Doeo-chDn%F)`>Lw%<w27Gn{MjUCV6ZEQTT0{p8}WnY>zK=R6BUZ8 zUo2!>^*xi3dE@ad3mSjPZ*6Ei;=wJI@vf{}MwMsDx2sKTx7xEAnP>KAJ2KzBytSe6 z&h#w{8tWptB{c3VVddos&t_y^TD^4v<NH9}gax12bu$!xZ4xsGsJ<&?X(`q5{;~MG zN0&g$RZJ!=Y?tzoTg%F`#qV+x+ok83jLdT*qb@j<HHsNrh+fLd`{eyKCN{R&TNX4X z#dAwY>|MdiJLULYEq6}liJegw9KHtVCMcMNa!ak4zlxPdB`w2|`7CG&?5b6)yj#M5 zweH?gAP^J7Jae}bzv+d$D_D7+%)8RW_DdhM!YFq7slZDI43@F-OyRrM#I|RPm_dML zB)3$DA1LmpXF4)}{26t?;jx)+hQdxiZi$T2EejgIi0LLQ*zC(Kb)snI92uT3Y8j5q zKbij?ijA=Xtt&bhxUgMHW8Ml@o}P0To7hgN>Lw@{`EyIHSa*SmEh!tcz(_gjfJ4$| zF_Q~-R<ZIdIi@px@sh@G6}kxulP@%}?E<B?JDgD$9O`F@83gQz;Fgj&ca@3F>-3fd zjQPFsJG!~q4<0oQsMPopz<hBYC`{wHr8@GjGqFikuf1^CY{Hx+tUO!PvJcAo^ZflK zUr@G>3%03-70R%M($I_J8K4*F!s!aex0e!IE*Hui|M<Vw-m>2Fn~JWdx~+ug^d+uF zo_d|0MxJh$RE;{{frL+b>~h(@?)5Ac?@KB(J-jce8g}Y=?pB|vB7E`g-}mNrzir;U z%;z_&uKaEL<4@hxUgd*l)MYr+>z6OvuU$ONS)j!Czk61?h^0Ye)HH66CH=*TOkY38 zI4D%l<rdDk^PGuk=ku5u4zHQT1y1-ECNe#Y*HZ}S5KU*#&({)&Kgr6{CB10T9ozCi zzFX~FDrF@yS^@XYw{oiV7cw@!veffg@Loz>V8)K)t(+;VVjL1a*@~-O_&=AM!{mQK zA`>%rKAW-pb}N=9(U=(rE>!6$2;4r`%E|NoF%y$5NOQ?FZjLW;PY<zZmG5kDcoiA4 zqdlANP7Bx8a@lsSCHo5#nZAmN3!KoCSi0Jz@mP_b!i4DKt(;r76*4ydiPQ5@usOxb z!j-<mpz(*Oo<e|5VIouK@)(B&f2MH@d(=H;V!C=iW`@K4KhY({0y83xwQ{ChD`IRs zxi;{joSw`U`E?HU%N_?bJ`>dQNqAr)F2JEzoXF(-IL1NYTMM_aMBQOlma2UPiA+o5 zV;mNk%Pd}P(s(LJPhmpT;a1Ko+X@&PzsTwNEU25o&5;5Mx?U!6ffK!jiA-yc$2chb zx6-<E*p&TkyS6~xJByG7A0~4Pca+~>wz|ckkW*Z3Ld02CmQ$K~J_<W$b90377bY^* zs_$$#a70zlN5S|MD~p<ZVItGt^*b6IJ`0Ph8C-b6#B^{^;Vw&IiKsKIEJ>?(7&JaS z8{@DbJv?MbJ2%Ll0IM5?D;(Y{h^qzcJi*Em<f`Yh;M)XljwSI$iA-PnV;mHIH**VT zl-ymoy2T++P+aYTR{>+=A2mH61)pNZ#zTyHJ_>bB+#DkM1&K_Z(x7l`;1>4S_kfA% zY85zUZt;gFe4F-Xdi(+X2jU;Nf3W^xs$<;WfQQ@oKl*|Er@q%qD;VG2`ujP(SoYY* zyVtpE-8cm&>Kc})tnRV68Msn+zQ|6_i3ZwpWStiEO-b{9n;w>XMksS~hhO=nvoAuV zB(K&O?J_(Tvg`8S%#y`!&q6L|JpcW!^5w06&By+2_<i^Ip7qyD-<I$H{WnuwSIhp_ z_j@}&9K7^{Kj+8OgS_(kbzio3i{0IS(#_z0iSmxh&Aks+9nWR?Un~+}D9Gr}dWB0u z?CRB2g9!|RjJlEc-%Vub;JC4n!+cTIpSJU7+T{0iRLy7l^RTFDj<bop{(_|pTRAUG zdRuNQ#30CME%MOK#Q)|8@lW@?Z;OjvxO6j<?_%x7hG|S!)EvCO*?;q3aAAo$#hvG} z{r0ncTk2C8b?5Fssn~X#J44eUmubrriwYG6B_`dctma8K(z)ZU<iZ`i)mO_b`W45h z%eun1VcHsbgc)BKt*yzdtzy)jyRNk3nL6thkp*UqQJc=L6_4s*ad26%bk74fllHvF z-nTz<WxRaj%y;qcK8CHF0on^xf3My4bt%I{hAkow+)9q;KllC}%DScGp5>7b1yGA* zrsur(W>jL#&^*v9;dlSw^uBEFjF(Tu+E<v}yqG1tAk4x0T6Go7wTrCk)#Ibq>n~V( z*;;1N&8kj)uxoR&`{53GU$aX;*FMm}JN<rn$Gc4H-$4zxSTaujTPnxd(Ba@+d9e4z zz5U(#Z}T-5Ed4y+>d1!Y>-K>?RAh5WnNdJ6LsNf;;Nf3)YhG5kGwRN@c_480bNP2j z@P9oCH)Mrf<j+gL-Z4d4$;vLOyeS7UV^eLjJClOag`l_s<yrb~UwYr3FM8q9&1L7~ zDi~eLLl|qPGl4?)&HY!P=-x6#{7%O^Y46(1{|=08PycvU|GxC_2~!kTz-$Ix%XRP= zI8yMvxb~%m*o8|c&;9;!>D3yjlF0anaARIQ|NZMy)#m3nH_UnWRN!nYESC13gL~?% z{I~B*-<oaJpDX)7;O-h&YMK8Po?5<tXRgWI|Jf+s%KnC8n>H6TwN$Kzd#deh+yn39 zd%0|Wl~!$W4!JIV0TR5w{NOPVa$D|+_v=*FEhW1SDbAV=O>!x}nc*r-&PTlSzMaXa zJ9q9|fxFkC)};JL#A1mz|F@-oFS2ea`DfNH@lFSt{2#~L2r&pYPW#j(ZKz{?WO|=A zSH{bfUgr>dn2N8;h!~2iTcN-9yyk+Xm$hXV{q%(<xSaU~H=MZP;r49C;o8jK-<YDT ze$Q|&sn>PLWxB$Zak8#mxkl4Lg+Z5fhu~dJ_n(*Qd>M7;)}K>sbB8+j$&TNvYhg+b zTOR*$>EkJ;D65@k6<6u@ZN0^^LiEC<ulo?L(X%=?{hGPRg-b7E`7XYx*aJ<u{GZ^V zUwo2t-<H2MjJk9Go>1JCzVF<0NOo?ogeU*quT<i1-4Ao{e!jo7Bk}&^T1a;O5d{xh z9`iLHmOhSU-BR*tf^&$z-BE}k*R(+e2RP&cYafQhN8Jy1@Lt~SA6>!d@_7~0ukHMx zG<S2~O+;$BE**dC-#UiFMc<B0-M7VhAuPSTN4WU=T;rO|{{f7;bM3$S#9OU_#lmAm zW|MeleR_Id_xWv!mNyS8uG%gFOE6M!Cx;xCuFc&0bmzX3UGtqy#9>M5TQEHEGX9m# z)6Xrpx<CC~+#`Xzsj$TT9`31&uCKj++k%tj4riY0Fk3#(hMOU=ZO?`2eZNI7Tsqmw z7g)Os>YZ!f;YH!1sG^DbYp07}xb#wz?_$kPsETdhtKs2ac}*++mK>;XS?gSKUkjQn z|H>oW@wR@W{#$>Y1xr7dTOTR#hbB6i|A?HL_%kcsYF?m&xB6?DMZa93D%PlPZWU94 zl|NS}X4hoaIx_0cje9L{c0Q;;*vb^OsrHlVJ`n|>hG|T9I-V{0{`1l|Th=Wl-z3{# z{EKw(X3P>^p!z%Z+OMDn4~8hN0_9!C`d^p+-Nh7T^}oxRXY%PaW{g>^Tb}ILj>yj3 z*M465c#A2@YNoZz*1J!krI_6Xcrv*9ivP!@U(1-HtoB}4oE5wN3#24`s|XL+488vg z^mF$|Ie4e<FX?z^84fFPBj7PyA|LzS`*$Uy?%e-372BBY-$2}Y{5m{jlXkpR-dD0` zd&4xpe@_LjYOnhWvFGbfxIHWK_MV%5ZNJunrI*jHj|ZjOSxmq5SRv{5Fx<gsx95LY z`nH)>V%p}n`CpdaT?tJrlm1_IfyHA|;*n%~+i#LT_W$AeBR$_~Q*Q<1+Zp@2=Y)#1 zy)Qqf%Qib>p_y=`kMXfX4GGI`G(6zyHZv4k7V$`ojct){z?>t#GLB~xdL+#LrCc=> zk_@<f!>5Ex`fx;s@TC_b(z(YDWe7{2;i|JPpC^6q|A9x*5z{KaRo*Xt*O*)O=jg=^ zf4_d%%ARijUc*V^eB@<k4SP1}e_#H*na+@Z*CS!Ssxos=$3@NN&3q24|7_vi6I-7c z<^@?%wdQw++o3}zSvo}iZq7<+NNjGDl1#8*TV4HklHbO)@o{t3&Qq?<J{>L7AjmjH zs^R$02X=2E3zT}lWvi^2=hxt2_%ouH#~|m|UWm@$r&8x8b?=wIUhPx1XUTWaG77N9 zFN#o&SNSqkzTER~@VNLRsF%lJO{HrukAY0{)!JmagB!N)_kUq4W$pjUmcd1-A&B{d zMZR7p#Hl$yJKPREYh~#WS?djQ>RVq^qXPvzVc$R6G$lIMyS~48Yc50mZ$8kRyi~*S z%HNz&3rlZHadOAMPICRfNlG#xtJ(g_35LUl5qDo-FYU^``)}2~#rw@0Yxo&J3l|tG z=J4~uEc`Od?a-_8T@i*m7rC)bx%EXJYT?w`R!7C&TQ2=RLq~POd(f&E4O52x&o2d` z7GAw(DpY0vD#`WnERcm~qsqUDg`Ef6Y5q)*CwljP-o5UR9hjzAHF&TDWHHD+Kbw3D zvUV%_U8YLTzct;WYhP!jIIP_I-e&E0h6Ia-tF<=$2{$%Wy1l<xWd(BVM2-bl7;Mhn zUY`vKoi)Fwx*a;?BCh?*{OXB@An7~5r`=<2c*qd;{nt8?gB#Ym-@jJ<WxlV%eP#z0 zCa`l4?kWQ>j633XKSO2By$eUXJm0R_W5(>2`>O#KmGeXnZaAub{j1#MWX>m$6&h?0 z3hmxPLuifJC65<3EhE=t+?mSIo$9dC^!v(*jBUvqcIBT@me{uK*Sems$5ygP%x0X} z;kc5qVvf0f7R0$ZKUcXOde-+nW#gi)+KghS8-lX!m$|V?^c{R<H_zQ5=f@p(qifO6 zg%WbuT$I?BvOOrg_b&{xxGCvwys3~_S)E3==wDmT4H0ZpZavV1#>_9rxk=H-%~RHV zxA$$>C<$8X0E(G|9#E}|?M;Qk%Ij6SMJLxnw0@C<YMpx6>ZsW6`6nXg$M_&=eHjXi z)PGk!Uc7yKGRgJvN0ta3X0NrCA)ti2I5<rF>2|Pxzn%Lzsh#1&F$2b9X$^vgR;f9= zL2)#DKQy><er`K|YL$S5wApkag`h2bt0pqGZH*68X68BmV#?&S?-J*gCCqH<6RUfp zzi0fNz|d{k;K5RI>c$&62D#^N_iuqLk+`<^_vg2!d3Tc^Ixx9({Yx}8I<Vp3?5vcA zhZ1v(F1?ZaYHYRi&vO5-p|J~gIg~cOV!Fz@iaSJng<b$of&ZVm|FG}a!n3A=@$EI= z4!=YZ_7B+xPqMH4c(?MTQ)iIaBAqm2*Q~CyB6nwf5_FxoqH~5~s<Jc3&P6GTu8}`A z_9iKNPCM4?Y3FssO)|yi`OfEiO3&T-f9{jb*E7ZM-`mdpzVm<e^K&VYrk`SuUp~la zxBTg=B|5WZt_RLbF*)-8Sn@BQwjc93O8*J}D1UJHfb;?O2KRM$&s#ir+<4p~>-QGM z_YC(q_sCnMdKPETF;PiBHGf$t68`!y!(^@bqKjMX1bUZ!^~h{_r<pnR@AeJPXD`!U z{wGu9cKWib*P`=%LnFi9Wv^emJ?^d2=|dMSSJi2K-{|*!x|^Ma{n}0QC12*O@n^PU z{Gs!05ywl9U*X~M#Rhi$uTM+MuBv0K{+BYbXr+FfURYdh^qc&cZ^8Q_SG`Ivu76$J z7{0sv`_V5GZ4OUQUA6y7X6(CgyG?tx-P>iu@A{f6)PMhelQpM5hizN$HQ)Q+r<%6b z)l-*G{;@db_R=}`)J>eu&)VWOza;4KCHwoE{H~s^>$1IjYU%#3oqt%LF8sPGZk_r5 z3yWhuZM;`w=WDzDe2(qk+y#L#_h*FdjH~>&*8Be_zjd!>|2EhAz5VN_KN0zM`OEy% ze{HMS-}9YWK9#>WZmWOQ^-CN4-bYDYdl~DkT))q9?WFS_R?@HcH=eG`nV%mM&yySc zcR$nr195e?7QVXA{Ga2G?1%FQ>KWye`0dM<DW809`eFNl_zll>cZbeTKOnzZJhwXZ zukr)`#{Eos->-k$fBb9ejrn~~s@^m0=l`SlA^J_CXS~&<f7=`Hv()w4##aV>omlmn z-{G(7w?(fvyeby_k^12IjnlmDA@esM@ZZ>eFL~8JlMluR&NJ_4s+kb`Z~C-9{!I1< Y-*u}$;H+Sp*FY@wU%9dV+!aku0F-=&jQ{`u literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..0107b4e --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,9 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xelab.pb b/filtre/filtre.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..51f8edaef1934751a8ad1b85eeba893acb2e73d2 GIT binary patch literal 4236 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJFka{izlcwFE2AM-3sPuV`F1v$6jaTy27ZRUr?f-nVYT; z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|( zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEC8`9 z3UU>6b&K;73yL%HOB70y;?pvVLh~|9;*(M{63ftZ>mlS7baV346+lkOFG?&))dTS$ z@nvFSi5wtKT;id{nR)37s>KS$If-Sd3MCmusfj6&^k8gmjvO@1T#Ob_Q!I^9O_2#M zNh~S>8B|=7Sdy6xw>vXG51h1&O^lF?f|_D#fo#epMlNgL{FKt1R0SK=VmpPr{1Sz< z{L;J>h4PHdoK%H^qWt94Vz6s-A<8oIic3I=0jyqtLyE=F+}K2myP`NVw=A_NGc7aO zh?R@k-OmL^1#<c7XXNLm7VD=NnG_eK7A5NYy1F>~`{|by=%!`nloX}vrk0eZWG3d7 z=)#p07bWXwq~z!$8~};~&j7tL0Y)QsE@op>0|6!rMhC_yc0&VQBLg!~;BZuMK(fR$ zT6l|%i^VC_&D}_XOUyGqIVV3kJKobN)GZz)7!L{-BU*XY2#;4E(#WeIuNet*38HwF zroJ@A<I6`h@}<91sGE@pmr#s<d}KU`6KxO+j!Oj^MW%^?F&=Neqmeg#LPLy=6u9L4 z;}QAEB|k3}>}9Zj<1^z8Y2{^Od|CA_?YwMc#LvYW6C4yD369lxLz+dZu{oYdrJ)Z^ z5I!`D1w|pvd}xZV45FbAO%XmcrmYXn3<o9-%@95`p{)<i@%iu`jq;(Ji?b`-hw(+J z>CpzUG)bi#Tr7r01{QcC@hL65$ic<t>=+ajX#%cBK!pw1laQ!1pq)=m@cHx!Equz( z#p)Xx;bwvAQFBn5H8Q4|M~#h5@I)ysJ!+1{qsBD!s3|^=(yE!~6B=R&>U4st$>P#n zXhlggUmBSj;;Skz(<0rnaxsTFJA=9-Ac_WUbPg^SV<R(s`LUIe%QG0GlctcLrcjb! zppcVVmYSmw=HcR_kdj)QnVzRmnwMDu>K<n1Ddc1(6(tr`f_Q0(nK`K`Tml?Y%ti*L yNL@Q49xg6OM--&dh>eS-JijO#(%~~UGR$BofcK2}xVT*NQWSzxlk<yG1Q-FTvHVv6 literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/Compile_Options.txt b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..aef4fb4 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "controlUnit_behav" "xil_defaultlib.controlUnit" -log "elaborate.log" diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/TempBreakPointFile.txt b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_0.lnx64.o b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..dd244dc3f8e894c701696ede8f52055ba00f5191 GIT binary patch literal 5648 zcmb<-^>JfjWMqH=Mg}_u1P><4z%W4=!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_1u6^-6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DAA)`L{VabhTI<RAFG~aB@5hu^38*f&wJiqw&oQ1_lO?&dZ*i|9pC@91PzYe%l2K zSD()RV5itHmIixt#;62%bUO!hdq;G!aCEe&OkiMO@aPRu3GnIs4pGKjss&QUVfYQE zvx}j_Ma2W8iUY2S$$@{$(br6dZ#!RjG#&w29Sw4A?BNMu_kbfPz_s(ZW9K=K&d(m5 ze;qr|`t~ld<zQ!E@acT+(s>AMTkC<6Xpi2#3epS=V7^E1-V8R7H*8cqI`6r3esbyj z36bbL?Ag0VR{^a3nNR00h-f#uI-kzx9-a5#@{LC!#=VD|=FxnF10J}sFG0xx8jw)R zF)TR1qw}-pJ~IXe1||ju22emi!p5W9IiuUVpuvWNxm3*a|1pHjvE~KL3=9mfojiN% zm<+!`9M@Z|VffAPlHo}(x8*>Iqi6FmW`rh>?&b?j3=G}fH<%b0K+yp<#G`lj1SSRs zkH*6t%%G6C2XS#6*r`x9ateUE*B*;|n`eM6Sir=<z`u=!!=w2J3xE4MaGdjkyzY_A z(&)i>;{OBB-a2NOyStkgFo2x3f`Nen<X8UI=?n}Ep3TQt5N<!#?7_mo!1(e2NT#=r z7oqnCSnmU<-qJG9=3{*5`j}sKfb=yV6M0?e*;^-$(2Zg<Q>nja^D&9n@}AAd<iKV? z{SOWc&*o!XucbYkkFkNJz<~^L7Q<^D&*ozS=)z2|MLnC3Nudj~c=YZ*0SR#f7K{*| z5DZGc`xD`T3%19j8!2QPY&e)oIgd4eU}0cje$5VHFnRRu=3oTJ;|++Z(O_3Y%}c^> z9wW%S8LUwAKn#$14iNJiSi!DDF)tavd0_WButCiOF+k>3K+Mws=eT<)=B3~_5A41d zF!MkRka-&*=3T&IUMha`!0wyC4s{=h0W$9e#Jmc2O#i0gH;)+<eij^1^FR!cc?ytN zR^Y%iFCD*mEFkk9z{~?NK;}h2%sYW>9w=8~#UfBq#;PC&#tH#OX&!ct35*O377Ppw zGElh>Alivfz===7iBG|aPs5SVpqZ_QwU?!jxu1#Y9-jtSSOTns1EMzqq=$il0aTAM zIKuQg@@cs99bjx`?PKX@ZewDq2AQP*HdnxrkAs1M!G(c=VG305CJ+tR>jbjKz>&|w zk<X!-y@#zAX2=YXkO5d4VUz&_1H&DVeg+1Hr6AggPr#8+!jVs*nYoXNX(EUz;Kaw_ z%BSE0W1BEAFbIGuCk6(Fco2=M=PgW~E1v>W9E`)jz#suCxj^a}7#RFPb~*A1G&3=I zf;<G0OTi=8fJbf)9=RQO<gVb6`+!3Z<ZNtsDgy%p3&Y3%*yNbO6%nQkGXo2TpfD2y zgAfA~g8-;Pf`u;w1H%(Wh<E{13po537#O;s;s?;gGoj)FtPm?;>f@l|325TMQ1K0D z;vP_O1~yc4Y@y--XyV3D@dQxa3rkZB3=Dsv;t*397{Gajfq~&1R2;^KH5*Hy;;?iE z&L0d640Azg4`v7w4bmro#D_5-;ZUE8L;MsDaSa^e9yr87O=N8Dnaaq(fK9v)mpM4Z z-$TP^0W_V0%K!!j22e8s<abbV0ENk){}8YeBnVEU3=GKPCQ$t#^`Z<63^4W4NaB1* z;(18oVo2hRNaD!qPa=s+BB{TLBrb>~{s~DOROZ6m!vRfCAa_b3sh2_$2Zbw4y#|sv zvU)!xab)w$k;H|N%%6xud?^m`ElA?xNah?v5=S=wCz3d*41~E~9h(0^{zX>r2^9xv z12JLhV?i8f{35Gw!XZ8rhxjfW;@5GAvw|Fm#a>Aq;-EGfC@F%Zk=^MI62M|kHj+4U z_%tGkBb&1uNgP@IMI>=#_1w_%4kV4NUJ*$gS$#B;II{XeBynW*tC7T!)gMF>M^^tE zNgP?dAhetXxf5BvH<CEA`a~pgWc9O=#F5p5;sk_2=?a9ykm4IzoEPK(1_lOD8w6Q= z7F0bCND$f@1DSITDh|q<ASsX-2tR;|D}V%%)I+%pF;E6bEeONv0Z?BRBn1)!VOaSG z>aie;!|ERqXn6us3&OB^)dx)+R<CBDiNosE7Bq2Ky$Z7*WHt!H>eW4H>S6Wj4K#6B zz4`@B99FL~<fi5(7gRFn6{Y4R>XoEclrUswXT}#NXQZZ-=A_0K6y+zU78ipB%Q8}O z;#12~^Gf22Gt=`DbK(mUixP8FOHzvv@;QmcCGlm6Ii;z16eVY*CTGVNCFZ54#^<NS zXXd4(R>bEQfE|-tz)+H3kdsrE3pO;RGA}VVGdaGbC^4@%F}Wl&KQA8S5C*-x{E}2X zcfU~G;*!MVY_MXG1k{Dei8(n*F!AD&+>-eGyqwIuRH#o=Gvd=gZbxV<$}G;zOOH=Y zNl#_aD=sN2NlaqUE3V81)8No4%1=owNn}W^NKGy+NsYIJvkc7<Ofv-26u~q>FpU{9 zQ&Usp3*s#k%~R71lau0$OOxV_5DJVCZh}VxvhSf`p9Tu(;?xq5Ck^6@GpkY!v6^g% zFxij+*&G9`$_)_8L2Y+Xnx_PdF)}bfvm%Ny1)xfqfq_8|l&Fzppve?20vd+^i9w4M zxHx3YkAY!6ngpyIfGGr(BhVrRCIqGzaDyoZ3#cR~w2T0$2g!iSE)cEF$N(OHL~|y? z1E{^AIv%7BhM~s6xD0BHpmsZ)3uoNmhcGK36a&b9kY05AcQHWhhbn_pU!eBG1)vO2 zSV5U!>N`Y#0lNEtK=s4gJz&L1<t~i>30h~u_%J#E8qf+*3qkH@N74@qKRHIoFd0lA UoCaxNU|;}^J%PkP#$fY5067TtHUIzs literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.c b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..3e3a8e6 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.c @@ -0,0 +1,117 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_12(char*, char *); +IKI_DLLESPEC extern void execute_13(char*, char *); +IKI_DLLESPEC extern void execute_14(char*, char *); +IKI_DLLESPEC extern void execute_15(char*, char *); +IKI_DLLESPEC extern void execute_16(char*, char *); +IKI_DLLESPEC extern void execute_17(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[11] = {(funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 11; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/controlUnit_behav/xsim.reloc", (void **)funcTab, 11); + iki_vhdl_file_variable_register(dp + 4584); + iki_vhdl_file_variable_register(dp + 4640); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/controlUnit_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/controlUnit_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/controlUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/controlUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/controlUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.lnx64.o b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..17ef3504cbf93919a3c2fda3c1e61ee2c9dc9d4c GIT binary patch literal 4704 zcmb<-^>JfjWMqH=Mg}_u1P><4!0><<!FB*M9T<cd1Q|Z_%l!)W=={Btn}LDBqgxat z<<V;jqF#UqkKWxc1Q{4$Yy}}GJNht4r$_S}4iweRM>w!b>;RbsF$AV0G#I42^S4Lm z*PRRu3=O+LN}z^$^xA@W5DlI0I`2bR$f8JWgyEsVSquS=AmbPu!J;1BV1L6r)(!R; zis{Wq6!xbuKz#+)1F@_52uF16VTOw0%v`;c%p(2d{JfH){G8Cd%#!$|)QrS3eXvwf zYEFJK0|P;I#bqUx1*wG9B^Q+yr<CRvfV>aFU`z1WpPQP?kXf9Wo14p!Tg<?~0E$MC zKAK~9XJ;z~jnJghypmD{Lt{N-Jp)~{QZU!3&d5a1z(f-&40Z|&0|SEq0|Nsq0|SG* zUnm0;1H%E37&BOwfk6aHGgbvLFjfdKO7pODOkiYSkYHe7kb%lsfoNAgfo7%>J`M(m z9t)5d0|SF3i1y$U2;k!Yn-u`!GcYhHgJ=&vfhax>29Vke5TAj8VI_!m<r8RQa^#a} zW_ICIVDjhFaO5*^;<Iq%b139fh~SfO<P&fN+XPaK9fR^33&Y3%*kqZp<U}s8LQp7x z8E}Fh%whwR$OI%@*cjN61!4YWU}exi6PE+?Ss7sd2XkTJz`)AT026@HUJMKjLP+ie zhcN>K*j!M2L6yNNI|c>@K?YFhLxdtA6oVTyexY1A6^ui?9BMDjK5+aoFff49FgAM| zq3S{AfeZ)7D+2>V7Y_5+;SfIpHKz<@2rL~iFfg3Nq5duoaVADcdWISUrxbCBJK+$I z!Xci6L%bP>_;f~4ydY8uC~turwG@Z?^*F?L;SfKHL;L~`@!L4WpWzVyh(r7j4smuS z?BOqpLmXT{#~bP;GwAs^8-NHy5Mcx&j6sA6h%jY{clYska`cJ!cXJDN4T%qNbn<bH zXGkl}OAbj)V#v(Sj0czai6yCEPFY4uPJCKsPHKEvVo_#dQch}oQEGZ-aY<?sSiU$l zuQ;<LvnrLLI5W322d1q!IU_ZtG$%E_peR2%wYWI7I6ko?z9cg@HNGmfC?BjfwIVgS zv;;{BLvCVb9#|?PHL)N*GcU6wGcgBbHpp4UsU`8C$|5DRC_X1YIk6-&KQBHrExsr< zH?g1~H3h5@q^P(o9#j=UTwRb@k`bSmm<#a@NE%cD#e-@f3<brRxlToyDe0;4>8T~* zDM{f)nJ{lA7o{eaq{gSD7H6hIoCq?iC^^2QC^Io9J~_WMuLR-%Xehvx`jzGefojmi zl2p$W2AG#E;VeS~1k(_~G(s?q5lj;V(-grpLom%5N{SNmiW8H;fn&e`jy<ptD1zft z%Tn`7k`r@sk`j}%L74|B?`uKp)<6FtKpZO1#K6D+s>5L7u(}GA|9BV}7+~VCavCHK zYLdXjO`ztWi@QR_(ai^y*B~j7dQhDKGbaut$iM)qS5e#pYMX$hK<WjN+>;9uWME(b zi3=f#PlAer>;=ie%%6!Q4ywIi;`5;5Ahn>n3MRe+NgULugo&?*ii6At)pjuPEl_cg zIbule*$ov3sRxyAF!cwZ;vn^)xPXbDLJ|kH9bn>*pyHr-1l2Dv@s~*Ad`R|wKoaLf z68{br2e}7S3&PC#0~H6k2UM5A#JNBX1ZX%*A(<nBBrc644mAc`Bg?`#3=HrZ7o-hR z$3rARegUyS;_@H{6odQ@VuHjKKny5`u|eXBP%#h%OP?TdC6E9V8$fB0IIPYE34z*D zAQ~jD0%AZhq;J6hcE2iA3`BW=2nL9_8k7y9VC@u;IqDz*D2BNc6wV+F6=tx3GC*P= z3~N7xpozoU2{~xuuzH_CuedU|Br%CWuehWLLTA8OMX5Q7dL^k9B@B8gi6w~)dP&8_ z40=WRAPz{mp`HaQ*AT{K&;#oQD=bRQVbDv?&&^HED`C*f%P&dQbN36?EiM5SE)aft zUa4L|QGP*cQAs6KYidS(S`nx`hPs<l3KWi@um$BcWV2xLBoC5Al0f4!fYK%?O~cf~ zlqP^O1p@;^0Vom}7#Kih5lAm6Pk_|G(jO?ULE@k?5+n}8@gPAM2Z=@()`6yda`hWR z)0`2K9Ux``)S@LI4ibjBA1ciN@;8W$uAc?!Z%BI{VE{-ihzFznq4q<SgQUP%04e|q zTd)9>K<CFm^`rY=0jeL?{)TF20L3?q4Wh%LWe><7AU+IVfOZr)pbiDaAIR?@3{nG& zzci@*uyOz-2f`Il`$6#o;=?f1IB@<4iRDA}gV@M;2~<C_7>Eti4`PFGJp%){?}M&< z1ylgtzcBZM^n&nosQpmoAR#b50ToyP<{=1l{h;~~6esB6cLP+pGcYhDK(&Ix4^*$i z^uxjr<R7S2P?ZoW0~(<Rpfso)2W2UA{U9-@5{O19sR61C85kIh&<qFJ2UP;5Ky^Mg S{T3j{GB7YK1gk<2==uQ#!MDf& literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.dbg b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..fb62e21a64d37f8660eb82867e96cfe302d79556 GIT binary patch literal 4072 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk@JJe_#5S|NsB*U|?YIU}9hp;ALRA!OXxQ!G;~r zU}IqLU}s<u;DO)>9tMU5JPZsByb#RIz`y{)P%Z;DP{qK&u!ezw;W!IKmXm>j0fZ$W zTn0u41_nk(2xb8D7#RNl|NkE(4VGg-@IhiA%nBl)7-SX`lntV#K;|(pFsMRls1(>t zkhvf=CJYP=AX*QP8e0Yi24)5Z26H@W5*Zj6K;Ck|qb7-gfdS-RPdsXp85kJY7#J9W z@Tf^)U|?WpU|@*Bqb8Msfq{d8fguf#8ju_)%nI<RNoQbS;DQDmD9k`u7|Iu5I6e!? zgi|2@2}0u)lot4*;j0a$L2&{y1B5~HAdMIPADab=15jLm#6e;p8iZkLCP3AI;sPX& zPYoz82&n<Z1tB${xFDnk6c>clfZ~FX8c<viQUi($m>Q7(VHgy4vRLAf4<=SxEdWXj zAoU=%axig_05ne_s}+EXgVf3sP^*ljR)K(8Q2c_FgUnULp%x^^P+Bbj%11D@N(9vU zffPd17sx%JvH~gu&g&q*!OR8a8IT-^uR_3FP@KTjVk<+C%?0Hlm|8Ug=2n0dLfxxQ zKy4qAT79TmnEya&0ptcyo&$y1JS4RS1k{3*#+T$5FeK;al@#UYgyv<IFeDZwXJp3b z7o-*?mSmQtg2i$&^HSsEO^p~J3^PM0!_pkauz)cvp$tO<12_w&+|U4Kf}w#i$Z`e- z2G989oc!c$2G97S)Z)|<2G97+yn@n_;Kba5oYb(yoXivk&-j9({N&W);>^5sm;Ag` z2LJe+{KS;tjLftW2LJfXyv!2El$4^>;$ko_xd@q;SrS~D3)2B-MKbuuyJhB-q!y*7 zAX^n26kh;xM_x&ANn%MVLvT=hT4_mX5rhYFvVKN>Zfdc9ijhe%D2ViZU0t00{q#!; zbkj0(N{Ui-Q%g!yG86Mkbm2;hi<0#-QgZZ>LRqgYBZWafzo0}vGdEp7EVC>zCEv)v z$VAT&%F&1NQW8rN^~*rIL8cU!q{JH;7+B~Rmn7z;Bo?JW%qb|!&(g0*D$UGEDb}~N zw6xSSi1+jhi8nAYFpW2`Ffq{2%quQQ%*iR%Pt3`QFUUzONy{(FEr!_z(V|b3UF5sD zB(<U>GoKzVMz)D$_hzQ1rosX_B|axVJu^Ap(9p~T<nSB@eVT+d0XwPY2i>Inl*)nd z2(rZ#_$IG3H?=4;Ilj0AobqWO9heqU$MwkJLE{vK<OL*K!F3|2?to!X*#^Rs85kJ6 z85kH`85tNrjqd-93=HcT85mA5F)$P}F);KoGcY(ZGcW|QFfjaQMzqC2=798rFsLmL z;}c7pLEF|A3=9mG3=9nR3=9lT3=9m;Ah&?(2?hoR9|i^nUj_ySe+CAIU<L+;Fa`#O za0Uj3NCpOmSOx|LP@50brUSLvKy5Nmn+sHbgW60WcOhdAP~(|_fk6OD!|GFHHQ3lM zpz1$BX>@()e3%&vppCB;P#Rqws4W6hi%ds=8s`iQ3<*#gT_31Vf~+3K7JxR`C7?9A zK2SderWTq00aXv~$U)l=$nxNJ2C@K@{eT<7cmbu+?F02^ASzJF6QKSh0|UbaD2=WU z8qBDg7#J8fKsyCHpftKZXfR{wn*nMpF)%PJfYRvtpuvoxuL0DFVPIhBfYRvtV8Mp2 jF$3C=E`ZYL`k=vuu8ko8)PZ7PV2FUy==z|+g`p1s`m~TF literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.mem b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..1c94955c3d4dcf844128c3513ef873e4f3627c2e GIT binary patch literal 2753 zcmZQT19KE10u4~QKn21dB}YSGGz3ONU^E1169RMo|NjrdFw@y#3@9z2&cFZ?1K}_K z|Nn;y4|?hXwEhTWfjG5-@omJ}{@Wn}+b8>Ob9{7&Q7c3x-eTbqKM(I}htR9Bol_UA zJ;Ek1{lxAvVP*AgGUdCq6}Q?Jv}&{Sbw~@f&l9NUGXKHuAmi|eZU6n#v!CQny6d~? zc1CFGl8-feDvdustG&5x&C>_=%r6=j1$^4SCoOW@%UuOACbQ2SHWqu(`9q>BIr2+) z&|7!GZ>q{u{`7pz)suScdc)Y9@npEh*VlXWx4jC=4qCZ6;8og{-#51HxRJALZ|ux4 z-K7sBSY9;j3HbE7P%rdatM%IF#TzFT=5n2=67~99byqL!eCpckugf-`Iyp;q>Q}8P zyYAngQL%s1no~)F=hFAC`Z>q&oOfY;UAyIrFLRHxPT9y&BYmPWFw}RhL!eED_}9gM zLO)0!kSa(K|Ekn+{DG9pt(gZH>-X%wdT;0V1zWStB>qG{I9vBd`R}{!Wy`I+;&^XN zZMfdJ`ST{zh_Zuv3^}K_b+Z_>K5|>RXXOkb2g9d9l_zqhdF4!c$FZQ1`|6w{8_#43 z37%3jn#a`Ev?7Ub_OneF86A%qwplqp`@(3bRUy~s)f&|N<Z#BU!)fbg-14~YcGZ`E zeMchm@zUAH)B0wVtdjA+z3RlO9h+~P`DIA^pDNjZEj{k<XMg)Y3#{^H&0=2sGDVEt zx6#;y`NzRfxz`(Cosl)j+tD+}N@D}(4t}lf15>@02F$seDEw-ZN#xW?u{Q-?1_ht% zkL-WH^1^}Qt~a>~&#SpDtd<|R?|W&n&a0B*=kCiNR+`V9`}XbIS;gvovP<PGA}u&J zHyf;28MVLJ&c(96rR%(><g(=CZ;KeqmS4M-wBBTks&S@rbVW+dtI8#NPW)WMVk5`l z+*SQJ{?31vmFH(as4Uvn;3nab9TEQE>FS2!uRmtY64?2#);IowTmlE{m;WLjM?E<I zUEg0h>BV=46O$|Vt$(|p;px_Gr)<t<e|crU{JCbSjDq{URjbcj-g9)Xz2Es_{+%2D z#WVes+kMJL(qF+=`FyQ}(fRcaHR+M5^Nv|h`ueBGhI#Ju>kIbehtFL8llkv@<4-)L z^X@l&iY}R2IqUwud-IpCKi_V3Uf!WMpU*>_D<+C7qJ6o8mIT-^KAxM;)gNdd2)#D> zBg;JhCnrrm{#v+YUEjZ+fSR4R8S9w;@ZNA~oX5z|9=Kl2W#;brUq0(}M*rBC|GqW$ z|AA27pMg?Q&3E3j*NH_`R?Kkce6m1ReQw77*!A}_qW-$?HE3qodiC7oD@HYug;)4* zzkSVn_RfmzXV<C^-4S^<E$!7j^G8Sayz6Y=^?lvbvdM841<h{76WV6JuiLV>^!q<s zbN|)+i!II{%9>%%rL=#3xVu_&&i%J+8!gY>ax~v?N9F9@71s;xJNf=7WLEU1tzE|& z?%g>5w_Z>3^f-&Eee;?nr#;<M*A^Ok$y=mt;gaNYTz8Lp_q<c7zq(h&!fJnT^4gdc p#>%$I$tRC<)o=K4pS#|5MZDrG{iApOSNF{4;@k6|f0xnEDgf1jNb~>z literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.reloc b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..4fdd880c21f5e2e81a0166ead9eb5221c491b5b7 GIT binary patch literal 472 zcmcb>$N&ZvjC-eO7c@JFxL&sZds*w(ay=s>p`AjVJuYra%F4<UJGxGIq@<*zq^byY zzS*~DvvK0;+jBYJ&$}yoWAAt0S?vewTKc1sR~4FG`uQg&n~Poil<|!N#XB0aD+FHr zGmSZ@8*^B8PVB3H4<}#Kv}ro5J$L>A$vKB*V-B6%`fmLL**&f8=DYs!=0A84`TTIS z)}AKe9nHKk_g?;Ex_8jHqHO(Z?F#1W1#+*dnAblL^E;_qd$HDVU#VR9`oE>0uRXu^ z_|x9~^J>2A{8%CQ{rdASoeynTYXb_`-FZD*aHn*+-;J-nkJr{8UY9QxH(w+!-si;4 zuZ@b~(|>o|i8&`|xig0S$)lnkyRZ9npUWOnwd;GxBF}s0faIP7$7SRmCo{f((D$DG zjCtJsoV|7zFaNE(_GHr*Z_!=besS;JR@HegDt6N3H~t@EN*eAjy3StJ`QB*%f#Qp- zb=~tGY|UsszsUWLXUUOT7ycI?_lo^HKJnuE-~N-nPHa{Eb>r2PGyZGdU05C#o>H5( zRWW_zHrMX0sX6jxEZ@Q(?Y<HDaODll<H4H2HllMMu6)7b@5=u%q@-i{qVfu@U;4*X ju5G_|N55omFMsx)&K1EncXs?=<-Gpw>N<vn*(sj^bB+53 literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rlx b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rlx new file mode 100644 index 0000000..f5ec2d6 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 5379628806609454528 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot controlUnit_behav xil_defaultlib.controlUnit" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/controlUnit_behav/xsimk\" \"xsim.dir/controlUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/controlUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rtti b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..474ec50d3c3fd78a3d003c8b5b9e12c47540e6c7 GIT binary patch literal 223 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=NLjJ?{=Rf31_p-n3=9lj3=9m+3=9i` zLtNs0{M|jB89*{1%*?>Rz{$YCpdPBupdO*lpl+bfpl+znpdO{ppdPNypzfp2pzfj0 zpsuUVz{tSB5DPUaB)+&Lu_P644l4r#0|<vZdWOUYJNgFrxH1HX_y@T%1O)jzy9Nh) k`nkvZ`1=Pi_=koBgoZGH6}tMlz!ZZF*I<BvA_&C*09>vqO#lD@ literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.svtype b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.type b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..5ad02492135030576c6acfc4fc92e961e9d0f3ba GIT binary patch literal 6968 zcmXqHU|=wiU|>*TU|{$m!N6c4&A^bBm{Xj}P*PNy%D}+Jz`(%FfC?BH7#Nrs7#Kh_ z4^#@I4#fWt1?mRs4C;pJ3>*aXgXBR*ut3d&YX6^Cn!`|>pTSUEQo)c4!ucf(sd<GA ziOJavNvSyuNyQ8qB@8)f3}qz@X=x0}MGVFH48@rYDLJVODanQmDal3*DapnRDaj@b zd5PH!#g%yssU=Aa$%%OkskscrrAZ8_#mNk5#RUxM#RUvS#RUwd#RUxN3hE5%is}sN zO6m;i%IXa2D(VdCs_G2tYU&K?>go*Y8tM${n(7SdTIvky+UgAII_eDSy6Oz-dg=`7 z`sxfYZ>t-rGpHM@GpL)WGpL)YGpL)XGpL)ZGpJjrGpJjtGpJjsGpJjuGpO6BGpO6D zGpO6CGpO6EGpIYLGpIYNGpIYMGpIYOGpM_$GpM_&GpM_%GpM_(GpKv0GpKv2GpKv1 zGpKv3GpPHhGpPHjGpPHiGpPHkGpGlsGpGluGpGltGpGlvGpL8CGpL8EGpL8DGpL8F zGpI+XGpI+ZGpI+YGpI+aGpNU?GpNU^GpNU@GpNU_GpHx1GpHx3GpHx2GpHx4GpMJi zGpMJkGpMJjGpMJlGpJ{%GpJ{(GpJ{&GpJ{)GpOgNGpOgPGpOgOGpOgQGpHA+GpHA; zGpHA-GpHA<GpLuSGpLuUGpLuTGpLuVGpJXnGpJXpGpJXoGpJXqGpN_7GpN_9GpN_8 zGo+;EFeDoqS%3&jhGauy0}x>dB8)(UF^Dh$5vCx*3`CfN2#^kAkPZ`&4ik_L6OaxQ zkPZ`&4ik_L6OaxQkPZ`&4ik_LQ;-f*kPcIj4pWd0Q;-f*kPcIj4pWd0Q;-f*O9u4? z>I~`&)fv<msWYfAR%cLOqRybcRGmS6nL2~|a&-pv73vJ?E7cj)SE)0ouU2PJU!%^T zzE+(<eVsak`g(N+^$qF_>KoM=)HkU!sBczhP~W1?puSa|L4BJ#gZg%L2K6244C*`8 z8Ps>FGpO%YXHegx&Y-?mok4w{I)nOtbq4hV>I~`!)fv<esWYe_R%cK@qRyaxRGmTn zm^y>{adigu6Y31=C)F9$PpLDgpH^p3Kcmi|epa19{hT_3`gwH*^$Y3@>KD}+)Gw(s zs9#oRP`{$ipng@ILH(LKgZg!K2K5{24C*)48Psp7GpOHIXHdVR&Y*r*ok9JcI)nOs zbq4hZ>I~`+)fv<usWYfQR%cLuqRycHRGmTnnL2~|b9Dyw7wQb^FVz{;U#T;wzgA~Z zf1}Qz{#Knq{hd04`g?T-^$+R{>L1k^)IX^+sDD;xQ2(ONp#D{zLH(OLgZg)M2K686 z4C+7C8PtENGpPSoXHfs6&Y=ERok9JdI)nOubp{45Eal06PyxaSDUT#T1qlNKg9r<R zFTesRt9V!-<roJG0|Q723kw4SD@Y#;q>TH+%)r17Dg&7rz-87~W(EdM1_p*t%n&=@ zGcz!7GcYi`VP;?em3c3j85npO7#N;0GcfQmFfcr3W?<lFU|@K_%)lVPz`$^anSnu& zfq~%$GXsMV0|UbqW(Edf1_p);%nS@73=9lsm>C#E85kH&Ff%ZSF)%P3VP;?uXJBAB zz|6oP!N9<<hnayvl7WF?Co=<s6axdpR%QkUX$A&{jm!)TG7Jn1Ynd4sWEmJ3Rx&d% z$T2W5EM;b3kY`|ESjf!4puoVuFqfHuL6L!hVJ0&JgAxM+!&GJl24w~YhKbCOvc8v@ zfkBmlfuWO`fkBOdfuWU|fkB;tfuWI^fkA_TfuWX}fkBgjfuWL_fkBIbfuWR{fkB&r zfuWF@fkB6XfgzWffkBsnfgzKbfkBUffgzQdfkB^vfgzEZfx&=*fgzTefdN#vL^3ll z7%?y~gfcTQ7&9<11Tr%)m@qIf_%bsvm@+Uhcrr6Em@zOgxH2;^m@_aiI5IOZSTHa! z*fKLPSTZm$STZv(STQg#m@+dkSTis%7&0?3*f20K=rS`f*fKCMXfiV}*fB6Ls4_D! z*fTINC^9oJI503U$TBl9I5IFWNHQ}pI599Vh%z%UI5RLX2r@G;xG*p<@G>(nxH2#> za56J6xG^v=urf0+xP$5-W(Eci1_p+|ObiU33=9lEnHU(n7#JA7GBGfCGcYiGWMW|O zVPIf*%f!Io%fP_!l8J%AkAZ>VDH8*OKLZ29Lna1>00stzyG#rWfeZ``H<=h1f*2SW zt}-z&1T!!&Tx4Qk2w`AgILpMq5X!*7aFU6EA&h~6;V2UWLpTEi!$Bqnh6n}*hP_M- z43VJtXJTN80>wWQ14A?@{+SpUVnFfF#J~{Cz`(GQiGd-Gfq`Ku69Yp$DE^rk7!pA7 z&&0rx2#S9u28JY1{4+5yB!l9giGd-7fq|iyiGd*%6#q;N3~8YFXJTMT2gN@V149NV z{+SpUGC}dr#K4dRihm{shHOy$Gchpafa0Htfgu+Z|4a-Fd7$`bVqnMz#Xl1RLjfrM znHU%f85kHMnHU&~K=IGSz)%c|e<lWo5>WgzF));Z;-86up$ruNObiU=p!jEEV5k7a zKNAB(B`E%x7#ONR@z2D-Pz{QICI*HYQ2aA7Fw}zLpNWB?4ix`P3=H+4_-A5ZXkcJq zkYQqAXk=hu5NBdwXkuVs5Mp9rXa>bQ69YpF0|NsG69Ypls4QV(U}$4tVED(#z|ang zZ$<`&4h9B>FN_QfoeT^N?->~wx)>N3UNJH-bc5oVk%6HHl+PI%7<w5P7;ZB%F!V7n zFkE9~VCV<MFCzoP1W^8FWMG)cz`$^vk%3_n0|Ub$Mh1q-pm=3uV3@+dz_5dnfnh2G z1H)!U28L-23=HcS85pL6;**hqVFm*O!xBaYhM5cu4D%To7-lgrFwA0PV3-YxM@9yQ zISdR86Brp7<}xrabTcwA%wu3+Xk%nxm=B6SMh1ojp!j2CU|0x>KSl<IMWFa&WMEhf zia$mMh9#i*V`N}h3W`5Q28Lyz_+w;XSPqImMh1ozp!j2CU|0!?KSl<IRiOA|WMEhg zia$mMhBcu0V`N}h3yMER28MN@_+w;XSPzOnMh1orp!j2CVAu$XKSl<IO`!N=WMJ3~ zia$mMhAp7@V`O013W`5Q28L~*_+w;X*ba(6Mh1o*p#00oz_1gPe;FAVc7gIQBLl;3 zQ2u3PVAunSM@9yQy`cQd$iT1<lz$l+81{qmFCzoP0Z{%0<t0#jg2En@e;F7U4ukS9 zsH_F$Ur?R{<zEH{hGU?31;rI8|ANY7Q2qtwO;G-2U|=`}%D)T@45vZy3yND%{)OU` z3=9nCpk|$i+IayK&rr1>KU@OkUj_z-%b@%VstZ8*7iuObjIV+6F9QR^bx{5V)fu4t z3$+`R25y1k9hBBU`4?2TfbuWYji5Ao50rly7#Qw@;vbYYLGcgu3n&jg0>wY5jsnF$ z0|UboQ2ayv49errK=IGO!0;Rt{|pQaFF^4R4I5Ay^a>RJ3=9mfLGcf&13~c*4MR{F z`3@BSpu7T#e+CAI51{ymhBc@R{{)JE1_p-Dp!f&nEl~VJ;{;U4d;`Tl0|Uc%Q2c}H zU{L%+;|^2@{sP560|UcvQ2aA6F#G|<KQxX(b@V?_{4+2xfZ757p&&26B$c5&u_!My zFP$N^s3^aPAuTa8r?d!E=t13q-md}iL2Uz2KL%8Xf|>`QIv2zT)!m>z52(%use@Yc zzbG{^B|bMlC6%GPD6=FL!b~hENX>%^gA8GS)O{d*P%fAPnFkUBnGdRSL4u&V9K?s& z2eT)?AT=*OKbxVrB(bElI3D7oyu{p8C==uaC=+B1xNZfR4dy@z5Fh40P#p~t2H6X; z59Cjfdq8a$kUE&Xp!fsvL25wi85kHE{{R0EDr&*~KTw?vk_Tasyw2;ENPEwB|DiNk z9RtG$XORAX^$ZMY#S8_-40**2rNs=n#SF!%$qc!fc?=n#Is&90RELAi0=Wgm2kC>_ z335_BhyY=zIJm6?;)B$JFsMxg;=|-YZ6^@_1;|iPeE>=)|NsAYa00c~AZ;;_Jj1D3 zAg_SiY+$~?(kq~R0E%xg|G@fd6U`uPLNK4fFi`Il4+Dsej6rPykX5jLEyzvC7^)V- zAD}h>E`8AOZh-j-**s8N0h>OMT97^v2C<R#fY_im1V|q!J%IQy3{nfiAblVVVuR#B zZ46xMLGmEApm>6*2emOsQ4h+Nq^JkASx8Y2YTJ;a9@KURg$-z21LQv#hJ_n?{DS-q z3Ih-Zu|aKqkbY2~0;U&47iFe{#w}9QK#d><NS^?t4kQP|ApM~9528W&5hM=k_kj4& z^z$FohXCnAcLzu<$PLJG0TP2@@L&LF5CA$p10Dzf4Ge$=5<r6sx}aVFq%98aAHg(2 zX;66!atEl~011J{jX-=*c?ohesBZ!aXHXvo<UWu)LFRz`0SZe{-w6~ZAa{c>%s-%X z3{nTu12PweL1u&4=zapVWkKpeW`N|;G0dIl;-GW@(nqX4pf)Z@JvMug-2^JXaH#|N z0Td=68r?mhHZd-JAhjTOV$%moKDhLO)Z@|zYIEb#2eJ<&2htB>BV$mX4HSPMbCJc7 z)gp&EsBcFN^`JBbvk%#PkQg$54HKjvPptdalBj+i3F?W-V~`4*fnh#$`~l=27zX(Z zmft~rZIC!9zk$LUl;1#M0?KcoGzH3UAU}ih8z}BT`3)5Ap!^2PXQ2EBO1mHoG8dNL z7C`L<=>?e&!yr3gY>;{we<1<&ptcvNK>$;~h(z_EJ~zm{xZH!B*Ff$AwL3{s52}|z z>OtWE(vOUh%?9=1iBXru4;d%I<zA5a$l-@eJt!_gYC#xTJu<&MF|#DTI5D>%CzYYN zB)=$?p`a)~IkmVrGcP?pCqKV{A-}YwptOVmtPs@lgeqo$jLjh10WuflW>8uI#gz?6 z05k^x>N_z&#(F^NLG30``U15>LF!@Y29)kV;|m*D7#M!=Ffe5BGBDiWWnfU?V_<OL zV_=xT$G{N4&%n^Z&%khipMl{AKLdk?00TpW00TpZ00YAg0S1N_0t^fif(#4>f(#51 zf(#4|f(#5h1Q{44gcuk)gculh2r)2x5Mp3Z5N2TT5N2R#5N2T5A<V$=L70I-Lxh1L zLWF^#Lxh3hga`w}4-p0i4N(S$22lovAEFEl8e$9#5n>Ds9bya&JH!|mUWhR;2#7N< zSco$)WQa2`JOB-{F)%PBK$?GG_kx0829yu-2Z(<Gjn4tfPz($VAb*48Euef*Sb+E$ zP(CPpK>QgnK4@?d)Te~<L16@v{{iKL!VARL05v#3eIKa34ru%gC?6DNAoVApe3*M@ zfEpYOkT3+xqw&FmkDze}sJH<rVnKa1C_e(q2gLzM{{%Gt2{b-<5EIEh3sAv>#LqzE z&p_kffbv1{3$l*`G#CnMv_S2#fbv1{4we@Kkx1bW<-^>Y0p)|z0Z8QxC?6(&0*(Iz a$_J$rka`7Zx&Wma5Z?pJhs9?F8Xo{6r=RHn literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.xdbg b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..be64040ee3d84262edc80b7d4a864d76a3cb4210 GIT binary patch literal 512 zcma#Z%*o8FP>2Z5%vFeRadKBMFl1PKe_y%-0|UbY1_lNOCIseXU|`?`iJ{^D|NsAI zU^_kwBut3S2vP*XY{zGT*dPqj3!*_*!Pv}5YFLoitVnD&BsMz|n*+)QQH%`7!0rOE zLFQ=uJvQrKJp+S)EJ%cb0a+eoHcWm87I~0;F!=;IO#L7?z~njPG37z-g2`{dA`c2% ln0$l+rhYC41_qcsgCeFpDEwgZ2c$9OL17A$&ya!00|1~8VLku= literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimSettings.ini b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..55bea7e --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=122 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=198 +OBJECT_NAME_COLUMN_WIDTH=179 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=91 +PROCESS_NAME_COLUMN_WIDTH=0 +PROCESS_TYPE_COLUMN_WIDTH=0 +FRAME_INDEX_COLUMN_WIDTH=0 +FRAME_NAME_COLUMN_WIDTH=0 +FRAME_FILE_NAME_COLUMN_WIDTH=0 +FRAME_LINE_NUM_COLUMN_WIDTH=0 +LOCAL_NAME_COLUMN_WIDTH=0 +LOCAL_VALUE_COLUMN_WIDTH=0 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimcrash.log b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimk b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..d061e053c78e1ccf2c199b91d6202192cded81f9 GIT binary patch literal 17880 zcmb<-^>JfjWMqH=W(GS35buB>M8p9?F+|uw84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&-{6CY!e|Bo2p^=6 z6~u({Vd5~lmJ1}wzyPCR;vjus`xGGZ3}|!$SdxJOMkDJ3h0O&Wh`tLpsPqb`zc)Z> zm_AT&f%H9q>U#jy2cs203K$p|U^FZ|LCyeS3#fVMG@7#+(Dg+?^`X-)5N9*MXpkKs zq2Q+_DIhkwJup5l`vRc)u0R!_(@Q{xGcYi~XpkKsp}?mlDWGrxv5CR3XbytfhbtZo zp#Fx@p!f!vub-2dWM-nDlcJlGnO9n&TVY|QYi6QXoUdmDk_M-FkR6~j<?a{Cz|_ES z0OVGfeh^!PfdQP(LGmxRrXH12cm9*LVU~HUj<$~Ql?lf{YCvXy^nlcW3<fy|8pR+k z1BflhzyQvZ5dSxV#X({q#>LcgxgZ)Be#gMTAcRG74ik29dmQ4jpfrcYoHQKfH!)&2 z|2z)$pfG?Y2^3rY;ZT1EhxwpvgUy^i9Oks+@UJco^Vj1r#|?*gB@XkYaEMRCVQ&Zy z^^0++|A|BVDh_k<aHto>A-)BNITbj<;SLV<UO3D@#>Bv&gpv}VoOsU=-}sc&qSW-v z;*!*&5MSq<{JhkV#H5^5hWPmO-2A-w;*!LolK6NAuu`lFGqW?}%Q8}O;?pv7Qsc`K zi!wp_<6&wUK=R2&sfi`2@hPdrndy08k<^OR<kFJV_=2MR<kaHg)MBtG*qr2y)a2~= zqQt!P)cE|g_{_YN)Qb500)&Fpvedkic#u(vIq?OFMTxnoC8<SV`Qp@)_~NqolFEWq zh<geWOETi~5_3}_(j~bi@%ec<nR!rtYDs)ia(qcqW@1i!a(-!E30N7}84z2N6LWHs z5|gvR;whDRiMg2|^@(}KiOD6I`FUWkgC&cTGg4DZbCA3gpI8!Kl9`(tUzJ*v4^fku zTbhFw5*ew91@W1AnI)NtIhj=ucNL}P<R>SVKsaF6z)deHLf8!rg0#fqlKA4(5|BF$ z;)^q@QVkivn!sw)O7oKA!Ja|!3n-+Li^_`QQ%Z9Su!R-agdC93Wr;bZso29Ctf(ln zI5RIjJ~bsB;$V=`6~&pkDVas_V1IzZDKjm;C^a{+pdd8`5)`R<#hE2A55v6&O%Dv2 z#hJNIMVTq-sqyKlCE+Pa;YFFCNRE%s$xKRyCd=H!%shtp_~eSj__WMCNbu#R<|gMB zFo39nN`{=wq@t9}_+m)7=NH9irxxX<=I9mYBS|Ge>_L%A231dH4DLRjPR{X0dWI%& zrZIwPq-V;YpI=a-pP8GkAC_5`n38W~U}U0a2<7NQc{!O$`Z;+OW+r<1`g(dGR8mk3 z5z$XiPS&;5GuAWEPs+>#Nr5X%a4p5iKrCbet7K+iWME-n1lMz*9L~tV%pl3k0Ba60 zOqn>14b;L^hKg+g^O+g+p!`y)Oil&{CI(AT#mT_H0BdK#+BXZJmER3$I|?SA02Swe zHdJBaFunqsxPU0gbqov|XyON;;s$8q3gQs;7HHxHP;mz|@dHqC4>WNB35YoXXyOS_ z@dz~W4N&m}G;sz=h&dT(;sKyW3IhYf4SR?@sEq+?mq2(73=fdRVPOQ4dx0d*4HAIj z4@ly$HVR1U2a>oHNC1ippzZ<H|Ij8lSVjV>o*kU3z(Qa`0ZAOxHUbMWFfeE!i9?eD zSjqrN9Mo0=3o<Y;SRjdm{0tL!KoSSp4HNf366b^oGB7X%Ac^xJiANxb^CF2SAc;e} zDqz_RByoPQ2!tp=5(l*vA)*Wn6-eUHt{PaX0ZAO1#KGbnNaDg^5eP8>NgSFKz!Ec% z#Gy$XEWQ9qTnsD%Ayy!XLz6OCVgr&mG--mxcOZ#FlO|aF0Ft;gSOh|xKoW=b2|+R! zki<c4RFK#xCO!l{^UMA6Xnw=t(am~NpMk-n^*{;J{|g??M>r0H4f${SNRNTxzv>e` z1_pk42ZsNuAbti&?&X93|NsA2y`{&%kO9iRFE4=kmq2__)_i#Y%s&O<gR<ny4PgEu z5Fb>;yj%e0?*j2bS@Y!tFn<$>56Y4+8^HWkAU-H7zAOOq7lHVoqUdD;m_G}|2W82Z z0bu?l5Fb>OymSEbyFh$U5%tml%x?nmL0R>s0+?R~;)Am2O93#y2*d|v&6f;dein!i z%91ZX`~&$n3B(6w#g`Aj{3s9~lm%a20P}-Dd{EYVc>v7!0`Wmv?&StB-wDJAWwn<J zz<etZAC$#jP5|?bKzvZvdf5QxYk~NnEcLPg%m=AYn*fRc5C+Ac;aktni*X*Ek35>6 zd<Y0}H9TN=(xcb*89M{Re^FsQ28J(bdi?S&Amt1my|#^d3=AH<tRR1TSpFyx_2_23 zrVELB&i@bi<r_c>4?}5>UfV#hdQ*@;JT(7#bbjzS_|D$r;16aG#$z5A|CNY%bhFNd z8o=@YK^nij3j+g8KgfLzw!C@_3=E~(p&re)Rk{oe3?7|NOL#oGZQFDi7+z@p|Ns9* z%K!iWkFh@0Wnf^8J<KnUqW@+2|NsA??r{uv408;13<>t=ynM_lf~C1CfU(rhr@Pvr zyV|3>*rz)<r1{tX&L8|P$C`gJI(B|@;dl9N`Q3%z?}JO{#}{k={{QcyUF_k)zrHxc zm+{}reg*~xpWfmKk6vdFk8Tc+ZU+vJPVWSdPUnnn=Y&q@m~QVJ{`Cj>*L$Z}o~Tdp z?ELT9c)UP`fnfp!@NaXD@aa`K;M1Lra6z|s%nRqg|NnP`w5N0)(hm0EUmqOe$iFQ_ zl);zrj}!kk5v7jc2+I>CLfyeRj2AkcWBAt};$MHt@<iQQ$1b*L#~8<0$2iCM!^fN) z8Tq$4IdrvH98_Uo=x}m846ztWhJpel*rV~y3~;bs_U!!U(_7_W_}1{-E>NcQ>HPoV z#h?HGZ5T^~Jvw7l0zA5%1G>E<x>z_mT2w&E$fGwzCBUci`-_SH|NpmPF4Y1l<1qXN z(s}RC|NmVK9WE*!AXOY7RoNg_Ob+~0j=p9xeB1fLqwxsH>S&N_V-HUNy9XRW0j{0L z9Xro?bbj{e{Oj0x*0*<wEeAUTgHPvkm(D{kF8}%ezx6;#v`6n=1!)F`7s`MB|M%$K zo52Q39X2W+o%dWiKe=@Nd~pgS(RtXjcaN?DSo<@d&R;LCfJD2I)qVQ?|G!V?bC1q@ zAo(pI`NpFV<KDwf0~KuWz>R&G_vinAXh1?K$FSf4kIv7Y`^*?X%}NFaP(XZt!TS6E ze~)hGjBf9O1{)6MQZdi}#~?DVfBpY|ta$;bfP3xa*;~hC`0d5@Um(9!YZ!hrykvOt z#fxA6|F;|{arA6H#thN44WyvE`2rIILw7f5XcQD3U_(55cTZqqVDM->+`$YAiF*(i z$GvO@xuE$7N3>%cateUE7gP^|N?nKrp%4pZfGt?S#K6G6jfKOb`3DPs`#J_t_UC2i zVqow{W@+?bJn{d5XKx*|;kOs^5M2uxK+amhz`y|VD}U>B1_lPt=3^`nqksJbdDw%6 zfr0Vm0gy~@9k1cH7mt5}La6x$SnmU<-qJG9=3{&ieTU%sm|u2)^fez7d0pt)TPJS# z?Zr}vZWNoDO8q^Xk4e0i_iR2U2Q~v17Ue(x|MzS@#`Rj-v-ubsSn5SG+<1o9I-bqP z1R%mbaABs`qMpsiq#(kkaA6jY-rXl4A#T8e65@it{{Np43`)QI6XA*B#qS?re<DJ* z!G?pWl=E2g2Nnhf=GW{H29rncZVpCpJl=qq8vXL%Pq=wW2=i8BF^>^s-V9c#c_0SJ zJO_w*4Xj{SM!zgXn3s$&FB*$^VD~w&LCpg(K;~6I%+mnpxO>qr6%pp8Ak5>&VjkFi zFJR_@7$EaDK+L-UGw<RLxPMa-=I#EDBm5??L)`~rfXsUVF|UFh>fc6$d7x28NMe7H zjm11>Q21GJK+OX&K<0sl%sm<pD{w%~Genq|j&Pqe7V}s@<~@L!2V#KCi-4q>6EO20 ze*ga;RNV!8bpGB6YH)b;itbedWj51wYzz!97J^Lf-Tgw4f#F3egsmXN!0;mS`~UyZ zhe0~Q4Y6L+29WAtkP1*U3`rs#B=PF||NlEcRrHGk$Vx(kLApDCdvt!?3CexDKuSD% zP49!Eqt_Nhy{P~8|G!7)yUzPBR6$yMZQVcyR)R!(ZIeK3UAW=O-~Rs(4bEZ+a0D60 z;0U(Tqn9`LKd5XI^#xO=JYdQ;45U{OWKQ!Dh5ew^^TPNW%$YwS;vCVjhZz{)z5#g+ z<it@t8UmvsFd71*Auu2z02+B^`u6|-)^Gp++kN~0zwF!p|25zK{|AkQ>!oBC=_lvs zl@#UYgyv<I#3!X@B$nxerNE>8NG=8o;!$5*2AbbsU?8LpG`tTQ-G>=XNPTW<E_mEM zH<ux|n1O)-RPQoMGh2gNlAunv&-?%XuP`t$-1+eT{~rbhhBqJn|K9`RfBgSngpq;a z&8PqW0~i??YCiw}-^0kjVDshw|0^KzFaQ5@FflOreEa|3fr)`(&bR;nW0)8i-hje} ziGji9`~Uw_m>3v(zW@Kffr)|P&iDWS&oD7C==}Kq{{<5RL-mjU|5=zB7`FcS|6hTb zf#KYb|NlXA;~#(g{~y52zyKNm0Qr%zDu{uxLV!`4hn-^rBSaiDzNPWu|9>@*0vC4B zSObF!0|SEz0|P_ByZ`?|>KOP0-1sEC__@nD8W`-QthJ0)z|&<Qb7Mf`x$pn~2Mv;d zi~wN^1_p)_1_p)$AO8RU01|NG6L8{_aN<*N;?r>CGiYY(VeMt<WA0~Sy2qyh7M1`j z;b33@>D|D<z%b?G|No%=GJ_*buOpv^JKq7uX4XEIe&#kNrfQH`3Se^u9Qi=@gVqha zVPIf*@$vuvO(1*WdYwSl7&!7-IPy6(v-hy|!VH-K5;6cwBaAWt`Ja)2A>q^i|4TvU zIPnQM@<}-IDKs<pF)>X9F$J9XI9&M@TwrWac!5Ty?tJ?HKOWQ>M%D8crp}d5fhi8g z0j))0U|?9l$iTqz<^O+wkX?>^0?kZJo*)l_#xVCVGB8-+kh{Uiz>tGO?h7LW!vY*~ z0!$1HS8&MbFflN2e8uh-4^UdfA(z6$z>xp-|9>lxA6!9xC;@o^oc0=+7#MoL{{Igi zd-dQG2;k!Yi-Y<#eP93oR|e_v;1h`A;{c~SP#T~4_5c5sAaPedfi@;bK8a>#7d{0h ze^8_wIDul#p^#4@0vwW%*as;d#iJoG8UmvsFd71*Aut*Oqai@s5P+@sfUWNUHTys| zJ_ZdJBCRF(hsFo3IRU8yP4t0iSI~ey0|NtSq7cM~El2@P6oU8#&_xX3_7l>&6WE+J zsO<|<2UW%ZTYmy-+k?bm@?Zb`&j<0r69^0p44{cn5Pt(`29$vTyuKR5KLK?QXkrt@ zp9AHC`a2*#s9g%8K@*7}8it|D7#KkF1JF@UkQ}H_1EN9w1rQCIU<J{jiAE4T1L|>@ z_ywrNFn6DT1}rB?F|^GLV#3@3VuSGS{}BH%GC-Cb|AX=;K+XFP<wK2Q_yFbmK;?fz z`LJ*YnMq9yTUU*4rWv@`#lYYOrNf|f8k8=B(rr+B8kAlJrME%pV^I1Ulzs-Kzd>m> z(9AId1A`cpR)f-JP}&Vjhe7EyC|w4n+o1F`D7_3yqx**tAGYoZT^(%Q6KtImY+Vy< z9TRNb5^S9kY+Vv;9TIHa5p10i%zSreXDbDb(4^A5l2QdjW6)wUU9(a!*Qn0OM9;uP z6DkbTft?1W8y1F-|IuYZ=@PWEtOl9^U~7UwV*=RJgVriBG4L~dKnp*RK9D$Qq#oH0 zV{l&LXMmM6FgZ_f86(M1fwZm(Bo_)67loGtATbcGftmv=w?JYb44NThWDtR`X9J0W z@N)3J2~7Wj)+J-}7cT#ThVvO2gc)K$0}CL7kuWxMj)CQc7+~x5!CVFghMQo2iNnhm znD~3JxEKR^{_<pEU{GL?0Bs_HDFxF%aI6~!O`-`hNHD<G<3)f~GcX8%*A4SCz|tAG zpU%L*;0s=7%+CPZzXBdZVPIg82CIi^Mxu;yh<h-C+=-+R$_xjoXA)q5mH$v-hFFj| z13$w7P=GTqFo4HN7#J9e!QwDg2)Y%A_)M@kKLaekfyXUC`ys&M2>meDVX!zq11z7x z!r>ea_rC(Ghv`PpjNouX@L?=DCI$vU20?gWfEMn6<aELD2x6gPcP7x<P0aX(tY7D6 zfR!iUF&qX4hB&aj{0xhr6)AY!fq{V`9mo3bCa`)`J3+j~IK+2=#rYW)K;s2UF`NU7 zgLI<e*I;pe23R=<3(s$$aA1;v=WCcQCT8sW9F%Z~J25jb2r@}9pqID)VD<bAuyPb; zZxmP@W*dUe0E_c8z{*wd*ct-^Lm7BoETa5@>1YD0hw%{fa<Di*!v@fbB?blt@Yo;& z1H)dhI6@tabqXxb&j2g`VeY&N7Kf=q(7$kq8?#^!w}W8y{0#ok^Z*{aVqjnh!J$4K zEY8mWt9M}TDPjSoLrI1PXgLgXQ8`#WjEA7RSs>~B0;s?Tm$4AJIH-F%q3(fF3^Q?< zvk`1BW;)q{L;Y18;;(Ut{{@TlGr;Oyn14A~LE*{Ia1`o5@Hia<0|PHeoQVe%7!VIZ zNnwyUl#N0KCl{3%>g6-U$0sIb#+M|fGb9(46ql5yrRgOzAog9vm*mDLgLYmNGsMTI z<j1Gy<R>NO#HW<x7Zt}RmR2w%=jRsWq?V+n=ow&F0b2f^8J}2Glvo*`npaX($&gl* zn41b(+n-y>5Fd}k18+4zQCgB;kdsrE3!YAhH`GgJh>v#*a`bhLclC1t?fi&$_X~}8 z^?(X`xCAl8yZiV%Ir_x=ySW9shQx<BI{CQ9GsL?@`Z@Y~IzyKCBki;R?LY`gOk#lT z`7ksCPcvX!-k%BEOoF_p0DUV;T4r7*LuP7fYJ5SwWukd%nqhKMd~s<~yb;)H%*`g~ zTP)xXF#u}-?N`9DX#}p)9I^uj5osu!WkAjbnS!>L1iU)}*Cvd3PyhJhl9bH6_|oFk z6o~P#O*1Hl$H%86mLx*9Jix=yk^#D>0kl&Gc>{z4Y|8>@a{|a+U`OEFQ-itp0!z>u zf<p@D1{ioa88XDjhxj@}(+=Ez@ct7#TS##19g2@nDbA12NX$#gNrije&;;z3V)zCU za0o-U<A5?qQgJafwBYvR-N%B(yO4wdo|pq|!-0DblEOey4Vp~DyTJt<?(nchb|ao` zJ0J&xOb1QffpRa#&K%IbmfQlANQOJklp#Jf54yVsvf%~3<;t%#Hwe0?$P=Oh>^w-c zB*XSN#ixQ&8Uw~28@P4Gkf4Xz2;HocS`6K816OBgf`~0+2FNxY&=x01#1Ysi#h_PQ znOl;W#GqGPQUswhV64o%lGLIC2EDxel2pC)yi&b_qWpr?qLNA^p`_BxoD|*66sVA+ zlcz4I=mjg!NG#4^&`YVzE3V9i&?Q9-V41SiqT<Z_JQPlR5rbY)YEB|Z1C&*eQ^KGJ z_KIFXPKjQ6ehGtKNoqw2gC4k4(ksdb2cceS2DH%4NGW1~^B`Iwr7uJWj1A3M40;gl zNyWtsddc~@xv6=enMRaag=7wB90k<3gAUW++#e1z7^D`)2GO8)BxvjcrXMu-3KECW z&}tqe1jev&4KRm+fdRDq6Inm{zG(Dr6Rcef(+}zgBI}3sLt!+!{pk9`85kJ8{r{g2 zb3bf+2u8!~hYk}#23KH~GcYiKRxE<XhG6<(<3}(WG)E0m4ss(X>|p+fjo)NK_b$Wq z!^WdvG;I6{WCjR>%mC3aT*$xxT0ReVKWsb)M#GL1fVm%L4~(v3U|;}^6~Xwh@gf)v zYu2H=A7+0qv<(N-4;#ON(XjC=nEmMfpAOaU1T_#g9tNXf<7XiKpfNLa{R_eUU&Qzw zZ2S;LgVHzDXfTBy|8vmvD?rDKU^I9Q09Yr4fJ(!RX8?^8fZ_z?K9GtH&~XhI4RbF@ z4uoNR7!8{5N7fJPPs8YSFoht^3>eKzhHYr>hxM0XH2QcRy8l6IG(h&l;t&1Y1<;xf zsMTN!%{dH5p!UP`!^SOOH0*o<ux4mn!`LAD5}N)BMUW^11B`~{ABZS)a1+J>tuJ9< zU;u3*fet^x$8lii5TLsk#)r`_7#J8pW6?1Eu<_#?pbhQ}nD)W+!RRm0v16Ei*f<RA zTnW%(Sdeo;`3FS9^uf~6YN-EV`eEb84Ei9|P)R5gM8WjK=)X`UaQ(0h3tGs*z`#%e z(u9Q3%R^@9fH6!zY&@+3svl+#%m`3gfw4g}Xxs=S22~Cc0%MqdZ7>f(fYgF`$TTeN zfW%>V1=PR{XuyHS^Fd<@F!#X9Ptf=y%<V9}AX)&ln1+FY!5lWw4-$li6_g34K-m?W Wes^22G=m__p%5<kd<ZBLOaTCb!k8lf literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimkernel.log b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimkernel.log new file mode 100644 index 0000000..712edd3 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/controlUnit_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/controlUnit_behav/xsimk -simmode gui -wdb controlUnit_behav.wdb -simrunnum 0 -socket 50639 +Design successfully loaded +Design Loading Memory Usage: 20140 KB (Peak: 20736 KB) +Design Loading CPU Usage: 50 ms +Simulation completed +Simulation Memory Usage: 101876 KB (Peak: 159408 KB) +Simulation CPU Usage: 100 ms diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..2965ab3 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..67a09e7ee74db48baef3a779110bcbaea1491e35 GIT binary patch literal 278848 zcmb<-^>JfjWMqH=Mg}_u1P><4z|dgF0_Qk@Sq==`42%qp;f`UBp^hQJ9-WtuIYqEE zR|PPZ+WB->J9JljbQk+{2ZuEO`rrA3-{n~IFGk1CZ!Y{U-z~qp@cVsm>HPQtWRi<^ zv4;!)`r;5@#(yvS85kIRdW$1GdYwHyx;Z?$9XLEXy%Rh-oin<f6FQw^y1jGw*B|6x z@10_KqCUm5^S@`~@eY3xh6xbBzs)(qr&r~GPj@!L1>N2;V4J!@+EY3YX$O1ouMZA! z<lhz|%HYfR$BBQNh*C#zgyo46q3+-u#tWU!G5qTf@vlE+d7^HuV;5VrV~k_0W1M6B z;bTsY4E)=i9J*R8Zu*NbbT~O4hFA<Gk2yIqLggP}lMe+2Sg=Rqn;8rY3?7}AJv;yT z^j0|-zBT-|3l#1?o&Uj3wP7p`_UMdJ3GnE44(Rrd=wjjMXi=HKz`)?q8=?~6)A=2u zjJZ?`q>RJx8%$>xLx+os2S^nMTosc8|CFPznGD}{zVK)~0<t<9<eS*T6F|-x@c4U8 zzxeYw-WI^c08Zi_z55&(85leok6CarFc@AkJoyro9H9{jrGh;=KR4{Z&dR{RP$KBD z--3aGftP`S!SEY6_<g#YFEBAMICe91HZyR87!E9*%`DuYSgO|WXgvG^YO+V?y=buM zXy#dBn8(2kGKQhEc>~<MEfDh(JQ@$r;D)#qmw8qg<~hL3OW*++402xz56FGHFMtwL z<6#dTG$WxthfrwlwZ<^N0%pDdFU))qUa<Kb;J`in1F!ku^cNBw;L-Wnv-AHxP!{m$ zyblT7-pxDM85lg8cRyffU|?|UY6nF_Nm0uI&pmcfca-pW_SUE{L!-l^clQY<klm)B zh%~$eHpUSaeSDtHM_54l<T+T}qw%l>Gm>JswVmHRnvZaRvV5FlJUG%&Y~(^R0<V2w zr!m5uhQl@#*D)cC{=_c;N^=Z8y{tU{|3d->T0ngF>HLW9LP%sym;lMoi11_r#W5m0 zEjYm8nZSV*o-Qp1N<=;OyFo+Lqxm=!!p#gMgb>Vx666qSJdD){xVL#d{~tm4+NYN_ z@Bjb*{NV?{DS-$Nqop5aRR8V(`M10I0f=fnP~!9d0o-CykNrLfFS8)LTtK9kJ@>%$ z!_7qXI97w;YEeCo6y2aGdmWF(bZ~k?iW~gqgHk&)B4m*)`1y~7^n{lGMW8_j4KAPV zW)DVCwrA*UJ^;`EM<DsX0+Rn%@Zu|9K=B8%15}ak(}SA657gFx<wtmkfkPKk?Rj)J z-(Y26==KO`u3_Y0D6#VB-u-|Tl$k}rIse;EP(cFD=B)=x96h>sgOq#pLdyk&>}z(9 zUT{9^=4gJ%=+QkHWJ)Jjw*yP_14fVTZZOx81?~oJ&)zzCmhNsAU_*0+1RFj_fRq#C z2#_gAjsSDf905-U-OV28jtIc(2#|7O904)~$q`^Knj_%lZg+D7x+6O9Is&Ae7)O9i zL2?9`i{=PcXj=5>Zr*|Jhy!>X0a8wkBS5AgIReZ@a|9d05g*VU@dK|TK+1`61jrO5 zM}WC#j$lVPLW3PGVH$vH(q3G-1Eic7M}SO0as-%*<On{`=40TNC_I-Ypt~ajuRB1> ziE#(W6eM?mxsEKL8l4l=s=g0y-GZxbaC;lvzzuNiJnq<e&ZG0QN9SM1&a=L~OKb~- z85n#zpSyG(f|eEhElr>rq<8O&<s1xPF^}H885<Dn3ql|ZY*ait@40k-a_RgDQPg?Z zvv-ef2iUl0KApe7qCVX^Dn6a>QI-01KKJOn2UpVDYVnMn0YjBX<57rB2;HzMAKVX# z1$%D7gb5J;g#;seuJeRX?-KR}B4~aqNkaBZ^WF`h!n{PnqjxWZ2*^`t9zt~}OjYAi ziRbJLa4&gwzJNBAATEM&;GH7e?pq;>?!K_*y*ofD6znDrQDWQ#R@Hcv;RUg7!tI_N z;#k~sLLAia2ZfP@I5F-4t7<$d@Sbw_+>l0h4=DZwHbT>4^WGQIFt=Gq6XQ0ps>Y)V z?}&99s8NYKydKD)yDzMH?*|!}n;c|_aT8co<Ix9Hh`$rESln|%7UmueSz_D+R@Hd) zz)NDo2zU5wki+7h19C9;2*?rR9<Zv$qYGY9?w%R)SlqKh9_F4OM7am7s_|&UYs%fz zpn%0a6BJ<Xc_2?r_<&V49!+>dxqC7cvACx~5$2u~3dFbvtg7*-!&_3_<I;K9vGbf~ z=Xa0JzdpUoPdsC1Xx@M089M_*iM&VeUQi_gZne5-eq_Aq)A`S(^Aos^@$5YAqWSs% zVQ?+>&8PEM=S{G9^AE-nIjBa%ldgs*T{=Osj-5Ba(%@Ec@7@<|oRC`1@Fd&-m=cf1 zqaa&d4G(y9zK7`Uya_Ac!PO6p12626>LWD&tauLc&yMFX|9~nHvit+mh}%C2s(Aea z(oB|rKm(isE}cg^PkVNL2M^gTpYQ_YqXjQOK9cq9-3O|Iz&_Hv$@tQz^IzvJa6o&4 z8Xa#PHSaq9KkC!@99;9ebiM@3f#OIJsuk=fu&it63$SeK0sfY&j0_B|w@X!B4G*}2 zqX=v;RD0_I(CEiL4t}Vgn}0Kw>$kow(RSPc>isx^UE|aF9E%<SLAV~Ka)ai-Ohwv` zJ3t*z$DJS&yCw-?xF%+hCT5T(P>0)bCy2zZNkJ5@i3OyI1*8eoy>r|NBC%`I5Ql4G z1!-ahX##cA9Cw09?3xTD;hNY$n%F>^*gyjvJ3%CNO%~E{P3#~|>>y3x(Wads61ye` zS*Rv(l%PbKXX7zYLU1)a;M4gXEaTGo5=+YsRR}2q!RiyV{8R7}l-e3zf>Il(JOEXg z;P@rAJOF6~r!`29hGlkWdEg<B>@!p)9*}C9to#!ajOL$!S0Mi+yn^`$RN<24ACN}e z{`tX$*FPZ5)bWqOYmk2&Uc>wYs<6rO4@e_!|9s%U>mQJ2>i9?C4ah$VZ(#lbRrqB2 z2c!|Ve;9c2`Uj+$I{x_p_7B5bn14VG2D1DE(umtX0g8D21JX<#|6F(rik}B?|9~1C zWcdfA5x0LLl=1opq?tPY+3*hJp9Ak;@dN6lkmVncM(qAE1(((sWg18W34Xv)J}-C= za{q?+F!zHxfMmHJq>&`|gEWxfe#bD#T+2Q)1_lN$@JtqLme8ZyIiuUVpuvWNxm3*a z|1r?uF=Qa;Sn~o<4gcE7v$qaD@Yq|eVfYO+YX)wuv>YgL^lUx`9&v_f@_@{Zb$8!j zVqgGGUxE$s=-oX5G)2>RxC1oK-gysF6UKoXlu$NObvOa;UMDQ>ZJxozz|h^jfQf;D ze;W&jNAnLB{`Pg?0UXdI9fL<QOQQ$liT@8gd+U(KDi<(-bgf`uU;z1*zjZnT1A}Mt zG4R|F#O=qLJy;kR7+)R$$@JFoA_mrOfb~9r>MbqvY(B<^98e&A%r84Y`kIf4ye{<Y ztrJJ+MzNWx)ZerDn8a&&&*o!tU^Afp2Zx1c^D(a1(w@!7*uYZYK!!Mr;kAxu^DzN* zVW!ujp3TRk(1lrGlVXjB4OqZk7-U-}fX3cE_NT!E7i^D5H&Vzp*l;kGavp2`z{0@5 z{F)uYVDjkQ4V~Y+0WlRay$Llhoe1-0utLoPF+k=)CSwmbfX4A*)1^@JGVq(n1ahAP z8`L}y17sd_{y_sY{EB8?CVun4{(S*655xeOw*fMraRG~YS@_KZyKe$J)O{cZ$h;R2 z^D00iqG;~R#%~@o$iJYuJaBw~7$EZ$Ao)rGG}(n_UJicqSU~21Cdt6&ffyk3A|U3S zKrs(e{(<{LuARpmJI{i<tiOGFmn*0+Ff{KsPyuzA6+C)(Hz+eOK#RbaE{q?+eb!gt z<OS-pc7Aoyd<*Upxpck*bz9+GqSA1WUR%&C&OR{)29(|@NS!0JcjVD{7-WGDWcJ2! zCpRe9cixBC;)0kUMH(%Iq<iQ>j#y|RgWEqh!2Wpw_m71Nnf`HuRy=6V2bl+VKB(8_ zL5B08<G--@K#Gn7I-uydpaY5yIgj4m3OZ2dYeHkA6CN3^onIX_-$Fa6AXg%FQ2AR| zGB7Z-zU6P(z`(%J{FjlxJ&Tcn!L{`pe@h~0>JPK8`3knM2h@YLC7`CGnJtf#VMRd+ zk4NwBid<-Oqha@k0!{`-{+89Cf_8UBDVVbz%!#N5a}I+!F9Nwi%1$#dFuc43N&?WC zdq}h&M(H=BxXbAO|Nk&q6%}Yd53a(o^N35w;;MK~2FGtl3iw+<9bAvz-5=th?sMr_ z%$~=|fb8U#b3pZ3?{0$vsG0_-vs*p?|NrmNyZc88Tms^SR$GX~jVibV*gK`5pvVa1 zhNS)Gzf9#xj?Mp=iej*I(cvy`Jy2o;54BuI1_qe*EtOyn#LAXdFbAtO%;lku&HtE- z{2h0IDtnYpJ+d|WaFfHphCxkE0&}pM%u*iU*!+*B$jfmDsLzkm^G7yWt6?|TFmM16 z3KdrVc3n`~YW~N{-=qv;?EsAppo|b88P4DGA2bwzq6SyIZ+grHjdxJ;^XT2J@t6w| z87>`*?LTpW;(fzMsL;zkP_n}o@9qC^#CtVF0^NuFE!qG6|9@Eok;P0d^B@wK+WJ7+ zrvCf?AL=}xZWWb=-7mgyF))IIGUE%>t<8Vg%5xo?|FIRNJAx)Z861&^Mvy|Q#2ue} zN3-20E?Az02Luiq*~_gRoBy#FnL2_N^DsCfkE<ZtD2i1-xab5|5U^n}kH*8Gq6|yP z*$FZZwU7t5q@bA<nma&&Q=$k>!zH@VBv)eDup69q`CBD^|NsBm*#k31OD|)|#ihqR zdUs<)N$cfb;J8Q1#f<!I$AA6*|5E4A|No$g6(~lnf57FpCwK&y!w6J<OBjL6Z_nPn z8HPB^Z<o%`ny<k_z~GQVi~#euwu1}r&KMOI{?_>n3=FU$4?HLc3NLVl3@WG_kz^lZ zDGyycmj3<=DGy7WJ$v^S{DqcUt{qD&dIcCfd-uNR5rAY9*N&yeC4wNK6~%%Oq1Upg zF>@HI4W_j7PIrt73%Gvi1-S%NzqEjJEXG(SlCw)vu#9!W<vR~McFcAD%E|EUZ~=eI zbx@nUckhlbP<K0a%+<C8J92>q)RB%Ib6?B?3q{O=3PD`O#NU1#<e27vO#DrIK`hMC zQn<CC(40v?4cKYjZ@^9~iS_K=%kT!_EN^kJ8xDv;-Qd_UH=+kDbfOz71aTHyneEF; z(E3A&SD?;^$T9J^`Tqa^|Fw`~$6SzsP(vV+Z2awxAbrjMSooXFK`dy=j5Jb;;vZoq z22ga)kmrF!XY*ec{`NUwPvR}oYw#8M;6PAb00{&K&)&T=<|6{(^)IlG6MjK`?AS3^ z!&C_D2ooWQBOrcZEmL?2YNI%I%mwLzs)Ne$w}XmjM{p$pNly4G2~cvvEf02X_H?jw z`CHCGlGB4}2qznV0y}xdM}(7SsDYi#p@!sSPyx)}<jTgtfUl4RrJ}ju00zYg_JSJh zqRo9^7nLM>_U_f_L%62;CD=6|ULah<Apv$xg*cLHn*XwwIlg=ZvZ-S($On!cb3v{K z1t8d^&0+ul|9?4+m4U&rV=l;0$BwxmgFp(J|FZM9F9Ydq{>RSW1W6E}fpeV2d=0*8 z0PLUNtq}j1fC`ybgnte{0Q<+{0m45OoM8XF;DGw)HJ@Y0T#!1)j=3N?kP|`43Xy8L z_}fiE$-Vg>2Y-_`hy_|A$l$mWG!%kf>Vcww9g^@hc;N}31D5c?izs)3NKB0-HI7h& zvB^XHd5a5FFqME3e!(qh4ei)5mpcONsfKW<ryM)xdQ1Wf?U)D^0{N@?FK3y;%R`{B zhv<Q-gUa!@F9%6A|KsFuf+QnO5Pv6##Nymq#~mOUN38N-=Q>}4IM>m$ckhmi2<Ha- zgWYz*59&6@j=4LU!9p6%P$7_$9Gm}f6)V2<2dx(Fm<!SbRS1*hZ!!kS?EpmtuIK=T zDsFkOGrLbhoCyk5hLZ?q&UOR4Nx%*2CQva^3Kp7C0u=(er1>v*nZnD1prGoQ3(^Bs z2bF^-c5YZ=2lYE4y-zHmTI09_w>;Rnlea>g3rg%9TM^EEYzuZ<ge}x<piG$t7UD>Q z3V{<lNF72Bo=PFk<$;%eJp4_N(vJt^vz;Iki*r*PcYtIZvC4y;t9^zWlxE?T>4MYH zUW#MK+~_sn{CQzDB7bt+01H)IhYCTQ#S5=Yd0~|)FW6Zi64P1yEs)9-B<r{nM53z! zJFWOI*l8u8%Jjt{gtHbe2D?FGG1Lv9qTwW1=*0=B5X4!0WtJ~DfZPG`3aFI3A;<uc z<3*}WK?Xt%fk^U|*Elx+<0~q2+`$LZzY|2FyRr2^Nf<tPupg=>LHwZT*}M0{M1&t+ z>;gMDVi(lGuh|_t=7I!44nQ=KVUu~DjYmPPMR*e#RHgZJz6Z?%gDQ2@h8Vbs3~h|U z=kH<T3CQC+5+<MqsfG!tK?)k*sW65%NL^qf3NDBt1s6QyI}TtMLxz3e!#TaXLFRch z9(G{lV1SR}cy`{v;#yQ8=s*tC3#jdBWcObHyZ-^){RSq~bw9{FTDrf(6cqk5KuefQ zK;i#_%I*i5M@#oRn1S3M0Gf9IyMKWxb;BQI9xdJf1MGedb6EP1Fr%*fLFRdY2I*;( z{x_I|!v6r={Q~CHbw9{FTDm{O0_6S*&<-qc`Z+;m_k+x%rTY~uLGCvI?f3$_zrljK z;SVy8mhQg+cK-{w`z<V~>wb`VpdD_kG)n&ytU%$v0JK969R44u?0%4Wv~<6RHOT!D zpdEN%_ph*`Zuo=Dqow;9Y(VZ8uz{7I3D(qgKgc{<x_<}Q{U_k=m$0F(`$6V;G#;M7 zNu%^%U<(TW23uJ8U!b!4LFUoY{Tg;4_gjE=euC3~hb?u(A7maa-TwgW{ts~XJJ?az z{UGyb>HZn^pzvQ|4@*BksO)}_d7%313urscKNs+5J~i9_0S+MdCx8ww0H>b~_S6l3 zka@IpKZhg8{SuC_@Xv6duKPje(bD|~!0x{QcfW!oneGp5*gYc|Hn$~_3>`GV-l+sx z;?a0m;1{`x3U`09!U+@~9iVkd;PiBZT>s$c4};93$m!r7F>d!8ID_2p;0#Mo6P(D5 z4;=0XnMX_azW}@c2i*N0&eU~3$UIuQe}M}q{5QD3!k@u~y6y*==h1kW;V-#KpFsMF za0R(P!xiTK9aMHd$UIuQU%(CIeg)8}1>pQ!;7Z-_2bo7p_n!c}{|4Os8gA5eKgc{< zx?dpx6#fPQu=La5PhIze%mXbJ_)eqre*^6P7jXAm1W?!gAoFPH{t1Dg@Lv!J3;z#P zc0b5GTDspO2;}~VAej4C1X4HrLFUoY{S3h%_X`BW+@BCcUH5~`1GVR*Xw;wF0e1fh zxceo7sq21_d7%EhG>zO}5CRJSh7efzU!b!4LFUoY{TiVl_gjR*+}{yG-S7vQ2U=yz zL!<D20CxWexcePKsq21_d9-x@j4)96uLy&M{|_p=A7maa-5(GRa(_ZN%>5g}s2lzu z^JwXQjtG$ZB_d$%&j_ck`$6V;G#)PCr&0Pp0CxWcxce0%sOx@^d9-wYMI<QvJ0fA> ze}l^I2bo7p_Zvik-0u(tbN_@$>V`kaJX*T{1=#&R;O_T`qOSWv=6N(8z9B%P^uHh) z6#g5cVd2jZO<nhc%%i3IBVs`A&xnD!e+QM_4>AulpDjeA@E3>$xnCg`=Kg{h>V`ka zJX*S+Aq(Vw0npYfaQ{6ale+E)ndi}X_=hNs!hZ+Y{U_k=m&l^7`$6W>()|V5pzv=1 zZB+w@{{<?$A7maa-LH`Ya=!&=YaH199of_ke~@_|jfYQ2&?x;p0K5MK-2DzYWV+wS zqjz_KI&^(2_F;68*&dCDH9n9$mV$fy`9&@${D0)a${&whGTo13{262(d2R*|d_oD_ z?*EVnaz8^p%>4m*)OA0|JX*T{M?T2?90f4<N90r2{UGyb>3)VnkoyG+VeU^TAk+Pz z^uHnkZ~6zB?a_F+;|CSfKSvSB{Srkm_h%H6>3$sPA7mbRZiXg(Foiq)3lxLguTTtg ze?bv--48O4mhP7*0l8nJ1m^yVV(PjdWF9r$@6r55!lm;l(vsHg3Z)<~7?gs%AP?Gd zU4rle_z)_j6}g=`Dm>6F*WV#)Z=uIXId&ca75XJA0zREk8Sths$L2qbrFxE?$2yw5 zcY(L)8hG^XKClzIBg3U*Gt*(n7T7~jq1R#_%||3ar-DJZ-$K<i>^^ahlY!BvyF^6* zydlx!98^cc?ug5r&|Qi*E<puBu7NrPX?bu%3FxTy!xg__S+Ltjg$J@e0Le<U)y%N- zF~RGZA%O=zX#Af~?|zLkP~cgVfdkL8cV9;-a^QhaxP<JG_UJs^nWMr24ZQy@o$ow4 zZ=nVrR1gtv<-VPN92@pQd?)1DyAR|$$Ijzm6)v4W96Q?Dcp!TyJ$v{4;6|Io_UOC? z^E%XJo{h&qUWYiV+ed}PxAOz)I%u%hQH2hp`TsD|{z8LtkoO(RLEe}3?A<$|4C;N& zm*4~D5No+Xy9crCFLdlY28yZY;5~<6e>pb)V=PVf?R@6aoub0x*wN}F3iIOL9U@3x z{0`QGv|JrzIefV~XfHfsx%xv?FJbp3xTpdXNadAFC$fJnDnS1AsDSx*MmhEU`&$<7 z-vU{D{smc1b^oHB$KX&2@^C;U$itxZ;tMLE9wv3YKB#_tkchW_1epyQ>;4MMoGzUo zA$b#gjy|L~z#4T(@rCAok1CM+BdTETUr|Y>`*GBdAoIv`GdQ6^3Eb`vs0O(|p&I7? z4OP^2Kgc{<x<8@@<o=8rnEQ8BQ`h|<^JwY*gj$gM3u<BRKTt!a`$6gd1UKIF4>H@M z@o>gJDyIL8I*|J->R|3aQA?)#aio8cdE~hnn)JaG?(|<!4|0D)J<R<V>Zt2}ka@Ip ze?<ex{T&T3_ur_euKPjeQPcfc+J_B|ATLY+ZS4o0BD?!R1Huc`Y#&y~bApb80JRU1 zj!4<efp$a+w)P=N4g6$=5*2}x9N5te70{y@_*+0x06m@o+<b(essL(#V73%NzVv83 z{NX<=GvaP3Vmsxb1KTMN4cJb3Xej{gj4uC=e4qpF{Ls+^ii{bc^X0+gO)nY|kwMb= zNZ|6Q8E<(6GTWo^@Cqi9$DVMze?l|J{R^65`QbwoneN9?9)Zjw&&}Y12uk2~|BM!p z`&WSW!Ggp8M>BQZ4>FII?qARfa{q=_SokxvQrG<;^JwY*6>T8*?`VU$pQDXT_k+^E zg&f}W4>B9Hc8#5i>3>5z$o&UEC#rzck3c(_?#Ge-LFSR?W@yp}Q@GRrjt-FfPk@dl z1iN3NgSzeqnMX_aALs<R|3W7${U~%&*Zm;#XzBhFT_E@0=z_Ulql--UgVO(piFngL z$ZXKu2^SU9|AlUl`yX_}+;7lLru%WEe~@|Pxfz`Bp#<*qf1?NF{uezk_gnN(*Zm;# zXzBh3y&(600G*Bm&c6=5)OA0|JgT}MZM^M8AISYbK<Dw4$b0tg^XNmkpYbJRU<*8q zM8SC5ild;>M9_Gf#!*fN)bY0Mx41!#R36XXeHyo*XDfgjkk26ldPw7KAeVVI9(%#d z0lDbr6?x-ruAN6Z&w_^=|N8Xq|IiQeJ_G0m&k{M$-n{|+Q163IPk89jc@H|^=FxfB zr4uxKruoM4{}G?g|KQ<9m(GXauyKS8x<LdXBF+C8`CCDyx^L${$Bx$9D>$Lv+#9e0 zI-=^>uooOMo}Kqgc|gYm9Ysi3oB{<INOZ?Zs3<5@AVYMnoe#j4K;7%vcoY;U;DaO} zVe*2s(K(dyNYwE8F#!}l91}s=6BIrX6KE4YlQ+V{hhrnu!;TGm!NC9vA9zSOHtYot zU4ugnK5C6Md_eA{YWQI3&oN8_g^|D{P#A&6`x7QY!^lPRB{lnVUf9R`nTZ(h2dSaT zct6N*pbJ!aVO1FJUL3UO2UEDq{|yU3fp-9O1T(n&6j*==Jd*lfZlL%Fl^Q;szhI>X zXrs^q(9Ol5vsM@&w`fq|JXfU2p5++}LGG_u2#S2rc<u>u-H)UG1(`?H^$L*kA8|hL zB9QwH7J=LkO1upV5#f&|@xta?paBh^Z-GoYKxVMOWfOSTAr3a{(9ygNTF!&c?L;o; zF$W4jF7RkPoFEQMVlJHzi5s;BCp9pEJH0Kq1M=^NJ0Smp;)~%9!oMV?HyrT=G7l7A zItbSjIpz*_IF!Kc{)oFE_h;ONxqk<h-48MkG(RdwBlip31G!(}9?bm(cc~lxAoFPH z{u5yL-+;Sc;~sV04>FII?r*pc3jYcBVd4LP%I*i5=h1k$LXk%4$KnCV{T`sB{=xOd zjQi9Le~@{!bpHpi`xzd>!av{vb=?m#kCyIV@emaLJK*l;ct~CMgUq9)`z0QO+^_K% z7XB5FsOx@^d7$|N6&j`g3t;y@fV<z|F`4cUZP=Z0MF6_W<Hcp@8Nt{ZQN6oCmQds= z-1S+<6Ht82cmj)$7v%Z}M|})3k0PgoYh>K+cX$eNf520i`xiVRGd^&*A7mb=!L3H4 z^z;MlevW4__eVUXuKPje(bD}Jo`J&u0NnioEuka@Ipf5vl=`zxNq!v6%7-48O4 zmhNYG4RXK0Ynb~JUQsvvLFR$>i)hj){Rg}Uxj*4O%>5hQQP=$-^JwXQi|-)!dwhqv zf5tcJx*ud7E#1H32gv<9e!$$%@q@bV2bo7p_b2=Wxxe5i%>4(b?0%4WwCqnx`~taO z;}^{R6+fvP{vh*c>HZ5~_dkHU-{2Q@-48O4mhSKP4GRAmzhUA3g39g(nFl&=K#xY{ zr^6qR`vd;K+`r&Ab;BQI9xdJf1MGf|zcBYl{GqP<LFR$h)9KSF{5Sjsh5rG#`vv|| z*Zm;#XzBipe<1f){DX!62`alEWF9Tuukat_egn`oM&R*?hJVxze~@{g@gO4_rJoyM z_rHL<-{L=Y-48O4mhPXxz{t?Ne*ps{0|PkxKTz5IAoFPHeh)^F`y&`(?q9*cNZ$Q? zIQowu^JwXQ1}2dE1(;y&Phh03`$6V`#)C|0l>T>s-G2h^ehDV(x*ud7E!|(h3=01S zW?1-NptAcx=F!sq8Z039Td=^~-@#1X@CTVkOZPtjyZ-~+{SGYDbw9{F(D;=Fjne-N zR#5n_V1<SM4=TGKWF9TuAHW83e*zoK{To=R8~z~kXz6|qc98oe*kSI^V56@4LFUoY z{RhDAzW{f?0y}lx4>HfA@o<DSjnaPw2PphIK({7?+YdLW?0%4Wv~<4#C&>K{oUrsW zfrGl?4>FII?tcMx{|~tPJvgcBevo-ocK;FRe$9VAz3Ufnfr5Vn7by7UJbU*sa6z39 zUOxt2k_BE40lr-Mq2|l~2You<gZEi>`lzsgrJ8>*mS(iREv<#!0tvek2(q@U^*~87 zOy)Jx228MC$Ihd!9qWF9Z-6{nP-5WOyASCG$aQ7l8zA?=Z-4}E^+4MDci6Q9qNek1 z^IyjDBG=X@CAp|~H)1%(7oR-nzNC3hu)7_Xf-mFShjh2&yl3Ex9QVO5a%}#~#NR#* zbU#z`A13}L&@xce`*bnv&%q}Tw!aH{ful7jJ`fi;&I<$I*0>LTTVwNI<}&4%pp~1B z9bkun9Me_|x}K5Uu>-8U`7d*MzGL$r=Aum0`*$&%<%v(;v7_zWW7q<>eGZS|3*7cS zs1^iG!Fl%XOQ?okBh~zurM%d&`43A`F6uRn7<T&NlLrTd666|3{uXFdAYJ7+PYrx; z<GvZNdmEeovcjW+6&4ksg;TK0dok?KaYVVe7gZi?e-`9k#u89eAl=D0ZyNXl#(nS$ z7@PmHl_|djtul1%06Pri7(`Tnl!I=`1qBNmC|Hm$UqrRG^+1U)>IIId@?d9ef?UoB z4;G{g8t1WrZ)4mCzl{-mnIbfWvcrM}`7%XR^IH#;<e*-rh$;`Z{}=Q!MNqI5ATCp! zR|dX0aUcBV#OA*oh+qLZ4CEL@uz-{||K$Lu77kFbfNnK(gx-CKYAqyKaLYrS1-bhW z9xO=rAI@_FUv{_;e%WF3Uru;x;e-VX@@0h>_UE8pW{4^ew%-YQnIR}xkS;Tvw+(!A z;Xe4yh0TAt5Wxa+7|1b*U;!y_{>udp7A{b*AYV?1YAqyKaLa?8)djh{5FRW@7Z}b{ z1K(D-4}M!=^IvXwuyDhI1^Ko?4Eu9XZzDvN2irdhdK)1qSdeZboHq@8_254E)q~A{ zc@V(@au~=lh+qLJZ~n^z4i+9zupr+mh-xh)Sa8dOouvi4br4#%Al*7Rj}3gq;6C^j zgUx?=;laWS3l?5bu@AjE5X1f)#~rxk!S)wHuNDLa3)0ns^UA>Y2=0U5BiQ_xuT1$R zI0tut9R_j?B3MAmoB#5aS2#BR;VUXay$uk<SwZ;Z!Op5i+!nYG>9)Xm&%n0@?nAjP z5G)8<asg=)xOBTf+XSGRi;I!Lv+-C52e{!2x_9Ft_QNGW3lwm#Pv8&$HBcl3Kn)bo zddCcYXaj|u^9Bn1@$PQ{nGM>jBMWviDR+h7cE5li$o&d}F!vV-km-IL<6|K6$a6C& z++i5E`z3@x?$;24xxYe?y6y*=M@#oB2!q^jAPjSVgAjGy4>FIc?uV>z25;~HpC=;% za=(QL$o-)GEgixL_cKyye+$0zWZH>8PevG=;XlYzw7&(hKLH#B|9yJ58;F8}z(Eum z1p6n55ETTVmDw0a>cGz<^5~3F;qd6Z1?h%>4kgle>^$b!(cS^^uz_dqe)s`8t{vN* zMY$L}d-uZ+oB?-5kd6pC3{_)z(xbaZMF6z>ryF7eY%#xQ<8hG7(GF<&580vw+V_Hb z%n|rp6D;`?l0M+;-z~&If#V?t4jhl(-7`dy0|#>DDcFymoyU>R8zOoA`!DDrG@w)c zP!6GiteJ;-66ylbMtONeDN4cmcSL%Cd3n2oILONZ;xI2S5X0+bGR~)R1fNfJ0n+7h z_UPRW@A9~GY~G~C#Q@p`pawmP$E9QQgf9XhA%-u|Rfw-;QRDJ3R2x)j!)|c532|~2 zyzd06OF`)tc@IeMZjc92LX_a972M^yhXg3_A|yb82dYn3h$8}zr2PY+{h|z_c<WP; z*&dCDA1EPG0+D+OaJxT166F2_NtpXLNRa7%9Q7&4Jo4NO%c~$7xBDZcK<>|wg1LW( zBz4^nGLM$-Pml(=zd#!1{sU6fbw9{FYPugw`H>+5@<N3S$P1t?LnovWUcj<ti0ty? zJofTqGiLeGPel0v(&o~!8Kji7@}u!E$b%@=4tx(8s31Wtsvy-4?(|zA3ktjjSy14C z%8v^&h`=Mc{FtC1%mA*OK;<5^N<ykhKxTV19uCl;V);=a2XcRh9L)VUWXW_tj`9O! z9(isCl^ZaOJNz5uLGGU*4|D$mIqJF}WF9Tu-=P3<{|p6~`(MaY*Zm;#XzBh5iXitd zP=vYvg93Hk4>AvQzPUEZX&#n#Ks4_3GeZgF{uN3v_y16&uKPje(bD}3ltJ#_pbT?A zgEDp94>FII?q8t-a{mq$nEN?YsOx@^d9-vthd#*t68bRrXXugXeo*_d!wqlw4>B9n zw>Bg>FW^r90tO)WD;U7sU!YH>`*D>2AoIv`GbrD}FmCrt7=qldVF+`7g#mTl4>FII z?pH7Zx!=GD=Kcml>bf6f9xdIkVGMG=g)z+i9Y)l3Kgc{#U(cB2G!IKVAR2f2F)#tS z-@ydt{t3p^bw9{FTDsrD6y$ynQ<(c_m{8aKAoFPHeg`v<`vc5i?q6U^UH5~`qow;j z%t7vtFo(H+g&CRd2bKR8Z-nr*|3PMh`hsR8=LOv9KfnUy{saq{`!|@A>3$sLKgc}t z+ziUMFpS&%5tbnLXIR4Azr%vM?gyDiOZO*Of!tqU1#|xaOX|8GWF9TupJ5Ghe}y&7 z{U@xb>wb`V9*u`DSdyIPVQB|M<4!*XHX!#m*udO>!J4}62bo7p_gC10+}~jfbN>w+ z>bf6f9xdJ9U<Y#l1Us1fAJ|gY{UGyb>3#<XkoyB1VD4XFPhIze%=2hGEb$q3%r4Pq zzJt;|4C7A!9*!XQM>xXVzrumK?gyC%s(<0f1XJ1l3*12N-{1ywKZ6@}-48MkRDOz3 zRQ?2X`<3`~yJd8qI`~We;45jyQ=Qij{%1Y-oY~_ziwXk+1A}Wfk45972Mi1hnipL< zPhEc1DWc-oU1P!0`Q!2n7tZs|j~F{eR4%`7e!$r2qQcVI=EBIp(EOaSa~~+_dGyw4 zcr+f<U}RwM?0jH&$?zn27%}27Gy%}S{y#ALe=ssIK<$5k%l=@G&d>WmYtKA7?}J?i zI_Q~!fgu_)ygI?-cv}SMLJ9_uQTt|qsK#RsObiSluRzs6y#l6!J(}MLcyxa5_ABu~ z4c2Q1|FIr?1`pP58v|IVUVh(cqtfkSz;gKoDBNsRph4-;JsEUbnMZF8Xae*Z)Oni) zm_fGhWCXbddZS3UiwZ|)Gf1M_MTMucS%ewn+-eQbd5%!GdUW1{7|?t~AQ~3>6DEND z4_f4g<bP1S!Tj&j-F5@CdZwG9v+V#g1A}9?150Py5wQ8N;8+26kl{%z!7%|59yUJR zZU#un3>qGe-7E%<-6aMru+Z?h{KDh%yXFUs&CeJ;4n9-x;5_EhS)#(>(Yx6LZ0+;| zHXID#KmmKG<v@uc$p4@~Yp!5sU;qUHm{S8*4i1F`s1q?l0qlR!B0D7igO+G}^qPVa zzu_gY9iWLtaAMm0g9RM;ARRCn4pu~Rnb6&L1f;OLZ3iO*1Cno{nF{8~ZV`)a7Ymll zuP(o6e#8iJ?KBNG28PZy9X3$R?W+J8(s)dO4P+Z~$aeRcFflNAbhl|Rk!b!6u=#f& z=D&cLe*la5OCaXYAl3X1c98pf*um}x<r$C0V+HJ(?gyvM?lul)65VgX0W#l)18n{Y zkRuz9DR5w#-vWyD?lw?LASwJ`fX#mcG2a4YNaL{!Sj_(dlIm`IL8|*_aDv=FhZF36 zP?qs%Jl4R8>HZWJaQsHFkQhE5Tp;s(xWML1fDCCoX26AM{uPi^ciRb4&Hn*5{}06c z4v-;@#~xsqPu2Wx0E*?_=?)G!^E)V=!Sj0zSUEVq!%ArE`5m-66)C@80F|WZ`5jUS zY-Ru#96OmA7$E&$kKWy&Vis0BPU!CI0cEA`wgyu3y8$Qy+Dt$J*$b&E8;^ma4YgwF z?gLe49^GvpNHzZf*!(9D^EZGT*?0^T>FDO?fI_XiErHbhK7kdK-lnjE)0+awkj7&b zteENT4oIrI?E<OhJAlh87f5+E0c1$yF;E$a>V8D~(WCKA2Dq00=F$0kA83KFPv>`V z8SUA;59B@u{uXIa>C`z#r2$l>9c!xq)$Fd_ekGpWWf?A=Cl3CQKlno0h4Dn^aabG3 zMa2VD4|I#zH9vUZsCm$(^W^1Mogpe7pgO6*qq9aO!lm2Aj>Ylvi_Qz6a@<A5!=>{8 zgyrMd-M0p`HKBVSs3M11z<9#3^N{1gU#yM?A2E9zcTrIQS>e=eBHsMqf#$)>?>b9V z6hKBNICZ;-vvm5XWL$pH`LX#SW2cXbLgzsUL&ddw9q2GC&+c`g8rr4v<-wow2VY9N zFuru`JmYxq53A$BCt%|xAja3IGBiJY;HY`lrSs+G_nkE=5}iMrA2ND$u2BIUAMDhf zqYAQ10wn#m^CiR<8Q1Q4p!s)~&YLjfZ#s6K2OD(S@Veu{|E!J&pEG-Q&jT&|1bIdP zVw;GyOXp2+Pze}bZ+^t+(K$y2boPo<cZ@YlXNZb`3+GXgir1WXn;$cF#;AxGe&;+2 zYX8)za5O(<1l?Cx!o~@5CkMz_NHn;pa5O()<a|-eaok141Jnfa>D;0MYMg@9fWz?{ zNCLF9E20FX2sD4m;L+>O;?dcn0!j{^y-r~E2T;WH=BTiE_Qtb-7Q`yJbeE{8xV9cB z5qE9<Rx0G!{D9G=(?x~DvH2O}%Y&dCblgP+9GD*6E-D4xChVZp0E#UMkM16@;oU5Z zE}cCpXBZe5KyvU{lYqsV49K0}AoA!AQAzOWoT36+;p5nCBh(FwXOMpdKw$-nQ;+UB zDj;We%Lre74UVi%9~FVln~<mx0T~4<${2jQd%#iX(fP``TZab{fjOW?;}jK8v^aH( za9w@{i&Boxix2~NKn7@lqRXdy3OI7yyLrT2I&V5&e(BQbqoQH>7343FOPsn*1YHcz zIyOIK1j}$90>#}s&QHzH7&}W;bPWG;-hxD$0jNI^qGD3Q#(A|fN5ueS2RO`HFO>>* zyQmm6KVSq$49MFIAX#u|d~;EeV07t*1RXf`KyCu50R;lc2_D^Rz@7nX2KkDi+eby9 z+eJmB^PCIkF;Ek+^N<VYr_K-+o)T%!Yaju~?-yJ-k2xw{`+kx0grnjym(CIu9#DPp z{X(mY3I~4+sILNYLjWigKrvF#%_0toITw`xkncfBrdvc1lA!}YQm-IB3<2o_T_?u? z(wE@a%>s$R7o9FD6&~GNATcK*2GLn@8LF)Yq|E_h-4t-FId-#%fT9ef(V;s;lmVi{ z0j9$Rq{9NH1C)mx!H&Fn8KlFaTSOS5!2+hi#-kVH_)ZoTP%GKMqt{2p!l&0o#lff7 zN2OvP3j+hgE)ZdO0OUD_<{A|f2A^(7HY()>$I%ad{x;Cc1rJa&7IfqRgGZ-}iUuey zKxL<A?>=yi(p#dU@p3;jXMl4b%pA||X`mXho2%Q2rSsVLYiX??OZY+VQh=H9TC(*3 zj9nrOl2QQ`g`hlr9BgB^kBR~)M|OUI_|ND2#m?j3uPdJCgcgM!pnw6VEr)N%8B5qf zW=OmiY&`%mP6m`)QH+!5c2SXOJy{~$dZ3gak_R-JA27Z=4r%}#cToYS#O@-NZY$33 zhL;-u|NqaxQ0mkA4I~9JsKn;DiwbCgFT*Yn_qAc?q3^d^5AeHQ0J#L5lRUe(f&2{$ zcnxqD4IIQT5C8lBzdJ+)k!3rteZQt$q9Wk%?HJhQAu1fN1zRtHTppsrbKFG*)c#;N z4mvG>p*u%~qdP=}r}G`>xy}+5jn3<w$2)6ObV|fH|AF|R#I5+_`&G_YiWfRvRCKys zRCK@zT%#n<6Vfv2=74zDpxc235}GDRacBVR=5#x2c(fiU5%B1CZ~!sbk2^?!>PSaO zUCHRt%ewc+|NowyZJ-L(qwxr+#zngD)3<jWsMGD!nWK{6+q)0chTZ=og@a+&3I+xS zpU!{azyKA*pb7^?#;3DJr2s7L*?9yMn&8v~cRk425BZxP@PlhW7ZnvpaIK9pZUOC3 zf;2-S5~YV-11c+EUF;ea0Z1r_z-#C>a1D(Z1wk?mT*jjI*K1Tb5FK_<g#{k`;PL3q zQIP=UHx5vK6W9j|yIml{@PJ3>dx-aX_kp?&pz1xtqqjsw!SE8Ib?Mo=PXW|m12roQ zFM-pKN9Q}RKImwSN4F@bBmfz&;R;%e_1>rRnNR0Ouo{rp4M5VK-P3k}x+%RiDh7t% z3{OIKQi9d^bUp{uD4`D)@#s7XG5}l@LX)viZ;DC)xUAR-DllMrn^RN*_?uHyLiq7V z1Gtz&4>$+pfOCLEg9|ay04@U2O|w8Y%>rVYjZbflN(CqyAjKYXH28G>2YVJ8>OS2$ zDjDFtu8`psSfF>;s6@chD>USNdRtTy7#SEqq28SWs=A+hbiRYd2RsH^AUPM*xPV2% z9<UHvJiyWeA|5=tOW;}HxX1Ap6;Qtf5}V-OMYjiIw*zZ4BpdXAWjk9`u7H}dcrrta z$`Ozm3=9mYLAM7ijtD&n9~6KsDks2ZLYuBQGe(Qb9vmiv#F0$~@gXK30F|`8Yg8gY zbw+Ow*aT3(g4~E4O|a1M=-mSLC@i|5nFtz9pxBG>>3oltM?eM!Kx3-&xliXmk8aQi zAA?8dAw*7TQ2|#nsPP06LW?I@g259{TcE)J%`_gpdsIL|yFlC8!1)H8;+mI$MfsbT zsO(^1V8EMoT2w&Y29UAnkp>b+4q6Z&60{qLjI<>nW3eO$kT|l*AU?!oP~q*-y9V6e z_UP?V0m*>E7-ToLNP|UIcM2$PJqN`hC_KQS0E;=Skp>!g1ZS@tQ1$|CiNhXqpwVQI zFW|-88WoTbBIaPp2NrptmVtpsCyNTGWbi<40fGDhiglmP{~nz$eR_Mqc@^wb$L>Bz z{|Y<;iZqr4uNqoZ=75}mB``ta$bkvsLjn_2%{X@Vf%;UQ-EE+DEOwKp;4m2^j%+fB z4>1`$INiHO1vFz0iYAZ@$a^5W@kJ9ji-3I$jT~_E8%v%7EyV&wPv?73TO3q{V=n-~ zT`ok%^6A~90un+*4?J3+*%{gtF}&o{`R`@XcjWsN!Q-=_ZL>(@HK1gIF+K|(G40(9 z8rU?vw38ocyrzK(X?zxCya40{&(3cy-F={87@zJo4Hj^}4c5~=>DYPN@!)S($AgcV z!9CuS&fPW=&fOsrETE3S`^&E!n}5pHnL745b2xUJFzf_1T3j?wUVg^;&#~8;$FW<6 z;qqh7%bhoxA24^`ya?)3w;tekInnv`wRq>X=4Z^E7a@Y*_+8F*{_DKl`4_CV`7t9% z-3`vurJ@(#8Gdbk%E<Y;gbUUze4P)rR{>%#3&P$9oW~*dvM^kJz<CHXA_2DoZ12B| z@4$l1kH8jOF#HGUz;SS%0{5HPApIujcsl2W<`1Ar9S+alXqMwHDxd<5!LfVVAJEw6 zMMx+yUhF)7@IR|dugYIgifyh@;b8FXUiX2Sfq}mTba?@&O#yC?xpY2o>@HztIQX1Z z^H=A^%b<?4fJgHQ7RT-!R)))OJ9AV-nt#dEX*u?SI^EqatPqcBUcCH(^FybLihyJD z5gzAm7gdnwKz$#P<`fkHP(wt7A7l%-0q)#w!VHQWkm(vu-6G78Na_qx(W%qG5?~;M zH5{7{^Eh|2NL+rv32Q-XfTGMrMWZ=IMTeQcg&8yeh0+<acqs}J>x@w;@aZg3aR7TS zhZQu&qIs(G;^nuX9#aL#f1n00sMqA$9m2}sc==WHFBzB4%boA)RG>aBLHP6;=R1%f zk7IWXE5qf-E}WNrI*&I$=I^}m{et2LaEs9J+X{ZieW1WD<#9Fq)_U8Kf9f%p&X3I> z8C^R+IDWt2xSi3Z^<ZhPYwI^h{;9`7VG6SeB}|V(ZE|5{xctD8^N<VY)#j)C&42m% zryOwnc8H&U>OqBrjvU83PrGn@E|D|**8G>>fq%*|{%wc(x1Dt5`0mR2;rlhtbBf1Y z6pw-SNI7_R{_yDzQ7Lfb-*)`_wbl>(EuNr?9@KvVxfe8SQsLBXq5+Tn?iTP^oKrW8 z#^o2yzhpXHR75}tz(<9{vAc#Bo&cV6e($V-C4dlBhRcsQ4|Rs9h;+NC2z2|Xh;$xm ze#8$NRRR@-r<xxz8h&ej2<j||fCg~*T|a?3{+``!8$k0z9s$iYj2sO7ElDg444&Ql zAWh{E6%NC1-~a}fg{=qpTTXF;<w2T2O?8gf$tWE@aDx=o)3X4{3Lx~NSyW<+WQhg3 zC8?;ESU?M5up?e-fu{>xR4iU9a56ABck6)D_FLy}8>Y+eL4`o)zs}cn(1Jh)5?r7H z=rQMONI_u204j_?z06YX=EsbVpw2o&skvkGPx%_CHk9(?C`22${5a0}zWF&Lf2%7q z14Hw7M#s+opj-y-4MAg42NaW_jLYExk4Y1a%kMxjS)#%Nib>3@49dA2$XOYb1$mlN zR5(CcnTH>qgPprYm>{_q=0g;x9z)8tkfN>`JQ$?`E8IYN-lG?^xgR`T2<{AMAlHQ8 zFmA3<v0(rYdssC8VCHYr2aOS<<a7bY?mkeF=h;0CRIDL}aXXK}=5(QBxZO5JkRe>q zFrGqpju8vESW{{KDO0D~>&DS7!?XibM`)htJb3vr=kLxK6@}(wJl!HjmmhJ0hLu&C zQ&bc{!JxwL2&t7kyZ3==B_vCa9{kIC@F_FcqHYuY?ht*J%kM6~0@Xyay{;VHGVI89 z+-p9{(`}=F`8nq=NXZWlqXeWdN<j*v3{b~10b3a5K*A^k97blKQF)Xw^62(a@i6vL z5imUP?I0sKIDL#=R7Adm2E|=kfAF_}4*n!2Ai)DVC;=$}4@en20V#nJkTT6FDiWZ8 zl%Z`v1|S7w2vR^sfC4h$C1^qi)cE9hnZOE~JO|JB_;&Y!qx2xO20e({%+NgH+$|&N z+#Mpx(s}Um>&vg2f5>%S>%3bh@7U|j;n=Oi32tX-9=!aN^R8oWF^^-niQ(mEoYx$_ z9b+oxZhp$-xDPZuRch1xL%s&8$po(H8RustO`pK!Hs`I2py5gWR?yHy^Cw2f&TGEC z&McsTO^5C-P}=wDo&-+&hyjwTkYori5*)itw3;71(7Xt)*f|`#W3*T<zwV4t;Q>XO zdT%HPXpnmsIQfI>M@W&*(R>u-eyz*TIPZ0qsGt-BGTb2lJ9mq4fWrXhe^jqPYHmq* zbLZk~$aI1R*hipN)CEvE0NqswEv<c22$us7K?5i#X<PtQ>N#|GfWpJ0djdE-pmRoy zCumw0KrIHfQa!qNfD;eGV#>;ci|-(%W&%ohr67e@252OOaG3y}=R*lE0%gJnaMI&% zDPaWV48kSsP$?K-AsT=Zq9I5j8UYH?0Bogf45XBe0GG0$quNmi;0@4Q7KTVI3j_3) z1vh9qw0j?{`C{;L;rIXlL8Xzw%S+(y8Cr8_8(0w990CtBqMNuAq5@(fc!A7I-|zqb zqqK^^ZGBKa69Bb}I1sI(ms@|JDFx5zfs}fHlqw)8UH21BDR@{Dq|^YUR02uq%YPtG zfd_cNqukIzfle;anDGNf&+a}@`>xZG#qfYf;}KAo4{5-fNS8DJL30jnmvj6@?!Hby z>2HE^hiB&(&(6R5Kqoc9hEqM8_o#ptGBEJB<a2<=$=9fW`tpv)T2wZG29F)PeN;+3 zyGvA%SLt0o_?z|MWAOZL0;p~6(#<0WE`FhtcL^SyTU0<JH!j^Sa-ezL0>{fQTslue z<^d91U=xxlj@>=r(I(ICJu0BV38di&q#_>N*6lXY2U`Iy;VnEm_dte(I@f?3@h;sl z`YfG2U_%@)zlKd%Te!d`ENwtmL55V;sDP&QkgU3J@CWO`2Vn1jquRIgkqfA^2)57h z@;lJXoq<c|_vYu|32X2W0BEAEJA{>`vqr_BvquGVq~GONu*ppm*X}(kpivf=&YzHa z)`jt>W9J!gKmNR{;W^k0xo7t-&?pS39s_qEow`}nT{?e)C)YF_4UaWHU<COTH2C4v zoukeIng??M&42#9{Fd_-WYSZ|wR=?vBWUd41#At$3&+lb;3We`9S{Cwbv*cx*{Acm zZ+8=D(i&tJxHNO_X5oNM{YgOVa_-LI0NEjdFkQyc@S6+gEzmSHC>RtV^N%Ve9FCmV zzycuiz=@#SMMVKLKYjr`KMoqLW&pVzG{6N?1CGRRE-DF(paC3EmlV`ns{qfaf<}!P zKoid(h6kwf?`#1N+j#VrvUv7Jv3T~@fab~_SwPd|7B1Z}DmJdI-#~p^(AXO&wSgvs zKy&A}L38KN7(q^xaBP0e_)-|uQ9kaX0*+ZwPXUxJL6fUsyE<Qabl&ZjVeIx%DYy)3 zFc^T`44L|cj2v~_uwQ=Pxd%K&4H_Q-jY$}E{s8s$TvSXzJ_E-b$YfCPc8f4|Ly{qQ z{eece4cq1SpvEsqp9V~y4oDw37C@7=pakXE&0^FIO-G<kiiB_HA<*gwP&m4DyO=V7 z7I{EdUr4|+5O_u()T&_s1u7_+ICh)pfKm`>2tvW|2FRlz-*n4p8h(IOwhAEW*Dl;G zU@tjx-hi}OR6tGw7cI`9`S#A2;Ed)0S|k9P_5~RP3Tvls89|sy9-!p&8g7D*;RQ!d zXuvqY7BIM!u)A;`=yXwW0GSVt1lQIB;MsW4{0lhxLGA|6#)Cuhn~RD8BRFmNbVJ<e z(Y*(}PysY!3knaAUqJ~7tQq7e&}_U0Xyy?#8~?4dL`4EL8-J@aL`4QV8!zGb{hBN1 zXGg`$pb1My#ltS0F)A{kDOvDjr38P=Qs`g-c;3jPdkVPx0>vsQopiH+din+6eCYs+ zGSHY}H*9_#b+$YMR3d^504E0*Q3hCg07YvCNHZv{!Zl~WX3ld!(=MPZx?%dE$wCN` zELv1R^3X|l2nV8jiVA4J6%@h|Fx{X)h0N|lij#<L5phVOjezJ0QHcR*08hC&gV&C{ z1p5!X@&FX7PTeBl)uApb0icKmHPSmnR6;<NxC3Z>i37yS0F7*e>P8Q6y8_hni16v{ z0Xr1Dws{w*c0|nf`#>5Q9?d`G`P)GIvr!uv3O?OSz>_0B-H?jNvv-dQXj~Ok^D4YN z3XLRiVFFX?**!%CRJ(y@`wxD<*vbW&?6-g!0h;WGu|ad(E-Ij@bWpT1z$g1Hx=U1S zV3YkR=#%{kpnw9WUBqO+0b;V>1XR3$dOdLC47y!ZOi(BLL1p91v!E%c<1Q-TgbttV zZ~p(kG!#734+?gM64&D{DmozZAT#||C=CU0Zbx{13)t(R2v9(3m+XT~`6G%M=#;+( zXfOt}SRq6Ov{(T;<&Rjb0GaZSQIY5lQIYAq2cGg*fKK_VK&Si_KsiY9$M?&ex4={W zD%~zBD&SnCP*UXC-2!$HxHZ)2qv8Q+2z9!s_#nrm2XxZkv)dKdq<;&z{Q;Ww&-f0S z^=|<;Q#=}vfT9|y73JHzMFq506SNi!R491%Zc&-Q!oaZKAs9OM4<2a&)fJ$UA0(Xt zS~u8PqEfNH!x2>tcoG_%xL_XP-^Rhe%>mSgvFLPBv4J*+Tu_=r@HrV+#G+09Yar%K zYE(drK0pg4K<yFGBo@dbw5AV|dEhz%bsnxpMFQD82}q`v0htGB-?X5&Z;;Fbryg|k z6p+mWrPEFq6%~)(7!~mH9R*OHmH;i^(bxxCin<F#fYua1_AL1J?ok0POb2C9(D)%} zb-GXIf1gfBiw+$4pr|(h2YQW4f#Ic{poS%Ebu4s-8#b5S>!RWTp6B`Q0Xje$aym39 z`+>`4P*w-6BL$^*2gIBX*b>;h8zNFceY^^v&Tmj@aO8qZXHb}fk`ezl4@UlN4y>S6 zj-4(lIfP;tT)3i#UIcRJML=RB1{52FV;5ZZqMH|hY+eAwybzFigkrY^yy^>7{-YYX z2P}>-6~YG@+@kUYR3P=v0T<Vxc{|XGKTv!JfZ{s>TYQ7!Im7VMPG~%X!xkE)KHW8- z`H25$Q45*}1g+R|1)X}g2i#mop1XrZaf=FQVjkptL@e(CixG}!<T!2tuhfH>11XI7 zw|Ow}Z*ySl>;X%4wt&}B;g4*{dQFfi=z$6HF>+vn_>jPS1D*wk^=Mkaoe`vN20R<K zfESHoGaIy+6xnPLA7VBr@`+0Xpw108vq9p>W`p<;vq9Iyd-TpxSplkDdwame2Po1& zwu9<&kPopZg)QI!g{6k>EnqLhmOjH$1!#5~vi}E~*Fk14fUc3~h9n3dkfL|UGwz6F z0a{K0@(^;e0ErPy7NA8f6(GkmfR`7wfT!0XCczU1GygUR_D;ymduNLZ4|qHX+~vhl z#v_)-peGE_k{RRx2Js;Q3|S{bOu_)IegU}<-E5FJve_U$#B9)VU*Zx5X#EQ|vq9p> zW`p<;vq39kKnY_8G+}^bK#>Qs9h5LYKE#zUKx+tL2?M$o9-1IPQ~U*3%7_V&B;nin z50)Ch<3K*0h@=3TXa{)#krd{rfW#0<0X|g^o!$qvQ5`^SRM6^+1oYJxpkxHL>?X)N zpz;TtTtMLo>b`^O2~htWdnN|0qyaewJ+46F$l(d%L&6iZ771iFsJjj_8`ML<ZZ>E^ z4K}ku;>c!$_z<%}t6V^F)d7twkPIlUK(-@~_Q3)OR40Sh`@t5>Ko>}Ygd-sP%s?$r zv}l6Y(k&{Wm8Q^&x_b_!v($MHIj#yo`oUv%Q&d31)jqvjR6t^gxPlcF$W8*a^+9Vf zzP-Ht6=g7M0(8G1XdM7dgGcXfQ0!x@#{ktf9=)4E>qHGN?UYAakFkS@^%yxU3=BTq zZ3(06F~IBC39QEe%?otmUylKrGZ<Zup)$H215`8(p7j`@`NnSa^%$U%%A*(edJK>z zP!pJx^%x*MFpI$JF<_S9T8{zJ0I~$sp8}Pl;7Vz9J;q>Jj{z$3JiDiXiZ!IA5j0+p z0jiZeyZ3==B_vBJn}3B22oKzP41xg(9sWRC*h2Yw4B7=Gsp~O7F7fT|14rplS&spV zGN0~A;Iu!w9;3Sh6doSk6TsntSQbIcvH-ds1C)3?x_5vR55i)~$^+QyfdOBS0SZ;T zCG1cs7+@hvqxBe|h62200a}j%Ygyo0j{(vEYFS`ej{%Z`nZ@4%U5^1V58MyOu^t1Y z4cY-4l<P4-K0sQJ0p<>d^%$VOKY0EBJQr3_e{-J&D`*b?25b)hhGXXe$Adpu9S=SL zEw^;kJnY^r!tUH1!p;KTCH)H28u~3;XYbhS0NMb+4qlk&qIvW3GZ)Tlj=c_`9RTdG z9RTc|HxIsK0<FKee-X5>7PL0)1i#CV*NULIY4*;GP}%D!vM!z1IxqY7Ca{1ezS$8Q z12}(|%6EP^_=3ss!^L-o$C{rpa(*u1g>89#=?@x2YyK@;rwDNy3&L%nrQQ&?v9QCI z&9NVR#ROZ)2s`-6x!VS`b_0Amk#o0+&E<EF&A(+kOH^3u)G-a^{L#sxf@CacQ7?<* z!B<S(GN9Qh7SJLP_D&v^i?2J6fpes*;mPI)?4To~9Qj>7f~FV1Gjh(|EMQwfGqoDd z-8MF$b<V$KLHk`n>-RlC3n2O7;rx{IXlIU!29mu$KodLNE-D(`J}Nq$$D5zCch;zA zxENkGJPaD7Ip6%8vH2l;CrHvoMZ%Ha<!5J&iVS4_J%IBXct>qW2^;6<&KQ*d?h=)N z@7EwrG>PLbDl(w)Ajj@$JfO2LE<lnA<Au(H2Y<5m>VPH|L7S;1K>HsUSV8+A9)X7T zkGrUVCmA|lK(;?TWCiaJ0Id!P0BsL&?5<&D03XN@()>%JPQBNa!x3}yLjZW8q>C=Y z<p-P~KZfvcb5RNCbWsTaF+w_BRKOe3!K<^8!;r(dTf_#EPC+|7U}0#22*YQP&GU}U z2S9ruKuH3$2LiNBo&yp=9L*^zJnZ}}{GeqVpg9}RdJ^!4aF3UYpkqDWId;dGGJqB& zAL_h#`88-Q2xw~8qw@pEf1qhU&^)?pw-0Fh!^`Gh5-y$RI`7vhfPGrS3R$+UdGYdd z&ilQtJm63Q<)CvOojIUwm`hYZ6X~E$78wrw+m3;@lY{434c|Jne0SUhiWAUIh)b;p z9Qmgna_PJX-U)HV@%t6WZHz9h4@*m2TQ7k&Nq~Y^)uX#aC8F02J&X_cy77R69kdnV zpbO_|{%xlle}Wb*^G`YK`0e0-{;3BPZa8w>>pbkjak)g%@GV5vfq%+T{%xoEw;gch zxaP`v<@;66ql#x;6rcKlw!b;@Z#(w=YU>OB7SMtNP$CD{ny@tuNP8h9Kw_X^g6)Nn z=yXw$@#xM`iKtWW^~JUwLIRv5LUdufAwX*>B)WZ6Wcas%*1UpeB_Vqu8Xtl@(&?ij z)5)R&*$u%08vH!K!oYy2Z$MYIfL4KlXZJcEp;tEV_&~cM_Nag~d2~K|xrvW~0cBbo zymAFJEeKxD7XXeUaJSjByA7lXW>blkXZJp^CA}dk9_ZGzgRR*I(g3O@J&^Z9yk-TB zC3}EpwmrM2fy_XvS3umCu6zs(?%gJ!GQ{!nJ5Zp45|2~2jK$^Gpz^EE4pMfRAPU51 zE}Y*S!G*OAJ17l;RtT|o{)Ftz0&ko8`dZPW^TEqAybKKBVi<WZmrLij&igK%U%|SX zpM&>R{op)bDhb=T^}dAfI1A_)c?NK7zAgnj18cc_4B`xMxqKL#O&8yRMPb!|i{Up= z;bfx1dAc)3g#~PW2`lHlP7{@G7M14DjD{yyF!H;c?&JZTtpM7>4PN5|PJ`VnDl5Q8 z54fmEycXWT*m9s0d<p|%B?35`d-k@dfNDojE(VQbgXa1{)g(w9R1AVvp+tZ<pb`(n z$>=Uo0jI*w6PzFTThu_ysA0=3K+ypz8JxOpKs!f3yCgI~VvuwX**PKsI!^>!DF`a{ zG{8w7QVN1<7#&dIrvX}$2V&@e%6?EL!z=|sYo{OyLBtYtbjd4FB#>Spg05i!?KNWW z3{m0eJk|UN#NcUuz})<hxf8ONsk29=1yt<!LRLj0E&KIA%+r9U-yOj@1Qe(YSxlke zoZ``ZM4-7w#fJg3wK)U4jl!e(2Rnb84i5tZ>N1@GpYA>2oy4HdNJKY>XLl$A(x?Pd z_Xn~x5P54#0(?hBO7l+%Tw7BTP<B+LfI>K-(?ul##7OCMQAu&^=J)It!)__~WE7Nb z92Ia&Ynp$`;<B^?#nPH?7nO=`AC($dpjW^Gy#^lWpjnpA9`NRB&@N>V7b(ykhz@iY z$g(I0aCkolopXj%*Fk2IL20W1RNr(%_{J_O0V^0w#k*U;o1%?fR6<rTg4bSxW?2|O zTj81?ffhDOC|(4eT+v;kBGXx-qSE@UL?2X=DR6#N1P$=3C|-Om0}@aHW#<wVh31Eh zu;>M+gia2`3LpL!(3&KIu?}8BgAxH2@CdNM6YCZz5nuy~Y|yeB3lPJm6TJQgcdUaK zf1_9`0Jl^GPpk`|SSo_Ntq+vL1Yof)0*!SS6@yM66%){T8wT*m-UB|kfXMjX13sjQ zp;Vw7a^3+r)~A9_|3is&@Oq{07I38ptH1bLKs(^!HDxbkog#WYwx1oTy6lCl#eP}G z4%+L$?%T}<YBYk5>ta0U*m(+e7+CYe2bw3`yLkkhyF&z6IuANtehJ$8B3tL|*y{yq z`Er0;zM!o?E}Ul_dy7DOXAD4le?Tjv**iZ%x)81Z`CZO;zJIOm(c1!^7JMlLI-K!Z z^D}raqZPE{*roGq=QWqk^PQiYAG0?<V{E<6@A8@RT&du}w@ikhE(+&Aa1m9S)BHmg zv<DC51{1g&o;h-UL~_F?(2izw3(g&U$JBYf`8hkNck!R!<+_XEIZzrmQ33BbW+`Fi zyw+)=()@|h@Z>iZ6-IuSQ=M2Ut8WL94vp##fmSf*IIr=y7_u-h`1X3SfOeZibaVQ4 z$0DD*319F5T^Huqtz!&cQx4vkr{UOLW6T0>d+UI*gnDlnhhw*lFk+X|V@}YDWR2!y zppZ5O?WF`&PyE|_R5U;*WPunupw0wn;V5Y78>nz_?lxiS1RdkH1-#J4xm$!09E+V( zz%@giE+jH--~kER3I$n%ffStAI=6t8clUrz>4xw+!FA3Qun?##30e?ucnK7-P<bB} z4t|$koi!>vASZ!VwLzR@19H-P=!!7sZWG4K@0x$ec5VR|Trel;z@7Az^Ka)G@KO@T z=0l)<fdF_DHl&<`mVVtmV9lM!Al8HII{1PK)XM_Jdh;`IM+>S3RBgC`cHtuLECR1r zO#m%(;y~nDZ0l6n`P)A8VN`$wi$L&tHI&lG0bUxpfZ`j+o=gXn(#Qo=@;QK(PlFhs z6X9GOyV*e%AAG>L^BiO&C^-Li%NT)d0qtG$>2+hd{1%jXq<j51x^1{%+4ni;@7_9| zZX2u1&pH2s4rT-O>_J<}o1Zeap5%9V*_oqK0;+8wrMQk*=Rt6SwQ%gV5xD#w6mvBy zHg(D%Q)S?$LJ}*u0B13R_7iQoT~sW(eN=2<HK+wNj!r?k{Gg4}pzbiJXjkBOxz-t? zqJmVSf@)LHs$vCDEEQnJ66B~8NHGdh3ob^Bc+umk0+bj*Whp2zg6ed5&FP|21Fbf> zk3$M8&}<t>IcS9oNclIYa0y5OND!o;8?*$oL`9~14Y<&U9vs*CO7RzH7kKAsaQ|JT zL<3Z*3UJ=y{KxrH@uMQBl`Nuo@iq7)G7-o=3IR|y0#⁡03e-{4J88er~sm3f@K) zcquYU+A;veIyjb0@T4sRl(c06N>T=$E-D5fhDoQ3iV2O<7UU#Bc=B2UUUt|GNm%ei zRR>O{$O-B$$hqLebR68lIrx?dwB=<D__V`=@0nm7p3Xfgprv<6DF?h`p%-#2B4}mL z2L=WPZ0Y9@D2~C^Fh~bD{ls#krk@rS(5ffQ4r?)ZgWDbzP{+@scM4b)YBgM91FEJW zeaS5ldC0L^;MO*@A2|hV0HPz=1K~qQC_#gSNNb&4yXAem*-($eM6SObHBUQt%Rnk{ zP)Fl6WbB2%?=om*Vy_dZ7KaRYfW`^IJ9wQybqi>p{x#6*cSJ1??s~p|xrqU#pAE?k zpg;p1Gz7|1pl-4SxS|I8>ZK3*mOSwA6R2Yz08)y4F5QmLC>z@#ty-AbpaLDFApyzk zUtd7G?I02Ia?ij2|1m55&byu0I`4zM0v_lCjdOnBJXb1w@GaBD_mFnQcd(JA`Ji4H zs9^yL7Wn4=o0lJO9(L>n1q=8b0`TU3M8g6cEH@6mU;<lx@ik<d<u`tpYleqG<9ITN zrp4t>88Vv|Cpa(oc7Ek=31o(~F2LmhsLILk=r%y=^BhKO!~+jtcI(K2M#3QFwMKW1 z98&v2wbz-Wn}rS0ym-I~9_MU6%+t*x2Q9Jj_i0U-K&c8ecnBKVV}ztGSVtBk7(snx z4x}0!JhBHmYJnX*rUxo!VXYR>+At6s6yqGA9tH!rlL}iP*1QDV=3s7K0&bNsd-Uo; z(n*a9X1^A+xE$QC_3iwS#TW`smhi4EQm=L?##svizMU^XfgI7z0irTIx&@HJnr@w1 z9F|h4Q`?-PQUQv98g@_wfT~SUP=X==#0Et`1=a`v$$}yPw9XJY0x<iycp?C$o9mBu zkOQOu05v=?yScud@5t)uDuL=?<eo0L_Emw@zM!5iYUkD$v_c6|`{GXlkfssxu*T5o z;DQb=0;K>Ec2HP@+Y&y#C7{h7B`N|~;yy*ifVnwE#RL)SI6Awi5&x3=<NyDT-R!>I ze8~Mx<U_5noHilbN#to0jHRs2PZ>+~n}5g=JZFOQR`Vl9{#Jf)Zw+*`gpW!@Hz(+X zi431^A*2<!@J+{%>qn4}l`v63oGQTrYGbKkJypU+1w2Y<0y|WKg?}55N+*vBsIvyz zMZ^LMbMRobbGHfTj0sR_>H#}vLbfwR#RoLQ1v+cP27YV?sE<~o;sHH#26Wy8s4VsA zc2NO!JbhrTYY$NO2QugmnP&0<Ptkze)yU_AXn@+&0m$tk&(0Iza<aKbMF%nxr2%dX z<zaMuBtZFsU;zmp14U_|a=;s?JfOhC(fQ#3wfNu%((r)Vr5xZxl(vDc7I9JG!8hth zpvQ%C)DNx4)$O9<(Cwq*0t;2pHdRp17ksP}sJG(M2`Q;S9hNEJUMTeJ6`x+vUPlH{ zV<!RB>IAi6kV6?3#3_&<P5=k730i*zGLQ>OC6EFi!Z&tNk$?{Nfd+VuT~uVCgMFX{ z&<w~$`8OAp3Px~$1yp2%`ztLfAZ9mYoD$?O#?BCxf=(ZmlGc;_E$oa844fyL!6&?z zfRd1lO2Nxds0}~pG$P1r811-^A5hwHE-GM$gOpZ)suKrra|`VEmqnjYltP+vp!x^Y zoCLMAK^hE@G_-s{(SXkx_NXU3K;j5yHYh4UW+OKzC;j~Yzxfk5D2}_Rlz^0WyQq|O z9{he$*+-=SH0;Ce2tLim)$spo9#_Nv;7PvYuATo}IzPB@{@`!n22C&!Q(r*$-61Vx zQ|OK{f}ByK0?!aSNGCLb20?O=PG|z{W>x`Z2!&1;6$KDO1-wlUwBZtT2qc~{cx(fs zFv}4GqnMT>21Y@aV-Adh(h72MBhm>O&V*zP(8d&K#sI}GsIvgd6rfHta;AV6J$t|> zK|p%*AjRO^;f^*G?xJErQ~?C8)ldo`19*5NddQewM)Z(DUdGZx2F)0OT9=?Xk6Pq8 z4`@>y-2Cn~k?#(XXMvoIC0i#8YI*A*os9LA^Bt(wZ6gmm84EN3+PMY1PY*O!d4S*L zLgy54FBuww@S+8B5CJG4N$At+fKGBl8CnMCwc|*e+#p%e*hR%+1!JjHH{|4AV;2>h z6^x~zu@6xGg_b2CrlaC9*Vg|f+^(Ge92Ji_Djt8$>}vSmrSr%43ofl6N|5pmGg5W| zU1&l?^tFJGAOYt`L<?&VSP&YCbHIn6g7S?v=wvhWc!X>nhu0;yI;Viu^KXNg+zFvT zT+sQXosgqcX&SSj^8%1!7BnLZjag9M&|qX>07WTC6^^_C-VY8QsQ@Vk=Z$u>4h&>l zAyMHAIz|8^d_jWn@CBVGfG2!GYEi-$q#hKYAc;=M(F7naNVu~FaykK0Nk#RX1KOp9 z6uzKy@{z(9bOHt_d_k&kgfD2j7o_X~DF%mcG)Dgfv}Ljzvc1|E651;mL4^TBsc?6T z3TPXpaf=FQrzZI1Ig~td+)?ouIFC4fzwX-lkG}<UH!)Fh1v)hdBd$P#&=%Di@ZmGf zKP5oNWq@2$2hJ1dr~S<V-}eBIvsax{!1_Ru1Tw6%1uPHZg3hw(YyrzUVia>Y7np*I zIYI{jLW?=j+&)rdv4A>Vu%i<9fH!G^A`7GnEwWzLNr9HiL8hKTU5n0lzTLhIwCG+i zfw~t=rG~_HFTle<{H?LWy@PS_1-Q-!dlxo%kGqrMqJq}RfZV@`-pM#N+R0FHz!tK2 zIvVhUMH4`KJVC8n<g5a!p+TzwQ92qO)b41w8h!)!H9%z!Ly6FFNG}80NB|8Jb@zb# z9pKIrxWnP1QUW^K7Bs5Oc@UJ6TvSRR{f+|1&SNh@Yh+Lsb%ECkfjSZr9-YsSdIc39 zQF;ZCE)uAW1XZT+aYQ5yYf<|hE-K*h8<5H1<8zUZPMP}^&1_Ip31&8k4eCZ9cTu)} zL(>4-HV@MPVuQ}02c4;g<eqT!z6z*jf$0IUL3%({DUzOM%<hV-;WwAg56vGKTU}HN zO1L2h?7A9$d(G_H`Q4@ShYM&ClrSZI6r@vRaW2(CJ4F`MHK9%)1!g&-kAhyQ#i$_q zD4-*3G5aXk@)Z&N6i7z~IZt_ZLQ5~K{gfCg_ETWqM)XrKy^ZLnfV@pgKZUXi96YH4 z?Wurk0nh{sMqdS5I>GuXkb_B(0~1m}?NPxp|7J^rzRGde)^DJ`%6CUaSUU`qaX@{Q zAGop&=#EgL+Gn7w4a&Mmy-jFe1rm{Kz<m#RTN*ts=Yab)DD5&(rv%gx1DQ->Uxhlc z3+jiU#4gtUN(=aiKICXc$|G3%D^qCEUx9@&sH1@=j6r27N*IIGgTfdjL1KS}I$;dz zi=c!t);<g5FdH0UjHS<#LUEr3DX+l#_1{2;=e2(4Z?Ocm)CmuFLAnwcc>>hU7=nEk zSdj<nkDx>t)_zM1`1Cro2zz;qmtOrA7Er&1rPPSHehXw74u5OnaPPQaUw4Gub%Bqt z)u?EI79F8<U3@zaLaHpZ`H>r-3)7GW)?8F1h^RAMREE|32==hW?7Toj*az0tuTe<= z9XUo+=Vb<{5sMVikS0F`vm^u8c~Ky>^AbsX=cR+x&dU-qIxk*ibY7~+?7SQT#XI2| zhu{?$L$mXOJ!fHdU!XY))cXa^oPcr_`ZNo?1cP;7c)B5rxtf2lm*t`E?yz_X>O&LN z6X*Ml*7*hL1l9Z?w}9B7-ZJtz?4IbSnd9t<6IGC6o9BTv33F5iWB=*kJ0{QybjVZ> zr1nMbK|v!DUPMBAP@wq4KidO}Oy~;6G7;p+giPza)<KF)h!8A}u#9McCX>On9cWq+ zqMC$xA*A*r(S5Bn;&M4;+6LtEVbIrtrvy-E3pFKxdRx$x0Ln(72_H}r04YRnSR!R< zNN)?23P6fMSsE=BfX1O->!YLskPs*=K~{j+pdlwvj}tNEL_`jR%=CbaL5UJjuL|DO z2X(cEMAr-+C7`|;YLtLFXGl>3nj->52}mK1D1mg&Kv4oxj3r7y!+dB_0ulm+B`8Wj zY*3Vdf)WuWq|81c<wT60+&ilG<fu67gmWgAvYt0QdO<xo)aV6u<&dHmbao{udO-?t zL@(%|P=-=a^nw(FqBje*+y$MDiN0#Q#1gb39JXQ{qylxtIDZS<rT_myYGA9zL26ze zy$D(N4CWlUh*sl*L}7^r#0DiAP*)p~XkM252bqexk*vfVWDmqGSkL#Uz6jC;-E!Rv zxp2iA>=IUxbuU5e2`B*NzL6WCjSQWiJv;yG0}Thlk8Et-Jp;5(kiVsZ6Lj6k67Uv^ zW6d8xg5BOF9^Jtih())KoyQ#y{%3VO_?#J3D}nL?$Zof887uHY3&+c^T{@ws7k5Io z!nt+3Sb=T=0j+of-#T&=G{evXKH(U8Z$b}vKDxUbbU?F5_hwKB1lt-w7Zvd4RhMoN zy=KteQjV8jxpao8fG;<>0lILb+eZ&%6DZKZ7oS`N+2o^=0Ke%ZrMnw68|=~D49d+& zc3eOBpB3yU=z^wh6AQ2v;5!!rIzKf(Vg&7ZJ^{+5&}~yL-8mL4ogpd#osgT09WTFi z0d3x4?DSCy>Fx#<H;8cSJPr;X7sGo{i@KXZMHQ&O1YUdCEn)$_p2EZM8rUkxfF;Pv z1B?s|-8EpFJX|@CcJ_d``CfkS$oaSVIb&yyijPb8X3+ViE}b7BXHd8>esJtO0KRVI z80^3jkM7B!Ngj}W;P#zUHw%+X=ST4U0v6C~O{S=Tj_Gvj4q*aaIbw17l_Td>$huq` zm+sj;paAYfJ`Lpv*owojt5ZC>Cxeb41z7=J_~+Ekq6Au<4qDUh0Jj3vWpwHeQ36@v zfMkV>i{Uj_&a<GlScyu6WAjr+m(CoO7|>-Epaq!`$f*s~{b+u`=)(B|yb=bK2tkuv z9-W{A(vL$^$u~$%$mj#T?E!RG1*kR!-%$a&w1NS2BKiZ+rjcHE7EnloPh0L>qjCWh z$-T8Kp1p-E9=+KtzP$k~zP&jrEH2$ODhb^#Dk-k5|2;alsCa;L)E*TFCI*HQQP3qC zj?K>*T{>%2KzEP7%mzur&ieq}KLPFvboZ!$ECpTT0XlLSbfpOBG~aF=LFnupc+*gT zPv;sH3ntJY)f(_I(2m_9q70Woiv&P{3`=JLunTU$$9;fP638M@W&rJR2l>PWVlpI8 zyaffSN4JPL*rXP4l!ES|1zijPGs*`PgWyC0G76O99lLEnjsqQ*3*H@R(Jdl&`Bmpn zNba(LX|w@p1V_DR=Pl5+8la@>(k)`#4N0@m?CM|$zA+f==@L^07sKn0&5syC8QJ0T zJMJmqb8I<3K@x%s$Vl)Z@1QG2K*`gw+e8DDa3P5T6t|%Kp`!^Bdu#X|qAdb;6%Y7A z4e;W1kbY3wgIvdQ6P&LLKw$(5WY5l1-8z~u-36dj3%|{$#KrJE_Y@USSV8hz1|(19 zl(2DL@2pYD067u7r>6B%sbIH{N=EZT$oA9#aH2f!q5_VWZ!RhU7>Uuh^C~z!g7PLq zcL{X$@Fy2e(8e{3&hwndJ8M*Ie7dKofYS=-3JyjFh7t*gyyN%lE}TCUe|*2j`BCu% zXg7AZi;4|+<C+EZfR^JfDp2o33S&@B4oZHY`%@s3!QdjG02E!I^bfxUr2uv(33%fn z_>g{3a)6|)7H}Scm;p*yU^BosserNq+ziy4R6rdYP+b8s29l~+;Hm0GC!|{gat0_@ zL5+Y2LR>Nhd__H|7y#9!FoQrzteZs`n!+xF%mGP*G7D%r8#3?>GXS)X24n!J8w@i5 zlBQS$K<Njh8zkr0Eg}Tb4dTIcgO>S%;u^A;7J9`A<U*I5-~gxq=TVSG7nKT#t`L<P z(0+#k&`}ryAXWy5#R1xh4GIp>G1#CvZ}1gx6`+L!APYfjZ9tyb$Hu_GunR;WcEKX9 zgtO;w;{_E|phOL77J-l8aq#GlQ855rMgkgc@aWwQI&m4)<9B#D8Kf8_>(M>=1Bl&Q zqmlq#OuG}5XTkl;ZXcC|?hus}&=FLO&{bmJFM^KPQ9Q>9u1q1-HYgatN#5bxF-FMc zE&(u0UrV+gfUzN$yM%zsKu}&d4t8g^k4ix6$rABy7nP9K1Eu_sQUtW9`z7c`IV9(K zpg9j@Ex2Inc2V(xt_t=5tqQgT1+B$vXQb|wiwekB4B+ta=-v$TG4k5ytN;H0Z#@8U zBl-n2B`N{kplUov#R8P4I$v>q>I_kF=seDOzOzQfr9=#z10BFQ@8|ccoG-xn&!yW% z#RZ)I97^&$x|>0^g0~fRg7!B!ut3sA3{t#A!0%mgQNe!k3Zx|lx^^Yu+yDO_oz0-u znn&XiP;(AxC!$C1>;h1I)9nJ<H?raa=)x6^Jm_UAu<P4FwHzqF?njpK?0g4~eDIO{ z(8?3k(KPVrZUA`zap4N+ypI&HRJTV7nD*#)K)!|!X@5Pq%tJkhy+*|YaZ-AX3V3sb zi;54}pzaPx+YjXW?gq35Ad<0==tsNG#RAz_P}K`63ZceAntKpq(b{}S#)8udx@#Sf zjRmF8P8SsypWYmm0MK-V1L#%`3(&?5q#bXD2Yg`1+=C**rx$!2Bq#$Wz>nTXy@>_v zOpo3jGe8p;osec9^jLl$Xv9D-X@DQ~?xGUmYIxGK^DgLuHc+eDqw_xa{th1%14v9F zN`0`$Cm4VX?*(1;^3Cw1Be=wXD1<r|ksf?HKZEY=0ku?M_q2F+9s^}OXcYi95SlJf z?`i@2giykO6v&{$7d5o^fEPO=0vy5z<rm1hM~LA>B@)O?1U4%`g)6cZAU?zjaM=!u zvF;Ay5)8N!K=ne6N(I938kGu=$u6Mse+_u6F(}Po7V)5~d*Ju?yoW?eZ;1-d`&=Li z#0Pvi2Us;Uae(TM3rq|Q;0plW`*eOo+}r`$kpxQ@Eh?a!nLuF!4p5J7XwujN7DrqJ z05%%7*%OgEV3!YolSGRO=za(!vtX$LcC`yg8dQmZDjRUv5-2oUz&A&L3`7msJz#M} z*h2WA;B8T<fg4U_+5jKL0~$v~DMR*vFG4`J0;CRNMG3+RV$w#73g~b*Y`y@ABU=ID zL#)UFS<$;j<pih%@9hDb0E$tNBS5VI(3T?PVGr2NN}!PiP$)yo7El)lRCL0+_V9A# zzi;PXSRH_rU=BbNO!pq}vOw@9QQx4&3~H*;01XC!&anc;BT}jXi4#sW-H`Kcz=;Z) zbU>G4fWiox3}HzJcKHiP8nogW6fPhu2^TBi9vzl=1&JdEI*1Pm^c1+^L?#{3Z6qK! zqo+=gII<NWKE#R`gcZak9dMTci!VUp$X0;(5Gz1Ef6zf!pnEhxBV-^MP|^W80+e(> z{>7DaK%opvI-QWq!{ABgJ(lzWx_=g2!2AanFruKqKuss0<|afGTu;DD7mzr?bOO2( z5K{3#8YG^b$I+4p=;k+`&K?yB&^ePWDl(w#LR|6ycd)PoEJz$VU_pFH!1^HKj+mxN ziwfx2I*{MdlLtr~*$NOJVucIB3gVIn=(Id+R)EBjtpM>MR@i{7=v||-0u)reJt`m> zQ1Spd0+c*J{>7C%K%tC1d4LKU&{ztj;Q`LqNX5zmSh2DNTC9Bc>HLSQJ`%uDvVg=f zQp|s_Rfzfs)<W{^Jc^c5IQh3Z@PclUf}|84koSp8DWEma*uoejjvU4yJ|v7yKw%6v zoXCO%G$n-13XnLm6(Byu3ea>C*oVZmPaq2cu~`9{vO=~3#D`b`n&Sc$B;f8vZx47W zAt<GQ905uxAphb@DI81;4A7JUI;aj*2l;eDA`4oeU{5I%ped#EyHDpktQ8Lfj^qIn z$4DNK_7hU_fL1)PmaIqTVQ?VAJ2oC%{M#J(I(t-pFfuT7wy6Ap$0Wg~3TO@qTL6Q^ zkpmdShXgQaKp1R2aebW@@J(*mtN;xhBU=IDL#zNzib1U)u0R1zm0+_1B#vwah!3#> zG|d7^CLN$0)!PHz90YMJD4Br#iz}IcLK&7!P?HBJ)qn~V><!xnXfo+u11(VAdvxBz znp{BrRgizcfq>Lb0g0m}7g!?+DY<}J%`wnE6sVm7>A>@E^Wf&+=D^?C1HSjRvqj|% zXvh&XGvbW3^9xyV2yzB`RR9|QKn_+A9}=vf`7YS}M>8n@Ak9s{`>Bx2m$4ZPT55o7 zFo+K^7_^`p*Q5uM!JyR)*bD}VBO46jLkxyoRJlf_0-6v&GNAGTWHl%ufc%ClAwcdF z1K(H)x=I@4UvS9|EiAC70?_aVxb*?)-hf*lo}Je{I&XsYf(G^?;HAZ6NSAjF_>Njc z%)=Vau&xbg+z324rvMs~1NDtS<8u-q4yb7h;s}5^p!N%h10J~pRZXCgJJ1m=pt*;A zpv8l`Km@4!|J|eWB`6#~Ne&ziObiTQ2STQVJRlQ4*rOM$4ofQqB#s;lAU-4(K*wx# zcY_;&-OZrN9J|4w<pkKG7bK2sFo+K^7*u|AcY`K<Ji42~Qx1sBMUc`BXh;Q{!60#D zgF$?V!Jti<paLWVnr=Wcpzs1&4GJ%i->{_{Xu$zXFR(Hlnq)x2paKL-t2F^ydUS%P z9YDih;EQa3At#&w)Pw_yS&$GS;lL9Nbi@QYga^48&*}~IV!U9F&d>Y!K>Z|WbK0YK zH)wzvdL09Ftk0u&Hz)&m^lk>FSHnv??UC-o`+@U5JW%XH?k89Sz7KET0`Pr!$TM=I z_Y;iXPXL(#L%yG&goS~j6Yu>587!ms6O7(ZkTJ;bCjedPggA9s5;Sw)y+;LH(c`|K z0HlfJ`w2jrU^ap8CjeW5=Y9f^2GA%!zWWJ4W+0B0>;rK}?<b(a{RE)e5j4>S+64`| zp8!-%g03V06@#EQ9Qb|$P>BcPjNVTGKEM&wAOp>Yz?a-%JI4_;g$oMQ(fbKH4_<!E z`MWblW%Pc6&e8h`2H*Vzpy~)#e<AKC0M$64gONdF?C8r}VfPb&bb@A;Kx#(sCm6k- zfB|+H!07!1qxTbx-cK-kKY_~V{REIx=|JP$;8W>v-%kLlOcB*E?0y1RH4It+idqdr z?k5200#(6a`AdjRBjAOEp50TxJzhjdvIoK+y`KOy?AlwRf_$Lt==}sLqxTaqFfbqv zO2^i(1&#fK`?aI@6JYDqj^0n;qB43v!RY-2qxTaaEmnpf5{`EM&glIFAu8al0?5a( zW1hSNJqj6VxOMb?0?<aN(fbKl4?bfCZMPV`p8zxj30e>W+R28zAOv3Yzz)s<UD^s# z4DOAM-cJBI%?n<%Ku)Xy1te&N7IHv>R@yK$V?Fb8^nL>H8KI!_C_$@=NIdhC8aGdX z7v??!-yaV;%@wjb7j$j|1L!Og*rHsJD�d${V01!;p~*kYaG&7`>n1Lh}RYk@w(5 zvxx8oubhSC98mazR<VMz3`iA@@C7aBg@i9iF*tlj?<Zgwy`O-%b3Vc6mX6*}fOZMm z==}sI;7bb#U4n+~9CbV$4QSO-qcVCw0cfQ-Xt4`u-7=`K2eCnmw~$Xo9KD~w1$5&z zXdeacTUW95QDA31BJNN_KVLlt=?*o}S@~4FLk(v6==}sp_trsHDvjPxz(C_OGe_?y zK)ON-Ykvi@EfKXn4Zp(!OMhkbegaT`1$Nv$s4oI;Hp0%Q#oA|q?8L(n##s6+qxTa~ zz0U%RFwhP-ln4WD@`H~2g4!LRO_iXXPat(@5jJ{10q6J50lm2y`$^xU_Y=UHST4;! z$-ciCd)Q)jUZ7)`@WZ!9?<a7*KuqUl^nQYo+IhjAvqtYHIQS1XuQqx=0ch9*d`>oW z1>@-b1Ppi%!v{_Opxje7V0Xd6PfG_)`k<bc4(e?|D@#zr5;WlhItvP<5V>JFdOrb` zZ%>9t38-&|8YQ648B&yh=7>O10#b-0N+6vx&;j-!#h?T1(XNaDos=+oKLPllNYKPA z=rmu@8F8R>zqoEPABy)AfYyJ*R*ggMCxERO2c2Dkx?&u0KLJP=Xw^7K4fXCP0GSGR z3;2Elh+Dviub?{xc0U0~6ZFIj@SOx6y@c;42yp2<?AUqUv-6v0=U<QB^$L8f3?7}| zJFj(~a_Kzi+4%ypSm6g_Ntti&(gS>~435ov1^8JR7)qqUOXOWNe=^?m=meb&<I?#H ztlG2ln2YA`|A&1#pSyJa^XdEqJt?O72V<#$XXDWw54ag%$F&-sgsXDwyy4M&gadK5 z?n_XOi7Ip$a?OIr@iqal-xwG?diQ}3^=Lfyg9UULmf=aTHi$YH8SK&gMxeV7bhe5| zcN-`_BOTWQy@H_e5$Gfo&5JJGEEX=^F%~S2mtQzue(l)&n9-wq8t4#^ZYdYT!`(qF zE}XYIuYbSp$UpTU=Vis;&5s%RryMZ+-+7bsq67cb<1Pv(T==)0aP2(h$nmSh(y{pg zqhs@DMpw?mE{gYCFLl<aaJY2(sPL4ix85#QcI4l7$c6K~tKv<tpo`)S#UGt6Djcsl z;R?H5R5&`@4zMyXbi1hVbhaH~1$!HOHcsQQ6|4*lpd)cP3@;f%2K79ej|fCVLkbcC z9^GxA(^$GWI{OOP7#O-8SUTHEKo?~8)@gV&9*baugq-7!6b1$cEaB%E?il765**+G zy2j$)K7LTvhn=+2yjuXYK9RqrlYxQZSaSx513QA*gXR0hR*sS=pWfY|BUfN&lX-MI zaCo#HC}BVD06rugnre=C90$cXgGcXV(1DzYLts2QkAsyMegpZ@r}MvW=L4|7YYC6; zX3&8_-xwH6-8>++fMq<p-EbZ2400jpSm%KM;A5RZu7@SEILG+I-yB#NORY$CF*sws z^!)$-KS)1+OTqvD|H(6h_5c6>;QK>BiB<v{;sU544!Q)8fxqQ86DY(#KtjA56b{{v zES-nGUs4tX=S|05|3MBq#K_+Y+SKA|c)Rt0BmdL`kaMYgx_5(f!ij@F<PW}(W<1e( z=-@BbgO8XUyKTf(!I|NpBiNk1pdIL!pBjGSUwj^PR5$~8)qb(1qjHWo3qqho!=t+y zoX!NgJy@C_GJe0*dE)y8#S1GKOE|%Y9~+*0&D42<^Mq^1;m%XvFSQ;jW$irY*m=^W z^P~&s&CU-loHt4&IS;yY-uiyYh4Yr;A;<3*T{zDvUi*H{vGbEl>%|f&Xe1wJ0bd;n zEAIA#P6mTsV}j!tFIfKW7BT3qF<`m;>hk-}f1pEKK-Y$EWOOyW)Ow**>)Rnl{wW7r zzwu8!pm31mVC%OMaR>gX$G#n6bmX6Mfa9Q}!Uso#1FrnrF7R(V=+b%Z`!#R~Iqm?3 zbn7wx*1Zf242r*84NrbM#0U<W{h%~mQiAIgDzwt4TgRe1#DeAWTktvd9^K8La5L86 z4q)ND3JqN_4|Es8h3^*>Ul^Wje!$4@da?6r^H)YtFfdrYC}ruq*m<4vJSg;cf#R<9 zM=7gg=gH1foflm=FS>M|aN)dABF1^pk@J(I;-T-C962w#DqeK_e$ln_giGr|{+342 zVOZd+R6M#TgW66=H>w<ibn2ifq?^U4JH&|P@{7x_njbKN?oc`Iq5?{;3?ALxV8=6d zx~K?n2ZF9_5h-EgJk%MYB7h!?B`N}-tnIi1RJ6E4%P~B87?kEgdHCNSa2^Kb2ao0> z648!vj`6XFC-`)?fsRvj>}Kd}Q($Lca0HilDxhnZ;nf8PJEYLVQrt~&3<H;S`vj4* zUGHwl;ho?MfWQY(flC^X-rb;Mf>8uOXHTIB`~cnS2Cm%Vu-OOB?~b9MA{MPab`Zo@ zA3qQT*T)7z6xGKso>N*M<92_72tM~Sh=Sc8AWD(@CEnA{{SD&y+^--3c7K5cMeg_b zMmzT}kizGF2WhbTCrDG|{))epy5Hk?+X_%E2Fh-|`#{;rqw&}TW}F!`pt}!pHgFs0 z2t(X$xo#1QZWjxd&g+-oUw#29Cl{Y8;%hxn!sP)yHutq|=fUQWjIBQ*LZGtpwN&c? z{;3B$SyVb*R9H%QI1ch}I|*WT@~CvPsC2ePuz(w4ES+sJ;N$)GfpWV?<1q&o1_sa0 z2cU8cTrfl+nqUD$wmoU$UJgjZk)^YZ2hwnawwFKPb}y*C2WoyOcy@mG=xzfQ<J}%C zpekEg;M*a_5^m5+dC)W(!|O=H1Fa|drylU^?gyQ`*d53MsuYzC!8ep}f@>ecldm}q zPqtnHh3cu!>)^X}I6BX99_uVo;VF^e{0?gAb{_wJo%6Wj_wScDFDm{7wT~UU{cU`^ z-3^ec9^?hSj@>2_j@=;=ESKM1e%1U_rcS=snZvQ$hG7S2d5k7#LDzH6f6a$^9J_5K zE<fk|)%=XT`4M|(iHbmHh>D0uZ;T3uPj88e1gP;Wu#bg-fngVj*a_N}=(rP<9Kp>T zpU&@DjKSa-1vRX@T~s6-JKH7L!HGb|v9n!<oq@r#cR%P%UC+kj4B%=7tlg*cpKs>} zm`(+7<pfC+(Dt}TcOR&l>K5$wWHA=t_F&;W)j911I|D;!-x+XSRhQt=cx(g0L|EMs z?9)9B)X;(&;Mi^D2<n$~b2=*i>~>^vH9X+hdDxNja*2p5=S|0MDbCB>jEXlL6%RWq z9(>L0YIytmb;oWe7S7|%&-t4l@q;I}J-XY#El3W<pWO~DoEJb1G0y8A-P6FLQ7qh2 zioX?aDBg7JJkWW{h4Yk4=K)Z2O_USVNOR>p?WlP8`$b326RwIkKvo>+oL0fXz~Iu^ zR>J}6u7Eol2^^p-4Gx@Wm|s9W6rb)k&?O4s`dWh%R$qe`!^4XM0Zx!!tlhf_xa*$- zviQos1UYc|w?U4g^6!T=4~6|%-0pv%fY1F6ieUF&P^8HH8qTzHKZ6QB_b*TZyZ?g< zMedLAqSXD4VW83u*4YvQRYQpGE$F%k2L2X)Mo?!9bng`dr2m9@nIZgqR`|X42C!ZS z`2IEy#L28RDjc9=SzT0kzF%lPUJ_043}R@b8ueu38WjO#BLyHvig@(y1{KbrwUq*| zgTZ%6Vm~pN6n7#S$^ku46;A^l6i%Q9`l)~520ADVp$&9U35Xir!lU8sV!(3w1>~AL zSh}EQc;hZ#ZrI_=pB47t{CUEjqWoEKoYMS>+x<Tr@wtD76WIMPoG5btf^)QUzl1A3 z_wR58yPw02BKKdoN;~&kc;IvY4G*yUH9RSDKf_(xxj(`ipZkA!gWd1pLy`Lpp3u(y z6@K{KFX0b%e}+Fr?oW74JNM5B#OHpCAh7#8f+%wTgio|{|BeuR?vDrsyMIL}MeaZF zlXmXE5suIO6%k<fpNOEy{T~>3Y1Tge5rxnFGor!ne-TZQ`xQ87=YEMeeD2>72X;S4 zJVovg;HRDYEfVp$|3)I%{TfLWxxYb-cJ7Zz!RP)TDPZ?|q*CPm4YIUze?>Yz_e*4e z-Jg*`k^3L0(9ZocvhcazA{*@fj%<qDFQ7v^_wUHX=l+O1u=`i!QRIFHW7@g@Mgcze zR}_NXf1;2g_ZL{v&iy}%@wtCS3E2HFN+@#w0!P}pU!okJ`*)Os-Oo`$k^3)r(9Zo9 zRruV0qYCVPjcSVA&k#U6_ea#?bN`Q8u=_pgD006+IPKhD(SXnW5{+Q@XEaje{)9N% zxqn78KKEO+fZgBGLXrC?q|(m)JKFHMKcXG%{uS*Mx&J^8?c9H(6QBDly1?!~(M6H_ zKNQo>{Xcr}xqn74*!?d+3*k!0UazK5O*{8XOu*;<9TULr=a@)Q_y;u6&ixjX@wxxT zWU%`+rcmVmhECeKKVlj__y3p%cE87Tirl|p0`1&iF%zHrC1!!$pD~Lf_dl3UJNM6+ zgU|gIbHVQKm`joS1?JPv{X6F4bAQAFu=`gmpve6W%V_8R8;kI{zhW`i{U;Vv<o<%S zv~&NDrTE-GV;R`}FP2f{{smiT=YENm_}sr^CD{EOt0;2+h26Aszr`AS?!U1H?0${4 z6uF<_FzwtQu^ylMf2;?)-(v$s?l(9^JNH*?!smX8&0zOuY^KQl2^VSS{ux{Gx!+<N z*!>;bD02UV8?<x(jve^iAF&hc{uMhZa{qz*v~&NB-T2&Ju?Ot_6MHCf|A%L^bN`Qh z_}o8ZKiK^*_EY43g?F@bzr-PY?%#0;?0$~J6uCd(EA8BGaTK5XZyW`?U*i}>?r-=* zJNHMNz~}xSC&2FaI7yNFH!$<jZ2qg_G(PuBoB_K(;|xXaf51gM_s=+o&;1tX!S3%k zPm%itglOmf9T)MrKjISD{VOg}<bDT9+PVM66@2cmxC(aviK`U3zd(U@?*DNepZjOr z0K5Oi4T{{qK%I8(m$;43{X1@h-Oq7{BKKd=qn-OL?%{L)jeB7CYuu;E{S0QbbAQA` zeD4485bS=BM-;i=z?OFIuXuvb{Sr^X?$3Bik^2)|Xy^VJ&+)n6;sx0K9WN+y{{(N^ zxqrtieD05U4R-&E*A%(`KoITRf8#Aa_gB0FyZ^*HiroJpl6LO@@d2OvXM6;^|HVg& z+^>*GJNHX`!RP)RU%>9?_)3xc12Smmev9w;+<)Uc*!>zmC~|*8KJDBe@e7~(fBXWw z-{Ut$?%z;GJNH-o#piyBe_;1#{G-VI4{B-W{uvBxc+Q)!U}OWGH_^e!M#*^-0<E-j z{|;t+?vG#ryMF}>MecX#p`H70u;Fum1v}XNC)g=+f5Bwhx&H?zKKIYy0=xeO7e(%0 zFpGBXm*B<c{vEtv_jB-3<o*i_Y3F_m0etSiApmy2h9E`mXIM!)_eTihbN>%vu=_nk zD008S2HLs5LJXh#CB(t*&k(1`{R!J?=l&Uz_}p(H1$KXj6h-czu#a}`-yws~{SmTY z_pgwp$o&V7($4)i<ng(`LILdl6ABc$|HB#Dx&Ma}KKIX12D|@-GDYrJxI#PkOQ_*< z{|+^<`#IDpa(}>W+PU9C6QBEUXoB6Zp+%AV8y?Zl{Si9&-2X!d?0yejirl~9CGFf_ zp^wk~5(Z%RXBbfA{s$ju=l&T+_}p({40eBqF-7hd_(41O?=Z#Z{s=R$`&XDz<bH?$ zv~&Lr3w-XcumrpRge67pFJR-R+5FcJYkcmXVFPym3mb~uzkru^?w7E~=l&h`VE1!4 zP~`p#BD8bAg%dva-*5uEU&EOq_cO@Q&ixUt_}u@)73_WwH;UYEpiDdWS9suazl0~) z{TZGVxj#XRcJ80yjnDlSK4ABE_)z5j35K+D{|-NV?vL;XyMKj0MeaXfK|A-~2*l_9 ziXgE2PXtlq{tx!FbN`PJeD0qS3U>dCP>S5I;7&XDOGMyv|BeW-`#B;ha({py?c8q> zjnDlzqQUOhh@r^+4WYDie?%NU_y33kyWb<8BKL2Ip`H6H67jiTA_?sNj3kQO{~(!m z?w^r@&;1suVE1>VQsjPtY}&bhM>;<DM`VEAzaoPo_d68P&iyyC@VUPt8|?lQ*%Z0I zpptg(|B;K&{WJ2w?thU-k^2`k(9Zo5h4|dRqY&(Vjv|WOf1#ar?zbqx=l&ZdVE1d3 zQsjPye%iS|q8y+5f0TpW?@>XK`wgbi&ixft_}nj14R(J<HAU`Em`gkN-cev3M= z`#b6=a{q)Sv~&NC27K<1Xau`|MI%M-Kd_p1?!VED&;1oGVE3PBp~(FoHqp-gKicrQ ze?~jl{V&=na=*eZ+PPn%3!nRUbb;N^(M^&20}j&8{T99W+<&7N?0$_tirn9Df_CnY zn1IjyKPG_P?=g`g_is2)JNH*i#^-*CDPZ?!OrgmA53bS9{WGTFbHBxOu=_ivQ{;Yu zd$e=^j+yw}A2AE;{uQ$*a=*h<+PVM69DMGtm<x9QiMbTHzu*n+-2Y=fKKIX90CxY2 z1r)h|!DrgJUt%#n_wQH?c0b1wirjzU7wz0{u?(O4Z!815Ut>8%?q^^UpxOLU#7cbb z|FIJ6evefYx!-`3cJ8lOgU|gEYr*c%SWA)n69j1I{u%4>x!+;~*!>+FD02S<aoV|m z$0mI4kJt=$|BB5Nx&MG1?c9H3D?ayEYy-Rh#5RiD|3Q^@?*Fj^pZjO*1iSymPKw;G zpi4XVOYFht{vCV3?&sJ`k^2KoXy<;5{rKE}V?Wsa8V4wHe}gsc+#hiWpZk9t0=wVi zFh%a);6yw3R~*IXeu-mX_h%fV$o&sIY3KeKC-Ax7;w0Gp9VaPrzd#`E+`r>AKKDnQ z0lR<28H(KR5J5Zl-#CZQ{T1iI?muy!BKH@>)6V@rF5+|lj7wnmzqmw^`xm6q&ixWs z@wtD;Rj~Uxu2JOv3%Rs&zr_uF?!R#Z?0$`#6uF<Flv4MDZg&yz==|L6XXDfDW`J~I z81%{($8Ht_$L<mX7TA3)9+zKuTz&_-(eN3g$H8X`9-PNKI!jbIJbE{GFoNzgnr`99 z!Qjzbqrw5c;Hl+6i6Q8oR?rPB&7cdxKv%VbIa|QWt2I0t56@s^U;teY=Fxc%tO<0V z6!@anV2{qv`$UkhLFwHMx&R$|y(RRz6_4KCpj(FFg5aAcAqMsC{=vw=0KZeIyDtP} zYj+#y+FB&vqF*)%xgPEEtIIE%A2EVlJ57R_fuXZa26SCv?>_J)GL6R=FfL;2?mGf8 zA9OPqN#>sbn|}skKJ=ou4H)L*zVGA426jCA#VR(kgZ7J^*vL-Feld?3LNx1d&e(&` z{T6${?(f)3k^2wapq=}7?8oQ+hy!5vuQ))F`#;>Lo%?Sb!sq^q!(jKHI82fI6`s@1 z{XdT4bN`HEVE4Z`Mv?nFzSGYA5-0Jwf5%C%`#DZg<o+H1Xy<;5Gx*$p;|$pS8fPhT z{|i=Onw38h=kdA!$9b^(JuXn>ehFUMxxeBPKKDyp2D?AwGDYt95TTv>XI#bSev4~h z_jg>Q$o&=4v~&NC8~EHGaTDzR6*nnz{{wB>x&Ov(eD1Hf19tz3I~2KJz?gRK|8WnW z`)Aw-yZ^;~irnvDMLYLPJi_Px9go27=Xgw!`wJXt=YET)_}qWvDcJoQ&nR;LjX+A> z59&`e?7z;+z`#%<=&@gmm4ShWfq}vB8@RXN)7>243c6&K0o3GgE^q^}9azAe5;sui zX7>Ym5VbkN4box3(8ko+>;cy1!E*5hgnjX4^FzkYZXbvN0nT6p4BQwPpmzXcn8DK7 ztN=CR;0q=Q=io~wuqi4KQ&xbS(Ri2x>I{$0dyp;;n*Ve${3n6rKM!{#|M|Fs+_gJE z0Yq&!a7XnYTpP$aU~LfpDY!E*K>eozF+c)jK;vN!r~z=7fo`*%Fagbdh8XU9-~w_J zB>WXTKx}CEt9XDMw)+6c_}<MN9;ohvYh&tc{(<B^5F6^gKM?nIfDC9n{D3I;Wns9l z0NH(>$nNt5yH7(IL~Z8qM0For8_0cNZ$ZNEhX*|T{y;qVK?!WY12hl94PfbPz5q1< zoH#%nSmL+>F=7YEh{nS^phh4^0b2Njt{*{7{}xF8`+@AgKM?=TfcWnLn*ZS1m^zy; zfVDyV2Vz6R{|dx_1dsuZhj%~?Kz7^&jPwDzUIf*B49M>DLJI#sUZ8N>?VtjpHb3w} z4S%>cko&;epzia6hyN9b0UQtmcHlCArL%bj)Bte!gE+A8Ujs4X0>q0Gphh5j5iR^9 zdC<$B6V4z%LDK&PZx9=r{;zn0Jht1z4n%F<;f?A)xHhKF<`rOV5dVSL(C}XaF+c!h zK;z*FPy>)1hZ+7c819>Z>^>i4_xXSvw)+Ff_}<Msd{Es7*9LMQSR2%RKJf5g12JF+ z#DEF73}ESOZh#s94u22_7XB>|BN{+PG#<`?8iDLZ$o;w=9-W^(JO6p?H)dd90NrX1 zE&n~boejFZExK4ZI$Ts7JUSowbbbey&K=F5Q6!(<V$fjK1MpxKc#O$$M~5&2gHPvo z*ud2;5Pv6#fCzdtAMuEGjDuh4?HCSnLFZ?W{U)eZA8TH~#K6Gh(_5V2)4RKa2^4%_ zD_ji^_;h~v>HG(F2t*mP;U%z~;|>ciu=S|YOmOKH_h8cCJJ#XOfE30PCQJairuhv# zJj_uY<<Z@If`NgdyBjpRhAmV)m>C#+dN&^c4bU|nHUJM-L0z_>jS(eaK>VE`0(-zf zT?7gr$B^IvSOi(2I>@uT%_cyE!K1VJ1K4KpSfEeu;u{c~IT#oiz~S}Zr}G&&yga+x zTo4)zFYN>=aomw1$Hw5(iLA;8i>ejcoM@^-u&C0IazIlRgGE(_x-*)p6fCM%n7E;- z%E6-Qg`+2$suC=!H2i(gRMlWnl@a5Qrm6*tsuh_*XsUX!sCrQr3RUINe1s#~F~%{@ zF&>=e7{DOwhXa`II1Hh|987`{Q-}d3Hh~FL9WXFBFhl4H9tgcb6hgm{gU|{Z5PF3H zgpM$T&<X(%Is#PkGB7YqNP_SyS|Id-Nf0_=F6gdv1_pt75PHTk2<@>PLSI-9p&K?p z=ofDww1c8B*!33f5c-AyD8Vr>I0!-L6~YkuhbV+j5QoqPQV@EEG=%PugU}f&5ZXWw zLeJ2L&@l60>OcHv2iyBV1R@XSFfcGke1?b{e1Xs%91wY!J1(d~_!~Y#<STwdXqdZB zNTAApkVoai^n>CDgh4~~AiEi>f*2Sp1Q?}x*f}OJGB8*$Ffhn~Bp4VNK7eQ^J^?2_ z2`4@UCq4~FK7(eq9@bu#KIVQVrh9xEU||Wc5)O#o2#_8I28MnR?FiHB$fx1XcYv{( zwU4Erxs8db8f2CN*jxceK9Ea6_D%umA;Vq)1_lOD!3WZ=1R_A;$-uzy1j-Ho(T;oq z%}h*QAj>2`d{7`TFfd?~lYz<wgVaIv`NH&B;E?lRU|;~vSb(&+gVcZn1r%<MAio+k zv-UtjZ6b&Z^|})uhbx}}(==2e29Q|`KxQy7Fn|k3TxKPsY6O{;iYf#)>kZVba1f2l ztTa@OAhRx_3Ne7f%>q;lGcYiqhm8iv|4_LE76t|eh&_&c3e8}DGY7GNQjvfY9|x$3 z$It*(dlwX7@O0t?N>T=nd=`#;4$bU6Y`w4qa~LFK0G5V^og*KpYr${=Y7S_wi2>Oh zXj(-iICxrh1c$mK$SPE`M3_O2VPNP1QMk>@N7d=dXMn}51S*=f25J^H{P+WE7B&3n z0*Yq_>V($>Dw=hNie_nmMj)u<N6;Ofprl2Ous;BG*HMsxxYOziV$v!P8^{m_21?CJ zU|?W~fSQ#C%9qIQasp)&^nA^1%Y-dsyYe}>U=s(mUKtn|_CW2R)V-i`mIG3aQtWq- zSs_$3YXKF_dP7CCEI^et1LbK26kaV*vvz`#A97kjExYFslZG!)$t(j<`-c<cAO?n| zAj*kPz>!Y^RF5(DF)>X9F+r7>E1!Z3j1B4`20-=1L+e;1J#S&^T=^83;$R$T8>IuP z&mUwLq^;ozYilgQBX<Cg+#Nh}Kk&$jaDg1bz<|vy1~}wm7#J9QpmL``+L7ZCYo2FX zh%Ia5%JLxd*Feq3NGI6MpFoQFDGUq@Jlr6MGcargm8k^X&&<Jy%>qY02j*|g*koM! z92gitWn&4{o;Hww2-?H+7@J`rYw9?$$uKa0!s-Upj?Ex15w@cXn@RZM!UEFmVxX1z zGiYr74;q^v!3zp_2AYM>4jP*;!AD#7SJ2q}8#FfGf}ghGGlRzF|Ddt?5unaE0}azZ zjm?)3q;33G(AfMNG&bJ?GJHm}@@)o<&Hn*4A2eK!GG2haEis?e`Y{4BoJh0q*+FCT zC7=U?w5s1LXl(us8k=t+O55^b293@CL1XhH#Aq8nJ7{dagg9;8UqNH@Z_wC$3(z1i z4eR$AG&cVSjm?jcq;2}yL1XhJq-g8@3L2Y#gU04tNYgfaX3*IDA2c>U0y=p}tM<bV z8k;X6OWXLZpt1QkXl%ZP9BspA2GsohAZrMZUri&mef|S#KCRMcggnUMH0=M<*nH5c zP>>I375)_pAk%3VJ~wD=zJ(&~+z%Qfo&h!A1T>F;nf_h)0#N3~mtaf(j(h=38Q3Hk zAY;UTpmzKNc?HuB^ttz|*o?wAMjQiOgaKZELD>A=q?*5n#^%c?g93(ufmV5-25LU7 z%Ah+mHs3}C5<awY{~W0Kv<m+}Q1fZ!{uou-hR+@vnhz?2WYi$;Z=<jbD#D(z13>ds z4NyC16~_;t=F=*U9n>LVL@V<b(Aazi(1I-n+Ldt$Q1fXOJ_l%QzJexg<F^57KF!iU z)O=cnzk?QS!)F1F&1cYtxSv*SpaiJ-LfFet^fuN@>_sK^Wl*5<^8gLa2h{-zI*{<8 zWjnM5Y6mUWb%4U?3DkUA<pCF6NEkf@QH0yMdq|DrB~bHe<$e}Dki%)$7fYeB`A2AM zzKT9=!>5JD=0Bma`7WTvax|O^SVCj-SqvfZORKry6sY;M%D+cwY`%&SBz$P){uUaW z|AfZoyMT5j(lY(i*nAey!c`i&KZVBTAEB}NDyB3}|1>uL360HnF{5qxETOUaETCPq zv`qgrHvb5X%~t_!%A;ZUw9wf6Cp0$S#gfM9pT_31fHrN@Fn&{LZ2l1%o3CO`+xTsv zvH4GEY`%*PZNp~?jm>AVrLFr@XlOoYjOGZ`{5hb#PlU%G4q+RA0PQE)%8pG2GFPZ! z2MHrujZ=0&&8Jl#;{}b)_ppbA53St40%|@j#wkH*hy!}Ug9P?*O7!{WRoGGrXujDE zn*?;eIR|P7Eyl?}emDa)-;y{#Y{%wQkRPJ3NkIKz;0TFlTIGWYQ1fY(jy}-X`~W9N z_|U@rpg7(DHQ%1XI8MM5$0E)UKhP?UOQ7b{B8))e-B)OAzKIJYd}!tVDKs|!3ysYW zaiwkeY@xCFB5n}((=7c%&8JoRxk6*}P23^jLo4@Bp|Sa2Xl#B6Xj4B8`;%K}Y`%ym zBz|d@{-Ng6D*b>CZUp&&R_2>{flQ~}eBl%toBxHz=7)IGHhi|w*nANm+Pc4l#^zt4 zq4}U~g(kj`@Tmk*j(h@4<$N3<aUU$=plwDeP;u~*bMV<75ul?z7(iQ?8=!K~rL5pH z*QP+lmP5^V;Zta4Vp;$?fP@2N-WI4lHuEk(<)Bl7VDp|p#pa@zHw|tciyugWfdQ-x z>^>%UkOM*PQ-I1vLzIBsY=TA11B+M;7O?^>Vl7z2W?&IpgC+*r0&)N<hRbgcpz_%K z_6I75>^A}Mp(hLs$YLs3#4OOnKuOLADs~ODw;ppG#+`2gGxDNs<|3@)EslH(m>Ixl ztj&R%hwgTeT|1z1si1QXu-SEh5yh_0yx2NCj(i6g85lt3aexoNV_-N0+82$@ybq{u zKgogJJkT+ppfnl*H4mHHOQ3SpaQhqv28JV0^Dcq<hS=QRfa>-R4!#a%N4^Qno_r0= z%-M{{yk{spJsiGlU}iREM%Gr2qU}A1=gQXrI`Wi(fgvRjl6kU0dvdV3Zvq=iT%E^y zu8Skz1U5*z*a9^VJ#0Z?aRDkf7{db8H!TQ)gvBlpg&7v;>)|G1OJ%tB9DvHJ3sCcs z%hM-Nu`f_NA?4{?aQO$)!va3UkbwcZOaOfLr36&005r}3Im6J2PXX-=$2+J8BRcXK zfc1tz_1c5Z*n|7gkx#>g&jEJyC9@tgl4i)+mms%Hfa-;n-=HPxOQ2$jAoru5Llp`; zMhX<RXQ1k65w;-nbwWT6U|^t?`8_l?{|ybz2gRLFC?tGfX%EyUNPvpL>T;%~khVev z7IDxt<pijBG$P$Of{qw-fF7U8!N}*~$QR(r=THSoj_@;ALH3`4>V-}Rfa{P4P_dIB zr$OrW!?3#j4^$48)<AJA5C&36yErbOvH2HhXg+AExj{H2d~%`j<H#rB!e`LT+{eV6 z#0blb3=H69^)W=M1%-PDR4uLY)(aY&?*TpVmsajyL1XhdA|dfgEB9y6*!&YTHeVx( zw&Bx3WAk6o*nAIYhk;h<X9bPT=KvK#474lvGiYr72^yQP5liFrPh<06(Aa#BINFBK z3L2Zw5l>t9XVBRE6Erqo12p+c!}6hn#^%4EvH2e0&Kd2>&lNN_pCgIJ>7T~tpP;e% z8p*T`pAH(E|ANNmdw>p5reXQ8g2v`^q|!EiGiYr72^yQPkw)9_>7cRsFKBGOM>=i8 zX9bPT=g6R~`!i^4{s|hJuaQaH@adqj`7dZ}zDE{q!)FDJ&F9Fbt@|@*Z2k!vo3D{W z+wke2vH34(Y`#Y>ZNp~;jm_uCqpkZhXl(uo8k?_?PuuY6pt1QcXl%Ym0d2!)1&z(; zD5S0XGiYr72^yQPQAFGD>7cRsFKBGOM=@=~X9bPT=P04A`!i^4{s|hJuTe_d@adqj z`7dZ}zDF5t!)FDJ&F3hmt@|@*Z2k!vo3Bwp+wke2vH34(Y`zD0F)$6s|7mPKM-^@3 zH-pCJpP;e%8r8H7pAH(E|ANNmd(_Z2d{)rde2!Y$x<7-)=AWRk`5JY!4WAAgoBx8w z=6lrBHhfml*nEx#+PXi3#^#@(vH2Q}v<;sQ8k_%u#^!r8(KdWm(Aa#AX4<+xgU05c zpt1QHEwl}v4jP;Pg2v{1w9+<wR?ygdjyBr5KZC~RpP;e%8tt?VpAH(E|ANNmdvwq? zd{)rVe9%5pj!uaCaqT13fXbuqxdZLHae>M~muQ3c$VQ-vftDxdK*eaaM*Iwo&DZII zgb}UW-$P^b-_Y26pKjWQ&l(z=&(lL&_vg^q{4+E*U#FM0;nPE7^WV_ee4jqrhR+%r znlHh?z`)ZFasLAl1=%Zk3$#}fB&PwDn}v{@0+VyWBbNY`yNl3w9j31aDu>O?8BjTF z`nEvju<5%1l|$DD+DH5bDhD1)gX|-A;Y$GRU1Z9IZCwQIaTJ*Vax4P_xJv=q`|QZ4 z0NH@bRLTe6a|$xk0jd_<JViCL3}z;1Uuy<b-F4``NhgpoX#1&|4>Ka|iFN`76T~4{ z$iGYs3@i*E|D#Jufe*!CK^JBafQo~T$V8W9W?(`W0`r*}Siv+hp{U5fAjH7L@Bp;8 zn}LA=y5*YT98|pF3`8~f3<w4WhALTz`h+_Wad7*Afq}tJ5F)Pd8X^upcY%R{VI4n2 z{KH>}I86O@35fUsb%<MG;>zq0@d^H*fMs9+pE1C|z+eQm_W?V^9GE-vq3&b=Z(xO< z`N6=z@Prj&&Vj=a_0Z`ChEO?(xWf@t@rh7(K7fkD_B=cAK-3Eyg{TMbduCu@IL8GM ze*hJS?K!R%gNQ2}gQy3$zZe)8<U}Ci0>>fZu(s860f=}3ns_}QMEnAp_&IKfxB>Wb z2gJD^2RI?(6VSw0vq8i^poyC*K*R&U8>tcIY?6V9Z$J~DEC~@8I0bP(%wJ|u|1zA0 zh{OD~L>Qtz0Zn`X)V~MN#1)|aRe+{9m^q5jboF5aBt5|5r5GAt3L7Ef;Ij}I7#NJ9 z@ujc{A`UwPVFNV21hzxOVe$0^=AK;;ahUn*pziqq6^F(9H)!}T*bPw+6YqzG=N^bS zc)vRX1H&s=c<zOW!}hYjg@)$`s5msZ8S<dvd0-zzJ<Oc~;*jukfEESr5NQT>Xuc4z zg5)D`S;)Y^U@HYtKLIKZF8df57-m4tSFnbt2bXgU3=BTd^tk~l4ldU~=0MF?faX(J zyzhmYFQ5T22Nqw4q2?E$iNA)1+Xm2?Aq)%*OCiaHL5COOP5~2$IpDgAfq@|is{R91 z99%arFfgcbK-5n#g{X&#D?rn^ff+;`TwgFSFf4-RcL8&VI840+EMB1EF!e8?;XJ_t zRsCd9h`k1u5OJ9Lf6#oF04|Ri7~-Mvas%p~1JHYIp~u@W$V1JU04<7NK*OOJntlYJ z_q@W?--o(00ZsfOH2rKq6HkVQKLhkGRG2v*q4_ZYP5cft{VzZh_k!lT1~!NT!=e7- zfV%Slw48)`o1qNqo&;#V1D`X(z`&pdb<c&(5Cgzxh=9@|%stTj2;C0GumBo94hJFX zp~X7GU1>=8Z#aZ1ei~X1I6y1H1Ze(<g_Z*wAkoDD%h#`=<-h}|IP|C;26tF}1TD{D z{_=p<M+VUP5vG0(w0;4di318DSbcN}>dphu@*h^O&4q^N259*S6aNLxUm!C;_ydSw zU|?7aH3!BQhKfr;)29F=7#LvUn$U1FfQmz#MhsV&8NmH_sCGEzibGrjhqw(pc5_%6 zv5SM80UAqyTL@(^v0_*Mm<7A|RUGCo!QoCn9O^;I6`OnVaHyZngxx*qINa|ija@xx z8xuBrLB#?#aZrB6CVn1A_~_wqrw|TtP`QQ8d{A|RO}qz(y=6GWZ{o0b503bH%YZ%H zq;SMbAg=JoVQ&)-@fWh#?cIe#y(SKOC*yEu8xHf6aD)S>Ucwgst~kP(7l-&R9QLx} zuou+6z-I4X9RB)_!<-r%;bVitoOL+d{|$$F&=INF+}RE-CpSR*Bj7XG7#J8bpyh1< zv;qO2AI8AIU;r&Y9l!_AGcdr^+e6FG4LqQdi-7^Aejl`dp@4iwF#`ib7PQ=cfF^DS zb<YCiGkZa0AJpCi<g;oS7#Q|K>r(@0`xZPF$-uzS18sjEfYy&N@dMC$wqXavoiOoS zXgkDVC#rZDw4P;vwqs%H1EKXM!+uotv!U&}18Cwt(0a20bif{LUn~OygCo=&1yBUR z&d6n8U{HqEzYoyF`=RD6Kt3~;fq{V;TF)jRpJmFxz#s?<f8;Yl85kIpVBwD@-VJsC z0?;9VNbb1{4Nrw5sQ%q725DC$Kr3YM8M6!w3^Gu29-ygz3k~N5M^VkGgtnhy=S#xO zxd;vagkz}c8KLPDcAg_l{R?@BdlZhNsy_#9CqF<Fe+Tu~0#L<)<lk-3_RNA4sOC(E zwx1JDqKY#^<0}E$z=63ZUkK`+Q>f}~pz){xJ)aMz{vouz{Qyn<FR1woPNSOh7}`#L zfM(7LSbjN!s(uMHohY0|6<-U@M+=~5<H6j+2hG<H(A0m2wX2~GH<<c3XnI?44%K`E zXgW_gk1DPUO(zP_v)EwfRKdhApsLq~hT8))@eXJ@e1K+-HZ)x=xQJ?w4NM$*<{8Z1 zAZR!wTtZcE3r!~qmr=!4q2<W~H1U_vbh6+Is`^dP^pF5*m?4z|DzJ2W4OP7tEZw4s zv%}ISw4(_tm!3i6H{k}VIj5lcMd2o@_*`gwJwOw0fX3H?Td3+!LE|OiHmZ0YG+q?$ zpo(We!}$T4_#9|BFSv`UJ`Wns3HMONo1o#Wa359N23npxKoeJmmKzHmpsFu}hI7J0 zRPh>UI4e9t6;FnS^8++-7g+p0Mpe%Zi{B@x;x5p9t?(38yd9daAE1fL!s@|isOtAZ z!#UwOs`xBuI4itB6?cP%TLSbPJXkva01f{KXzI^E!+*g`RCBnY`AY$MZXC=UZdiJF zg{po%G+q>5ql&vh<K+RGIqfiUH1&<J{x$TxH<-QKq4Buj4XXKjq4AjT7FGN{OdNVH z8u%=C1_p+y(D+q&hpN5}8ov+F#OFcBRTQA-hr!$v2aWdy?@`U+f`-EbH1!9d=^^0* zs`{JI^q}w&RXhh;&M$xt6v6CmhnDjX(9}0W%Yg--P|azFhFbz?0-Aw=0TvE#q3I{# zGphO&XgRL{JueDouPwCPQ22tXo*!CnJU|ma4h`oAXy!yh)6ashsOCt)(mAL>i&ReD zf!0S0pyxlq+`|iXzruG^b1p;UB>{RK68PS71_lNdSo%j(&ksxgKTypHf~7;~xk1q8 zF9QoKfBi&Ne*>C+6n>$K_d?U*0_cFv6Hwchfq`Km)SVB|)Yn7P+XU!&HZb+V(0E+% z8`XSEsQC$hP{mh3-O~U)_XQU3-=OJ70n}kZ3g@fP^z#5s+!vY-9iZp0z`}ngw0>Fe z57k~%s5==z9eJeoRW&sIB>YEJp9D=m3eY(iSiR;7O@{~2%vl3XKM&B<$3oN30!GL{ z70jFxXgX|wp8o+0hj3{6Nnk=%e+HV~9H8fI!2DYWO+O0EsOn>(>E{8Ocmp(k8KCD< zz|2X7rk@2YsOCsP%Z&t9RB<P0Iy``8&L^n(3T&wAqoL`c0eap;8zi_HLZI>T08M>1 zRQ&>WRCDs7=};gWl3-!s76c8?1P)a7#?bVpz=<lJ3KhQqH3t?Bzo6;o0h)SSXnLCf z-LDT*ZwxIz7jU7PUj{8d6Sz^uKS9+8K=;kV%;AC7vkE+@>gPk#&jU1ZQ)oIAfbK7c zg+nJa{Vd=`HAe#)J{O?sVfLPbrk?~pRP}SA=|_PdReTRL9ZrDm+lHBQ2bz8!psDYL zrk@1@sOIQE(@6ky|1`{;GHCsqAc(477@FP$p!<no{#^!5KMJ6MGNf{24K)2cKojSN zieG@51GBdU8a@kzQSB{-rjrB_RB>ZyI-CIAzY8<R2I?;bQB?KpP;&yH`(<J3CqmQ1 z12py9q3LIV7^*pp&~zvO-B$`L|5KsmXM#AY`b22?sUU$WUIevQ0J{HD2$~;HL(|U# zH1$8B>C*tZ-w~!>2U>nEkVG~AA2j_WNTG`Fgt{{Tx-Sq`4|+h$PX%dI^_;Nuk0$;H znobtTpsE*!rDs`G@qf^GSCB&${|t@y2WaBQq4Bjq9##DWX#6H9po%lW(xD=%xHQz> z2Wa9qpy9AU303_OXnZ9oql&M9mP-mMsN%Pv;r{?ld>6F-TA+%m{x>w76Vy<}Uqi(w zK=&i=gcPp~51{Ss0O-U!EZy#grV|0^{x<O4i3|)3ccJ;60lKdXrv3yp-5x*_Plm=< z19ZX@rv5y%d~<;AAA*T*fR-~1(0wy7@nEPs51@%3gr<`Q=sp#g`n}Nd!~wcL117!? zny(q4`x0Q{u~2^<fQmz>;TdK_{WSr)4*;hA1~fecKqqWr;yuv#x&WP5g|!DJL;WiN zT@MaZZwB@61vK&BuyPnW@e5P04Rz-PP1N`mfrdkX7OHqE)SUv_sN%lRbbbL%{1-G| z1VGm{!|YuTEl(!spqg_6T8;(iqKcP6)4u>{;sdGNE`p~23uxkZpy_RbKB_tQq3I{U z09AZ9wEPh;L>2!93r{rhd9ZLZLREhl8V&-`b;>aRGQ+~t7*)LyG+kXlQy&jaR}-M? zg<<A|!om}}MiwTn3r$x7(6ym3@r%%KzJMmK3Qa!~pldN<>LsA%TL5$oB20V@H2nxb z*WSUzWuX4OfF{li_16UGS~r;bSFrenPE^9gm7)F>fUd8CiGPR1FPivA=sfI%iI9Q^ zralSk-+)P|;xD1~k-%hB@fpx`bpcJh1nS=jQ&834hL)=VQ&GjAK+8{oX{h2ep#Hsp zCe8x&?}X{7>Zd^c8!!V^{3z7F0y9y?*TKpMH1R0tIQaz71QSyKMGqPd0ka|IfbUvn zU|{$FEe}5|hKR%3OaGwxXu}eSI4qp?py5yeUC;p&p9c*GgJr1deWBs-0V)n_huntN z*B_t@YQXpQGB7X*L&HH}CBz(9ec=c7FT*N`IQWiS1_lN%sDB++L&Rb3zYVRY7}h|< zVeY&D_3r^R@zqfOHmpTeF9`Lo!#apK_}*3q1_lMFe;d|A#9{UdL;dTp0U{2ww;t+W zhK;D=pP~8p0Gc=>)V~dzP}K{;{0lW0N-<=?{JRBJ{bHDZ(Zp{<(_zC_h<cd&&qB*L zhiwpXnE5-P?qt}GD*gyszAfN^h=b;vK`bX|d3yj&{eEcq)35`i3VH`00|UbXXnE@Z zZBW4Mb%weha%l@f{YI$02cQ)rO#NDD`O~l)Vm{1Yy3lgoVGl$crhXkX92oYZiu*#t z;Q*R=H#8g?_Mxgj3$vFOA`Z>Q3@4!VrUTR=FngP!;lOYJq8=tL3Jr$?XyRs2|27;% zRbL3T*WnPVxF6KNpmla28ECQ2unbzxGeFl#!tAYs`ga59J}%IFBuEnj1H(_4`$2bt zf!6Ur#TP@}{{SiuGd~RK{sQRaElgY*7LS4u17PCmP=8H86Q2n6mjdWMFC_Q8hqjY9 zpo!N&<HZ5GKnA+3hhaL@{Q{uNY#10AVB#e(_d~_gAk{O&6KMDZh(p{7Q~w59FDQT( zQzM!47+Md0Kod`bx*s%u3o;32&RnSbCrE)r5$@@Sro#kjRPi0qdfx!F$%lb~0h&D+ zVxj*1fF|w=_3sDh`Y!15BZg3@e-A(xM#0Rnfcm#V8Dj5N=sGoJsQVo>A>wUZ5ZW2) z&I`s6J}mu+L)|&S1XWxR>dpXDRPiQgeJx;yD$WUY=LIzJlhFElf;p=C7HGT#fEH;Z z)pxI<<+gw&s`@X`a{B_B_zGybJ;4f9y$IC50oJJETVeH-4XXH6XnlPFO<V=)-wDtK zp|Ef}46B#zP|XR0`d7dnRXi2y-wSBseNg{SfZibwGyf{ozX8birZX@wq(l8H0J?LI zfq?<mPx%V1ryfAXVdexu{ks79Zf*t!hHX&)CLrHQ&A`AA0(B2``2YiKT^rQd44`Fu zpdsQv{~-Wc-D8M{K*t+E>On)eF!j|);-IM(n0OzOIB3WeCcY3!99cbdI2Xg-OlWxk zvKQH%=a9rfT|AiizmUX1L*+1W9q2kjkU5|(9!%T|NgP>y4U#yr`dLWg$m-7{i6g83 zg(QxwULWKT2GHIE$o>eJd%}^#L0v_dcqfuLvN_YC;vjE>iVSG+$1o2n4x&I^X_z_N zKmt&Ek<B>*6-PJc98?@cA)E6MBmgxBG{gim|0j|-via;F$1yN~N83S6Xtl(^2jW1@ zK{j6nNgUZ6Bd9pcoiKB3pyD9wk<AG~5=S;C1}Y9R2ZUknZ-$D4%mH=HVB*V>#F69W z29h|k`Ol!@AhSVCSiF1yaj^K88M@vVWG`}fN+XFQo39BKhnWux2V<x>$Q<Nw@In$t zHm3wh9NE9qk;IYxdm2d`*_@kDahQ8x{spZw0hOHS?)e5)4-!ZAuLyLX2}m5-d?lzj zy7@X#agcgs|2iXyBb(z56$hCE%9^nFErE)I%t2P)1QiFV2Spt$oO__+Aoa-hg4W5v z{EKYP8mM}ZImq^2hKhsCK@R_4NaD!m=tI|AgVZCJGjT}b$njN#B#vy(M5s8(Js^L< z;%hEc9ArLd=nob?>!IT4>UTrMLFz$6(=hc%pyKH2zeB}A>XF?e#t8~FMEL-7j}lZI zq#oHlCQxy7^Bth#Aoa-Z@q~(_tFM8IgVZCtXEszEUHx*XI7mIRdv-#_(bXS?ii6Z6 zyXPEK99=ylw0#FskL(@=s5rWM9jG`+J+gc3pyKH2y`bVC^~mlChKi%BhqX6B>XF^E z6iq#JI|Rc<s5nSHvU?6g#bM^d)SringVZCt=LS?9T|GB9B%OfNBfCcnDvqw+3@Q#% zkL(@~s5rX%5U4mvJ+gaZq2lQ3CqTtP>XF^E9x9Hmem7Jcq#oHlXQATg>Tg2DLF$p+ z^9U-Au3iG#{|Bi@c8@t!99_LLR2-xp**&39adh>GP;roYWcOr2#nIKzfr^9FBbW0h zk;FlLP*}Nf7fBqnR0Agd5{Ebww4V<$AGAaPrd|R`95gfv6W2u&2Q7(#iCZIyBdZTa z5(iD?!_-$Ii6h&)6iFP}Ut5vHk^OZUNgUanCrIMR?%{@x3xNEK>>gJnab$bbk;IYB zuRsz<Hh(^nII{Uyk;IYB|BfV%Y(58cJOboSWb^fr#F5QUMG{9gzZ6LvIou{Ai6h&) z1W6p(UeJCeP{{#u53;?i&<Y(Sj%=?wk~p&Y7D(dA=Eoz6Bbz@JNgUby?MULt=AS?k zM>hXGk~p&Ys?hNoboaX>i6fgIfh3M>em#;nviVz)#F5>99Z4M7{1-^#$ma7y$B97h zL^j_QNgUbybR=<P^DB_Vk<FivB#vzURU~m_^S>jBBb(0w9k&9x6WM%yBynW(Ymmf| z%fl&1;>hLdW+ZXYloPBxIgBI@T5<*xzlJ0ZTKWPL|A8b9n%aYjgZ7ic(mAqwQlR5& zAb%mdrx{5c**%ky#F5>z3`rc>JtvUFk=-LB3UUpkpNs4s3nX!5_k<ydBfF;@NgTA4 z1?Jy&BynVSu0|3^R=*ob99jJXBynW*ACSb6)r*QD{DrJu6-gXfy%&-=vic|_ab)%N zNaD!q`;o+v)o(!(M^=9XNgP@IOC)h*^*@osk=1iU$6-O~2RYu2k;IYXy$4AgIo_8b zi6h7RVI*<nc)yG!j_jUiNaD!u;ed7=K<-3#PYjYcvU@=5`am%WQjhGO$w=yv-Ln`; z9N9gfeIGD$klk|wNj<WAbR<ElG4q8Bk~p$^l99xb-BXMtj_jT`BynW-tUwY+cF!Lq zapdq3fsT)(hmSFmII?@3k;IYR6NV&??4AlFab)+LK@vxH&l4nZ<nUpJj@N_SiR>O> zBynW-s3D0XyT=1b9N9f{ki?PQvjs^U**%w$#F5?e7)c!2J>QVTk=+B@FAqv7Ab%md zCkHy;01`)bPYaSbvU@=DL@;xZ-LnfxJ+i%bki?Pg)q$QPfNrk~k~p%x$w=bJ_JYnc zfw>3S-Z@C>k?lQ>B#zv#d5A;&D-LlM=mv9;`$0=#VfBa@k~p&Yo=D=LrLZvd<w)Yl z>Ssd5Vf`SO`n6DTkiE$2FX0e>i9?(Vw1F8qeu!+2Iu7wr9O9Wc#2avkgXZsG@r7*f zZY1@{;d2p599ca#wBrv72W0h%NaD!qqmjgs)fXa(BdcGHB#x~9Ad)z;`rk<6$m&7+ zAF=t@8#<qd?*2q1ab)vnBZ(uMzY$3sS^aAyab)!d(D_1;y~z398%Z2F--ScPVd+o@ z<QWDAhD4}1$Q;m?1X%hkK@vyKk4;c<m^skxz6{Hu;vjQCOa5TyFhJ)oLE^~nltvOq zcBe6tII_KtP;r>Ouyv<tP;rp?$oB3<5=XZ8CXzU^y%L~9r=a-=x&32<Bo1174f9tJ zk~nfdbOVw&vN@NK#F5SUgd~n^4y!68f<XR478k=Iu8%|91BZAl4)GQwab))&K@vxH z&ub)cWc6}t*xm1nB#x{;4oMu@{9+v9i;%>T%{hf6jvUUfki?PA|BFMMPaV7a)p3YB z;1G|)AzqF{d?gO?3rOO~{{4U?j_hAH(1B~v^o;CZX&mBCNaD!mM<a<No0E$qj+{@c zq2jQ7>H?kLoevcUrDx=P`T$8B+5E3K#JQmB9zf<Gt5?P$UW+7-Tn<b_5=Slv4kC#o zhr=TzapZ9Rha`^d9ziYa=|=^Jcm<L;vb{5q#F6dYh9r(`?@1iucW{XFYa`r=Y_Bnr zII_LINaD!$Cg2b+#vy(mNgUbU&q(6P?O_RMg$0UtWc3zE;>hj{LJ~)IXDSZy3LN6c zk;IYheU2oKY%i-WB0Q1pmB1mcjYB*XNgUbUN+fY)dnY4_Bip+Shxkq$;+lF0_aocu zi6o9}Z!(fNvb|+E#5-|_uS60@j`tf#;>hlKgCvfuUQi#q`wfxAk=^f&B#!L<I2_`I zIK&Sii6gu70g^bfy}yvek?rL*K)4@STmgr8I+8fDz3oWi$o4Ko5=S<F3l8z)IK;(3 z2i8FA7i4?Qki?Pg4L}k{wl^7vco`1yNl4<z@qQFZ9N9fjk;IYR!wfx;5LBKZyGIO% zxF!zq7$k9IdmE6%k?oy@B#vzFS{&jBaEPlw*ZG0mk8H0Ck~p%xaY*9G_7>t0pMWHe zT))qQio@#n7-;=|2r3S84|4r309|(o5=X9owUNY;>tAOiab$Z7ki<b-jbQEY3rOO~ z=D$V~M>d}sy514w9%S<kki?PApMWHeZ2oE_ab)ukBZ(uM{{cxH+57<LdP<Ockj>9V z5=S<_8A%-3{0&Ir$mR<`*JYxcuZ<**Y`!y+II{T#NaD!mUqBK^cK>T6ab)wEq3c0G z?m;%+07)F#{0T_n$mXv`5=S=wFp@a3`5%zPk<AZ)t~Uj_2ig2=BynW(n~}tk&EJ3| zj%>aFblobt`PxY0$mTmEi6fg|fFzD={skm)WcR;D5=S<l8M<B;<Q`=64Uoi<&7XiI zj%@yFBynW(4<m^qoBshx9NGK;=z3g`dyvh~MiNIhzZpp!+58Pi;>hL;K-cx6o3D)| zj%>a&k~p&Y1xVt^=3hV(M|S^fBynW(nW5{6LGD2|-vCJ*+58De;>hN&MiNIh|1gp` zviTp7#F5PpfG+$6xd++&Y$S1H^P7>xk<H(LB#vyp0Ce3my7}5j;>hMZBZ(uMUw|Zz zZ2ko#ab)+uMiNIhpBcK|8sr{i^9_*1k<FigB#vzUY9w)F^A975Bb)yLNgUby0O)#d zkb98L&qfkQHop}~9JwB!fh3M>?@=UiWP25$>&4OSwMG(0wl^3_9NGK^BynW(A0UY% z`|CH7II{V|&~@q{_aK|^fFzD={sJU%Wb=0;i6fhT8A%-3d<N*bfgpR4%}+oQM>fA2 zNgUby$w=bJ<{v;3M>bypdM+WzUS#vFk;IYB4@MG4HopN$9NGK_NaD!m|3(r=HeVQe z9wNv+$mTmBi6fi807)F#{M|_6$mU;05=S<l0eUVW$X;ag6OhD_&96ohM>c;lk~p&Y z2av>(%~yb)dkC@@*?emxab)v@k;IYBZ$J`9Hva*VII{V_k;IYB7lxj<2yzdy`3^|p z$mTCV5=S<FH<CEA`InKzk<Dj-p4$kr7uoy-BynW(tC7T!&7X`Uj%@w`BynW(6`<!r zg6u^$-x^6A+5BK6ab)uwki?PAe}E*8Z2oT~ab)v_q32G5+=Fbs1Clth`3sQ5k<H(Y zB#vzUWh8NA^BMdQ{e5Kf6OhD_&96ohM>c;lk~p&Y2av>(%~yb)Qwj1fvia6X;>hL) zBZ(uM-+&~JZ2kizab)v<BZ(uMFAP2J6679a^Bs`Hk<DL#B#vzUZX|JJ^DiTbBb(0v zJ?|1^FS7XwNaD!mS0jldn?D&z9NGK>NaD!mD?rc31lfyhzBQ6KviZSC;>hMVAc-TJ z{{TrG+5F!~;>hL;L(ks?xd+*N2PAQ1^A{kABb&b)NgUby%ShtL<}*Og*#y~(Y<>cg zII{WGNaD!mPeu|)Hva&UII{T)(DOP$_9B~ajU<k2elU_aviS{2;>hMdKoUnb|2L92 zviZW$a}`1EK{nq3NgUby1xVt^=I=%lM>hX5k~p&Y4AAovLG~h>pMWHeY<@M8II{VZ zk;IYBKY%2TY`y|$vmbQ)5ZQcdBynW(gOS9M&2K;wM>hWfk~p&Yzmdd|%@>BA=LiZ1 zWb+-6#F5QkfFzD={%$03Wb@A;i6f6&-bWHgwwDKb&LhY@$o3{6i6h%vjU<k2?_?x# zWb+Roi6fh@09pi(Enbksk<AZA5=S<_0ZAO${0B(l$nO7*B#vypF!X#$P&go)?|>wZ zZ2kfyab)v%BZ(uMe+Ee$C0>xkk?rMyo;wM053;=pNaD!$RwIcc+dCOa9NGK>NaD!m zD}XwC*y06A9NGL}BynW(8<512&3}L-j_m&5NaD!m3xfg+>K<hC9gxJ4&0mBhj%@xu zBynW(uOW$pj<kY}_kKkZ2RRKU&H-Ay0(B3vxD^g@e;ndTNaD!;nt&vZ?63Ps;>iB` zjU<ljFFw%X9;iE!{bhh8j_iIf9OAJ^;>hmoMiNJM=N%+*WOsf;5=V9?2WWFO7I*3* zi6gty9!VV8J-$$J*nB|?biSYvDh|p=$nynfki?PQ`3y-M*_}U-#F4{O7PN>T>V9N* z>LZCGyVDjbj_%Gxs5rVi4<LynyYn`ZII=t6Ac=#LFf85vM-oSNj}B;4J=Fck@z{VQ zj%@F2BynVWHzSE7oBsew9NBya=((sM|00_ojU<k2ele0bviS>;#F5Qs0B!z<`U}~7 zX(VxE^No?jk<Cv)5=S=w0FpSe`L~h8k<EXDB#sg<NaD!$>VP(TK;4gQZv&Dzvc0pB z#F6dYj3kb1{sSa&Wb++Bi<YBAXwLB#vx;F_Jj4`3sQ5k<DiSEiS}jzBH0JviZhH z;>hMFAc-TJe+Wq&+5PvB#F5Sah9r)huSK&E{bl5Qt${<l5{GyXk~p&acOi)*yZ;!H zII_LBafpAwAszs-3`;m?BZ(u2Lk*HRa{BB?5(hD1<;flp2WmdD`vsuq-lFGgZ6tAI zd!3QQK}N#NFF+DUHva;WICA{HMiNIhpBZ|7F33H|<{Kc1Bbz?~NgUby)kxyV<{w59 zM>hWhk~p&Y0ielpXt*JppN%ArY<>-rI7+-Ai6h&)2T2^+UIEbLJr;Ylk;IYhbw(0L zHopK#9NGK}NaD!;dW|HGY(6veJYS4>K@vwce*%&?viYl##F5QEj3kci{trmv$mRz? z&-n$p2ig2=BynW(YmmfI;sr?@+1@=!;>h+2K+gq6w^tiU9NAuHBynW(3y{Q-&A)&o zj_j}3NaD!mGegfE2Dt~>d;=tLWb-E=i6fi88c7`4{KH7%$mV}Q5=S=QA9{W<$UVsB zXCsLtn_q_{j$EEEKoUnTS9jqMKZ_)e?9Sgv;>hmw1vw5&{>nxYM|Ni|k~p$E7b1zH zxD$u?1svj!ki?PQ&kx!R4|ON9`*V@RL0Vzua5s`Tvis*Ei6guJAd)z;`)}b8|A0fB z33~1`DBh9Xua6{-?EXne;>hk_ha`^d{$ohu$nJlNB#!L<A2`IdK$@Z9iLBlphj<W@ zII_PQki?Pwbpc5n*<Y`b#F72Q3_X7tJ=_GL;;??l6lnj*3@Q#%kK8|+fFzD=?`kA* zWP1-Ii6i^#1Clthzx<)+L4)i?Ha{Cl9NGLjByo_}Vd--bk~nhsZ$%PEcF!Xuab)*6 zfGmT?BeHv<k;Fkvn7zdy4pcp|`3sQ5k<DiSaiHpv&6h?J2Wf?wZ;T|4Y<>cgIEV>T ze*nb6V*YI;ab)v9BZ(uMuK-E}P;)>^VCFX<i6gszHj+59`J0i%k<EXAB#vyp1N1y@ z^z;yoB#vx;F_Jj4`3sQ5k<DiS9hL<37qa`Mk;IYBH%1aiHa`JL9NGK>NaD!m-$oKg zHvcn{II{T)&~wH?;ec#@1Clth`LmJ4k<H(XB#vzU10-=|^Bq8k*<lHvXe4oD^NW$h zk<DL#B#vx81N7W;kiU@KFO4LQY`!UyIC8%BKoUo`w-iYn+1?9C;>h;CMiNK1ml<@p z6*L@>%{M?2M>c-~k~p&YtC7T!%|DDJj%@x1BynW(1EA-*qla5Ik~p&Y%}C<N=5Ig} zM>byobZ8gUzsT;_MiNIh-x*09+57?|ab)u^Ac-TJ{~Adg*?eZu=3A(Hkj*zh5=S<F z0+Kkg`Kyt{k<CAhB#vzU2PAQ1^8-MehoSC4Ha{Cl9NGM4BynW(Hz0{4n=b%8=N&zM zwUNY;&38r;M>f9zNgUby3rOO~?thIWj%+?N^n7`cdyvgHKoUnbe*%&?viYl##F5QE zj3kb1{s$y+Wb*@{=huVWgKT~_k~p&Y%}C<N=5Ig}M>byobod>X_|--dM>gLXNgUby z0wi%{^DiKYBfI}Kk~p&Y%%H<!pzc97-vCJ*+58De;>hN&MiNIh|1gp`viTp7#F5Pp z03AjHbq})n*+}BZ<~JjWBb&bgNgUaH0q8ve=<%zKB#vypGm<#6`2|Sg$mU-_5=VCb zYb0@G^O>Rd6M)=<Y`y`KII{T@ki?PAUyUS=Z2n;+ab)v9Ac-TJ9{|1o0OTHI^Rtn} zk<D*L5=S<F1Clth`2x^;6wuArMiNIh-x*09+57?|ab)u^Ac-Tp|22|0viZ!=`x`*+ zK{nq2NgUby2}t6|=C4K)M>hX3k~p&YACSb6%@2Uy4*_xyviaFa;>hMVBZ(uMzX3@c z*?a-$Jrn5WYa@vxo9~Pyj%<Dbk~p&Y7m&n}-TxX%9NBzk==~NT_aK{ZfFzD={sbg( zWb;=ei6fhT7)c!2{0~Ut$mRz?@6Q0a2ig2=BynW(n~}tk&EJ3|j%>aF^d1g$^R<!0 zk<E8T5=S<_07)F#{0m6p$nJlQB#vx8GxXvBkb98LH$W0cHh%(=II{Vxk;IYBKa3=f zZ2ku%ab)uYp!bV_+=Fa>Hj+59`OQe;$mVZA5=S;)0D4aey7}5j;>hMZBZ(uMUw|Zz zZ2ko#ab)+uMiNIhpBZ{T3dlXk<{Kc1Bb(ogB#vzU3M6r4^N%BmgNDXo{p%-4;-DdX zm^i~6?DJ5<IK)Gc#F5P}K@vwce-e^7azAS+4)H5U;>hNIK@vwcpKmU9_bcKM&qfkQ zHoqH59NGLuNaD!u-+@E?K9V@H`Tvo`k<FK#hu!@KIK<<T#F5RfM-oRie<qSRvisNI z5dVfGj%>cze1toZ%{M_3M>gLbhj<y1II{WEki?PA-+&~JZ2n;!;=BtG?nE|U7fBr1 zd~YOiWb@;2i1#9iBb&b#NgUby<4EGj=HJ30uCfrj`(2R4k<Cv+5=S<_6o>c{BynW- zA3+jFHvb-yII{U4afn+l!tVZPBynW(E0M&J&F{e>z8gs#+5NYX#F5SaiX@I~J_qzZ zDo{C$EFOd;j%<Drk~p&YJxJon=FG<-z6VJhx%_{DB#vDE8$j>J0=W~p{P#u@M=t+k zki?Pa4fB!2k?oy>B#vzF2PAQ1d%2<aa)I1~Y_BqsII{TxNaD!mZ$J`9Hvcq|II{VV zk;IYB7l7XT1#%Cv`2|Sg$mVw=i6fi87)c!2{0m6p$mZ)q?-c{ti)_9(k~p&YaY*9G z^9v0~;>hV|77p>XNaD!uyp1G|>`tQ<i2R7`PCq1ZWOrsGi6gtS8%Z45o%3*rUqBK^ zcIQ2)IBcG133Q%_1$sdXC_F(!_^|egKax1I`?Hb6k=<X1B#!L<MM&bv?%#?ej_l4y zNaD!h=>)wW4&+W`_rxKIBfF;zNgUZdQ<21x-LnFR_--8HUvP-CL+`5txf9u6rbyz* z{%SxHNA}ljBynVatw9n;E@$>5i6h(l21y**UI*wsc_4Qp+Z&A}j%;r+k~p&Y3y{Q- z&1Zn#w})=NG?F;7`Nl}%$mS;?i6fhT07)F#{kM_Ck<I^%B#vyp0`&erkUNphZ$c7B zHh&(HII{WMki?PG+f^iS<n;Cehxl(C;wI4h2tn>dHpd-F9NAx?P;pp(-vZ6=)lhMe zImr3_0FpSee{Um+Bm4Iak~nfY{EsA#>>eHHy@?=uk;AzGNgUbU*+}BZ_HITJM>hWf zk~p&Y4$%7-LG~h>AB`lAY<@A4II{T*ki?PAXMo=0h;F_#k~p&Y#z^AG<|iPDBb$E! zNgUbzw~@q=&Hs!fj%>aH^ujNYJCV(AKoUnbe>RdhviX~l#F5Q^fFzD=z611rN|3$C z=0_umBb#50B#vzU0wi%{^BJJ`T%wyVjU<k2zA=(GviS)};>hM7KoUoG|7|33Wb@x3 ziKFBTBynVWb)ffgg4~a6Zv&Dzvc0pB#F6dYj3kb1{sSa&Wb+-M_jiKqMK(VgNgUby zVkB{7^A{kABb(0vy$2NCd}$<cWb=)Y#F5QUKoUnb{{WIWviol%i6fi;8A%-3d<E!* zi6D0(o8N#Wj%@yHBynW(HzSE7oBsew9NBya=>4W3dy&nLMiNIhzZgjz+581a;>hMR zK<`OKH(we_9NBzhBynW(6OhD_%|C!7j_m&1NaD!mzd;g5$rniC$oA?$?{fvYAKBgp zBynVWXCsLt+q)S_9NGK_NaD!mJ3#M+1=)*iel(IeviZeG;>hMNKoUnbp8<NmEV}v9 zNaD!m8zYG$o1cIrj%@w`BynW--$oKgHvcn{II{T)(0gq`?nE}f0ZAO${Mkt2$mVZG z5=S=w0g^bf`3}(gaY6PXn;(rNj%<E0k~p&Y3y{Q-&1Zn#vx{!NG?F;7`Nl}%$mS;? zi6fhT07)F#{kM_Ck<I^%B#vyp0`%TrkUNphZ$J`9Hh(sfII{Vhk;IYBe}E*8Y`z2Z z{$P;3$mT~Qi6fg|j3kb1{sJU%Wb=)WAo|6ir7^Jn=4Kq?XOYA~OG{wtZy||;mYTxE z{~?KkmMp=<bD$UXg8T(q!VeQ~LJ|jUX@!ZeMG^-sDT0ZgMG{AG50W^tdw7pw_ir7N zIB3ZR%>2zr;>hklizJS0?`^0!tep?N9)jT!R2<}A(2_Km`G1haK}+gj;+)43?nHKf zI+8fDdyeA}SBG9W3$hnkeLD{E=QzaOPa@odY|e5V;_T21k<snVLlQ?0=fz0k$mZ`w z5=Rc_(@=5raJ~c;2ic1p&To*!k;C~Xk~nfWho8po-|aZW#m`_@Uyeh30g^bfzxH25 zm;>6%080-_ml5KiEjTdolStyo{?)&NP!HPr3{&riLtOVNLOrs*UP$7|;g*U+ycjAD zy8aNf^aEyZCsZ61FQBEtF!8lW;>hLIRwQxIRsfj#<4EGj{`!a{jvPK)u3`7rX(VxE zf8EC+&U_tW4!Xa%q2lQNGDQ+c_LnV^II_P2k;IYx6@3F@FUWl4cqu{>M|OWF4)GOG zadh`@hKhsCM~;`fNaD!ue~KiI?Earf;>hk-yNPf=a=ZxKLWm>#O9x3D*<W@z#FL=n z=>E!uilh5$7Lqu!zZM~hBm3(*k~p$Ex8KI@{*y@J$nL+3L!1SA;R3q*d7$Fx?zcn| zM|Qs>k~p&abCJZ6%b9AZIC}Zn1QiF_i(H<}K@vyy*GeRD<nr_C14Q^Bw@(BgBE*r? zgB6lEvic|_apd;T;ztm3(A~ceDh_f#a(o?sjH(`b-5$dus5rWMfv2eIVfFaxXV}G$ zLB-L{x&0hfJ<Q&3P;qqix-U`H!|e5dileKaf+UU{ZnvP~u<$to9dCRF6$hDvto{#F z99_NoD~NkQ>XG9s2#0tr4)IQ?IJ)@{q2lP~^Ss7xuLF`ea(Z6-5uqMA-bFtn#F5>< z4@n$3oojqSs7Efxd~k?2enqH9ws$F#IC6eDh(r85R2)<efR^mR>bs{<aZvamm(N_^ z5cVRM142mR$mM`0k~p%z3cn-FL3V#Dk~p&a=im@O02N1f{|Tr#y8AyPi6guJH<CEA z`+0vL+==Y|nx6=9WcSZT5=VCbS{&k6pyKH6zYi5hcR$xJguTe_7eW$8cE1{uII{bv z{zjOC?EW=K;>hklfJ6KdR2<#?ub|@S?w9z3uov0=3P|F}?hi#0M|P*>UxYcx;sHqF z$nH<aAzlg<2e}`#gcg?mr$NQh-G2m09NGP6ki?PQ{})Ldx!mCX2XQ~FT!NLiLQrv# zy~yQ*4w5*szbui&k?X-!CP={xG6%W5U5F%(TrQnL5=U153P~Kf9yDfwn2+v$Kd3m! zUgUTQXGK*HtB;DH;^^uZu%W7lg^x7{cJVN%IJ!C6oT%zy_O?OA(bezeLRAm5_XboP zUA+o7L_J6xIoz_K;;`_6)q`bFadh=PP;qqi+o9qh^~mw{2!}W?55hgj>LsD#=;jwf z#nH{5gG2nWAi{iP|0)V0#F6dwLJ~(-pM@ljoUT+vA?Co`2@8L3s5r=-pe_Eed^%GM zRXr?z4?xAy)wfBYs)w1s0V<BJUPuz69wd(Jep4BUIJ!GSq2eI*$m#!vEUJ2#JAXsP z(bWqnpsI(t(;6y{u6`zxII=r;B8el1bH5_Qe2_WF@wE$w_-h>EPnEHo^9L%99zJR+ z2=&PEn5K#lM|MvwR2*gwEZmku#X;^zHYZ6PyE(;BahN%<@HEhbs7Dv~gNlR9M~=rH zE$r$iqlv@Zxe+RkZcdXn#2k<~vVYg&5Wj~*oKpw8IaWBtm+C^)qx<(HR2<|UWdA<b zLsbv+*E=+ESa|a5L)4?2bI<@Hj&AQ$s5rWMMI%)8Fne90;^^wn8KbI)xo4^=cJa+n zaddOOnqgP}2TdI29w~E(dUSKXAc-T#uc`$?J+gQJ4)I1D;#+Zuzr-Q#YXz|vJ^Txy z;^^+5Y>lcO=HFRp;;?Yu4HZW>r_dJF9GLp4P;qqiSM5;M!|eSD6-QU!=76dmW`2MZ zcJVx@IJ!BToUyCli6#zn&vmFcx;Y!67uA8pk>mFf4sl*rh`s3Q?Qn<};SgVjL!8GQ zVm^Aj8$iWD_9Ex^IwWyq_piqxehY{ARS#5qVc~WMO&k_qf1%>&?g{pUm;(|=cF%n; zh&Z~xn7tw5Aoa-pia`=bHmAr3q8?@r%$?Jq;^^kQM-oRiC(sYo9GJgKq2lP~oJJBy zuCG=6A?AS8Bb)C96^FSK)}9Q5ii6Z6o0AI_M^|5oLwo~N9Apl1KP5UG;U47h+=C<z z+IkCXk3~ly)PuJC!o*i1i6i^VEE1s}xxX_9NgTP~x)X;udo;ovWOwQ#i6i%$f^di@ zK*d4*LC}^>nERWd;-K(B9uHZAB#zu4+=3*I+#kG%B#!Ja$5`y{4@DA3c7Hw&@jj?H zy8CBC#nIh=3P~K<{g;r$k=_3UNgUbzIq}%t-;E@W?EVEf#E(P8(cOO$Dvs`ch6IGY z$nNJr5=VBwJd!xF`<Evo%t1~+`;f$u-G2dx_<N{0y8C}Z#nIibk%X`p+5HAc;>hmz zLJ~)I|FL9*Imqt6izJTh{_i-%<x(K#pu1liDvs{{C?s)Y_a`BVBfGx@NgUbzA5tOq zg3L#DKYtoR9NGPfIK&;G;^^-8f{KI8N6x>MNaD!uZ$uJDcK>W7ab)+4XCT~(?0!8Y zab)*<;1JJ;ile)~5-N`F{uM~#$nM{OB#!L<<4EGj?pMgd?tU92ab)-V;SkS-ile)~ z0xFK~{-sFb$nIZ@B#!KU$!zTIjL5+*o{uDs?EW?!;v12~k<-aNBynVSK0^{mb|-Hx z!ad0KsWem^RxiWa2})3LQ1~F%gEmOw$nN(<5=S2QYc4|Ai`*VKh$N0&|Gq*JM^?{O zj4%gz+~7zlL>%4yuc6`~_apbmEz42W!`fE~P;qqiYL%$!Vc`=16-QUU2}vB;{a0(S zoAVVa4l)NhpH|eNs)xCAHdGv4{iX&~^)PqdhKi%Bw`_!{2Z<xQGZIN0Ih^&I5bBZR zD+-5rHxBVu=t0>ady&nV0~JS)$74`&kb2~JWNX9j9$Bb3%p6#_xkJU#&0*@mZjLZi z9A*wIJTG)Y)T4{PgNlR9M~+9GZtUuf(Zpfy41|iKo1@eNF$W}$9B#fi#OrW~uf!pK z3x~LCAH-gC|7JqPLGD5JZ+kzgdYHfZ(8OWkxgIKxZcgGvRC8eJTcP6U>JLsrRS&cG zDO4O?eIE2cLUezbPD3>ZW^XW59NnC$)3K|cgC-7h&n~Dqx;ay3K+FM&BggMi9O55v zh%3&-ZcZo;@lG7#Z)ZWwK@T6n*${D%JCWnvcn(AyrXJ>BD>QLfct%6T(ajN@hiVQ? zy(v^2U47|%RP``>XF|o%)vGN;RSz@&!y@eBe2XFC=;j1LH!8yX3o|DYO&sQ)a;P}E zIe|+N<|D^@6AtmUIK=Pa5Eoj8-F!D3;%k;e)T8_B0#qE`{c<ZH>Otbj?)S$bUWG%v zbS1<bn7y!Yt3eZoh4WmfILKb)bod!b9N9hft5MB|nX?!wj&9B$BynVOgw~>(19PVt zR2<!$ek5^Zb3U#^H3#M%(e)5<baS$i#F6XkBS_-N=D&i9!@?6bKJyJK4l*Cv9NrBO zd(qWP;t-F4ii6An9k~h{m*_$gM{YkXLJ~)|cN>y8^8SnKNaD!tTfU7DcY^FiULVV~ z2_cTWe%lX89CRch%$?;(;>hjc)kxx?BY0uz4<LzyuCjrNKSmNqcIOu)anRA%F!g+! z5$*&XK@Ag+MG{B0w+Klb+1_TTI4r(k>1QES927pt=4?X}M>gj;R2*gwES<cBii6BS z4xc~J4Vy6aFncd;L--4H<R{Euw%ZZn$nlbZB#vx;9#kCN{0gWz$UVsYkxm@qvv7#7 zMG{Bur@TQDM^4XDI}q+iPR~Y2;>hXQ4M`k1J*OjyBd3!!NaD!$-a-;bw)Yc~II_KL zI}z?gwpSfV9NFF+BynVWXCa9r+q(%#9NFGuNaD!$K1UKqwpV8t!kx(WMj?qK+gpSr zj%;rmk~p%x%aO#9?Y)O2j$Cd`+>LM#a{6@KgIzp%FG3u-KQb3d9NC<gNaD!*HMZ_U zn1d|-7D*i09G(3L^(f(nB#shpNa85rh9r&>Zb;(D;r0ee9NAu}0|@sZ+iQd*j%=?R zk~p%x=}6+p_O3w^N4EDCk~p%xpOD0n?PWWNa3`|8>PX_q_J$#eBbQ6XhY;o<mp{jl z#F5oMK8#(x543;<m1D^MszMS+_SYmNab$ljLlQ^!*Ks6qWPkA-MYspqUMnPVWP5{< z#F6byLlQ@}w;f3w+1@iq;>h;?LJ~)|SLhhRoyhj8A&Dc~>y9LjY;O;eII_LFki?Pg zy@Vu=Z0|E9ab$bhk0acPY_AWJII_J}NaD!$PC^n#ws#qlII_LRk;IYh<vD?H53;>h zNaD!$1|f+f+na_Yj%;r`k~p%xXOP5^?fr!$j%=^cNrXF*?Nvh(N4D1;NgUbU9wc#O zdv_s;BinlkNgUbUXGr46_OhQsxD(l4A0%;Pd#jMdk?oy?B#vzFG9+<idygZDBiqY! z8sQ#fd##Yfk?jpa5=XW-4M`l?-gYE$WP8sbi6h(l3rQT=UZFDxcOu)Xh9r(`uRD@B zvb{Y>;>h;yLJ~)|_Y#sgvc1oc#F6b~KZ|fDvb{b?;>h+^A&Dc~I|)e~+1_PH;>h+M zM-oT2m**V9J;?T2A&Dc~8-yf|Y;PKpII_L%NaD!$o<R~vw)Yp3II_J$=MnBiwpR^F z9NAuXBynVWdyvGD?cIeWj%@EGBynVWpCO4O+sl3d;Z9_GeUQYF?X5x*N49qok~p%x z%aFv8?LCepj%+W_MTC2h?X^M@N47TzNgUbUG$e6kd)tx3k?lQ$B#vzFFC=kfdxb6` z+=*<j8j?7&z3xcj$oBRii6h&)3rQT=-b+a0$o4)%5=XX|{W8Lx$oBdmi6h%vg(Qw_ z?<6E~WP6t(i6h&497!D6UY;um_aNJAg(Qw_ZxE6=vb||Y;>h;4BZ(v1dj?4y+1_7B z;>h+2T}8MP*<Ljyab$blk;IYh?LiVpws#kjII_K$ki?PgeTF2CY%lvYggcS#^+6Ix zwzmpN9NFGUNaD!$E<+MWw)Z%aII_Jw*AebPw$}<t9NFF=BynVW(~!iG?QKUAN4ED2 zk~p%xzmUX{?G?I#a3`|8YDnV9_PQg9Biq}9B#vzFE+lbedoLl0Bis87NgUZ;_L~TI zBHQbOB#vxv6_Plzy_1l{k?mcEB#vzFaU^kMdwFgl+=Fbd6_Plzy+KIg$o8foi6h(F zjwFt3?-?X<WP5)hi6h%9bQ|GLWP8<+#F6cFM-oT2w+Bfa+1_19;>h-1LJ~)|_ZgBn zvc2qg5bi{_*9S=)+1@H7ab$ZZA&Dc~y9`Mj+1}$w;>h;$+(ozt*<LFoab$agki?Pg zO+yk#wznNg9NFG8NaD!${z4K*wpZvL!kx(Wsv(IZ+v|=bj%;rak~p%xyO6|@?Y)E~ zj%@EUBynVW+3zFViEOVAk~p%xRY>B<_D(_)N49qvk~p%x$C1R5?d5rZa1XM*R!HK= z_68w|BioyXB#vxvJCZoEy=Rcbk?sA3B#vya&_jefk?mDO5=XYz9Z4M7-X0`zWP5iZ zi6h&42}vB;-e*YS$o8^7LbwyzULPcJWP7WS#F6ctgd~n^?=mEDWP6Vzi6h&~^BCbC zWP7cU#F6a{LJ~)|Hw{S~+1_>}ab$bXAc-T}`wK}N*<PV12zMgetA-?wY_B_#II_Jx zNaD!$?m`krw)YZ}II_LZki?PgWq*orC$hahNaD!$Rw0Qa+dBzK9NFGwNaD!$9!C;K zwwLD_!ad0LS|N!e+Z%)=j%;rlk~p%x?MULt_MSl!N4EDDk~p%xLeCNIM7CEANgUZ; zcO-FSdwY<?k?q}uB#vzFB_wfVd!HeRBiqaV0^v?%dwr0^k?pNQ5=XXo5|TKwy~~iq zk?lQ>B#vw^&r5`RknOcX5=XW-2uU2--ZUg}WP96@#F6bigCve@?=K{AWP62PA>4^< zuNsm#vc2v|;>h;)Ac-T}y9-Gi+1^V?;>h+sLlQ@}m;E)uoyhk3Ac-T}TZJT!Z0{r_ zab$a!A&Dc~dmKp|*<PME2=^e{YlS3^Y;O>fII_KINaD!$wj+rn+j|B{9NFGqNaD!$ z3cW?R6WLxhBynVW-I2tR?d?GlN49qtk~p%xmypDf?R|zMj%+XcJA^xt?e#$tN4B>L zNgUbUNl4<z_AWybN4EDkk~p%xJns?iLAKWlNgUbUAS7{Qd()7_k?n0q5=XZ843apq zy}yvek?j@wfN&?Wy=q9}$o9G;i6h(FgCve@?=B>9WP2|mi6h(l3`rc>UiOa&cOu*C zgCve@Zxxa_vb~d##F6b?h9r(`?{Oq?WP5o&A>4y(uN9Iwvb{k_;>h-<A&Dc~+m0lT zZ0{K)ab$acA&Dc~EA$!RPGo!4ki?Pgbw?6MwzmgK9NFGoNaD!$UP2N_w)Yv5II_L$ zUl8s@w$}$q9NFF~BynVWCn1R=+q(=&9NFIENaD!$@_a?O2iaaLBynVWgOJ3L?M*`x zN4B>eNgUbUGf3jd_WnW=N48h!8^WE)_NpO?BirkaB#vxv50W^ty}OXak?p;NB#vzF zGbC|jd)dDu+=*<j50W^ty;Vr!$o5V`5=XXo8Im}%y~mNnk?rOAfp8D9y;ex#$o2*y zi6h&ah9r(`Z#$AWvb|@J#F6d&g(Qw_uh36~JCW^GLlQ@}*Bwb5+1?%`ab$aUA&Dc~ zdkIM#+1_VJ;>h;0|3bJE*<K$cab$a|ki?PgorENgZ0|B8ab$asBZ(v1%kvxI9%Os1 zki?Pg4MGw}wl@t)9NFG>BynVW&mf5-+xrVi9NAu>KL~ds+pC5oj%=?xk~p%xJxJon z_U=LwN4ED8k~p%x&yd8C?PdRqa3`|8K1kxo_EsT@BilO(NgUbUWk}-4_8vzPN4A&e zAHqGz_F5r{BikE<B#vxv8j?7&z3oWi$o8H=5=XZ87m_%#y+Z#H?nJg%4M`l?UUwvM zWP5v%#F6dYg(Qw_?<FL0WP6_>i6h&~&H!3L%D@0xXN7F950W^ty;Vr!$o5V`5=XXo z8Im}%y~mNnk?rMSM7RgpUMnPVWP5{<#F6byLlQ@}w;f3w+1@iq;>h;?LJ~)|SBMGW zPGo!4ki?Pgbw?6MwzmgK9NFGoNaD!$UP2N_w)Yv5II_L$%m{ZP+v|fQj%;rgk~p%x zlaR!b?Olc>j%@F7BynVWc~}tcLAKWlNgUbUAS7{Qd()7_k?n0q5=XZ843apqy}yve zk?j>?MYt2$UNt0fWP9C_#F6doK@vx{cNda4vb~p(#F6cNh9r(`FFPB;oyhk3Ac-T} zTZJT!Z0{r_ab$a!A&Dc~dmKp|*<K!YgnN+fwL%g{wl@e#9NFG9BynVW+mXbP?LC7e zj%@EQBynVWg*XuIM7CEANgUZ;cO-FSdwY<?k?q}uB#vzFB_wfVd!HeRBiqZ)iEt;f zy*^0d$o5tti6h%P2}vB;-epMQ$o3vb5=XX|hYR5zWP7cU#F6a{LJ~)|Hw{S~+1_>} zab$bXAc-T}`wK}N*<K-TggcS#RYMX-w$~j=9NFF;BynVWcOi)*+j|K~9NFGyNaD!$ zvhyI^iEOVAk~p%xRY>B<_D(_)N49qvk~p%x$C1R5?d9P`xChx@D<pAbdxMa~k?l=G z5=XYT9Z4M7-ZMzz$oBq15=XXIh!5dTWP8<+#F6cFM-oT2w+Bfa+1_19;>h-1LJ~)| z_ZgBnvc2s52zMge>w_eYY;P5kII_Kyki?PgU4|r%Z0~U-ab$aW1Q6~)w$}<t9NFF= zBynVW(~!iG?QKUAN4ED2k~p%xzmUX{?G+M4xD(l4H6(Fld)<-5k?rk45=XXo7m_%# zy_b;0k?nnkB#vw^yAZ;i$oBdmi6h%vg(Qw_?<6E~WP6t(i6h&497!D6ULIkDdywt5 zLJ~)|HwZ}_+1@lHab$bjk;IYhJ%c2UZ0|25ab$aiL=f&owpR^F9NAuXBynVWdyvGD z?cIeWj%@EGBynVWpCO4O+siJBa3`|8K1kxo_EsT@BilO(NgUbUWk}-4_8vzPN4A$o z4B;MRd##Yfk?jpa5=XW-4M`l?-gYE$WP8sbi6h(l3rQT=ULkRWJCW^GLlQ@}*Bwb5 z+1?%`ab$aUA&Dc~dkIM#+1_VJ;>h;0OCa2dY_AWJII_J}NaD!$PC^n#ws#qlII_LR zk;IYh<&i|V2iaaLBynVWgOJ3L?M*`xN4B>eNgUbUGf3jd_WnW=N48f;3gJ#<d)1J{ zk?nOy5=XYT2T2^+-d#xI$o5`B5=XZ88Im}%z3kEmcOu*CgCve@Zxxa_vb~d##F6b? zh9r(`?{Oq?WP5pJ5bi;?*9u7-+1?-|ab$bbki?PgZATJEw)YH@II_LJki?Pg6_Q1` z6WLxhBynVW-I2tR?d?GlN49qtk~p%xmypDf?R|zMj%+Wx9KxN*_WB@+BimbrB#vzF zBqVWUdzT@JBinl%NgUZ;9(ja&knOcX5=XW-2uU2--ZUg}WP96@#F6bigCve@?=K{A zWP61a5bi{_R}D!V*<N=fab$aYki?Pg-GwBMZ0{u`ab$a+A&Dc~%dUuUC$hahNaD!$ zRw0Qa+dBzK9NFGwNaD!$9!C;KwwFf<;T~jrt&qf#?F~W_N47T&NgUbUb|i6Rd(R+= zBis86NgUZ;A!UR+k?mDO5=XYz9Z4M7-X0`zWP5iZi6h&42}vB;-e*YS$o8_UAl!*; zuMd(qvb|ME;>h++LJ~)|cNvm6vc1QV#F6dgQAM~1*<LFoab$agki?PgO+yk#wznNg z9NFG8NaD!${z4K*wpU0E;Z9_G)sV!I?R7^IN4B>INgUbUT}a}{_Fh5~N4EDFk~p%x z?CJ=2BHLSsB#wNq-)1Cn<nss4B8emKAHNM12id~Pz`y`IXX6o695k<iybt{YR2-%r zb}r2ys5nSH@_Bun8X%RB`4QxEFw&94k==P5hxi{PapZ8;(nOe#9L`Qi;>h6~h9r(0 z&gDqr$l<&PNgUbUS4iT>_A+T9+=Fbd7?L=$z2->b$oAGCi6h&)3P~K<-a|;@$o5`C z5=XZ8JCZoEy*Ani_aobzg(Qw_ZxfO@vc1!g#F6dYjwFt3?;j*_WP7!A5bi;?*9l1+ z+1@ZDab$bTk;IYh-Gd~KZ0{>1ab$a$bP?`BwpR>E9NAuTBynVWYmmf|?OlZ=j%@EC zBynVWuOW#e+xs0!9NAtQJ%sy_?ae|GN4B>KNgUbUX-MM8_HIWKN4ED5k~p%xTKWk0 zAlvJNB#vxv7?L=$z2!*a$oB3*5=XZ86_Plzy-WrO_aNIVh9r(`uQ`%9vb{A(;>h-{ zLJ~)|_Yjgevc1=k#F6d&jwFt3uZ<zX{mAxaA&Dc~+k_;JZ0|HAab$b9BZ(v1`v*xJ z*<LLpgnN+fbwUzHwl@q(9NFG-BynVW_aKQQ+xrSh9NAtbV}yH<?G-~3N4D1-NgUbU z8YFRKdsiWeBinljNgUbUYe?eA_I^haN48hX1mXTf1_lP$`B#ZZ;>hRuEX5)I28TG8 zDR%Q6k;IYBZ^I$J3P~K<oez=3k<C#vL)eQf?u8_dY)&JRII{XfIK(;4v74`jB#vx; zB9b_=`O9#KpF$ExHiyvyyS+|0#5-|_uR;<>Hvb`#II=s{EV0|`g(Qw_P9u^yvN?xv zh;v$DH(v`$9NBzlB=K;hben@Do`oddfh3-aB)$|$9NGLcNaD!myv8BUZ;fz2vU)2d zab)u&k;IYR--;xTY|cU?ab)+OMiNIh{|}NlvN=jN*xl)YLp%?M_!J!CyKsm<z#-0N zi`_jsIK+c+h&SU9hujXx0AAe$>Psw>gNT6GAQs4W5Ia^L#A9IKfKYJtub}FA(8SfC z>S6sAm^lVeaRoH>P%Z=LtauO`t{$>1jbR2zkOARN=&@i7(Cfb8?%4p+$iTqx0!{q` zs5tc6K)8AXW{5)Q^<{AJ2B^3V)P9h5P&hn*ibJm%fva}_Nir}nK#zlki%$S`@)#Hx zpxXuD;tgyNd!f+|7k|JG5sv^_h~!@fXu!9ii7$W#{24Uy2409c5>WSoq(M$p;Dd;# zpowpQif=#@ci@Mp|AQv}04nYQG8D<31<(kef+o%&2r=gYn)n2$xDGU&;O-QHsINd1 z-vAXqf+p@D3{fut4Of^s0wNIc7&P$*Q1Jt3;sN3i^*qpcfSIEJ?WjkfiGP5KFF_MO zAPF(&1Df~*DTufYG(KVGCrCrYJJ7@pWFX>K(8NDL#T7soARvYR23d&u5H#@wXg=*h z6F&gWk1No`1)v%92%2~TRQv&&_y(x>A2e|WMTmPOxF9slzX4Ej6EyJ!Q1JjX@efe( z4QS#Alpy8`a6@RA`3=eteg&GigC<1$4x0D{V~Ds7G(W-2nP37DpMxeIU<wicgC;Iu z1`&^e=0BJ@7og&M(8MR0L)6Pa^B+uofCWUn22EVR5+Z&FP5c5>+y<I2VdhM*f~cQ^ zCLUl75&wfGE?@%@kAdc6m^l}q;(O4<C)h&N%RuunOnrbIM7#z~T)-Y8eg{qb0#w`v zn!jP@OmKjxpMxeI;0O`_gC;KE1QCycmIp9%9zezSpouSVhNzc;mIpBP2`&)v8Z>bQ zSBUr>H1P*eaT`&HJj|R0ZV>()H1Py?i1;5gaRm>Ec#If?hMDsKD!vCze1RuKoeZ?x zf~imNf{53ki7R+R#P6VqKY)tcK+7|jISYIs>gS+|C-_3d|DcI0_(8;DpyeOToCi?x zJ!s+!{2}UPpyeV=eL?_4yar8NArK;d2Tl9|RNMwyj>61Y5Cl;_2TeR77$W`$O<W-a zA|3-RUt#7vfQs)y6JHPtQ7;26cVX%i!XV-`XyOW>#w-H^!yPp72T*YvXgLitXF&wS zoH=OX36T)-KWO3#Q4sMMXt@qE=K)lF51RObXoz|lX!#9Op8#!$)u4$hfDV}kxgSmZ z0aV-uT28~vSr7*?e-4^>0;uy1l7g1saP<iwK?a5dba7DQoq>S?R^G$ZPe_8OSAdrH zF!6?Dh&ZesfQdV#K*S%Qsb>In8W<QD98@6kF!cwZ;tSBk8`2=^7*ruNOua)ogr9&W z&X55SKY%8F04lDa29bxE(~t?_x1fm&fCeWR7#N<QiC=(<yQo9-!OWQeIy@fKE=3a$ z$c3n5(SXn}^#XYiehQlS1*rHDH1P@f5cMk1dKG3)0O$~91_p)}G;smYq5dHEqlsUD zio0k*%!8RT0d&YZ$o**I0iZ+H85kH?v{BUyfG$U3U|>i=7Y7XvF)%P3K@&FsO_DG$ zFsSIDniBvzWSxP5p#x2PLIp(K3pDWn(4Z6p1A~VyL>}gT0np`63=9k_(8L))gHj9( z3><o>>JLE0Gtk5vY9Q)Ppou%wLc}%nAvDZh2GFDs$o**I2cY6F(8L=+lTIM_8=#uw z06O#?<bE`92GArD$o+<>>JLE0Gtk5*fDXfEU|=|bCLRD9{9s^U&@e(ZM*wuFKgj)P z;uoOeFVMs%fF{{M?uWMHVBrwZ1~GR9nz%qaM4SWKzJsa102R+b6Q2N@^aHscO*{Z} z`6S5w(DoqA90AZ}l_2+{iC=(<zd#e8&<$~q2eh3CGbf-2BEAAmT%Z>s&H-&d!qi`Y zif5pSPw0cFKY=D50J?k<<bG&-6K0OU1c>?$H1P{i@fT>~6DC5`dqCTvFmnPXLBv;} zi3?1Ih;u;Or!e&wpyC;5;uEGo)So~T510xO*MPQXVde--gNS#aiC=(<zd#e8Fdd@a z1KQ4onG*mVcvyiZE-(|Ko&(zcg{i*)70*BupD+ue{sfwMz-)-P4z#@tGv~u%h<Fd0 z_=Y7A@i%DV1xq2~KG1eF%p8Md5b-r=;vb;mJka(vO#O%D5cLIU;sPrn;up}w8CF5W zO`z>@m^lusA>vce#2MB=#J`}4AApL7K-=jsa~jq{)Ner(cUT7zmw>k4;p*2z#4FIm z9X3G3Z=i`YY=nqgK->E;a}GeoXP}8UY=Wr&fhO*-86qA5?FYcjVb}r@-+?B604gp4 z?H|C@H*AHduRs%b*ai{5fhNwd9U^W4?N7kWIRF)(fhOLt1ET&1nz+MGh<F6Fp8+$6 zVHZSv2b%Z+sJH~Q{{d6qup6Sj0!`du4@CS1nmEH=h`0r`zXCJo091Sins~!Li25IB z;tu;E;t|k(49pyc0}$~YXyON;;u6sQ4NQH*L5TVaG;xPR5b+ym;tYo&;ug^U5X_td zQ1JjXafc%i^%-d52cY6DXyOl`;xo|11&%_@*?}g004jb3P5c2=`~{l0!ZC<B9MJw5 z-2G5-6*O^y;}G>0XyOG>aUV4C3sCU{G;xCy5OYe<#3w+-JJ7^GK*i^vi3gm7n6m** zd;?Vc2%5OSDTw+fXyO~7;tbIKC@dTpPD9j-pou3y#Wm2x4?x9j(8LwaK+Fk16K{Zu z!}`H6dlT+J)bBx4zX2-F1MMHf)GNG(sE<Jt|L_+gUV$d=@DCz>0!@4a4`jeQ1KOX4 znLhz~Z}$!~aR%u9pjXhu1EAt1(Ec~f90Tb6Pgl^yKS0G_pouF$?}g%k_Sa$NC_wLF zl0g$sfQm<;iC=);do%@2TmX7M&<Zs10I2u{H1PvaaRcah0L(oN(EEEPpou#`@5}js zCe8r8w<Z8OegHG)08~5$P5c8?yar8t0rZ}mDQMyW(0gt!powpQ-dpnoP5c8?oB=v+ z0e27d-Wm}!aR%u9F(qi?2cY6t(8L>{6E-H$aSoXI4$ym9641mqK<{7aK@(Si-h=W6 zP5c2=+y^>d0yBRB^gfa`XyOUb`#*S~<0vro3ebBva?r#dK*i6Xi7$ZOhoJ)<UxAsE z0KI>r2Tfc7dJn`KH1P*eaUbY-49uJb(EAwHpou3y??2#yj?=)@E1ZSo-yAgY2T<`d zXyOZ?_W|fY$8TWfBtXyK??Dq+fS!Z@22K0{RNMzT-UBmd0rWijHE7}q(DUDUpyNO= z^$O5)*mKatA3(*=pouSlo`<dj9XEoRlK?$`ya!EO0eTMj8#M6;P;npVI1|jA1<>=j z*Pw|fK+nJCfsQ}H)GI*Gq0T`Qe*hIfgC@QJdLFb6bi4{?P6G7&<sLL~1?V}*Z_vaa zK*fEa<6AIu7C_G<UV|o{06qVg2Rgn5Q?CF$hc^dJ`~g(_44U`?=y|w0(D5*sISJ77 zXM51Z6`<$9zCjaz02TLvj-SEISpYqcbq$($0`&Y-9_aWPOuYj19MT*#@dr@xGic%q zpyz?=K*!r)<|IJR-|RsXSAd>_`36n=0aV-vw3q;CK5hZ@Jjyj_;tA06A9=DN^)Sp{ z1?V}9IcVY!pyFrH#1}x%L)3we^TEtXfSy0tgC?#3JqPd&n)m~#xDRw(5N6H-=y`l= z(8Lp<6WTn`aY2}R1?V|+IcVY!pyFrH#1}x%gVTYIBf`u{fS$kBgC?#3JqPU#n)m~# zxDRyP5oXQ;=y_yo(8Lp<=YR1)#~oqn6`<#E<)Dc_fQp|%6JG#54@(C+P6;z70eb#a z51P0F^c<)+XyOl`;y%!EO_(_gpyx5IK@(4ao`1vx9q)vxSAd>Fl!GSz04jb4O?(0L zJRlwD_$bVr1nBuYJ!s+z;FSst3~$iHA3()@pyR19a~43)qgjI{o&Y`ng$Fv$3RABD zJ%=R+P5c2={0y4-0_b@tI?!=hm^lg1^GABn#1)|DfV@Eye*hKtfsW(C%vk_Ek7Es* zcmnkN3m)kBE=;`w^c;#DH1P*e@iS=R3!vvg=s?GPVdf-2&tK?46IXzqgYX7T`~g(l z2Rcp+GiL$xJc2c7;tA0G|2)v~W0-mc=sx@$H1P*e@iS=R3!wYqb)e(QFmn>1`_FsO z#1)|Xz~7*WKY)t+K*ynB<}85j$6kXbo&eqd%mW>thN)M8?nBN&6Mp~|KZ7Q|0J<Mo z2Rd#IGbaJM|F#EBTmiZd_6?f&1E{zUbetPz&I0Iu)HP`03DEtYJkarPn0f{1KFl06 z@dr@xGic%qp!*?ppyT2&a}uEY4|~wW6`=b7-=K*<fQtJ-$I)TtEP(FETZ1N^0Nww_ z107$7saJsRL(4%Ee*hIfgC@QJx*tpjI_?fLCjq+uss~M60lE+B4Vw4^sJIVwoE~P* z0_c9EHE7}q(EUF=(D8eidIjjd8XYw815j}fG;smwKA9La@dBuL0h;&)sQ3jm@d?oV zEe6o}0hoINp!-H9pot4W_iuba6Tbiz4}i`mz|5Hd-CwZ*O*{a)Z$SV$&j3>|0Np=O zfF^zcDt-Y?d;)ZRzX5dq0cK7Bblv;}G;smw`t=WJ;uoOe0nqshm^l-m>&rKwi3dQ} zZ3{r>F<|NipzE!5(8NDL#XZo(CqUOpr=W=&K-V`npot4W*B{S86W;(8-+?AR0lIGZ z3Yxe9biMBfG;smwI$8<nd<)E96QJTQXyOXc^{hE);v1mi44K)P@x{p*sVSv7sqqCx z`N^rp#qkA2nfXPTC6!>=vYh<%_@vB|_~iToB$48hqSU<fk_?D&Zfb5avMfw}yg_^g z#FUJb9E3$BMTvREiOD6I`FZihndy0nIq}6g`Q;3HMX5Q7dL^k9B@j&@tKqK0qA4x0 z7^)Ivd}dy1QAuWEPJB^nR%$X-0%SyTeqM4S5<e|7FS9rU=3TH=a9f~Z02Ty$Jw3Gq ztTH}1Kd&UdxTL5wxg@@zqzIv+G%vBJGCm(F0&+=eSt>{^#AgMGMTxnoC8<dAIf=z3 z@nwlQrKvFQqbUNp8tx=yk0<5iCue8orN@^gLd^pyg?KQ&I5{x~CJb^$az<)$c6?D{ zUV3VLep-BHUP@|3e0~7~D7JD75FUWVWiqm0a&7_0iSd5HLH=c_MLCH`8bETPE&-`2 z>8V)dGZOPsa#G{d@{5vF<BL*rQWJ|);}i2z;!}z;%TkNti&Bg8b4o$ck8nh0URi#2 zYJ6I09ynb<BtX6>D9SHLOiwIHjYkRyh&0IW1f)|^b5ctX#>RuJNlpY?U6NmrlT((9 zFseAUB)%l0C^a#~GX>=M_|m+h#JrSzh?2~_Oi=2|tU@v^IWZ>(tUskPFEKYW8QF>P zWf>_DNpL#C8l8FhdGYWlh2#VVy}bOAR6TdUP~GB^#N=$S@gSq(A*l*vWfEK*6arYp zlZ#S80hR<xXsPgEhs86*NRZajl!Ex&#FFHU%)E4jC|0LJTo(_@a`Acj@kOcWASyAP zbbSbmQc@F(LFR%?Lx@5h50!#aMX5*@l_zGFfYTEw#`01tK>0GUBo$#qQfZoJnt?k^ zthgk%BtAbcCo?Y<TE?Vi#HWF>C0GmGztGGNF973nOLIyx3v!T5#+ux)r~?%npwI>7 z5wIoTC@jh>24!A^AUG1h<ws&(3WHv8Nl{5+5`$iGWiFTomxo39DTyVC45<~V$)zQ! z@s<oo`5@jBm1~5?x3GlkGc>k9Q;WtoMB|$y^ctC)qv=KC8=~{gP}Q5Fat+b=CaBWJ zXnZ3Rgi{PnjL{UM@r{fTij7c}8lv%y4bkM$_{IhZqYMo!8T24gp_g1-VgZiS+{B!m z{A3Gw7+avm4Jy~r!V*=$$P`V$5M96sT>-j)1*(aLmgoXz=mN%Q0!C<jLo~iQ!gogI z=4jSo2$-O$Fhb)SqVp}x(2O)i7cfE>K;xUD8DNPnV1_PWj3!`&#y3Rgo1388VPuLX zU}%CSV2s8$LgO16pa~eG+F)pbCSYWSCSYi4jEEUS6Es<4G`<lU-w-4KD^ZNl?6yP~ zFhdtGMi)Tm8=?!C8=_faf+k>$#y3RgTNohu*W3WjI8$U<6Es<4BuR7BEQ-oCvP9)u zpmL4S_=aeFb8~n}U}SENrWcKGh|V`dRd0&QHALeZo1n@YnIP;oG%-e%G&V*^8X=g5 z=0>RM4AJ<;hG_DJ$eIn1I3@<DDvgmO%}_%em1}5ji6&rzCSZidw?I>GfhJ&NiY8!) zE?|VN07C#>fu*?_a?GN+z|0&?z!+TslaHpu#0*UUjc<x3ZGkReiY{P;E`Y{2K{L@3 zUBJu);b%h=G+ARbz9G7RF`Dt_=mI9j$i}0~8lVeU7$GS)H$pYt$P7)u&=g(32txqX z2t!LlGyyX-0b?}2A%+0D0z-5Ga|2{g7@%2bf-DQ-Ah+|3ktNY|8W|u-nxf`5RIZUF zD&GQ?YlOx(MB|&IDM#ZQqVvsA)tjPnjnVjqXnYe>c%CyfF+tOd#y3La8zb~0F%3<S zIp#*FW*VaLjSUfo7@8ZPDmFGil0;&gFqGxQ7sOkd8<?3H8YjmWmnOxVFcg<26%^&C z$LGeITcnw$8Yd+tCYxIr7$zsi8<{30S{j-d#G9Z-oC)0XmZ&m@mgoXz=mN%Q0!C<j z3p5kW(FIJ<1dP!5h8TQvR3nW{(F6=J1dPyCpz|%wP|Y+nLl-bc6EH&K8=~<|(F`<4 z6EHGE7cfN=Ff>6EFh=7WqVo;V1WeG}V1X`RYJ!M*6C}1Fh=Wojpy@P1;~Sz27$Xce zMstNFx_}vyVnY*jSz`<VgwaN5Mw_Dxn4k-w^DPX~j4(wLFh=7Wq45nZ4Ny%mGD8zE zL>DkdR{#=#HIR)NGE-AiLD@RdJT=WQISHJtjghi-VzNP6qJdGGiKS(drEzL%d{T0f zF-R=l7&Tj?a*Zre`4-0TTyJEK#4)izRcD08H$>-~qpCMFL*<*Ia*fdVhG={fgtJVL z*oGhuYKlZN7fG=(!VE)mWR8h3swP7uNh4G#V>G^@5yDU-6GJq`XnZ3yzOezSdP4&w z!>~rU5m6Ctgc{+fTtf>>Q~@JXGyy|&0V8w;7y@VtEKqGQw6rinPE}~KX6VX|(FBap z_=aeFbA;*UXl9$E3z(n_pz|%v(2Oue6EH^O8ycYtm?7L_Xn-bZie|bcx_}v)fH4~1 z5M96sT>%>31kFZs6NK|j&?P|vurkLG#6itms74u~>o!0#+89->p@lKRT0?U*StBzv z0Yg)C0V8w)B=d~W?6O1`FhdhCM&lb|2%sx4L>DkOMEJ+Z#1PE|=mN%Q3XIVC2516? z76xcWnxY99qwx(5jKCv;;9*|)fHHFYfd;x0b07og#i=ErF?@sg;>@a4L!@HGEY;H7 zB-zlwAk_#|rKH6tCncGr8JH!<8)7Yz3{eXkLwH8FL@-S(QB@hC@eR@V76`3I<`!sr z(fEewd~;OwW~f|4G`=aSv@sgr2#s%oaG9Zr37TFsz7djQV<dg%#;E!XktB^!rHs+| zhDJ!{AecrbhG_cG`39)+h6V`DAU0?c7WW(xe151bu_zvva3E<7G<$$At$`+0<5N-$ z5UL?lfAI!b6O#dho{w{Yr=d|ijAamSfSSNixrXMJXaXjd2Jj?kXpAOngvK{S<6EE^ zW?_La%*Y%~*2ol1zz|))2wee&0J;K8b2Jy2p$izJ3!w4M&<rp~6EHGG6EHME7eM2i zqM2xcE?|l#V2s8$LgO2v3z#56*#ylpOLPG<B*lg%XtKs=d_!~rBP89%XjYjUBb;Ff z;vkouh9>CB(fJ1G0v1N778seK2^gB92^gdC4ULdogld?fr6HPt8Jd7G8s88k0I%E8 zCCv>`jWaSu6EHME6EH^O8yX-x7R@vR1L!_&$f{!U$$nM_2I!GbD27asfgIrU8hV}s zOcJu|0LEir0BzR=i9wH7f=fWRk217F&m)6LK#!GyaT!3%VIVfag}{u2|DcsH3=AHh z!CVH=aX=su=<!JK^OW`385ltJLyw1nC<g7{hT4m6A51@tUcw5|4>B9BnSp`f0aQP9 zy8~Pb-Msm%kTaO!3ZRS?pCF+MU49C7Kj<hcn7z>BR2Zf(L+poYgHs#q(Om?Q0{Iof zf{;I0!1@c&Rm1czfUXmQs79RY2IGHb!R~&A&k*+)K+kUgg+IvcAPmwAbN_T!2Iz`l XkSGENT!6Sp0DAra$lahcg03F`9~@@A literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..f2b4752 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,572 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_2(char*, char *); +IKI_DLLESPEC extern void execute_3(char*, char *); +IKI_DLLESPEC extern void execute_4(char*, char *); +IKI_DLLESPEC extern void execute_5(char*, char *); +IKI_DLLESPEC extern void execute_6(char*, char *); +IKI_DLLESPEC extern void execute_7(char*, char *); +IKI_DLLESPEC extern void execute_8(char*, char *); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_31(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_2776(char*, char *); +IKI_DLLESPEC extern void execute_2777(char*, char *); +IKI_DLLESPEC extern void execute_2778(char*, char *); +IKI_DLLESPEC extern void execute_2779(char*, char *); +IKI_DLLESPEC extern void execute_2780(char*, char *); +IKI_DLLESPEC extern void execute_2781(char*, char *); +IKI_DLLESPEC extern void execute_2782(char*, char *); +IKI_DLLESPEC extern void execute_2783(char*, char *); +IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_1389(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_1390(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_1406(char*, char *); +IKI_DLLESPEC extern void execute_1407(char*, char *); +IKI_DLLESPEC extern void execute_1408(char*, char *); +IKI_DLLESPEC extern void execute_91(char*, char *); +IKI_DLLESPEC extern void execute_1434(char*, char *); +IKI_DLLESPEC extern void execute_1435(char*, char *); +IKI_DLLESPEC extern void execute_1436(char*, char *); +IKI_DLLESPEC extern void execute_1437(char*, char *); +IKI_DLLESPEC extern void execute_1438(char*, char *); +IKI_DLLESPEC extern void execute_1439(char*, char *); +IKI_DLLESPEC extern void execute_1440(char*, char *); +IKI_DLLESPEC extern void execute_1441(char*, char *); +IKI_DLLESPEC extern void execute_1433(char*, char *); +IKI_DLLESPEC extern void execute_94(char*, char *); +IKI_DLLESPEC extern void execute_1443(char*, char *); +IKI_DLLESPEC extern void execute_1444(char*, char *); +IKI_DLLESPEC extern void execute_1445(char*, char *); +IKI_DLLESPEC extern void execute_1446(char*, char *); +IKI_DLLESPEC extern void execute_1442(char*, char *); +IKI_DLLESPEC extern void execute_100(char*, char *); +IKI_DLLESPEC extern void execute_101(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_105(char*, char *); +IKI_DLLESPEC extern void execute_106(char*, char *); +IKI_DLLESPEC extern void execute_109(char*, char *); +IKI_DLLESPEC extern void execute_110(char*, char *); +IKI_DLLESPEC extern void execute_442(char*, char *); +IKI_DLLESPEC extern void execute_443(char*, char *); +IKI_DLLESPEC extern void execute_444(char*, char *); +IKI_DLLESPEC extern void execute_1705(char*, char *); +IKI_DLLESPEC extern void execute_1706(char*, char *); +IKI_DLLESPEC extern void execute_1707(char*, char *); +IKI_DLLESPEC extern void execute_1708(char*, char *); +IKI_DLLESPEC extern void execute_1725(char*, char *); +IKI_DLLESPEC extern void execute_1726(char*, char *); +IKI_DLLESPEC extern void execute_1727(char*, char *); +IKI_DLLESPEC extern void execute_1730(char*, char *); +IKI_DLLESPEC extern void execute_1731(char*, char *); +IKI_DLLESPEC extern void execute_1732(char*, char *); +IKI_DLLESPEC extern void execute_1733(char*, char *); +IKI_DLLESPEC extern void execute_483(char*, char *); +IKI_DLLESPEC extern void execute_491(char*, char *); +IKI_DLLESPEC extern void execute_1062(char*, char *); +IKI_DLLESPEC extern void execute_2412(char*, char *); +IKI_DLLESPEC extern void execute_2413(char*, char *); +IKI_DLLESPEC extern void execute_2411(char*, char *); +IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_80(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_81(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_82(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_89(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_90(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_91(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_92(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_93(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_94(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_95(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_96(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_97(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_98(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_99(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_100(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_101(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_102(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_117(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_118(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_119(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_120(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_121(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_122(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_123(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_124(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_125(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_126(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_127(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_128(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_129(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_130(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_131(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_132(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_133(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_134(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_152(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_153(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_154(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_155(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_156(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_157(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_158(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_159(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_160(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_161(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_162(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_163(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_164(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_165(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_166(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_167(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_168(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_169(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_170(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_171(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_172(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_173(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_174(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_175(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_176(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_178(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_179(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_180(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_181(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_182(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_184(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_185(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_186(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_187(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_188(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_190(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_191(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_213(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_214(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_238(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_239(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_240(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_241(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_242(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_243(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_244(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_245(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_247(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_248(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_249(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_250(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_251(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_252(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_253(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_258(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_286(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_287(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_292(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_293(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_297(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_299(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_300(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_301(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_304(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_305(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_307(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_308(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_310(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_312(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_314(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_316(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_317(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_318(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_319(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_322(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_337(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_338(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_339(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_340(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_341(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_342(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_343(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_344(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_345(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_346(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_347(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_348(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_349(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_351(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_352(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_354(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_355(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_362(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1030(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1036(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1042(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1122(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1128(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1226(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1232(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1238(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1244(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1250(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1256(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1262(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1268(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1274(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1280(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1286(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1292(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1298(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1304(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1310(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1316(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1322(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1328(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1334(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1340(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1346(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1352(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1358(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1364(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1370(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1376(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1382(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1388(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1394(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1406(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1412(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1418(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1424(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1430(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1436(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1442(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1448(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1454(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1460(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1466(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1472(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1478(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1484(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1490(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1496(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1502(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1508(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1514(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1520(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1526(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1532(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1538(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1544(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1550(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1556(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1562(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1568(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1580(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1586(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1592(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1598(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1604(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1610(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1616(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1622(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1628(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1634(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1640(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1646(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1652(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1658(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1664(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1670(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1676(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1682(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1688(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1694(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1700(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1706(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1712(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1718(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1724(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1730(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1736(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1742(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1748(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1754(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1760(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1766(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1772(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1778(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1784(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1790(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1796(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1826(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1832(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1838(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1844(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1850(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1856(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1862(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1868(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1874(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1880(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1886(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1904(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1910(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1916(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1922(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1928(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1934(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1940(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1946(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1952(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1958(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1964(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1970(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1976(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1982(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1988(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1994(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2000(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2006(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2012(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2500(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2548(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2554(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2560(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2574(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2580(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2586(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2592(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2598(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2604(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2620(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2626(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2632(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2638(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2654(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[439] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_2776, (funcp)execute_2777, (funcp)execute_2778, (funcp)execute_2779, (funcp)execute_2780, (funcp)execute_2781, (funcp)execute_2782, (funcp)execute_2783, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1389, (funcp)execute_40, (funcp)execute_1390, (funcp)execute_72, (funcp)execute_1406, (funcp)execute_1407, (funcp)execute_1408, (funcp)execute_91, (funcp)execute_1434, (funcp)execute_1435, (funcp)execute_1436, (funcp)execute_1437, (funcp)execute_1438, (funcp)execute_1439, (funcp)execute_1440, (funcp)execute_1441, (funcp)execute_1433, (funcp)execute_94, (funcp)execute_1443, (funcp)execute_1444, (funcp)execute_1445, (funcp)execute_1446, (funcp)execute_1442, (funcp)execute_100, (funcp)execute_101, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_105, (funcp)execute_106, (funcp)execute_109, (funcp)execute_110, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_1705, (funcp)execute_1706, (funcp)execute_1707, (funcp)execute_1708, (funcp)execute_1725, (funcp)execute_1726, (funcp)execute_1727, (funcp)execute_1730, (funcp)execute_1731, (funcp)execute_1732, (funcp)execute_1733, (funcp)execute_483, (funcp)execute_491, (funcp)execute_1062, (funcp)execute_2412, (funcp)execute_2413, (funcp)execute_2411, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_72, (funcp)transaction_75, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_80, (funcp)transaction_81, (funcp)transaction_82, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_89, (funcp)transaction_90, (funcp)transaction_91, (funcp)transaction_92, (funcp)transaction_93, (funcp)transaction_94, (funcp)transaction_95, (funcp)transaction_96, (funcp)transaction_97, (funcp)transaction_98, (funcp)transaction_99, (funcp)transaction_100, (funcp)transaction_101, (funcp)transaction_102, (funcp)transaction_103, (funcp)transaction_116, (funcp)transaction_117, (funcp)transaction_118, (funcp)transaction_119, (funcp)transaction_120, (funcp)transaction_121, (funcp)transaction_122, (funcp)transaction_123, (funcp)transaction_124, (funcp)transaction_125, (funcp)transaction_126, (funcp)transaction_127, (funcp)transaction_128, (funcp)transaction_129, (funcp)transaction_130, (funcp)transaction_131, (funcp)transaction_132, (funcp)transaction_133, (funcp)transaction_134, (funcp)transaction_152, (funcp)transaction_153, (funcp)transaction_154, (funcp)transaction_155, (funcp)transaction_156, (funcp)transaction_157, (funcp)transaction_158, (funcp)transaction_159, (funcp)transaction_160, (funcp)transaction_161, (funcp)transaction_162, (funcp)transaction_163, (funcp)transaction_164, (funcp)transaction_165, (funcp)transaction_166, (funcp)transaction_167, (funcp)transaction_168, (funcp)transaction_169, (funcp)transaction_170, (funcp)transaction_171, (funcp)transaction_172, (funcp)transaction_173, (funcp)transaction_174, (funcp)transaction_175, (funcp)transaction_176, (funcp)transaction_177, (funcp)transaction_178, (funcp)transaction_179, (funcp)transaction_180, (funcp)transaction_181, (funcp)transaction_182, (funcp)transaction_183, (funcp)transaction_184, (funcp)transaction_185, (funcp)transaction_186, (funcp)transaction_187, (funcp)transaction_188, (funcp)transaction_189, (funcp)transaction_190, (funcp)transaction_191, (funcp)transaction_192, (funcp)transaction_212, (funcp)transaction_213, (funcp)transaction_214, (funcp)transaction_238, (funcp)transaction_239, (funcp)transaction_240, (funcp)transaction_241, (funcp)transaction_242, (funcp)transaction_243, (funcp)transaction_244, (funcp)transaction_245, (funcp)transaction_247, (funcp)transaction_248, (funcp)transaction_249, (funcp)transaction_250, (funcp)transaction_251, (funcp)transaction_252, (funcp)transaction_253, (funcp)transaction_258, (funcp)transaction_264, (funcp)transaction_275, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_286, (funcp)transaction_287, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_292, (funcp)transaction_293, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_296, (funcp)transaction_297, (funcp)transaction_298, (funcp)transaction_299, (funcp)transaction_300, (funcp)transaction_301, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_304, (funcp)transaction_305, (funcp)transaction_306, (funcp)transaction_307, (funcp)transaction_308, (funcp)transaction_309, (funcp)transaction_310, (funcp)transaction_311, (funcp)transaction_312, (funcp)transaction_313, (funcp)transaction_314, (funcp)transaction_315, (funcp)transaction_316, (funcp)transaction_317, (funcp)transaction_318, (funcp)transaction_319, (funcp)transaction_320, (funcp)transaction_321, (funcp)transaction_322, (funcp)transaction_323, (funcp)transaction_337, (funcp)transaction_338, (funcp)transaction_339, (funcp)transaction_340, (funcp)transaction_341, (funcp)transaction_342, (funcp)transaction_343, (funcp)transaction_344, (funcp)transaction_345, (funcp)transaction_346, (funcp)transaction_347, (funcp)transaction_348, (funcp)transaction_349, (funcp)transaction_350, (funcp)transaction_351, (funcp)transaction_352, (funcp)transaction_354, (funcp)transaction_355, (funcp)transaction_362, (funcp)transaction_1030, (funcp)transaction_1036, (funcp)transaction_1042, (funcp)transaction_1048, (funcp)transaction_1054, (funcp)transaction_1116, (funcp)transaction_1122, (funcp)transaction_1128, (funcp)transaction_1226, (funcp)transaction_1232, (funcp)transaction_1238, (funcp)transaction_1244, (funcp)transaction_1250, (funcp)transaction_1256, (funcp)transaction_1262, (funcp)transaction_1268, (funcp)transaction_1274, (funcp)transaction_1280, (funcp)transaction_1286, (funcp)transaction_1292, (funcp)transaction_1298, (funcp)transaction_1304, (funcp)transaction_1310, (funcp)transaction_1316, (funcp)transaction_1322, (funcp)transaction_1328, (funcp)transaction_1334, (funcp)transaction_1340, (funcp)transaction_1346, (funcp)transaction_1352, (funcp)transaction_1358, (funcp)transaction_1364, (funcp)transaction_1370, (funcp)transaction_1376, (funcp)transaction_1382, (funcp)transaction_1388, (funcp)transaction_1394, (funcp)transaction_1400, (funcp)transaction_1406, (funcp)transaction_1412, (funcp)transaction_1418, (funcp)transaction_1424, (funcp)transaction_1430, (funcp)transaction_1436, (funcp)transaction_1442, (funcp)transaction_1448, (funcp)transaction_1454, (funcp)transaction_1460, (funcp)transaction_1466, (funcp)transaction_1472, (funcp)transaction_1478, (funcp)transaction_1484, (funcp)transaction_1490, (funcp)transaction_1496, (funcp)transaction_1502, (funcp)transaction_1508, (funcp)transaction_1514, (funcp)transaction_1520, (funcp)transaction_1526, (funcp)transaction_1532, (funcp)transaction_1538, (funcp)transaction_1544, (funcp)transaction_1550, (funcp)transaction_1556, (funcp)transaction_1562, (funcp)transaction_1568, (funcp)transaction_1574, (funcp)transaction_1580, (funcp)transaction_1586, (funcp)transaction_1592, (funcp)transaction_1598, (funcp)transaction_1604, (funcp)transaction_1610, (funcp)transaction_1616, (funcp)transaction_1622, (funcp)transaction_1628, (funcp)transaction_1634, (funcp)transaction_1640, (funcp)transaction_1646, (funcp)transaction_1652, (funcp)transaction_1658, (funcp)transaction_1664, (funcp)transaction_1670, (funcp)transaction_1676, (funcp)transaction_1682, (funcp)transaction_1688, (funcp)transaction_1694, (funcp)transaction_1700, (funcp)transaction_1706, (funcp)transaction_1712, (funcp)transaction_1718, (funcp)transaction_1724, (funcp)transaction_1730, (funcp)transaction_1736, (funcp)transaction_1742, (funcp)transaction_1748, (funcp)transaction_1754, (funcp)transaction_1760, (funcp)transaction_1766, (funcp)transaction_1772, (funcp)transaction_1778, (funcp)transaction_1784, (funcp)transaction_1790, (funcp)transaction_1796, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1826, (funcp)transaction_1832, (funcp)transaction_1838, (funcp)transaction_1844, (funcp)transaction_1850, (funcp)transaction_1856, (funcp)transaction_1862, (funcp)transaction_1868, (funcp)transaction_1874, (funcp)transaction_1880, (funcp)transaction_1886, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1904, (funcp)transaction_1910, (funcp)transaction_1916, (funcp)transaction_1922, (funcp)transaction_1928, (funcp)transaction_1934, (funcp)transaction_1940, (funcp)transaction_1946, (funcp)transaction_1952, (funcp)transaction_1958, (funcp)transaction_1964, (funcp)transaction_1970, (funcp)transaction_1976, (funcp)transaction_1982, (funcp)transaction_1988, (funcp)transaction_1994, (funcp)transaction_2000, (funcp)transaction_2006, (funcp)transaction_2012, (funcp)transaction_2500, (funcp)transaction_2548, (funcp)transaction_2554, (funcp)transaction_2560, (funcp)transaction_2574, (funcp)transaction_2580, (funcp)transaction_2586, (funcp)transaction_2592, (funcp)transaction_2598, (funcp)transaction_2604, (funcp)transaction_2620, (funcp)transaction_2626, (funcp)transaction_2632, (funcp)transaction_2638, (funcp)transaction_2654}; +const int NumRelocateId= 439; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 439); + iki_vhdl_file_variable_register(dp + 561640); + iki_vhdl_file_variable_register(dp + 561696); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 566968, dp + 571112, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567024, dp + 572064, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567080, dp + 571616, 0, 7, 0, 7, 8, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568344, dp + 571728, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568400, dp + 571392, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568456, dp + 571280, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568512, dp + 571504, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568568, dp + 571840, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568624, dp + 571952, 0, 0, 0, 0, 1, 1); + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..86bf929c9b2fd3364d69c7656a92eb3a93adc147 GIT binary patch literal 36368 zcmb<-^>JfjWMqH=Mg}_u1P><4z%Zc`!FB*M9T<cd1Q|Z_%l!)W=={BNJ0k;wN4F?Q z%A?m5M7;nJ9=*F?OyppIu@xpk+0lnVIz5`-aG<DeKEeT(02%4gyZyyB4hE0j{X3R% zFk~@iF*@!98R@tKM1q;SKrE=)n-}Z?Dc=2o2*u4u6g+x2KiC1*ps^fmI)~#<b_NCp zsOcakis=D6!HOl;fED9)3dD2<i0J`DXz1NMVLRCL4k8poOfP_#et-xKy_*j}-2Q_I z#SqgCAVF!cmKe_pK;jY-Wk@mKc^@1z;MfAQAnHPcL9yQX+oSU<C=MIIp$ts~9=*1R z80~xqH33BwNd>~>(BLeF0OZu+(aj4o2$mqb!OlW4r}>D&{uBlVNXkT*<k5VDBRcjl zLq&0Bu3k!Jk$y>1d|GBvXkKPXd{SygVwpZzrYJQhKN(~Ln1@?kaal=aK`H|SA@h=p z%8FA;a|;Nm%T3K?$Slsx&CO-VEe6>S%61@n6px0$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-R~z(GYNVcD7Q`2u&)@D=AelG}bfLGtf0F1#^w+j7;<lOf;dwU=Ok|Ffa%( zFfgz(Ffh3Lg)%TPFdP7hF@t3p7(}2nV^t6XV}$^tG!Hw+1V#o12?hoR8K|5Uh<4=@ zXl5$m<6wa3u>grNFfd4hXb(Pt06q?e7zPH001%&nfnhUfun4Rtm`}oqPa&I6!->xz z9;A?DZaklWBgkTqn>Ikr*8tIA^OHbQ3?>W=3}--M3=9k_L9{EMKpT@IpF}gW3!eg$ zKc9vppMevfg(IIsA)i77pM)dSP>@<I8035whL8WTNHH*D842S8D+Glym;opF!7Mf~ ziA+Gkos9uBo`ft84o?PF2C)B-B;>$sR(SY=xeN>p%3ua7Lj{;d5MB%n3_?im1jiu* z1K3<pdO)azu<RHZ7z7zWu?ykv0FRR}Ft|a}4@49}2ICMfhuRCX58@vN2GF1cHhUYP z>Otm#Ob4eM1_p)+ILrsdG00zROd!<||A1Imaj5@^L!6%x5<YrRbHHf^l(rcm`2}Pq zGS<K$?u$b_2Zwks4)LWp#6jaY*uw1`4)u>1LGg%4RiL~M^2Y}p>VM%7XJNt~4tzMo zC2)u<;SkrsA#R34+yRHUHxBVI9O8*M#B*?nm*Ws`!Xe&^Lwp7f@x?gA*WnP~i9`Gd z4)OCi#Bbpce~Lr=6AtnJIK+9Fv8NwN9O7y?#Eo%?JKzxa#Ub8^L%a`%_)Hw)%W#Nq z#38;9hxln6;x}-JKgJ>c0f+cs9O7Io*yBYUhqww3aYG#9b~wbnafnCY5KqP-UVuZq z7KeBj4)N(Y#FyX@-+)7W4-WCSIK+SA5NBn@9xsA8#AR`aYvK?$#Ubv9L);ICcr*_2 z3>@O6IK-Q9i1*_VpMyhuB@XdzIK&U*5Wj#!{0<KB7dXVf;t*$I!ydo<IK*Xeh+E<i z_rM_@jzc^Rhj=Lt@kuzu7vd1#fJ1yg4)Jq1#P8w|e}hB(Hx6+wcI@FVi9=iihqyTo zaW@>|p*X}-aEKS<5O2aEJ`sob0vzJ&aft83A$}Hz_#GVLuW^X~!XeJdfjwR%aEPnp z5I4gi?utV^1c!Js4)G!!;*B`OC*TmDk3)PN4)MJ>#LwUmzl}ru6%O&AIK(+PvB!%z z4skUc;-)ynU2%wq;t)^8Azq3@ycLJ|R2<?<afollA$}Bx_*ER@PjQHU#Uakhg+2U5 zafqwp5I4mk?utV^6o+^!4)IbP;;lHur{WM_ibH%W4)LQn#INEIe~Lr=D-Lm1ZXDr{ zLtGVyxG4^CR~+J@IK)$Nh?n9JZ^a=#6^Hmz9O7GXh#$oveieuKQyk)7afq|>;0S*l z;;J~rO>v03;t&tTA)bmuycCCcD-Q9gIK-FY5Z{VJ{3s6bt2o4;;t>CeL!6ZtNBH9q zSH&T2ibLELhj=Is@l+h*r8vY}afnaFA-)uc_*NX^M{$T>#UcI_hxk_<;;ei)!XJmY zDh_c|9OAAx#6xk2r{WMV#Ub8`LwqU@@ufJ#x8e{#ibMP=4)Lcr#J}PYXXVEc{y4-{ zafqAZ5O>8P9*RRe6^D2!4)InT;!|;mFU29g6^Hmy9O74Th(E<4{uPHfs{oGh$04qY zL);XHxGN6vP#of^IK)eFh_~VppNd0#DGu?iIK+?Q5Wk8;{3#CcuQ<e61#yHw4slf+ z;-)ynU2%wq;t)^8Azq3@ycLJ|R2<?<afollA$}Bx_*ER@PjQHU#Uairgd_ZMh^yid zH^m|DibFgUhj=Ou@lqV(tvJM|;t*eoLwp+!@#8qeZ{QGri9`Gs4smW_?BOqiLtGb! zxE&60e;ncoIK&Hah&SO7pNvC%2@dhCIK+?P5WkK?`~?p2pE$(1M6idmG!Ahc9OAY( z#QkuH$Kwz$z#-m<Lwphr@x?gAx8M*zibMPw4)NzW#DCxr=M=>r&QdtUwQ-2s;1Ku4 zAs&ZAJRgU60}k<tIK&s>5Z{bL{0I*5t2o4;;Sm3hL!3hldpJwt5ZA&XZjD3S2Zwkp z4)Hu3;`KPhC*TlYh(ml64)Mb{#IN8Ge~Lr=8xC=HaqQtNfkRvqhqx6Eac>;rF*w9? zafsL95bwt!z5s{#MjYaYaEM>VA^rr1_*Wd_Y!cYRSsaJB1`csc9O7O$#G`SD=im^p z#Ub8@Lwr6C@eMe{58@ENghTu>4)HHI#91Y=hqD+CadjNx7C6K`afnCZ5YNUTUV}rt z7l-&f9OCP7h#$Zqei4WGBOKzNafq`>VGn0f9O7y?#LaPtd*Bd{#37!AL%bS?cn=Qo zxj4kv;Sk@CL;L~`@rO9XKj9E(mc|~=A~?iVafqAY5O>ER9)UwV6Nh*e4)Jar;&X6_ zuf-w04~O`99O4gfh=0T(&Lo39oP}|StKbkf#Ubv7Lp&UZcm@vfN*v-{IK*e;5MP5s zd@m01b2!BB;}8dLw2e2^OJ>mXaW()Eh9JTSL>Pkz6A)p_5by5e@8swc@9*Xo>>3gu z;^^e#8qbhcnwK1sn8c8oof!|_3Y=Jy3g(n$q~ye>W#**Dmn9ZuCMM;i#uufgXBL;F z7J=o9Q}c>5OERlc8On<i3kp(;;z35m8-OLta`MyTi<2`_Q%ZAE<4cMX^NJIbOEUBG z;)^rW^AdC7(-MnI;=xvwCFYc-#+PK~rp6mE6ldm^=D=J4*I!VSpPX7;oLU^8SOQU5 zm0FY!wkWkCHMz7TH6E^nAvZBI4=k0DnphB@nU`6TnV17|Cdh}ysU`8Cea<PFMe#ZL z$%$YmWv0a!rRIYCkOI~SQdC?P58Cbw34nscl8pGg#9T<gfTTg2oZ~^eoG}y>XXZK; zWu~O3#;2#2gr_8h7iGdiEV(E(u_QG<B^49~aGQ#f<4cM%6LaE|^GoweAi~g4fGPDW z%?$$W(oQT%^-N)adD#fgGKRBE;4D)(%M8vkhqEl;EK4}c&;Y?Sgfoqhm<St<5LOx? z>@-4HV1%&22w{aW!U|)AxyA@{jS=P=Bg{2Mc*e-w9N`}$b8{pc&CQYQG&e`sYGiJK zu-C}k0%5a}xdp;*BXbL525`bn&d)0@i7(11h9#?bSeP3?5^i2TC@;k4<;N$cr^AEF z(AWZDsR_bLLt{$>#~fjyp@{*)FNP)t2)`Jb7$E#&iEyu>2~wySnjnRXp@}iFS;oj_ z86(UxG%-dv(a;3hktQZ6_8=m{5)l!GCMF1RLlYAe8AK!*nwTIv#staB28fU_G%$n& zcX4KJK@L0%6B*V9NY)_-qXCltkW#b>k|U5kf#L^qWJAr7O*Kc39CM_|F*G+qa)`MR zlE2N7vV);HQqngxM@syL=19rk&>SfPm{=gf+5|Zf7?>df)X2mTg@e#yWMT*nUvTjY zE}T=#Qu9iZ6LWHs5|gtTz~azi+1Lb?Yl_M>L*-g9fQxRh0R#*-F+kC3Vu;E$LggBx za#0;+g6b#}R7aUuqUbe6wbvBYUQ<+iO;PPNMYY!y)m~Fndri$z?X^JVqPofq)m3Jw zt};h;l{u=t=BO5#qgrH+YLNx1MHZ+QS)f{Ef$Dt=RPS4$I>Q3h`xdCKvOsl}1*)qo zQC($;>MBcAS6QOE$`aL8mZ+|>M0J%Vs;ewfU1f>tDnkQQXMqYPaMFh64MPJ|hZ!26 zy3EiJ)pA2aRND;=QLQ&LM6=%r&3+@)a4|GOv)>5Kek0VNF*HIA8$%;B_ZgwN&j`(Z zMriIcMsuGrn){5=+-HpDKD5Hc5H0r^qGdlrwESm?mH`dXa-bnv7Bn<P^N%T-f6#KF zAzC&xG(+=`8Jd60(8AjcExgUp!rKfjyv@+uXNKlJbF}a_M+<MXa>CFY&3)!*?n5g` z49(HphgPx}q7^NMXl08bTH#`dR=OCX6)%Qp<%=O&0b__(!Wg0zF@|Vmj3HVfV~AGD z7@`$3hG^xCAzDFWWQZEyMuw>2X=I2Ro<_!~@n>X=8h=J;b)FGgrDtS<W}gX~eI{u3 zp;dfFCaCGj$OO$jXjP#RT3u*_Rv8+h<wqm5{Ah%hpN!DbzY$vcGD1sFMri5D2rWGs zp`|AywDe?zmY$5z(vuNddNM*wPey3z$p|ey8KI>oBee8ngqEI+(9)9;dU`^uxs1@N zE+e$M%LuLVGD54pjL@ntBeeR<7_I&?Hb5;ejM3^ZW3>9q*Z?)X85^LMXT}Do<%O{U zYWg!aKuv$f2B`VL*bucmGd4tXA6ou1M$3Q3X!+0B5Y2sP^{+8n{cDU?{~Dv!zs6|w zuQ6KvYm8R^8l%;}#>QyjfmS{kqm>WFXyt=3TKQm%Rz4V`l@G>f<%2O=`CyFJGB!pl zFO1R33uCnM!WgZ*Fh(mcjM2&qW3>9(7_GiGMz2o|&>Iv6#;7UG&;Tt63=PoSY-oUH zsUc=73@zFW4Kdm|7!4!zmJE7PZ)k)T_J-(%tDzBk>%<7%`$p*XzY%(cjb0)eq8DL? z=w*%}dLdzmo~sSfv!S6eTD~zfMh`J#^bj*fZ_1&kWkdADXNaC!42{vM6GLOP`oz!} zy#Zv5-eNIEs}c;2(aLZ`W3)oo&;+fN1dqO;H1k1SX*2=Ug3Ztby`^n}o{~YsFsNpr zr(_fKlx%{Yl1<RtrzYqPN)z-Jpb1(PYiNR2CmNcdRbqxFXtj=^DOxpQXo^;@8=9gO z#D=D5C8wb&dX6$h&rzmmg`=S<dX6$hD}D@3(Q}k3dX6$h&rzo6Im#5RjbUht)+jJE zMXSXPP0^}dLo>8`($Ea8Vly;D&rxRRIm!$@N137LC^NL$!O#q?I5#vyD}N2m&~ua- zdX6$f&rxRRIm!$@N137LC^PgNWsaVsKtpCIiQgQpW;ZlPtBMWH(Q_1NpbXUv^t!<u zJx7_N=O}aZ9A%E4qs-BBlsS5i0*xl4x&u8&nWN_@bMzc#fu5r*&~uapdXBO{&rufW zIm!Y(M_HifC=2u)Wr3cfEYNe51$vIMK+jPY=sC&)Jx5uf=O_#G9A$x?qb$*LlqGtO zvP92OmgqUk5<N#*qUR_}^c-c0o}(<$bCe}|j<Q70QI_aA$`U<CS)%7COY|INiI$^` z4A63vkpWuM+sFVdM;V!-YcWO34MwJDxxvU3EjJjUmzGB8rKJ&iX=#LBS{k93mPY8M zrI8t0(l#<fOWH<eXi3}13@vFJnVFh^&xQh>52MAvz`)JG!0_ik1c-wK85lt4MKOTR zih_y5&V&M;E5n1N9(G<4NE~!@5KKMjTqclF=;E#*K`iEj&Mg8-fz*S}PJ)@!0up3k zU;v2=BH6nUhxj8L;-GVXKvL-DIDig(!eV|d4)J+7#82Z8|ARwZ5PJR)h(Zo$F^~Y% zJ;F%-l|vE-oeKmD9}OgN(AiQjaWAMihywW;CLRb9fQCQl)GC-b=-eDw_=q9dn*dc0 zG6R%0VCvJM;vfoi$`wpJ2P6P>Kj_>pn0PT%97KUmDT0YtfCQlGL1#w6#6jomz}zE+ z<ephj^`LYsge1NYNt_Q!d<Bv?CzAMjs5poMoqh$gcMC`W>K<t%^@oteL3shD{tS}1 zERuSV+d&u<{qi6N6oc#nF+t)AAO;kJ;uORLi7SE_Pz(w~5ECS>1Y$rjOfN_rauygw z0+t^^;wlg!FlhiL8NerlsY2Nx3U;0wNWB_J0E!`J`a{&KL&ZRp2Z&&Rh{Nmx3Bk^P z1DT@<5`f|eC=C(^#Tk^#kN{<X#I>Pp5S0NUpyum9*&wO_L_o!Lp==NZJO2!1jvhz= ziW{IbNL(Mv22mX#0%{H{Oh7`QbH_k5NWCG50mU<*G)UYC$_7yjKm^nrV<;O$tpE{F zaab7$5`vvy2QmkI_&i9Efq?;h(mYhX8B`2J9RLwfadRjeM4bQ;P;pq?frKuA2&lLv zlntV8fC#8K>})oW&;t+w6}N`6K@|94eyF$&NC1jIKxvTs!DsSA`9GjENE~#Q9Fz-5 zAW(Dc;ered93X{IaabAyNedu}JAwqDSOQ7h2`UDn6p+N7K>|<=I<F1H1WCJq7*K2g z5`fwZtAjvN7D(ctGw(oRAPlP)K+^6Y0VwtW2|&$(<pq#b0Ft;TNC1i>ki=ni97rkw zN!%MG0L2+d;yzF@5LJLA?h6ut;tC{jKd2apYCsbA2MIuN2a-4}&w`{tXFq~ykaQr3 z0mU;w0#N@3LB&AS0wi(R8Gaz~6-eSCAOR@efFvFY6$4Q_ki^440#JMaNjw}X2BJ<N ziNnekkoW~8@ko#W6yHD+kAjMUs0T>m(I5dRet{$oI@b~^%m6w^55xvZ$ATD8`~xHa z4WBru7?^_A3n1xuumFVMKoW<Qfgl+HB=JO$02G7H*8?#@<|Kg_P^^HY9(?fxR2<eG z0I3IEX8{#vFhEidx_<&DZh<781`}jpU;v%F2jYUvNe3~Y*aJyDtgHq}1t5uMf&`#A z0!cgzDh8rp?Fx|j*&qQZ&OlP10~G^N1xVt#AOR?bwI4v{<Uz$iR0ERwe2@SXcOZ$w z>J*UF1SIi7kN^~e&hG;;LFN~M7*M<bNj>O{OQ<jdti1tJUjh?kU|`sQq`nkMd<T+v z8It$`ByrH$nlPyoNaC=%4<vU1NxTvy0L3?u#H*lUAnE~<cr{1>ieDg!*FeQU)CVN- zT95z~|3DJ2gNlJEP@@)_FY3Vp5P}0q99BnzWCW1JL1%-4#6VaANxTUp0L2PO;>}Po z5T$`64(mIB#0`+dTR{R)Y=I=+1{DKQpmPR6G$<b1K@2GNKvEBDOMs*Tki?O$Mq-FS z67K>jgyIAw@ouOXh{`|`?*R!waRHKeFH{UfRUnD?fdrtq0ZF_cDh8rDki=na9+3D1 zB=LzL0Vtk<Bt8i$2BH=qiBARzK=BGB@hMO-5VZkGd@4u)igzH1PlJkqr~^pi(?J4I zd;&=v);0r4T|g3_2@-%}(7B2rCMX_fff!Ky07?C9s2GTPfh0Z$Bml*r^A<r&kU4Wf z3@HAAq<$V$3`~JKOwjZ{A1nYNIFQ6)ZAg%e0FwAZkN^}*Ac-%6ih(EvB=N-{0Vvi$ z5?=xp15pM@;!8mSP;7xDz6>e`q8yOKmxBbL*aJx%*470{1t5vT&eR2ogU)#b(V%c! z1!6#P0+RaGP%#jdfh4{LBml*r^B+M>kU48X3@ENZQojx=2BI2}#Mgraptu7`9M&cW zNlic!hm9?O#AhIhZvqKG@d70A%}_BAwE{_e3rGNpLFY(<m>~CW1u>v_2a@`2P%#h% zKCBy>ezt=Ip!fumdRX59By|Bv9CVEqNDPE;Ac=!-)&dDKFfcqo65kCK15q!K#P@&% zp!frl_+F?Oi28vfz7He-!=S+hsQdTB#26SDIFQ6)eH@Uq0FwAYkN^}*Ac-G>ih(Ev zB=N%_0Vvi$5<dbJ15pM@;zvOOP;7xDehexGq8yOKkAnoD*aJx%))xaw1t5u^1PMTK z1d{kEs2GS!KoUO<5`bdRd72<5D4m=EF`&2rN&Q)<7>KGs5<dqLfZ_%u@$*nI5Y>Ss z4(l_5#3vw$Ujzw2@eCyKOHeTowE#){GDrZ5S0IUBfr^2s4M^gkGrK`zAiM)f{2E9A ziVq-(Ux$i;s1r!yu)Zxw9CVH+hz5n*O%MZ$Zy>3^1r-BP50J!fg9M-$biOBu2{Pvn zhylePkksFWih-yfNaFWE0x%336oaP!`!F$3`bQFn^}#{X0!ZQyK>|=Lfg}za+XP7| zAc;Q)2|%$1lK2y-7>F`J5`PL3fMN?I@n=vm5aoa*{v0F##h~*>K}=Biz{Uzd`~W2N zFF^uO9DyYM3MvMo5|G4Sg9M;B14;Z1R18EFAc?;P2|#fLlK4BQ7>H^>5`Pa8fZ`4$ zaoCs!NNNI-_(zZc6wg2s{{$5SQ45g7KZ69Icm<O97pNGB0-cKrqCw&I6~utz9Z2fG zLB&AS0VMJ7AOR>ofg}zadjUyZKoSR?eGd`?;TuTezd!;|`~XS(H&hHny+9KG0}_DZ z4@lyFp<*EF2a@<dkN^yW26>_B|36F&l>U*#!Gn=dIRPYbr0Yi+B#^|JK!FEUuYe@Z zj3f>^zZIkeB*X$DKpX}J1_LDZtVrS(NaAcr;tojS>`3AsNaC=uERYoeNaCDG>LZZE zxsb#Yki@x>#50h@d62{lki>bB#4C`*`H;jLki=ngA0T&jAc@1q+(6<Jki-R%%$b2C zE`%fwI%gK74<sZEB0wAl28I<#>P3*mHz0|NB8l%n5*I@fKY%1IjwF5pNgOsd2(tPD zlDH(2`Wr~%Qb^(tki?~t#9ttZ%OHt=KoXZl690iDE{7xzyHW!rBo87$js&HD=mY>r z2sVZZk`h1?R|H9d1Q{3@K<D9tm>?k~5CP&aFfb?}saHl4*FX|iK@vAW5?4hMw?GnC zLlSpD5?4nO_dpVdjkSWD6o4eIiKIRPNn8s_JON2u8%aC^Nn8g>yZ}jD7fHMVNn8&} zya7pEA4$9eNgOsN401o{++Gk3QfdeyKpX}Jh8alejgZ6_Ac-3ziLXEsH$f8LfFy2; zB)$Vl+zd(l0Ft;llK2TEaoE^3$f*~Q#4VB3-#`+#LK1&~ByNo){sKwd21)z_lDI9B z_zxs;J0x)i&}195{I^FE2c0hraxX{-HpUL(3m~a?1WAGfLFpe!+zCls0ZH5$Nn8U- z+yzP807={xN!$WS+zm<G0ZH5)N!$ZT95xpKa%upQxF?eO2qbYYB=H0!ac?B?3?y+M zB=G_yanRi}AUi?00!iEtNqqy7xIdD32a-5!&H`l41SIi5B=s|p#DkE;7a)lTBZ;p- z5)VNV-+&|@iX^@RNjwZm`~Z@8IFk4YByrf>3dsEzki;XA)Zai7k3tfEfFvG`B>n<P zJO)Yp1Cn?wlK2lK@i-)L2FS%w;3iHyk~jyFIBX6G<bDAp@kAu`5=i1nNa6}e;>k$j z8c5<PNa6-a;;BgD7D(c0Na7Ai;^|1@9!TP_xhjzR1CYcsk<>>ZiDw~+Cm@MuBZ+4q ziG%J^0+|iM1xVtswHYAs3MBD7By$>&#PgBFJCMX-b7~-SCLoCyBB`H&BwmChz5q$Q z7)g8ul6VP{_y#2LQY7&mNaAHk;s=n#%aO!SAc@1~{y^@(fFxdtr2YnycomZP10?Zk zB=HwW;x$O(ACSark;H!>iPs^CgBKM+%l~>LaSqU8Bd9oRjuPa40VMH8B=r(V;!Q~6 z3P|G3Na7ku;w?zx21w$qNa7Yq;%!Lc4oKqdNa7wy;;^|?koyCW#5<AHM<9uJA&Dm- ziFYH3XCR69Ac+?siG%JE1KA0}6-eTJNa`Dq#QTxNJCMX-bG{&RCLoDVL{dKkNqiEL z_yQ#H$w=ZWki@4TiEls>pNb^D14(=ulK256@##q7Cy>NpbJHOAUqBL{iKPAplK3nn z@drravysGKAc@aG690fCJ{L*+2a-5!Z5YTs44_4F&~!c@Nj(RWIBX6bq+S3?d?Av0 z2_*4FNa6}e;){{QHIT%YAc-3wiG%K(1KA0}7D(dDkkmUMi7!VI_dpVd&Gm!K2|yBG ziKIRPNqiNOcmk66Y9#RtB=I#!;sr?JYmvk&ki^#^i8mmLuSXK^KoW<oNdUQj0+RSf zB=s|p#5W;{FF+E9-CYPWX9be@79{l>ki@qliSIxX--aZ907)El7a+)N5I%t<4qH0` z62E{Xz7xru8%W~2ki;J#iSI@de}N>v2TA+`lK5UE@gGRy`;f#LK#M`4>3=_xI0uqA zY>fxV{Q^kh2a(iEAc-GB5?4SHKa3=<fh2weN!$QQ{3w#R1(NtNByk5M@#9G19!TP_ zwJ0F>2Ox=`L{cAtBz_7>JON1@wssU`P6m?r86@=uNaAOa#4C`*L3cxf%mCpAB=Pe| z>N}9cVQXeU>L(zHUqn(r14;Z6lK287@ykf!E0DymAc=2462FQh4qL|vT1EiEAh&=p zZ0#9H41{58*I;XkKw=;aTYChXYXgaaFzlXC*c=B)41{6#elqA4SLT)^CNbz0mlQ$h z3>d2@H78N8B(<W1K`$k-B#}WcskoRyuP7hH0Vy}svq0q<!nh22V7*|4MX5Oqddc~@ zxv6<240?I_C8>JuexbU>C7@G7ApG>aQoVwr{DRb?l1ix7)QtGFBG4g8pg^Dk28Ayu zxS_+ea1&u`@2-Oa1uhC-wg(pht$_ti@xj!?Bwj!lO~KYNfYxk-?12skfbS6osRgYm z1&Kqa$w5M3ERHS!7R9t5v<4JpCc1KB^@G-Og6v0Ly9u%iwyp$u?jIx$vI|6m^nhrP zzd>wt{hOf<f;N9aO2HVU7Q}$jptW}(Hd-(<z}7c_!WN_+hSB+;HE1CHQ0rjI7+~uc zVE6UHBtY>EV}oeW+BuM!Ab)`PFzf+cl%@e)tP6@ikl#TVqz1O`7_=q~WIys+S&;k; z&|-WB1_n_4fcP*BQVU|kXwcd#7#k!G!$+X{VSE^kt`@X*2sFfs9)2gF`qBLhb3e>H z(Ap7@ndtgoK=p$==rF~g@mVA`hzVNrf-U?Cpo@QCYp_7!2P)!V_QS#to82IDUw{^` zgYGDX1|VqtH)tpUT|Y<+J?=sJe?S-8egG{-2MIti$UZ0&Oo6<KP5%q1`;ph0fHa`% F2LRYTm1Y0{ literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..0bb8c2603cdbe734e6cf7856a28b0a31fcc25ce1 GIT binary patch literal 51528 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|@oXkYr5|NsB*U|?Wa!NR~Wql|%J1_uMf1WyKr z0AEb}z>k4pMK%M&j$#O2P{P2_QOdy3PzJ#=3=9k)T*b)10Kys!n4pW1f#J9e0|O@q zL{650fdPc=AY29}1_lO3MhIqLU<8Z)|Ns9#hyj*kU|@jpL1G}x3L>Bw#Ab%FLFz&7 z0hyu*6$4S63=9k)>)99>7<d^N7(g^gts2N~kOBq<usZ}97#MV*Y(EAD29UXKNNPYz zL2dzIkbYwZ1_l-e1_pmTYD^dy7(i}}z@x?->ZU|IYOEL-7}yyY7_y-51Gxo+L2dzI zkiTr9{w%|z#+8AA0Tdohc+_|^Ffed2FfjDtQKQGez`)JGz%UDs8Vd#n1|9|mhShk~ zSVH4u4<0ojzw<FLFr3As#+reFfuDha;T|3}A`A=+0t^fc@1b!E3O`U-2s1D+fZPFM z2Qn})fYO01BP9MoYCsqi#-OwSQWMX>z#s&TcaU0;JP3o-fG{ZRK=BST*P0Px9!MU9 zLGmCBQe)4+zyNZS3m!FT3=9mS3=9l@c+{jbFffQQFfc^mQIo;Iz#z`Rz>tJTO(p{a zg9HNuLk=D_p!6rnz`#(3M@=>Z1A`O;149EIH8~6n4ARiN4GIrXSb@Svz}f=jOb`=} zLHP$1Z=kRT<y}xbgYus|G_FB;9+clf=72PT^7R5s3vp1M0I7%31`G@gpfm|G2PEcT zWg!k?t3&ey$ju-#Ko}$sG7DxGD6fOWL1G{pgkfqXSXqdJ+yjbpkT^aypuA2<4Jf^% zs{!SGkhvhcK=y+2Iw3WnyiQ0BD6bPz1Ip`!)PTa3kQz`PBcz5Hzk~8RA#*_a3Z~{S zD1I3s<sK;P0_-frLHSP`TF!yuU6&D2&zLYWFo4n{sO$ozI~WZz4}?KxfZ_tg2AM-B z-a%?Wc^#x4rVqr1nFESbkQz{42Z`fT1Ip`!)PV9jAvK`9PDl+XuM<)O%Ik#Gfbu#_ z4ajdG49YKB(E1Kkhl0dFYCz>YD8Dl_rx<|pCP*Bl78F;YdL5TqP<aef3#!9G^*t!h zfb@XG;pR4`>4VA&kQm5ZT>@?}1u2A<GoXBcY%a)bxVcqn`tC?-^$D082UQD710XX% zW<iC(?Hy3t0~`**_Fs~q5+Jpp@(7<=P#OX$2dM?6U7TtQ?&^c$7p4|ekKt4+Z2u)6 zq!3zHgTfl0+6pAKpnQa`7L?Y(e$(~SZ$wfH%CqQdLFG4C?d6mD-AHOd^&Prec$nQe zsXq-#EvQ1lsrL3s{e?(sLG>R_wG5~9*C43{)q|k)2uepFJs=wHhT9(cTaeU(${1v| zAax)N_M7_(22lG4q#P7)p!y7C9*7UZpnL(M!D@x=zZ?N^pm70eOXE{}21%_PG)+Q< z!08OchM9X6#DSU%s$21y`v^&`5*BmeezUL;|A3@c8K2t8C-wg!sa3(JcFAdd9;gFB zVWx^t?LTjQDI~R^_5qX&wha`%aQDWX(bt4Zg3JZ=X`o!VS`Y&qW|e33LG3#bAEZ_j ziyJ_4V6_$&;@(h$Kx$!SA4ndAL2dxiFtyQ0YGGv`NFIdI)q>h<AaRhnpt29D6(ocj z#}*dibx=u=T2Ofg<zlK8w*S%#l?17Um1kgu&~_V$4R)`v{g*jNYC-KRC>Nvz)eXY- zUsgjULFO9b3o~K+FT0S`g4$qEE>?5TK_x-vg6d=_7pvMwP)U$lP#F*9VpaPMDhX0+ zM!>yXOpsnING+(YP=MAQAR|EeA4G%0OwRs`2$EV*U5Bg|qz0~5*8Ynel3GytiL4e> zM#9y~*?-YQQVZ&%A*+Sei6HYCWbMD0fC?>8`v}@E2blwMCnz95=?q>sHK!QZAgP6w zCAieOAgKkFC7`f?*$raD&23N94?<E4aw|SJq#~&W)#D(uVCI6t5M(Y`Nqd@p8JGcS z_rc02boYXlG^ZH!fEf_Apn4pe8^B@KoMJE!Nv#JoJ)oNlQ@a{TttSDsyO7j+5m0*) zNiC=ikI%hVkktARF!w%^T3-TcVQB}H9%1zmdN_dG1!~K|(hf*1p|k@_4<NOmv;#5& zm$@&X?ggnOly-h1sRgASZ03TMG^ZG_f+_@P|A0{15k^uAtKZPw3o};{NiD2?!=+Xm zNiD2?!==^~NiD2?!==_1NiC@Fi!U64k<`NK08pMoE+1fNJsC+YtPa4Xwh*co)VIP` zZ-S)3<~|kIuK{tOV@cTRO^`HLZR;;RSegWx3rmwAw}LRJJOil(`IkZJmtH%F12q?0 zy$O;Ao6Eq!Fa^Ycs)h9#KxTq4%v`Wq?q7P#kkrD`4oDpc!_<P^!2U~b3zAw`_=41d zFib7jTm}Y)<49^@=@+C9gkfsI<}&@#yNaY1TOA9MhMD^U#DV$^mVQBIf-s7?zkcd{ zLQ;#ZJ_bp{%!Snfptu0#V^CTE<pYo#LHQM=7Q|){w*SHiO{^fbp!yhDEvOCysRf%W zZ2v_BNi8hTgWL+jDDK_)Q%?a&EokfoY#&G=v<(9{mw|!75J@d8Z$g!V+b|%tVEvna z>RBVH1&wQf#i5E}ZUDQNfq}sbNi8fNLzSYMyXvQ25RzKZI0rs+VPz^P%wT0IR&$s9 z)Qd+l7c_Q+&)gg&wV-i&<hTIY1quhS--PYI<RPgAl_kh(L2V$AT2P#W;<yM&tpjwd z8YBmD3#i@(sfC$ajieS<o}v2<q?e)Zr(O?|S|@0og{~HCE&~I@A|$oW&@p3>IUqNH z`qs#9*np(g1v-uoQw!=_Bda}tq!u*p1~Lz;8(hToFC(c1jVa?)TkW9#3`s4lU4qrz z>!0<0BB_P7OR%an{H(_V4G>U#!rCQR)&BgfCy%5S)-J)S_V8ytBP6x3b_rIsXFuz? zBB_P7OR%an`K%X#q!!jL!K&8mvtBlmTF^WW&hVZ3S+5RBEw*_acpT6EtTz!!Z2-P> zw)L~#5+t>tIR%_<aQdaU9Z78vK686M>zzVU8;nn_{V%<{NNPjysZIE-_W?<5C_c5N zpY@nQ9S&%H5r$8#|7SfhB(>rA)P{c6(?n8>ZN3a17g3+}Y>?DO;xpIfvz|Ya+9-T# zJwNLuA*qeVr?%vmUMZ5=7<_6Y{Pa7J)W+gdoBmjTHj-M<I3doolm1A59g<qmycABg z@elM5BB@Ql=LY9{`d5(DVw;zQ$A!sV{pUz(L1UFT-OzhU{}+<lWPEOLyP(et>exZk zSqeV2?kgA+kkqE)Q!98<-xx_PXuK4sdxMVayCJCsjlJVkd(}-p5=kv+3?8RiRd@Xy zB(<Qic${h%+3VLMsRfPM;#A9Kr#}fvEof{Wr`lM5{iR51L1Xkd)qe5Q-;Sg<7hm`u z^3y+wq&5$qT0TGh+em6bW6U_+u+LZjEt1*-eCBTO)dx@bL(@(nKD80P`of?_3sh|p zKD83Q`f5mOi}9(g_0hLPQd@#gt+bE650ctad}?oc>&GLh1<m#246_Dr{URi_<@n6C z@z!reQVSZx$7yb&mp*9S2&n!AwI3?+nOp0rzZ%KhDtu}edg$*%Qd^Brt%!&IMI^N~ z_|%?s*MEYfwich-zSsKSk<`}VQ=9u*p9?hT1Wh~j_|&d{r7we|wgI2o<*)Shk<^0b zNN~o5&MSQ<B(<P*9XQpVc&Q(Xq_!EK8$Q0!&p=Y!f=}(k7y8vmYFqKC{qbDC4@qqs zKD7^?>n}u7+m27|!RPv0kkoeIQ@ioG{&6I=o%qzAf2My6No^NCwd<bgzeQ3Dny<nc z7t^2VgBRIA(@qaQb0ePV3xhh$P_@1I)cQQrS3^<@TEm0W4HnPzEs@mr<1<&~nZ6H_ z+6nm7{(Gt)hop8QKDF<j>K7oXorF*ArKkE$NNOkJQ#=2u{uCs&Q}C&6e5$`1N$pg8 zYD=H$A3{<KnrFlrzFtrDZz8Fkj?Y}Rr}`g|)Xu=Cmhq`RJ7`b@njU82Q+w-)z8sQT z(0VPL?md4=-xNvhY<%Y4c&zV(q;?KIwSABElabWU#iv&Nv3?bj+IjfYK6s=*5lQWQ zd}{YT(qDn3b^$)MIgj-BA*lt;5916o$4B~Ckkl^1XYS&M`md1GF2<*}@1Z_;@f<Xc zm*7+T|AD?3s3L}{U5Zcb!w330NNShiQ@iAWz7vw#<@nUTxvw9Aq;>^9we#=m=OL+G ziBE07ef<_BwX5){6~3=O3rQ_#-676+dvi~J1Cm<Mygp8~*7x*}A*o%9&kbMi>fb?9 zyAGe)S$Fk6A*o%DPi_2NeGbro8Z?eK;8QDnS6>cE?M8fRYwzfrBB|YkPwmg!`aVc% zH{(;g`nG;Dl3LJO2%O;?eOtc@N$pmA=DxY5KM_goHhgN=+|plxq;@+#wbO6u??+M# zS|g3qy=k}fuOX@3iO*b-Yx-}I)b7Hk_ViVK7SKQ_G>&)UQ@i=9zBH0r(E1Ua?k&Em zZ-k_FFFtcQuj+dssojT9?XoNSaY$<S<5N5Rihe1Q+5`C1e!Z;UgQWH#KDDnd>n}!9 zdkCM}HJ9~wA*nr#Pwmpn`WKPZg4W#N4Bwv1`Y({w9>r(wrc3(&k<=c;r}q8@eKF9W zG&DUN$EP;tg1!!t+7tNHCY{%JLQ;DYpW5bg`VmNKPvKJ=epWvZN$qKTYU@wyw;-tn zty97ozTZyi&q7iQS_h6(?W<Gz8<5nV!{-K#6Z*%H)Skzu)_IEFBP6vK@Tpbz)&Ga2 z_98yD%)a_kpveSi9ACnx_LYym8Isz|_|)F_(GNmWdj+~z0XBaDTJHxR!xOgul7XZa zv<?i^1%{~wt@lG#TZg3f8rnP#XuTh@+Sy2IucN62t@lG#yAw(64K%f&HSox4uOq3w ziKZ5`HV|3uS0uH!(A0v~1|q8!1_cZ>E^ec#1+5K4R%?i)_70j_(Aq#`wf;zI@1m&% ztqnw0n}ehlw4VVvE<kJMk=1r0slAV8E@&Mgvf5=xY9FAf1<jQst38aQ_92>D&|D+3 z+6PE#LF)pM-3yxcMOOP4N$q1ab3t>t$ZDlP2@e_<PteqY=D(5Enj@)wil!Dc2al{a z1WD~Pe0eC{TR#&??Q?u;W4!h2kkr1wr`FwDe=?HVm-y7`c<Zk~Qu_*@S_yCcy+~?b z<5T<JOaBs*+Bf*re)iITj->W2KD95r^#35KeTPr&IWK(yP=NuBW6-`FoaOpKFMU-c zwV*YnIMpuo(zilV`w^cTW_juRBdPs_Pi?Q4ehQM>&-m09c<EOnsr`aaZKRieAClUy z_|$rM=`Ti7`wgF3T`&C|NNT_1Q>)~qe-=sY4}5C*y!0O-sr`vh?LSZb??`HY;Zyt0 zQ=c1DDL~W1Z+vPmdFm@5sr`db?IBNnQzW&Z{UA8g&RkD@FC?{~^~gBY_Ic{ZBdG;# zTEwZg%u~MvNiArrBTlvPp86d~YQg&>L4)m3jIzGO-cx@rl3FIvUP`Ds5QU;v$y0w5 zl3HebYK1)Yk0YsN!Ke0@hyEQTwXFEmKJ(E3h@_ScpW5pl`fQ+zADSN6@u@xLp)ZZ3 zmII&KtseRYNNPFpsh#7Y?~0_B3!mB!5B(@4wcPmB7I^6AA*lteWyhHwB0cn*k<{|y zGuO>Se>##{K748oJ@i*2spZF~R>DJnKayGjd}`mj>t9AvD~M0+S$F*xNNR=fsom+W z{})NEFg~^G-Sve)4MAwy5y7W+uDiZEl3G!GYCGKZZIIN8;ZwWhwSEAST5)`8r@z)u zK~gJ$Pi^gM{R$+tlK9j{z1HtRQVZG#fis=Cyw+cUq*fZAxmvIFw;-vN!KYU4wf+et zwV=JVINiYZTK^7`S~+~?{(7bV0ZFYqKD9Sr>9c?u`_S~DfKToISNal2Y8CORo%>2( z2T83GKD85H={q2)RmP{b@s)lEl3EpfYI9!cXCSFn#ius>m3|G9S~W(<zG+xr548Rh zxvkueq*fiDxeBlJ7a*zCz^9h$mHtj7wV?erIMc(Qm-?5G)N0`~_rXj3*GOu$@u@xX zQlACXp@XIe9eipRz0{XQQVZHEiqpN5U+SA7snx@0Zrw|Le<Zc~_|zu6)XzXtYk*I! z|4aQwB(;Y4)Y`w)pM|8>2%lQxm-?HL)EeVctM*d=43b*Vo?4t?Cj3(W36fe<eC9H~ z)c=E|)(oH8n=kZ5Kpk;t9Gl})d+>$64w706d}=qn(04&nYl%<oj2HSbNNTO{scn0q zUxK6-w6_drn3ccK??F;)gU{TE7y3())Y{@x>-s`}ACg)-d}?K1=wC-tYmZMY!wdZn zNNOGMseS%jpA$4F0*yCEd}>cV*H=PP>x573+UNS#NNSz&sh#^=KLklF=!^!OaWV0^ zelC()SA6ESKi6+VQtO6KZOwE2`ABNr@u|&xuD=6Gtp`4}q0jX%BB}Mnr`Gkk{!1ja zUij3SJlAIg4NyVj4Yc18XP7BG*Ox?6>x0i+p6B|8NNRoYseS!S-xEnK=-dpPZn*MH zKM_f-KR$E!J=3p5QX7Cz?c!(p6Oq&g;#1rIOn)Vk+8}&ttDfl}L{b}!Pi@9C{hLT? zL-46pc&7gmNo^=Twa=gGbAkpIp>Z6BPwn}q`ie+u!||zI_f+2!NiFCs6P)oj?Wuks zlG;c{$oW37HVkO*267uF0!eKYBjn5;m|D<&47ggWr~0)>YC(H&K}+gkYC-!D;A(~K zzbr&j8-r#pXfFg@?aL?n(4~u@E;$&3`sJW;Qe5I7y<mk<0;C?~7F^<>aaO2OFa=T% z8c(H`I4*ZmOB^~S20r5m<N=WT@r4gAdtv5)%m87KIiRT+P}qU^Fbon0EqTWkp18~( z761RAoOq#DxZ#RlT;}77UsA>6OY#dCN|NH!GK)g<GD{c|i;^=k<B<f?bCPlxptA9X zFcwrDRGc9vGcPqh-qaArFoH2mVGIif&%Df%%)}fs!*~NY+cMq|#x^&NH-fQE%;Jq1 z{8CGTQqvQQi!;;nOwHm=Q3cH7%}@m_;>}S7EaNRu1dI&gEg6#Y^Gb^Hb3k5=H$>(^ zJ(ORNT9jClS(XY?!GOYxH$>$_eP(71Wf&U3d~Ile5Ho?x!h*ukzzi;C4rhVR83N_u zVCVScoc!c$hG6ITqSWHl5)dOZub{LfI5D>%Cl!qwmY9>70uoEh%qdANN=-qiLKT51 zbPo<<a1RM)a1VE72nh0VVQ>#}4G!@Sa%CupFD-~K$t_?A@bQWF@ptxiX9x)LcXx_+ z_i^%JaCY|b_J=UtoP(X9OcxmK36XLS4vNprD*@R6ra?A<88BPG9Ip^Z_xKQ)UI@?G z8)5>4=K?hk!t)JAmJ13FLE<_)284tLxgv=Kxdyu;s|fb+bVKHc2DmteplAs73vop; zG}zV05Xr<~S05u(t}!at1c@6O>>32}9I^p0F=SI<V#r3p#GvK{`8&sld%A>pFoeKa zpn!x5f|<b~jzJ*|p3o%Y2~9Dc@yH1Vg$GFn{_&`Zg8^LtqAb`szMv>SIkmVrGcVmG zKM$Pva`F>Xf-^GHpb0ax#4#nMD7Cm4ln68Pl8aEdnI*xcxgZ&^E(9}@!4utR|9G$( zu*v@MnRy6<K|F-HARfe6sE*QH1_&#X!9U&&B^yA!5fonl%1U`9!6k_$sSLqE@oA+c zr9}{4j7@TWUU7+modN@tX=tYa3(|N`r%*Q(PP|j7n>zz2Oc72(;lZ>Z`v9Z>?jK|Y zpwNLx2AAd{Bnn`;9VUbb8c#$pp-7hIGC-ve27z>eLK;lNRD(jI1j6xQ@Q()tT4cOG z$eaF{QGy!%5M{y6@xG-wB|)jhr8(e4kXxEl;twh}^HPi$VuFL>Bcn}X<1^z84de6T z4HQs>j4*|aF@;Ppg-kJp%rJ$_F@-EJg)A|Ij0`Y^FkNqi>3Snf*BfEF-U!q6MwqTQ z!gQ(;rc;eEoobBfE@Mo08DqN37}H(GnC>#h3{_)Hry65A)dbV2CYYgWg6Vn_OxK%W zy50oS^(L6EH^Fqh38w2!FkNqo>3UO4*JHW?(+QX^KyiRMW@dp1p#%&>2qj=3LMSeP z2%$IuB81`wh!7S>po9Wg6>83a2%&@mL<rNVsA(Re3e#OinC?Q&24IbtzD7+nU`42j z1|o!#XdptE!G)S=AgVB(g_>v}sxX5KHPL`op(YxL5N2?hV*1e()Agp9t~bRDR8vgX zW9q}yhN%nLfAK}B>Ckc=Ib)y+8$yeFG+`s`!p0cFDCq%V2v``?Y_Kq<*<fKDW}9HQ z(iFR}8FpcFOkt$@1IgL(dGT0W9iJDE#nJJ3@tAH#P8A4qL86%Ef<!UR1&Lxe7t_zk z2@YW!NEFjHkSL~YAW=-)u%{!CD5kj}QA~3|qA2Eq^N}G&N<;{wn2ZocF&ZI^!)%n) zf>4bTatL9R)PfL3Ni7gzP%vR}AV?UCOF+U{%mxW#nhh#iFg*zp#WWeEl>m-#u=7w_ z3P{4pg$R-`a!G<Dj9k4S34>x1)+j^>qcjzegpsQnBw_S?1$H(lJTQF@62<g6$Z?oz zL892zV#YW~EoP*EM4@p~l$w|V?F>a5fU9^!8G$Tkh)2!{kDM_fIZ&J%D1f><NY;S@ zF+Sb^+$b*wb+&?1(=&@pQj4MuVj-m(iYQ1q$n~&xJf@_fL2Nu8Swp<CMtEh7@yeRu zl{LjHi%$}-oA5dbuZ!?H$PAx@%<)ND;FGjOk}S;y#bahXa+z5S7X;N3&|HZS1Z5oz zL8L+ep%SSGKnNnWClG?541!@MQkj5IiO4N*G33T5LJ+BpKnOw;Kd3v35X9z7<n9_m zB{p9oci9jsvH8*%o0E`xX>jexB``t|i`S7mJ8*@_EmwpfHWwkcUJ)v>g*&!LM{d=^ zbtAWH5rWvl9l33bP>IzdY~haF!bNDu=1Ws-smm0bFR|HUhSZvX+k-7-W3w8Y&1e=I zf=hF>0D=gjB}|AQS^z-=(HssDL~|r~^aafxuo#*(U@<gXz+z~YfW@%cfff*8b!Y(r z7DEdN3sB94=030(n)|?FXzl}xp}7w%hUPx77@GUQVrcFIi$UEN928$%nhUD(Ak`y; z4J|fNvouH%k_5CZ2i1)v0c~SoN<f<#m=e$?C#D3n34$pBZG~V;KpP^c5~$&h<PVSp zR)2sbu=)cefz=-%32goVi6aVsgxw%<Y*vHBvDpj~$7V4|9GAV=f)At~Tj+tru>~IT zNEk|}B9DimN<fnqv>rlu8M(oWq62w^3{?W;Dr}*LJn(_412yk}Er%2spu~(8um}mX zkVQzK1tCHLEesJ7Xn_bW3_y0Hc>^wvmI&bDXl{jzqd65Wj+O}E;%GjAi=%k}E{^7Y zaAASP{cv$K_rt}}+z%H=b3a@h&HZq3H21^B(cBLgH&<XNh&PDO%wvf0^9hd!jm?8o z0mOp_@&56le$M`Wey+|Tt}Y7L6dB`J1MYYkn#4P!nZ%%<k)NAdte;|JQVg0J(D!w9 zarXDqFDcLkO+6K*>ZX>Irer4OmFU8i6c;7yXQbrlBPO5q$}&<I^b3mev-B&HN;7j( ziuElmEiLs7;ywLB;tfm;OydnKObqlh^NLFnb8?FH6LWIn3vv=m((;RPi}k}Y%Mw%a zp<47)5=#>G%Rm-@EGjNZi8nGZu+T3qNz6-0EJ~q=T_vd%C7Jm&v?((+H5KNql=z(d z^vvXVLqjtYkd-+!3Q1gc>L%r<RMN-dywcp%qRiy@;u3H)(%z>?79x9-{4@_;NC65i zO0o{p@&<@eki3(epOI2rl9-les9%y;ke^ansvl9DnH!OuADoz%l9XSe56Tj$6(#x= z#hJNfsYRJ-naSY15?_#*oSm4STCAU&S&^C&pOcuE9$%JIQdy7+v4DIpBjy%CYb`tj z^vcNbIixhvhfsROnYsGKnYr<X`bnu7iDh8#=z~_UfD8wRMNvv7q$F_mDb_bNFgGzZ zGk^$yyq%Mu4i1Xayv*Xv++uxqKNm7}f~JYd)C!t&CQ~bD9+yn5KA|DT<ZCq|U#kiE zT20B<YDT73Hy3ADGPOE81_ecu>s#N@2saBdEe7c|CsVIaXow-1TEm>3^+3lsf|fOb z&jSaoO@v{vAm|KnC<dK(1Hz#FOCUUjk%0k(d6^g(K)8;HfdPcSFflNIur)IS0|>V< zGcbVgb7lqx5H@9DU;yDsEDQ`Fe1?UA0fgCE85ls=iIsr?glkzD7(jRjD+2=ve`aN1 z0AW2g1_lt$WMg0e;U#Pg3?O`;je!A#W!M=QKsbV(fdPc4urn}#@OgFy1`r1M59Bu( z4Pt}VXu|lU(jdK{Z6PpypryH>b&8<1z@X*pFmZa*pfmD8chP{(o(J7+2f9B7#0ITn zvt(dk0G(G3Ixie_4mjw{ZqPZ{pmV4}=Szdmf(D(h3_9N!bZ#-|jNt?Z1_scXwV<<T zL1)ZnLhl3xo%ISj!&QrwfkBs*fx(cKfgy{PfuWj}fdO>JbtDf1Lkth(zDdx%KcKq? zKzB5N?goIl5p?c8$bB%kf!qr^gC2ASJm~&9(3$OyP&b3z3p$S+=4Q~D+@Q0zVQvS- zCk!L6wJd<{1+Re8$ZNb|>ao!apnHZ_KxuS+=zN$NE1>&DH$Z80b)dD~Fty0^2I#)R z9Z(uwAF`j2_3eP}KRW=W(e;7SDYAMP`vWMEGB7awfYRvtKx>s@YLRIH=)zYCD2?tP z=yA))TEOfJQ1v&UG`c>hFjy@z(E-X-3=9ktpftKZs4%h?FxvxqE@l9fM%M=w2CGFT zIG|@D3P5ReeNbU!EnxNusKf;*jjj)R3?Eo6GSLB*m;j~G^+AtoL)HRjJ3u8opftKZ z=n-IGwaCN|sCw|l#L&B<kmb?WJHzBQKn>ghrP1vJt$By3MW!>L1{OeRbbZjHa*(xv z*$PktHJ~)QKIpM7V717^g0+yGvI0t@>w_K@f~*D1j#vlLmjI>F^+Agduv%n7U_C^i z1e8YC2Q5C3wSd_tpz1F`X>@(i;sdM}nYaNBj|Wg1T_3dgK-L0gPk;vW3@DAR4_bVH z)gluf(0~qr(&+j?bs(~OI2#(!98elvA9QOTTse%f1FHT2lt$MFEv{h7;dH|WNIY~v zX>@(i?M86*FowlOh&~4>jjj(=ufx<L(;uMfe?Vz;eW3G=kk!N3D>gyw+W@7}^?}Yh zf~iHO6E;KiWk6|kedzmcV0tB>7yc+fX>@&{Jv%V9$n*uM`WsLhU7sSdVkCCQR){+% zKxuS+ptF&Xl*5@0+aUTppftKZ&^~dvVkE{7sCtI&5dG--K<zOk<#6T(sQMjH8eJb~ zpB7v(5+h>=#GV2ujjj*0XA4O=oT;!AqE7=#qw53h--0VfVni%Jt>KXMf!Lt?B9WBC znF$2+f$qS9D@I~u5YPv@4+}{-oLN9XALvd=xMCy*dix9#N(cp@d$JHb1Pi@=hOQ5E zXBI*=f`#5bL)Qmtt0Gh*Sm^CDbbX-qEkZSdh2B0x*9U47BUB?;=<PFfeV}$ULN$VQ z16t5MfYRvtj1WpuSP!5D-3us<t`F2^N6`S~oPZW|7oaq{K2To-suYFV04?ZtKxuS+ zpuPc$1}J9+w4hr6rP1|)`V&y4C{zQqpzDCr==wl?Boqx$P6o7~D}d7I`apdbs8SRP zJzYbqPq-RTUkuJcVxXsMbbX*c5|VN_6Fq&R>jU+x;EItL=;;MrpAC{)WF~rgLDvVm z^9xx$jE$aN(Di}(elWGjG<teL*9Yn^BCCh7(bEgMK2RSMrWTn-PcP{DK>bo=^)NPi zdO_C*>VL!3BGc&k9bF&j?l5HaFm?d6LXCjZ==wnSh{4n%(-zPQ)d5PQ>jRAuAghP5 z6`&QW29$<2(_qpJpnf`>gT%N2RsR4=qw53p?U9tjnJ1n>dWILEG_pQWpA|G709TB} zm;kN7XFzFWeIR9^JIFvZI`)88-~mt?T_5N^GITv4zQJpVJ1w9zvObVIL3fjZ)M50i zp%p&|lt$JEQV$xR0nz9<;1$Ha2q=xL4`d%`d<3Kiz5l-hYR>^EjjRu(9yF!`qS3Ly z8;E@pP#Reu$Ue|G3`h@p|Gxm*K&gPz$ofF)LE}y!8XZq~0kLlelt$JEvJZ5}8AuPP z4FsZLSOeOyF@Vy@`ao(xV_YB_9T&WW*jE9ik@bP>1KoWF(t}?9KY-fv0!kz61E~ky zg9f6}@ds!){(#cR`at%9?nDFWfw&69g5Vj@2G{~9jjRu(0yKUHqS5gM=w(JXpfs{R zkbR)L(m;ApTbm3F0nmn91e8YB2T~8ZHw{Fi;}y>#F5dv9k@bP>1C23)^nlnP8iqNb z4L$)VjjRu(1~e`SqS5gIsQMF78eJdgZZ&j0ApU~)5O=PC(#ZNiZUc>hg498WbwEro zmVh=C6`(Y-K9B;?oogT(9dCFCv2O>IM%D+i4|M+;NDun>Q~<QW7XhV_^?}rb?qUPc z=$HfA;1ht-==wn8yXbmA`~+x&F9S-W>jT}<22zKPC7=yH1t^WK4|HD}x*ib!0#yAC zD2=WUbaxv_9XjrSHWVj7X>@&{d)(0VfcOs3hN1_QM%M?r(+#8!9shu;XMi>s(e;7G z%F*?J_#2?=cR*=$eW3AmkUDgn0c~IwKxuS+pfP!LJs`dUw1KGsrP1|)#_>Vw(D4nZ z`Ug-NT_5N^ICMQA{sd^lbOw}0*9V#}0I5UA9?*tq0F*}82byC**8}E58>Spk8eJdg z&N#3-G-3x-{Q)SAt`Bs79GVs|w*cCpt$@<#`apNdffb?=8qfx<0hC792f9}dO$(U& z0IL24lt$MFx?>Kk5RI4tZ3r)b(&+j?_syYc0doVO4dDnVjjj)L_Z(Ou8o>c=2n#@I zbbX+E=+Lx)xd))?Pe5sOeV{w(zzWfb3TOkm0ZOCmgHLRt=`(;fpe>*@x<1fdb!b|^ z+!s*wAD}e4KG3~&V1;PJ0%*f~1(ZhD2fD)!O$(SC0d06EKxuS+p!@8=3egAwXv13q zN~7xo-ED`a1<XAGReu3Wqw53Ra|c$4Ml?Vh>>W@VT_5PqJ2Wj|t_8Hg?f|9H^?~lc z11m%$K0wv~fYRvtKzHGxX#sOrKpXlSpftKZ(7kwIg=j<qw4t8?rP1|)?#M&a0_I9U z8~O@R8eJdgzC5r(G~xnO{S7FMt`Br~9-0;~w*%Tim;j~G^?~lu11m%$9H1Qp4=9bU z4|Jy<nieqk2UI-+w4;Eo4|KmCSRop*0jhonlt$MFx@!+j3z(Y$?N}5*X>@&{d-uQ! z(Fg@-$3g>2qw53R!H1>=%)J3s{{Tv(>jT}#2UdtiOn`PsW<Y6leW1Jf(6oTL9?%X+ z0F*}82fC*ZtPq`mc1Sp&G`c>}oqgz9K>Qt0^#`Cdx<1hTeIRw{xB%Lbsesbx`apO2 zq3Z$hHJ}|C11OEI4|J~|NF6$U09F42N~7xo-SLO62gIKN?Eo!+(&+j?_x*v?q2mB( z2Pgtcqw53R{fDjx#OHu^fCQj4x<1f7fFO0~_yAP>2`G)O4|FFWx*ia}0@`tEfYRvt zK=%WJ)S+VoXvfI{N~7xo-4%$g2gH8?RsR7>qw53R8wgT|ju${XTq~e7x<1eyg6Mic z{0L}=D*;NQ>jT{<2vUcR1)v=+2`G)O4|KO6x*ib!1XTS6D2=WUbk8729Xf7+cGNnc zG`c>}orCClKzs{mN6i6Bqw53RKL}EXjz2)v|A5ly`apLPqU!<iS3o;>8=y40KG3~{ zAa&?C0ouXKfYRvtKz9_P>jCj4pdCB~D2=WUbYCGz9Xh@MReu9Yqw53RU5Ks+#P5K1 z3@1QobbX+E3_<G9u>-VY=mDkC^?~j*MArl2|A4AzfOZhk^?~j;1gS&E8=&fUKxuS+ zpt}yy^?>*p&<<q*lt$MFy7v&I4jn5%JCqtw8eJdg4n%Z4ApQ-g`Ug-NT_5N^M36dk zJOSDfodKoM^?~k2MArl2dq6v)0Z<xUALyP$kU9(u?TB(fX>@&{I}<VVFfcIefT}+L zrP1|)?oY&~uK?PCt$@<#`apLnV$-Jq?Z6s9X>@&{dlj+idjM7c0!pLn1KqKRP2US> z$M*x2M%M?rZxNfm573V94=9bU4|Mk;Hhn)}9bafi7F{3c9!6~X7@!?k4k(ST4|FFZ zHhmn>4y*u_M%M>gtBOsZ0JH-u0j1IPf$nO=rcVOefmMLg==wnSHe%DK0PVnPKxuS+ zpgSC~>C=FAU=5%&x<1f-j@a}WKs&G&P#Rqy=x#@B`YfOwSO+MLt`Bt2BQ|{w&<?Bz zlt$MFy7Lj6J`ZRIHULVa>jT~Yh)rJrv;!LfrP1|)?t;XoF9O<uO@Pwq`at(WV$+uZ z?Z9S0X>@&{J0h{^%Yb%Z3!pT*KG1!U*z^@ZJFpc{8eJdg?nrF<Dxe+M1}KfL4|I<t zHhm4y4r~XMM%M?rQxcoL4rm8<0+dGA2fAMpo4yIq4(tpljjj)L*CaN5GoT&V1yCAY zAL!mmZ2A^JJFqLDG`c>}9hBJgt$=o5H$Z80eW3d&vFY0Y?ZEDU(&+j?cT-~1w*%UN zJpiTA^?~lG#HQ~6v;%trN~7xo-C2oE-w9|3_5zef*9W@45}UpY&<^YkD2=WUbeAPI zeK(*T*auJ=T_5OPOKkccKs&H6pftKZ&>ff9^u2&~U_U@<bbX-vF0twR0PVp3fYRvt zKzCna)As|`frWNR(e;7u!NjJI0ooDefYRvtKzCwd)5ihrhzdYybbX-vF|p}809Ahi zN~7xo-Ia+=Uj?)S+W@7}^?~lq#HP;x+JUuz(&+j?cW7eM_X4W^1C&PB2f9xao4y6m zj_(R6jjj)Lw<b1y5zvlr0+dGA2fAkyn?3<($5#SMqw53Rxrt5R38?xDP#Rqy=>AP? z`Wm1e<_;*0t`Bq<CpLW+&<?W$lt$MFx|b81z7J6KKcF<aKF}SV*z~P{cC<G@X>@&{ z`#Q1dOMrH?GoUoOKG5Br*z`$2JK73R8eJdg9#3rgE<n}afYRvtKzDj#)7Js*pih9( z==wnSdt%e)0PUcAKxuS+pu0Y?>H7gy&j9VHqw53R`-x582B`WSP#Rqy=nhb9`ZAy$ z`vNG9t`Bq{C^mfx(2l(Zlt$MFx*HUmz8g^W51=%<KF~d(*z`?+4hYPE(&+j?cZOoq z=K&oM2!PV)`at)GV$;U}9T4Dv(&+j?cZp)tw*#vF0F*}82f9}jo4x|*KtctSM%M?r zV-%ad4CsJB0hC792fA+*n?42TfPe;+M%M?rdlZ|#2xtdA0ZOCm1KmT4P2U7)hj|8+ zM%M?rlN6i26VMLq1t^WK4|G2%Hhm1xjv)t>M%M?rs}!3)3us5p0ZOCm1KnGSO<w`D z<5U5q(e;7uFvX^C1+*ix0ZOCm1KnqeP2U4($KnN)M%M?r+Z3BV321{|0ZOCm1Ko3q zO<w@C0UZIQ(e;7uJjJH31KOaS0Hx9Of$l%Wrtbi>fq4Q-qw53Rg^Eqz4_Jc_+JHmX z2f7y(n?3_*L&^e5qw53Rk%~=U2DD*Q0Hx9Of$mGirf&hXp|Ju=qw53Ror+Cg1hk=% z0Hx9Of$mYorcVIc(2#)A==wl+s$$c30;>K3lt$MFx?dHWz6NLmr2|T%>jT}jicOyd zw1MIPrP1|)?p?*E?*ml*4=9bU4|E4BHhn9g4Vw*68eJdgK2~h{5}*y63@DAR4|F#x zHhmJ%hK&N0M%M?rrxlyN3sChppftKZ(4DQ=^mRZRL=&Jix<1hTt=RNAKpR9JP#Rqy z=q^`m`hGywGe8?g==wnSx?<C}0jhonlt$MFy5kj_z6@wXssKu(>jT~QicOyav>~Md zrP1|)?taCl?*>%;11OEI4|ESKHhmMI4X_zd8eJdgPFQUEJfID*04R;F4|G2)Hhm1x z1{epFM%M?rD;Ar+9Z>ZLpftKZ(7mzP^c6rGZWT}(T_5NUS#0_=pba+zD2=WUbe}9X zeGj1OUqESeeW1H#vFV!uZSXCC(&+j?_sn9`7XWSWML=nEeV{vMvFYQ0HuwafG`c>} z{j=Eg9e}Do0j1IPf$pNkrmq6pP;7wG==wlsI$+ah0BtB*KxuS+pgU@@>3acH{{c#) z>jT|ai%s7GXajQvlt$MFy1N#ez6fXoGXYAY>jT|mi%p*Zw1FuBrP1|)?zF|G?*vr+ z1t^WK4|KmRHhm4yhG_?sM%M?r>lT|n3uwdC0ZOCm1KoRzP2UHo`X5jlT_5NUTx|MQ zKpV6hpftKZ(0#br^d&$Wv>8wuT_5OfTx|Lzpbc6DD2=WUbWbieeHWnWZ$N2ueV{vY zvFYo8HiRcYX>@&{`*X4BbAUF4J)ktYKG0pd*!2B?s%L;Uh|%?d?$yPnZv#~Q4k(ST z4|K;aHhme;26O?GM%M?rZx@?B1!x0W14^Uo1KqugP2UZu`Ug-NT_5NkUTpd%KpWmO zpftKZ(4D;4^m#xV-T_b=T_5OvUTpdppbc*hD2=WUbXPAneLJA)4?t;jeV}`LvFR&- zHrOkmG`c>}9lqG~X+Rt722dJZALu?`Z2BHR)xUt!==wl+`(o2K1KQAE0Hx9Of$sUm zrY`{6(2s!9==wl+{$kU|0d43DKxuS+p!<KZ={o>be*#LQ>jT{dj7?t!w1dz9rP1|) z?ghrC&j8v%uz=F&`apLCW7GEns{R9%M%M?rFBqG?1<;Pg3Mh@P4|I1hHhmn>2DAW_ zM%M?rM;Mzv0cZnS0!pLn1KlZ%O`im`0j&U~(e;7u7sjSf0os7pfYRvtKz9ve)29J# zKpQ}5bbX+Ehq381fHt5lpftKZ&>h6s^jSa~&<;=<T_5N^Vr=>xpbcmbD2=WUbT=_J zeIC#TbO4k_*9W?%7@NKTXahO|N~7xo-C2xHUj(!PodBiL^?~j$#-=X;+JMf0(&+j? zcNt^TmjP`+7eHxreV}`dvFR&-HlQn@G`c>}9mm-8RX`ii4Nw|gALzbgZ2B6Y4d@Oi zjjj)L_c1no9nc2!1SpNJ4|ESQHhmMI4d@wA8eJdgPGoHQW<VRz3!pT*KG6Nh*z_%c zHlSBPX>@&{yOOc#TLEoAZ-CP1`at(4W7D?*+JN2xrP1|)?oh_2F9X_uE`ZYL`at(7 zW7DSqZ9r>4X>@&{yOpu&y8%`I07|3l1KqQXP2U7)!+QplM%Nb$+RTSVqX)F%9RQ`# z^~K@P#{g}3b3kcyeepQ-?SQI30Hx9OCE(Ck0Bx{WKxuS+i8%CWKpX4^P#Rrd5)OS2 zpz2>hX>@(bIP}ecHuM)jX>@%lIP?WT8~PDY8eLy14t*TZhQ0ulM%R~yL!SV&p)UcY z(e<U{&?f<H=qo^JbbT2(^eI3a`WjFgU0)^+eHze)z5$d**O!Gup8>R?Zvmyz^=0GG zX8~>KJ3wi4eK|PvIY1lw9#9%xUoH-P9?*t<0F*}8mxn`N0JNbW0j1IP<>Sy70d43f zKxuS+1vvC2KpXlQP#RrdAr5^R(1v~ilt$NAghO8ew4q-CrP1{j<Iq<DZRj^ZX>@%h zIP^6@8~Pnk8eLy04t*WahW-R7jjpc@hdu{rL*D~Rqw6cjq0a-_&<}vp==v&f=nH^0 N^dq1&y1q&r`T#P34HN(X literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..580b5a6725da0849a145a0a81c7c4a2a9868b872 GIT binary patch literal 68136 zcmZQT12T#tV37?95W2%3LW2cIiO~=k4S~@R7!3ichrpcw|Nnz9%wTC414;|1Gcd3- zK=7CU|NldU2R$_-08)SK<%Br3g7NKK{}rL3BFC<-S<|zmiKj)z!T=033=9es9xiBX zbZm5NY+g|B#J7Y;#zivHq97sV;)2Gh&1_+OG8P3F7T5>r7d$xN7|<-R@Z9$EzxVDw zU-x}q@wHm-`?mW^AMD$D-7332{cV1I_Wkbn{o#3$NxQy@{QRF9&gW85DH>z-_tM{` z-qY{s-~P&^e*DDqIL%il-}6eZ-@jFoHQsE$^Pi~e^X-e*8GfD6tnckJ&7!n;{Ud*o zvV8|Gwj>I#-6J1;vBu=brk}EpuAJYjefQ4v=UaOZUaT?rWO?mZ`Tc3<<v!e*{_FZZ zyZ67|XDRV~-;%#;&(Ha@>i@3$wKDwXKT)|8NAfT8{+wFYescQl%{o7JCC-Xacxth$ zRld}`vq{`_z4`qwx4vxl?LYte-IW_>Q_oje_BH+3Wb7FkWBPsTyEzZC=Is6%)ZX{d z)!bK@dy9<w_7AC_LmoY{s*k%eck>myHg}_sshuZ<`1j4OI&CSH&a?i*+~{8ayRkO; zk<Rbt%J0l^Q7g1vz3ZOR+A?;#kA-WiLe@V@-Xxi~Yh8*-B=eeMaWyl!Ut2KBb@ktt zP3OO~B}~uN^jOxOZ}Xa78<rYZEPC%@b>Vei<)N&#F-?~wR(}+*?QylOH9B9E?(5Ef z^!WeC%3Q{^Q@wXeC7aGGu|05jaxVAV3i&<iR?oICZTub)opk*71KV4M`*nHuxZe`8 zzQ_LlVc*Z(%m=ntrrr2xSHWL)Mt<tDdk=#8jz#pg&ylbCQo8%u?>f(gQQXs$q>@eJ zUX%ts*En5gZ>qI0IVSt*C6V5ZQu-$R-KqPN=gP8L&sEDUS{T0O(ZXoy`H$1u^K#ED zjEwx!6`Hf^SNQ8kyrpZ-Ki{^B?dJ7t`}Q@PBfc$ImTf3iKKGV#?3Iw}ui;6Xj{N+t zC>9>sR{86Mp~b9}SrH0N&Jyv{X6SsBUh(dc^?{#R>HU%aSw8G7Dl1*AEF7|Z<yN&7 zd6zAvORw`R@c1!7Rn0r*d1p(b#j1Zse^uBmnDT8Fe9{%SICF5is+#v7HY@gpcJa?n z7`~q0P<-LbHgm_)`<9dLD4L6H%Hw>z?By)2O~)Tq@2j<JnIsZqxaxgyaOmO-uS&Vz z=PS$oW;WWVV;K2pa?!n~Tc0LZ)LuK`+&8iRVD&l4_pIrA#OHpDD?4WWx~Ke{<oi8> z{dEr7KQ<jn>Ey56|Mu+j^1m@>&tC3cm0@If^w(F}wEgdm{KbPM=f1c49%mYAIwQXC zK=mxv>72?n*G}fvL?&%IvXtGn>3GP~{;xYfHgY+yFVugPXa~06xg;k^PR7^B_e}T6 zSBgCAKyC^w|1kHpV#%cPlMDP8>1W4Tm^-O2oIHoI#F59ogh_d8>vRkMSv4(wPU@D- zUpNi_T;S}vA{O_^Ci9b^73bV1JQviDd~jAb2#T)g{Ji9(`-_yMQlb0D^YhNmk^Ac_ zw9oPWLGvf28$Nhz)qUUvnZ{XhpR?9{dzxQ=tum+m7qHlc^2z<K3xsnkpJz9f2$h!K z+j{VO=Zr&kQeR|ioa5NJ_b++Ge%~M{x?*O-_qi9!i?g47xnVx(gELr+v)244$J`db zBDNR8Nk0|}ORUu0bENXplVrgcOV<B?cX{^w>F26fNx9!WyExsizqnJS#yOtt{)FoX z59zP`anKy(n@{)h(n>xbP?Wa+(KhkcA@2`&pD33IS)G(z;NS7E-7jIuwhuzjJty-w z`?<tE&u;qBx6Ar{R89SI?a;Via$jva!}ed_b$-dcfA8X@`SaQMJ^Axl_&eLLH@6=- ztbWk>(Zk0N6h9WIRCw6bh}fve+40B;@wbB{*+G(jA1HqOkoRFig@uHTirhU;IU)Xh zcK*(G{igOKho6H~xO|vU@k6J=!$yW*PKf_L8-HheK1lL9NHPVaQ`kmDt`20ZJ;>ts zAhYKmbbgemRpDXtM-U_l(kTwoln>H$9c1U}ug@2*-*2Cqbn5l<+Xo&#-~9np{K$VQ z|MmM+dHm~ltNngOmH*inRVT2e$5P$nFen?;oTwD4dG4~c=ix*Xa9V4A&-%YU%<2A4 zgXm)~ra{EoU+iB0-a4>+-$C8vZ}TBy&g$HCd~N%R6SwtT)XfAZ@AiGp_jk%UsaH<t z`Q_3+;iW>>p)+5k6MwyTdlH@A6}L<4+_7K(4ZhY-ef6|9lmF}Q8Pd~!Dl~7uD49Rm z_+FZ_+^-hZKRdr@#eKbG`flHA-&G&ore4@pztAu3fq&KAE74J3wjSM4ugYgv(Qo}Y zxAfTUXFc2RO6Ip4-}6h~lipMDh12Tb`|A5|j6NOs<?Vg{P1&*Pdy@J6#`nC>RaDRY z7*}|#`fP{$`~~8F_I*EVr_8@*|CTRj>(ciypQ~V>`;pJ`@!sb><u@eXcL?^|IqLtY zeLs7#n_NVF=F8d1=PHiR{pe@$xb|hw_o>GBJk$3CyV?9=+56!8-u!*W5c}qo9kYJi zQ+`eI{T$<amFaut&-qwq_UNMe3)cPbYl{z_V1BKyTWkEZr~IPi`$@+4Qq%YBpY!ow z;j!P>;f@N0I%?AFQ`V1vKF}>c7X7ei`z6WzsmAyAo~u~hTk(Zc>%jZ!`)dqAc6Oh; zZuNL=@v-RlJ=+gT<}WtBr<T6wEZkw+Bq|gBE?t^0SAOjFtDfy=CG&S1-{VW)GxuD@ zd5MoNgugW1|Gw{L>XXK=)2Hpb`L^f#9^-p*>3gQn`S{K1@!m&0-)F%Cq81tuf6rC0 z&-ut_^;ov(SoL|y_Zy7w2`bCgHpPATeb3yz*Ji`NOP9=#&-vJA{djJ{vEP>@-)pDu znS8EdIVg6jK(SMk4zbVjZBO|z$@goF?^&kr`FyUz-tzI^oFj|<FL2l0|NX3KBKx)Y zs9$NPB;PMGzNebL=k&RXddtUuANPD;4|mjRsH0}aM`#H5*j1eV$;$EgkCE&i(Q_5r zb3cYzKEC_9XZx)V_xT&-L9uBHR)6tnRQjIMa}}@Wel)XqoLh42_LH9NHz855^OsxH zCGYT5$!m?%)<1YIp&Q(GkF9<7k3evt()3<Ue&OT`p63{9od^}Bi5EQM|KCf!ayO^d z()L%2${*JS!m_+`^($sJd{+4<m+(AR`p;dtWgGh0YT02$S9imE3;!Em_{3r-bnWLT z5qi!!``*Dt+(93qrSW-nUppuDzgJHF@AWR#O$4<-85kHU7~jr0-7OO;(!Tohj2Bwo z={Kz%9bFxpo060|JN*Sr6*SZ){a`3n-Qm$>9a!+t$;HuSy4{D31`iIkM$b2V^x{~n z^nV6%qsSMw->*%#-0m5Ef7Ys(>(2e*x)uEV%i7D|Yra}9udaF&5?c2sTz;{@w&Q91 zp9*aEMJA<nzUO-W&-8HR>gnqJ=O4x||1!sB&2e+@Gddr=-&FU<o4=l8!+hiWrcc-Q zrFWjO?Z3zIaJFjNv>&QpbnnSs=_$6@#B*QAaPyI)yPrPzE+FfEs6aHoLxo#>@B1e< zON3t@5eAjj-s%FLVtTXGE%{%%SbbyxRS1_jT_&}5Kl422Y&Fr(hVfU6MBQY+Z*51v zZBYI)eQU(WLc{+vKNx3Me5t*WwvMB}cK7d-KKrIupDSPWyk`BEIq!StecpSsc;EJ_ zbKm{W|Gf9w@;<xm^WUqU*TjFH^M3Zc&$YLU_idf_b4Im~>Yrtn*_+!RO6e?LlCkB< zcS|Y#jGs%MZndnAkWp&ieN?f?LWOVQVaLJ_nRi0%CmuFfxbXcxs#vrmSVpOR@go6C z8!f(xhm}DL9lnW&ok0v`zKMq~KN7H<Bhr52VT*+epMR(GlY$r-rS@cyv}HZt>0j@+ zZ+$Ozzwz^5tLYE6|FDbS(-@xc@N`hk$2N~m1uuV9%YT|6TQB_~?!^0>4{kSZ|HiwA zN&6n_ghN6Wyb1GkT<%}ixS96m`~CQH|35!fu&hz!3*?{Q?fm4!ObZvj`CZOWK6F~R z@X3QjCR(`gJwK{g^utv~slE7-faM>ML;FDt1-^-gzdsVNtTAWj`}TRS?HdmJlJ!eA zZ~FgfZ|C2MeQ)?nr^MD3idJ$w0LAK#zkm7vv2`3hywCh^E#v?G=}%w2-f&jp^7N>; zS&QwyIqr=<o0wj4aP5N)i=TaXIH_R6-?E1X{=O>spTm8WeSLa$+=qSUrsixL@;~Z@ zMeBdg%q&S#?%pV>v)pyd3-9@hUmQB*I_>(s_<xyOSHCU&uVyE|;(T-5wdm6L(7Oc| z;u5>eUN7~Md3Hdq*UdHG``gdMQ9U-7f2Y+xb^76x^E3RhX=rKbhU-_JKfbkXZSmI= zA71Y<yHXZ=+-h}oTm18{3y(=#U;Fu8=t^bqijU9wO8=F-|M32~?!sfy*4HY(?R$8= zGJ3_wZ+)fv>i>Uuy+`@Vj{V7V*V`HVvEpx$;xAkC`6zpP{PTqYk0*9oWtT5|_;*(8 zkF?I2s^^|FuV6VH?qB!wO7W)~U!Tm_wc{b@rwuINRKGR<<F~2_el^o`zI6UFDoo7t zP`}iv-k{g<kf<cTuY_r0%ELvKlRi)ITO#b=V5iuoKi5Gea!1BIQghF!QN))t0~A)5 zpPl^WwXbek`L{W#w=7QBcmMADHR)o;mh#81UZz_=4qn{#=kmAs(AV1HW#Lnw#;?6> zD<)<imtP!n+>3Yp@v1+OUmaC%Xz$#1WYYb0>h1g2z5Q!hWq01`U*zxk&;M2`<$R3T zdv>G#wg+>nBD(BX+pS>Pec|`#_v_!Nga+*TUwLo-<HT<DSGj$Ru^B7dpZ+*qRDbVZ z?vL-!Q*J!keEZA4H@|Cte1E=k!_nv4U;d5!z4-Iron1FCrtGe<t5D4Oc;(T%^YfPN zQk$P#cdM*;(`4=0wVyU{?LQh5H>>u2(HbV>dsdozFCIE-w?wQqVD6+|8=KzyN2-(V zKNFLGvj5JvyT3lapI<rsz|zj$v5g*w&Z|e;)%&%J*Q~wwY{w72<*io^r~cUds@ZFU z_vPc;o~PdV?jo<On-{tGX<b}i?VX4%)sud4J~V$>-BiB5c=Ovok-xc*F5~k0mLIM# zsq)PH>xa4bMduguym0ci)j6KO%l=!?*V8kv{$Y%3Y}NPv&py-n^1eMtqb1xYL_$T5 z|Mk&T&hEOGkn85ZNzK#Q^RUNZkK1oD`A^DCYBQ4ZlJt^{lDwsnshK5WyWvup=V`gN zX_KaHlJer@l5)G%9O@CI`CGpHUuF64vh;1o>z;o;)B4`J`24+{pZ7m6KYw@T&D3uX z4jx|G8vG)cb^aeym-Pp?mCQPtw`socg`j``G866Z%rD*erE}?i!Ml&VVs4zDxU9N< z_7O#kD<AsgJ@)O8f2?%vZD-v%QNKxTZEctDlug~%Z}r9LaQvSqE0(%HoA>*+Oh)XS zmgVm2Zf5)_yJB%TjZ?RDv*qigm%`rm$K7)`xbJ@ZXxq*HH|Omhean1P`t9)b2yyTE z5x-_{&dZwqQ6X(kR8X(3%>Rkgj&Ee{mn!&^bADIEuOpZAH|lk74-pps7OZ)1>pJ`U ze?n%dzKLI>-Bp+MTxI3)U!VC@>I6=n*|A6{C)j-UCcSBP8^09S^l;_u`nRL8>t>qF z%^t9~;vb!z(JlY+*_BCIIf?dDKPhf&UcvY~vHIH4OX}19xs`Nl7be=Te3x`Wf`4P4 zz{`A<dp?2NE05+v?45mY-lfBv>o>0B_+@$Aa_8%fd3vo!rcON5qpfw&taP&`)SJgw z99Z^xne>VoxyL4+g?LZ;B)gw3)F64ScM;#1elMI2b`c_=cVGLee&@80c3WSe;A^(Y zb<fn*Ij_lcCg%J!zuvoAp|DiNSh#x0CAKTR`Us1*mEJy@yIKC`{MsGAubevmf9)3W zZ~E8XPOp2lUVXmlgdJv+W)`}t-dL7rmKv*5oAmPe4++s5YELKMcUN`YdPQ>i{&_Xm z93TDiNL!|1{IR~T-FkzZxt$y9HqW0d>?@X7G%fihYxn<m?MB`SJ8UP-Ok5xw)z^MD za($zJRo&4CsvCOrXK#wry%)1NMLyx#l_c>{-QV_?&IPaHyHz>y@$&AkQjc^W`p4X; zUs`ef*S}bc74?6A@(I=ZT$f+uUv=+d&b6~8e?8~?tIs~+c~Cq8oDfv6rGCu2^i@40 z^jDel+^b(s2OZe^s<7VE&gwyG2HWv<OABo7w13_4(e?D)J@V$WZN$!=4J?neE65Uz z4E3Hj^<Mw#cW?HbsC$vL_m++3<-hZv8I~T2e3fE-ZMIkW+2{7hr#$jo6#vZP`>Nx= zE;lr9?d6*R_tdfFJD(L6UyYr1d`^^0*wsntyZ(tBK2i}f`+n-jfBx6cMqQu3AXf98 z%CFaZ|H-g-sxN<iK0f14%aX~(UN<}%bIqRTUXgpLH+Mq2Tkuc$<MY?qAC)kaOS-$_ zB0Tk87Wt@GU2m(lF~ej@-uC{eZ|TwBg5$*PYm;vFZ7lm_boDKpR@&^s6k|wwG`ZKl zzVYr4mAh#&H|K#<i+yjoRDQYLlFvuZPC8sUY2SO1$A?Ol=c>Q7DO5gC=_vpDrA?ws z*R;ZwTjpJ<`Fnrgm!j^!$B)jfzWVrguK42w*~QFhUWWyvA6ML%@O8&W%jd6sfBltt zpX0U*6w^DyZGXgcHOJ;n@z*=-@%rgyP9baQr<30<nC~y{@g{4E|HGKSHM<;>$`{uh z`uyedOU6#y-cKL(FPwia<?$wUivK^Szcp3PN%D(pn0D?c=sNcK^=rkA>jUlXss8%; zrTNJ0o=+z~9<n*5*!%Ocd`_O}DfQ||lY*l)dCf-{-F}MH{H=M{a-_QF6X(-soxvvS z@|hJ2Cj{F46aDq`4tpoR)YHk&d)<$|w{Jd}))8oTaO%YaqMs$XIuG}J`dE=1VL1O` zg7G1Z`E%~blyx2Z+&poD#VL*XZ$f|l>}fl4yyuhVhi1{EuWw6ikj$RqUr`FO_n70O z$!<S?{CVJQIR9gU@j;FGduo0>73qD>F0A-5a&b*l_SerdSvn{8e){<2u;=oOGxIek zSX|YZf2Z`<PoL%^FWr8o{5)7U#aZfeI@^siCa0Dw$iK68Rh}O?!Q!~a{10q@Yo0kh z(suj#<I_`aknV8BkEM%iKAFFI{+UhXobf632jbHg$y}OYpWk@4W^v7)*^3*spKWFk z5|?^9dAF<meAfv(ZfMM3Q?v7orquQAsuOlx(U^be?XRCT9G&weo(l6_IG--5qGx{U z_<nEu`JxkcT+*2T=kBkcYnqN+b^FQk>1pKh3>*6h=FaTiPaof1J)bVCvd;XJy19q_ zeBKE=E^5qw^Y_=!oTelBy`MB~7R*1N=5Z%!ioZpK{rt5PcAV9a|F8JBW}EXPzQr}4 zoWFejDJN7e^;Fnq&HVFv9)E6X$mh?fv)k|RC~t91(c!P3Z!&l8mwGyx_si#-+?~IB zK56z`IG=8>@-J_S|BsG3yLyKt=a1}HqEqHn@ANdQ+&?`x5}etkEAQ1#wv)dmzjVI8 z_lC^eDgJd^>g;k4EL$6BcX08qpEBHLZ+kv{Joe&wvCWM+8B_e<75=U9W11b@^XX*Y z)$`9oHdr3kn19IPZ_PQD+27oLw)nqzUMzNFPV$s~`#Aggd<n(#0_}b-|MJ;VK1a{! zl=_2a%SE$g_na3j|KA+)`r~gS@n&E5#Wj1DOTJW6=&jLXoqf9Z(@ERK^ZnH~yv&*6 zUpA@EF808()q!@c&%b<r$!2!9_Y<f3>*tr5bE>4CKDJ*!|Ga6!<<lDTYgWwn&)@Je zd5XURe|r%)=^tDMO8VTtetuz|4NCgH*UvAvzi}sPivKs)zcqQxvq6bI?$Y_^ej9ck z*O*^0t<H}5VA_O0yMH-<Yu+)>X7BxU^8Cf~=>|7=3{R<7MB2|^m|%QHL%yH?ThF>c z)42J3?6U>kem;5faGu}A6X_~9dW=q~|DIK6xA@>Q!^JgRH3sV*ea+>$(PMf_y(YN+ zUk~$a_1;e(e?CY$`qNl0M@{1C<mv6=)~=6#9(37Ic}-*f1KGbdYK>=87T4HR?${D+ z^6b3GhM8GY{NKg?ty$K1wq$Y5rW%84<n+#;Uf$(qxA{O?U!dK?>R&(4u+9$e`SkJW z!=HW^XV|ZAI{Vt~=ac)_&p+qgFf)CM{{i`V9Yr3=|Msw&eeV7A(eBcGd+q~i3j^(T z_J92x$zs;s`{|_K<@4#BH*`!-E&s5x&Q8ARth(FJmOqKIN1wBwK9IIE(C%OQug~eM zH`bV(QvbiR&TjRAw5@@5jemYj3^s}TzlL%4R=1xO@h_fl=F7Pz{dDqU{&r0}`x^{q z(!HNf)~&R!H)}k*-R-ByzDwuRjc?=_o>HG8UtSC<FxDn)KC2-QiqWzSHxsA$&$I7Y z@#D{f>J2wDr}%%^`tQ$8Rx^9)r;k6jYl}WVub;4acjwPPoS}6>rOil#CB7Xpp(3rj zKO3ucsc~hAObHAK2w0S$ur6)w?3~i6TUWnvVP?D1n$dJngVU|R;MFl-F)l7H<rj9+ z&5lzRXe{b*b~woS@6K%V_@etuvRCc0PTqUcn)T)HcW+l+54~^se%UJP6oVJr{_ftx zn_K>Wb5ZoH$jC@r`^qZkZOosmd-4PBr?~!)>67AXzx}Ar_i?ZIJqf-U%U}LsZ(cg{ z^X$uitf#44r?dZ`s3vj7tk%JK?)k^LMg3Q7f}a0M$S%yjvp)BXjqi2yb#~SJ+Dd+< zJo_$jzSZja`N03}cJ;H&>O)tZe<bNKef9ZCnV-v-{*w8(tfuy#VQ$;Lg524AKivA; zT6$jaiQLM{C4Ti9OZUJ1v#qAqOk&H~sjrG(?f&$2reW^3uvO>xZGQT?cx`5J?b2U4 z|M%6@<{9MXtqGYw*?!9U^S;Z@o}Kz?^Yi1MzV1A_W#`(UTCsYq`1!YeXIpDseg5J6 z)7QnzGmFEQ{(AFgX-(}rv)p$pL*@tXpSJ$|-eqTNr@q?!e*34dJMV9)To6>d?SI@p zJN{W_{GqGPpFH~MYvqkCl}mzZzs=E(pC7yI?7P)2^MB0!nU%cHKV2Ri2IV#%%^jul zJAZHOovD8AV%eD$-)FXeKVcj6*(3J3?XU80C$hF0%G_+fqiouL<!JPt#qv)k@9@%F zEBy7~k=A{R^G~QxWZ1XBO>e$~itCOQ^Pku}5&o$nlrT3ydAh&CB&C=u{zY=1I%|YD zH~6iXcv@Y+Q&8`fx+VWl7rRcD2=yx`o(gv?;Sm2ic}{zc(tH=DHNsg>K5@DPwRHdT zJm+jT(O-%28mDQ|PZlN3L#}^So<l5r-6FNKhH0Y6!$ozIK2Pv}GTEb{)TuYduF<2b zAkh9~<q7pqo+<~d7LGa#6g>kSS}PB$dmH~O-In_Ke9oD&#J^j9rXKzjc=%yKw3(yx zB)e3Lgn!Sz?ldW``<(FL^ZST-+0&oye%qhFSv+p{{tuNhj`zxH>+1GJ-kIfgH*V(s zm%ol(P0#y(<=35CPtPpB_&o6PvyK10>|A#G>qh_Cc5kkW&20Y*PQJC1dl#$c?8!Sf zyZHO-ji1wAR^QrH`1hWQm1$R-<jnT%CqZfa?)l22mDRT@ljp5|yXW{@dv5kq@9q1e z-&Z>QWj}4Uj{WQWbEp4rl5IRK4bI~0{u%GO-+#OQS)9)P|2CO>pKE@VUvqzl+?D#I z<r4WkF?~wkJ<ckZex0v<xn3-8)~^30yWY-y_Vab-YuoeRuGd%9+THtI^z+vn^W1r> zL*^em`01-<!Y!Nlm3E)omt9LgyFb3_;Fijz_O;i}emB{*zpLEoaqrIjM;hRqdj0R! zsXO=2s?B}%Z}YS7;p}U_@3|HK|K-<zSr0va{*p1v?F(6T{+{`%>(5IsKg(G9i>Ghe z`tydDpH(dVbw*x0e*Si!+1s_RroTJ;>FZ4MT)&W2=Wjj^e!cnG`TqB_%;di<@1H0C zm^tM1jOW^A${=5S6+EB#>pQ1l$Z3hbH*W7H&T@aHTv5I9^D!IW|Lc<O+-LuO&i`)x zwLd>UD!;as{|@q9oy?x#eRlI_n9UDcb^cH1r>{THY>8YEGJogyQ`estUw+o@_0{tC zzbn7i{QUcV`m(d(e_t;Ceg9m!`}B94En0r7g34t1Li=UE?{ln5<#C5OW6$rs|Nka{ zeZ7DA+V68-uKyo%@%Q}~orTAKq)%C2BWFJGf8FV{?j6(joqR4_dT+uB<(NnL?|#Zn zPCXOd=)dT0bmGCK4EL<whi#oz?r_}jkM6y*7SZ<w-(T!_u&8d*j|0xHjlOfofC{d1 zw|SyZCcpk<9Buph^PcT3!JD7Y-T3c0)4AL7mo~G1HF&Oaxcl=h1-bX7=iP0Vua&;{ zvAfH&()@3pcx12fzFC#0??1Lk{93#FM@sdKq@eoWTIa*-W|TA~PXFA;Z}Iv^_52FS zwM!mcI$nFV%g*9r<@by1XQjo>rTt6p{mU)<*Zc9Prn=OE#m6lee^&kbU1Sz>;mKbW zL9Sq5Q=iSTcF(o;Tz~!jgz(SkzbZFZcl&$2Q~%HSWKUtC5C>xMN%o}2W;`vQSE+>l zoG5e9{o`MKQ^jkS%Vw+jZrB*<8vJ$@FJo83ihcowQ}@muKYskU|Ch{H=6|<e`)>U8 z|ED#VCT^6ddn9ak<9tQQzVFYzev9AMHSa?5z4}XxrS~4b;pF)la^%dOde!5v_WaMV zdvyN$uaswJU*CTA_xib=5C3;q?7ZZ1dr!T3sb<o>+TA8Td<pw=g#Iq%e|LUuYWsiX z9pxVnX~n+^uq(QEPW!OxM5~Q`7dJ7#X#Qd68`rt>@#1Gw_s?$r@p-S^Gg~!Iy=S$L zndNryJMvZ?+4fjE<ki~Be#xfOg6Gxy-hZ5>u{Xl~3IC6VcQv0Le?Q)r?~=H;v<58y z^SAu0Nx%Nc?w<5~E~lla%Lj*h&D<{v{Xe<wID7kdrGwBdp7(nEM*p5lKK$QN>)hS@ zd-=&rdmhIs@6X?3EyDW2!R9dU7ss0UY4-1Hny#+d?li~aqpVwWTetV=Gq-=HFcv;8 zXft1*f4cdcx^&I&g-rSO^S=m~f8oi0KACqx_d>~g=hV47q}y5VLF7SvThrL{AMCR2 zUhaE-txo*n1-sgfs~7)E`#H6;{QJ@)zdODQr1$23*1Ok}GH<8;+1U-EM|tv}zgJ2z zI{%@pMtH-bjC;uq4}?sozkXLyvQNBjajNzU!P|ZLYQd9@_srd&-E;HSo|(s-*`_Oh z>U{irBVQ=zYYE-oO>#SL@0sc2d+x)x??+9K<;;C}_Pq0}_lkWdn(r2i#<M9)oO)1n zq+0&}zmy#+LF(5Gqska;lb(37OI5%8t1zE^-^sN)o8rrwijVxU+;IEPUt9j>TT_hp z?5;ZhV|x1T-}%b(nTj%h)*ZN;FI<uGrCqM@(0=#qLZ!3TP1)K99zDson_2nU<@O%` z&(>44W4C|iI;hd%w5Q;Q&Uv2yiCa>4eBjxC@l(Ky!g{Oq1~X^Pd3g5q>pek_d9xdT zhgmlMSAKV1FD~NfQ~%q_&)@Dbik<eBIpTQ6O^II*D*u?A*B0Nk;MHfYom!nt`Ohaa zedKxHQCJf-$D{JX`_McV<2{laPFdG%kY6+ZR@$?-yB1%n-Fo`Nv#++7Kfh_!yI$I! zz_Ca`UB>LR@uB~MKaZ5A@3eWz2r`}H*8|IMCWVi79oCMT+-mW$Zh1q@gSUUr)t|Xt zx}0H$vFM}QrOT6dEKaNVU42k?(fi|R74aupzgx373mEUQY@GA*Z|Cbi0RiF3>t)pM zz4sA|eIfSf$Zy$3V;`1#_ueziyO36~`yEGux|-y@^jf>ZyEAomUC=$!`MU3bq#9HH zb=d>Lw|Mfe%QC(>qH_3lX*!eti*p~oRhxnNzn!+#op&=}F+BWx&CesH?i?MRC&T-M z-<PX(>y>a9KKctW<b64Ve}?g%*m9N)!jpO4Z?>Di;~mr?rS1=Sgd4ZN=6k@I+qV66 zA46Hvq=#>J88@nzq*wg5oek#KDn{>5SC(j+@v!z<&7)n)EJuz!(LUb!-FmXC_^wt9 z%d>UMPpe<rf4l6+edqU|zGqg%Yy69O&e^8G^<B07?5Ca&@@~;>+~+??>+-kCeNQQm z&zmdvuYZSrw9kEe-)YZetNHKM-;wQNs%X%^<G_E-Cb0D0!{)yaY_ew0-2Qrx*Y~S> zhi6~kezf!TIqhR5{ReKpH@#dE&khQ=Jw?IQMtgSG9D4O>3yLj<k9HkS$tr3yU;lpQ zE5GUfd-m(Jc&YiF+0C%S*z`T$iRD~+?pI`wf3NVJ61OIKOAdc+!1JYlWY5j_JD@K7 z<jkJ)QTl)XKNVz3N>Vz)*?#uO>&4Rl{<BvG%-VjR|K2sB*Aj1&OQX;Ix}wZ)^uXA* zKK^CXtvy@+$SS;W*7H`{d8X+5@A*t0dGg=C=5DaQ%=3Qpv#H#%*{16!te&Ltq0CNG z;QWVo-?C-ioi;wae|~V@iUZ0~ZS1ul<|uvSTdeCm?~KE_Gq+3A8T{Uy`ta=Q*UxJ> zb{Pxr*!{1Br~C>}{`0AjWM;kIMq}^tnfAXv%vksPoOb0NeP!c4bHn2{oRpQkcTSt% z%jm_q4-YR^wq9)TJ|c5mwm&fCNAI+^3;Flu-?sXDx4!sa36tGSh5LW^%{2cXxBad9 zl1lfBx3prqvrnFxt^TU^0#nAP4F_toZ$7lY)^}asa_>unAHCDq9l!q;eLl5=ThY(& zv-L-9*1E5I?JOpqp2ShVL;fMpuLu81;uuvHp8fF6&V#|)@Z^VQRprZ`Pvwp+M)7{1 zOrL+ZFkg9zfAo*<-&@UH9ti3>H`}e4F7)2umeG@wJWtN-i7jV)aAX}%eq8)@S-~&M zXCAm+y1c>WFeKld{qXJ+=jyrJ!$toc&N%(y>eXQWKW?Yl7r6KAcn2#xc6~lvx%-{S zgmh3IW!PbiOV93iKdKLQbo<PS(P;QHuQ!1ssm=U3<Ja1&pppP1SH16LJ-4^>z}?^T z<Q}!nd-!(GCdLv&lf%2K{VaXsUOsa9{rh$A{maL)4i=aG(Ehyd($Vnm{1068yp{gA zp6*+4+&EYK`MJkDS;6N%ynE+=Y`@LzyY<pPw=D2J{hj$;-RuL(i|xO32>*|pdGg8= zp7+{w4^_4%h#cW5-=}!~OWmQ$r2-OjR|=>vue959X{*(Gfu1IfBizepKAri{zGoYc z_`HYaQF2^&?=SvQJq6^WANLcVSg%k^uPBy#F+cbpYa648#}qb1%OG*xZ8x5l$z~bc zU)+mY<c@ydAF^qUt=Zn2>V@fF6xJ9p$(3n#U-_lnJ}K+?-%qL4zklA?x9#ww_bYe{ zlg}6?)@NHk=D#gJdAa+a_qq;$WVb5nUF+PjA^B|X+Vj1!-{<Q({gK@odTs9K+t>cS zKeJQte~;DAiDI{|{S7xNUmj5&@~~R<-L5>j4_&|IOuw}!U-3Nt`~BI5yWJi2Z13~# z-h31Gb9d#lU3dNK&a>}kuk0~A-e9{T|NCT~tRlY;W%e^u=J2ooP-cJf$d=01@_iT9 zl++h_XZ-j*+2_UH=gU4^zAR&Eef-}B_S!qOs?D?Jgjf8ZoV2a#Wa|7lN&opDZ=cQ= zfA;a=)4k_+^zUj&e$!uh#j`Fh@w)6$)6j&%PrYk5z2mu2o67v`h&Rjkm0OhSp6ET# zPwG7EENFhLhT)B){TwUXNAox4eV;lfFt^WUk)8nWw*`LFD*x?#cy`Oh@7kPg2CA=) z);^tF`Mb`Q;m*#mSHGv#+zA)rNsM|pPnxqj{@w4X)swXL+zoGOdKaU;C;#N}TGfLB z%S7M3(`I^bZtJ1jwM(1TW{BR~E^?n*5+5VQ%(ktc^U?N=-}HZfcs)gqdF?H^^LOVz z_0Qj)|6+P@Zt9Bs?^F8}lZEcw4VSpUKc6fAyC`G9^Qeb+W6ke|TebCg7L>;)I@s5M z+|6=eZg%VT->15R+WXDo1yA1>E{k87d3^ub+FVPYH@w#Wq+5S`sVzPmzvcATx4%Vs zfBwJ6QvO}EvAT8p?=FTN#cMx&`wQ3n_fBo=vbVpd_Fq`Yw|K`gt~ZMt`u}!FC)<f^ z`)?bj_T`+$=UH=~uee#>{5#~9p#3_|pSvcUKYB(`{Iv_ujpnMEkjS{dUSamH4p3wW zep}%8M~21YkVipz{Lhqo;6R<a;(h8buP-h+|Mm%Z6eKV8J2N}k`qQcp?|vzKub%w& zNj|^U;-fxqR<E(Xf50quUDe*0W&CA(!eh(pq<4#UTG{;Cng0EVHG4v=;WOt%{&$bp z_Jfn+Haj&*`AUn4`mdcm7!Kb4B~Y<?oy)#8{ESu<al)>)U)L4sex1U@AJg~m4qIXJ zne?{Wh38|}oSyUG<j&VAJUlULPFu@=aQM$)dPDq``sq9Vzka)~aH?H%<-6;?`Yk@S zzyIs6xo)Zy^2)mY;fh*+-Ps(UA9nmmkI~<4=dt#8&;66xJ@chcTh~oC{IB=eSi#a! zF8PdN0{^<tr@u^I=eOd0|GYr;oeQGp>Ky*PKg1#Tu1NlsWG+=-LH@5j2^-kI?tS$+ zRZn`)S$_9|!vFh}nfR};9yr)%wbSE&+%msizw@*176w-D-k`YgNyN&@HMME$KVNKF zCUx=L@0Dw;ne$%H14Y_J|6Qf}#e4Uh*!B7Ljg^P4zc-bMRo|_C&ywLQDE7a#z7t;4 zKj*<o%Ru#O?u~{!<nD?Kh+7>CO5iWatz{506xy@4pDDdytKGW|2bitcoNkC;+WNKe zNnXzi_Sc7h-O!l){QYgYSoP@VYxcDLa{b@L|Hje&^Jhy1LFI$hSARRj+jD(wtg_gp zub@}bv-E)6i>j+u(WQ5<v3C_EyU0e%mwP;5lx3EE!~OEsFNY#?w=2zG6Kiv(bk4Vz znzOQP6YJ)^Y<CyFe^hWo{MF%o`<;sXB|5D>f8IGky=nVb#SdYzoi&m2OeLcnykYSD z(yt$^n}g)?rCH#0)Afs4!rT(B4+B~BYyLmKI&GJt_~pU~?WT#L6Xs-;`|h`2UD5aW z-tqJI<>T+!{r!7=|EG=DzyAC2W7fAnw{Pd$?Yp=B_{YB=KmYr3|M#!+|Mq<^{ciQ$ z>f4_urT=d{zf*bV`uo!QjI+u6jPHCFet&%XS-ZcNkJtW9ude?ke(z87-gUL}XTSY< z@_VejGgJS8-=VwL^-I6+-zz`wZ*gLLx$OI;Z+?1SU;oRl`s(#q`Rd>}n|HM_^70;^ zBaSb={rUfj*S~HQ>dSqvThae(N5yQJ`q#BZU(4_P+`M<)-#@p~&imKR`}!Yj^6vV0 z#^>q22ll>le!%?xm%zO;u6LpGOtKZ%+dpgzE?ECd;KhO6b}ifIHdSA3cVNHwRdLT- zkvpsHSgby*<A0dD{6XAT#S4w)wH*1@tlwAhH}dUy?ObtNb4PeBN6CX&`9rt;4(@&B zykOMm(Eu6ZDMbJG57q?_4y+ADnqzv{2Wr0R=T2lh(f>{Gk4Dx1=~>fvN>{s_7Ms5K z$i+k+8Bp`}Rdi9<q_@@8(fj}XuBerq{rLR~@kef_4HN&Hntl5CFJktaO*h^DEIz)s zazkgYg!nn(bsNmj{my?AoGJMK#b>ve9^vH1y@v1J-&lT0Y2Wd`e%v+(#Ca0)KRhcs z_>MpNi2bbZhndChAJ|baub_Ev;k|YI4q01x@1?KJzV5DQKY`!<HPfR-eTE`8q)ThB z*;v2c5i9R;N?rHUjjtd1IBf!sJFx#2zPj}_^W@)4T<vz)#mM_#dh#~?>B-H>VfPO2 zSP=iAaqq(Peg7GjxZQs6_VsGE=Zj;cK0o~>@W8xcw;jv9RoTh=V&s{=u;pKGKM-A- zduIA;=Z1R^-hx{py+4a;IW9==iIr!{zq-{hejPt!RrB`O&JWh^GJAIVtD-~xhq79Z zJ)zf+)$FumdBJ;c9e?BYSGQ*9UvFpFd+;{629pL^wt!}q{hIk)yKZ;W^&j<8@fX)` zdGP7{4a<LhRf>6AWQ4yRd!OqUEPww+%dS1lr?_~IzA3Rcet+=CuUDV`6}xooUmQ1o z=J!^G(#mH3)=9fM#C6%5_AXRU-@EUpZe4%O!@1YyUfU7B@tuloX76wF3zM(yIGlNE zVt4N1_>+^j#QDkold6}g4*emWJo9ErueH@1@!N;{?mYXN^u#Coj-perlL&kLr&T?( z*{zP%&U(Fyd+zq@vP~CXn0;!woc6t=L*6gA&w8SudDK18vMWok%c?E@s=cSOZnxEs zE}M_L7C&XHHvja*<n=ix^;PSvAGjzk)qCW6x-p?$Y}v0lyY4vr3BQsqWj?!R)$G6F zeYbaQvQ%B$JH!2nanpf&C-Pr&DRAaC?=F3+{(0Lvj)uwE%KO)9cP1XsDcHWQ#G(F2 zNJVrw%Yl<y56#w3`TIwgagK@fp0(<edn&(k<=fXMCq?Z)Wc`wJ_HK6fKLxXj?sWF- zxcF(^24(j>?+hf@#y>p!xq4Zt`cu!>zr826taiCl5dXj5@RQ`jgx@<^(w{^zA6Rv{ zDCArHp}Vhbn`gZVuUP&oW#&!WTc-bRd=9_9+<yQ4x${2pU9XgpE$e!l*e(~h=<~-Q zdv`~ft!sN`3s?2ru2OPX|KZ!;W(JF$VHL~&q#3*JHW##?vrOi_^V)3+d0YOl<=nUT z;SzMd^CG<B^PhPy|0OQ`9C}^0V9|si@sAU(bFfuEwmbBiU%~ViSAP6?vjcVoD?e;o zcSpnafW_XQVjn;Lnz<u7e3|Bb8Ld5Qwaw$Q)*rHdy4`+%_(J~wY~`=J4(vU2dzTV} z-#XEI=~t(6&s|+5@3L@7Ui0>^E(&oE-#*W0dhjIb;oL7RXGPxLa{&d>9{#Pjns!|L zB)#G9pR?!hE9q-hZVF&p`I@iK9Tahj>jinX&EFpxuB5J2`RM%QK-;S5hjZUm|Jkd+ zSl#-)_0ei8zlBTkQt#BnnjCxko4v83b^CjHa12>LHJ`0{Yj5X|hbB)e<g>Q27A}5j zp78r$Vo-;~Be(sBW<M`~@gQ?vd;E)<z3&8i+_q0tpJTS$-Q`dCi_YtF@4nQ0-%!~z zZEiyT9r5{U{+DW<v+ppbvbHIj{t~^nZ+}ih?Sr6)wTkiUtyvadT>Igflgyr*cWjj& zwO^j{*e~Ts@3it(S*sVN?I$z-a^=svZ~V0Lx~#7GjolN~m+!p)bGqM_{qK$#XLG5P zN$>eP$2TGF;oDMohB-0XdoJ%vw)NymKf{*)`XtYTE%~k6zp6M2pW%AH`IYCX$=9B* zTmNJKzx1bj1LVG~XSY=D-);0{g~=KD`_nnBi&P7@_ZhD^S=YM#y|Ma2yPJCtSwH=r zxsF@hD*rXtL4jSpH4nesv^7F?WL|8dQ4}~lt}HKgb^dpF_rbf@wVA$i<-hI%C#2}` zyeUHawpDijsQBx1XRUVbt*!4LL-N7I`}^WNd92f#cb6_@{&jdcJo+>5+VrmbVOcpV z=ir(rC!Ie2wdqdaxXblDWPZ*&ImwTQGfx$&8$EJ6z5CF&&pQAAseD>ANu67DLTM{V zRr$5%gLBW`RaV|t`czi5!sYabgm$@K-&uX!UWZrcmvDgnBKl58<(eqtw}Q1Fwyn$P zxw-pLwIVxI=9(yDK@mt?C8H_w;og9nK_&k7y*YQo<m}e(PIm6EfBb*v)0L*p2XBAR zjb9NHE_zITmRqo{A6Jvel!KS-M7l4x{|nUaS&-XfGM7uN)r*}|Xz8Op8hfX&F6Y`9 zAhJhH^Vg+&zZUSnJlv|Ksr7abhnAxrm+Gr#<y%WUufz$6XlLHJZ~5GMbK0hBXDrj_ zr_7mMdg#v9>-VzP@BN;?cJKL_iADK~1<PYarhjS4dQp8iyFa5Mc=1QEh39lH+17a2 z7h9h*To8Y5^0O;bE2m4%`zLPretVIjBip^H&w3xIGK%a=>U!e1?~AMKp8ID`DXcyx zeKJ(*;E@?o8&B*}ePHl@duH7lt6%Xh^GuE|Ike|HXKCKV&&Txq%YWU`Yvnmsr@nWQ z9N(1MNUb|x_H*0|u2j0ZGe^ua-{5&@Y5YEAivz3Ib=xQ`^?x;^ct&<z=v?!2DwTRq z`LxBl&P1!Z&G>$4j(YI-xmnLWA6N-q=$do$Y~|!5OU~?U$$H*fedEWZB>~*B&re>l zjL_UKUdX5Sy7JMURJCJMkKfF_umAT?U$RcMb-rhEjGFiy&rdN@(IMIw^_P5ckWKG= zzFkE)T<_5B^Y1N=ZQA~Mn#r-$qR1nakAB+rIp=g1woKW#qIv7*X(^9RxmNuCYt*;# z&D%Yyy@fMl#0u-G>-rxHL?_88F4cc!v3oAmr1#Scds6eC>t^<xezK|K^X<>oGVM2n z9&>2c2C`>A*FAY;YWIh4zf)zBZ{Du-mU?WoQ|Hm2Z-3;DD{ebt;p7#6rLgS1(7n^Y zYUeNgDaU?}Dem-_zmr#1dQUxVsQTUi?(+qf2?yEEb^o4mcSUmi>DIOi-Piv<R2uIP zUGlt>uQ%q^z3X32*Pghz^7p<uHq%cmUsZC?%ChL=AHSay*uN{T4E$dE^0$y>prZeu zpL1ugY+7?5+V0vp*@^#N+p$0AvpL@IxoOI>E9Dm}pZs=a+mW)<Y2J^28V6>?ytWg6 zG5z<9;DzhnWZ#!>32_X2@b>p&hV-JZe<vPN;(wQ{v}9lRx2^B_*~;5e?0)?F@Yhh; z_tW>urO!{UG3?%ASYKJUk-zk*P4u<%5C8t`I=EIr`$^}0d#(bt>$}dg{(5TnEM$fD z?_1y56+<V8R{Z{_abRXlZT*rBCz^lH;8^*7QSIB`%?IZy&g9=`UsHd^L%9CG;Daev z0ci($a_^MelxO<YiqAcK`d3VZ)}5a8yU#T~FIx3v&y4Ud&ao$r>+AhIL{nJr?X%Y^ zShw1{wc_W$j)wTg?eF_J?rn_KK63iIJIfcYJ+<{NANt-#DaP%yS6UGM;O%eart+)n z-d>)ZX{Vi+F}qHFQ~7zhuYbk0U!Jepf7SY8t^G5<>UGKU{B~Dvs!ZGX`t`pzpVsxi zzQ1yV-_QRJ-Nyvu<L3Tg$~J5K<~{kfN@<_r+TwezPgjP&RD64IPtz2;i1_k(+wNKJ zwCjrupSQ1|Y~$<fdnJ|Ezwa&Xj*Wl(?ey+_?&tN(YUi@+zyD?Tcl*(G>#Lu9TK9h4 zevsh%Y0>vzFRgoBvH0V<dY!V`wSS*pJO26W*V@VdBkaTXh1Kod6MnG#&EJBOw4%Lp zc#qGx_I%xPHRgNrFZ--iUMocQ|JI1x^UdsA%;8N_wj|oW{(jqhzQr7y_b2yhM1Q~d zuso;w%S8PjnYO97+y4vC|D!A5(RaQ2*0$&O|1>PA)wotPQFv}(dy1^e>!}tAlG9^c zA8+_LZ}ZYe7wS$tQ%QF4+dD6J{n5nVn$>oOg$njfi}+4jd0f+;vr{E=-hW@oZ<{~W zKE4+2Rrs#%UE>|O;)90#CuOD-B{`{zo|}Dlh4Uu9Nng39ulO_h^&HXoL-&7$Rn0rK zbD_=L>Mif>lW%ALG`GsTeSX&cFEz_bKPB6idR%kd6!%c3^xihUo4*74{PTJbZ~JSK z{pEhefo<0<w%e!PK3*^_`{Jo5r_EkXSks)cSEzkOjr`t<`hDx4R#<*tGpXwNlHB!2 z7uKKJcKy+X+Z*2|KXRyxQHGg2_5Iv^bK{F@it_5tZo6(_F2DJ$>AA(p+iH2zcZ#j` z*_X2z;=96*Q{Rtu)y_NhQL??)^mbggbAH0@?7|G&#M{S@H2&G42C@9mOpYa$|IVDP zyY~6$n&(C@Cp4d_(z|T2z5m9~)rEO7Ti+&IoZgy|T9hOWaX_bY$i8yB%;(c(<`>?u z$hw_fc%$aXw(E~JT-^?Fs4zs`Lz&Wj-`{Qc?A_;oZZ7|=Z&J_S^56a@_57SIrb`u+ zGUxs8_5QZ`+}yd`^>ZucEi}$sl)1OE`>f|<tE!Seb{>8Lb^7e#>!v3|Vp()v%q|oC znm+!#&DXVMCuM&xyDew-srBD%P+<H!{5$8j#J?xUO`(d<1wKFijnSI_>5nIOb|2g} zy(ja2-TK`1h41d>V2g_UH`_t3Uf0aLZLe7S!`->nx_s8#Z+!zLopqhy<Qi}O{Otc5 z=NXIs%=yfEJMQtF+sVb3Ey~wzd@Fv&@>NXcpWpjBe=FXc^m@y^UtpWwZ9dQ0`}thm zZM!z(>xrJv3~#RlCo9*_bK7};N1j*xRP5SwxGutYU1n{;<DE8nmt&TFz2dxa{v7qS zP?eSk_{4wDl&Q;n|M-gYugO1e+GXC({<-6;_3gOR$KOuO-JftS@b|v|>eceubK28$ zs(Xtcn}VG7V|lLp;mCEa+Q#xX-DD?~PFS<v`hNMfx95$Y{y6jfpCKq^{ExgH*M0a{ z@wQqYnG^llu>8^KFiX6C{wHbc{Y#wrHhqo09rxI#=H|BR7T^D6V1yjI&htsDU;MmR zbK#u+%PEC7{`G+J-0RPvK)fiP`+J7P1o`VO5C_aI{ykx@o~50oIV4SVfBU@QSmm_8 zM#Xt`2c9h}%)6g_JA2M=`@47N2v*13VSiS<@JHRj+s%JVAF`f2+)?RM8@5k3vaTpI zaqHvm*K>~h*2#6UyVcZlPrCE6z3Q=c{mJ{`J?`H2)4Ojjza)NYj`{1A&YS#hU9Gj_ z>%0Bl`00-p`<i>(u77*`jPrKg$xr>7N*hJ$-rd@Fx$fLVzLS;L_Fc8euf6iE-n8)E zkH)$AQqPawHQR1walXs@jOC%?rtC#^OV9N_<NW>atfgbv+2@fz>rI~)r>~ZIe(&?P zz0=O`ez8;QUGak8Z{NeW*4(qozMVbC{N9GQTWj9M+y9FxuKF{h*!kC`Q#S55-2Qsa z)!zKg_BqSR;?&p2{AZlcjFO2ezxgdnW><R-D9p64yX<92S6a~RBKG%B;ty79`K8W$ zMpb9FUAH*@%WlW9owawqLE?9^_3ahYJD8^xpA0qOduuOa^f}xrRp!?7+-g(4|C`=! zwJ2Htd;i(*D?aZn?4BDh_59l0ZF`SZ7J$;HoK4E@_s?qdtM{4D-|{)U_wZ#<ti2Tn zx!{9LVqTzr;kts;mtB=AZ(N9<c)s((#J?NVVlMw$^WR_Ou%c}4pUI!oc)tq8Sd{Ma zNV)iVTj6Zk{Ff6x{EodHcY6P`={eOtbM$}Ruer0W|DoTy7~!u%a~7Yw-7CL8>fOF? z+MramC*k&FLlw*K>-SonzrD6!Mn|;$S|BK8e!g(r_kWa3+y3ou#pk^D5zU+FB4!)@ zi~sgd4cVdz7q915_kMn6o?G2poPK#*|3jVYi>6kd+t6FpS<HTV=Mycd_PFzPbyNK$ z+wZ-sKKtkDqYHa8Zf6%t+$*{8MP8V_=;^E#@tWsu+i$ci@SE_&=0|Jp`oei%=d384 zm%9JVoapm&%|E+8zVo}x@?3Lz?r+t{GGF%??Wou#^88w(@v^<s&fk6yE`4|B{+>}Y z&2sV7%DxS~zouG+&tgCQ^!KxLaM5vp!`rPEZ)}o5#cqtU#pjHr-7aE#{rl$WOn*OD z{^mEW=kcI|vDg0gH^VvV4>Y`Z3l9|O?d&?X@ar+l&oUGJB;UN_Ewjrid~rVq<o3D~ z+xk15dFL!X_x)}5+dr2q`1@~slX`wI925%Q3vb)CZI0;<Tc^lZ`19Z2qMvp@cIK`> z_HYS^5WQ`u#%EgaY}$N|<cr0rUp#i+k2e0ZFn4`n-oBLE*>kM*5pL)>{r&gbKa(z} zZm{OR{VhtyY(L2M8#ZaTqYE9*J+y8sPtmu0|Mg2$Y;<&V{MjiAO}n=3oA!G3!lckG z?b{YSf4lJUtyM4Nr50?Kt-Bs}{BIz?ZMJ_KukeEZOQfo9%{!N6DsR8~>5t6MzqF1o zznt@Djpdf*kJHa~o-3N+yCckEzQOJXx-Z^HFB5uZoyWgU$TrtK`^CiX?%AsrPuO-S z`IddI@7FE%Jxw>cu6Lg+nEu(}^RHW8=eW;y&iitH*V;|>ZIV?+b=y{5T~mK;51*~H z{Ad2dPaiL~DtZ3H^YbsRO7*>A!XVN3_{2Sv@2%`hx+Ps@^?!}!#mWCRcz*ES*ZAM- zl)Udj6`zhjM*AYIs~&GPI%t34+@tB+l4`!O{|@m#=KrAWt9KnEZ^@SQv#+(A<WD&I z-<o`~{o~O;PU~9#z5KKB`Ud@vwO3zn4j1^@x-_xxWQ>rAgmCx+QLW3r47vk692Yo; z@k_?7XqUalI`hRA_PbN>s9!SK>Ue*R-OK4$+s}E}_O+Uvs#(X{CZoM(e)7TEckHkI z-fnc56K_%d!TQRw`dBr!zMV=nCu^G7WVc>0e7VHVN9gOp)_A`IoED7g4_M|j2p2F> zh11w7@I#)tX2Os8h%RF+Z;$9z(YpNUcT*j?uS9KdDi6I9_9ULWLwA8r_f)1wdJ10G zBSgd+mufg=E3H4Kk$cJG)|Ly?+>+|Wq8(=~^J?Z)nU&?EyhJT)P3V@eHBrvIg`Uwj z=H=hB-TXZ6*~Y_f&fQ_RRNra+{p`-~^WN8eo@;IYKGke`a4_2x##9H%0HUbIwR7jr z^$x$fezk&@)=Hk`PP?s`)55Onb8h>>{x&Ln>%CgDtG@#zJymnA@7grgF3N0*-NLzB zY-)FG%&a<Hy7{@8xo7(d{>8iAwA<au_ba~4DJyy1A}ieWDVNs89iNUB{p_k?jkKG7 zKK#&%pun5USM5?;5i*f=>Z?_+l9n!8#ijSokFn?KC9xYfcG)%iif~PJcieM8pv#WU zuzOuZu7#7{b<xE;{A-RqT<o-Y(Zue}Tu!W$kH|mlx~LZBbNNJp@Q1Wz;hvY5T{;>) z$ILJ(=|aK-;oEEznTr<J)_VQe#doUn?=0~?$=w+T*UY?NJ^R6&^3wfpy}J$wc5RTl z6@GW?{1A2H1*Zf1wk9lGpyD8RWnS0UwQJX|=y@05ynFS{sjJtXy8i8<f9l?&A1Bu2 z9Og@oSROFfiS_vJD6NN9t><%B@87zt^yQ}AujN<Eo2Q*Q^6Ryfl$6_5|LBd2>~7SC z|DARF{jS=xw%he3MGI`3el7U$>u|K(<-b0@yQLP3O9hn8+FO|?|Hd&o<c`^#Ly3<k zuX8`BVUz#Fr(Uagg~71}jz8Mjk2iH}74ml9P{O*}>;1a(i5EWXIaV!J#2Rh2KKG_m zr*~P4!(s*hLcSOuR_&UEzrWT#S(P^x9{mcoY};%#^qe%#9PsYmSh4&3ob8ear>|`K z{4F=6z-@c=<-U6xKTJB%d*9))@;@!D&Uf;U9%@VeuM+-$d&^sQ)z?4!jXugR(Ot*9 zxv##j^FQ;gN571h{&=`Sbmyv79})u2%sMK|A$^DW!toEcE?zz9^2t%%etD7D)B=Z9 z-xswWUKF$-XyWQM)3z_?&fEBL)A^&;oxhmg`)%fBUv_?P#={Ll>-Tu)?Z0{Kw4M0- zP!_I=??FFBrWPcC^>Xc*T48te+Qt)L*_2ldd)Qa-ED+-J`5L#SAfj=5l+EN5rdAa< zpFjG`)5vsh`wE^VP{|v5d#+C1u|Dl~&E&KCH7DHn&42tm$Y%MGfBh?4nuGSn^gM4V zo)%+Y#T}pDyZ`0&qrX#*na<OC@Kr+eNs`x{Q>xPziTEnbtXjU7SzLXdc=CiSrVg1i zLh9?7Z)_`VE@m=iImgH%uyeYZUr+o3ro&&EqVG>te=hu5yMS*Y<ASwY3XSw~3S$p$ z+rTn^&iBnL6$|1V7=GS4R(m?}c64QAmR@Fmyw<vR{_Tyu`!&~9T`=Ewes|-cgjz|* zUvftjkAAP*<GuaVftd#mY?A%7CjO6{#B<#_*9+fIzgo%hT;$I7jcfletKC;p_~^I7 zgE=k?g>UCT+%ac|U;6F4yyvV}C>F#yGtcq9tyB2Ot~dAlr^%mpPLX1IuEX>r@>W`> z@b~l__uU6~_DC^3uVJ#7u`Tk^ky^>yF3+t_UQO3FWjPnk`9R=STBos1e&C(2cOS&$ z73irqJWuWUd|9MFx7^&~{gSo^pYsm=w7iveQvI6aw#9cJ#5nRcezs$(u*=<iq_cFw z+pDT&`#zWQ)yv;@;w_xJc_KsG@x+fQ4&fw~OYkI2Y(a)Y!-Jlrgm;s_Rd3T<JU#7E zZFuyHzuFb{nO^TZIon;AIxJNRkNq27m#J5qw|>ig;lp-Ys$;j@ey}B3{?=dLEzu9Q zB+s}0KQZl}we6Yzyp7xU9-3$W+hD!9>uz2@>u)<hOJzU%x~9ESR;1$l^S6~7`9D{A zZ^<sdwekGi>qW-vuiKn?o!huwIsJTh?TqX9U3dTWv;Ma5uJxkW-Ix1n-~D-S`q}<X z;=lg_71mbv2a5#v@z1TDaeeoqyLHQDf8VLRoBk}+SAW~#9VUBCbY#S)GKoI0Rrzqu zvf^fY+565i+2e12ZsvdcPxIDWu#NwHw{RrO%Dqbb_nv!CDPP>T#5nnmGTGyAer`TL z_quLc++&+FubmsWUp#o)?#%1>MX_@)_tj>6pO|s|qkZ+i4-fyQ+SJ$Wzj@s=!(yHB z**`01oz{=s&2(GEY+2C0Qmg5^JJ=5X7ER}@bDpTq`QVFT@>~Oz9|x*cZu0%T{*2k~ z$;Q*{_nbNGqEzJN{{0Vo-198Ep*Bl?_IblC{O=q0sH@ruPS$xMJe5iGL+AI{O}YR2 z&#Ko1*3=#T@H6&&joF#mWx5--o18tizvHy;ae1Q;oY@)kuD86Mv+P;s4YRXadFdu1 z(Rr&j^&hs#YW^PcPv`DqhsEM&d+leHDgXOc^0?i~z<^2iLG7X(e)+AX4DnO=-Wgck zP%b^toU-HXO1^DZeq3#ozQ3IB!@jU>?RFc%Dx!M%;;hb=ZdiM5J>Q)lcBKv9A8yLE zNP5k%PeXQ%fz^)(Cf5&s`&U=`;Mn(-%zN@@<la5LjqgGCCCAwp9iL~eYmQC-4K>s* zecQIfV7Y@*i<=jIIUn@Ez5bSH=F)HOW@6Tlzr23=_24^3s7Zg`Yj0aBf77Z#--|uF zmrw2J1x8)TP94Af^Ij#~SJ-b=@c8$Yg9e3DqU^SSoq0`K_Dugm=B!C49({Sel5d{< zafow2R2EJV+mjD=?w#zCf^Z($FM^NfdzpevdT?MT#JXmy!h&ae(!r`8{C9b<^Ug8} zD}jDT*Cp>(7ykMh!oHsG+iGU>eg3jnx}7imShtq>$D)!CvWuH#4NDIEcMfUk4DG$V zez}!J#k_dg9Up67Jzy#fS+*w`Y@kg-$p<GM*$@AfL=uxiAA_8C&-y;Zc?AnCw1n?% z1A9Tv$jU;*nLX)8-1=`J?CtG8A>pPc<evV;F`E5@>&pk#ZtTbXie+WieulV)N8D=1 z7hZ_mZ#VYl7mMWTcpP^dZJ)P}eJA(dR|k6E2eXTJFAo2{3+&_#Cto~RbUB8-wjCNN zdV*FGe`bN@J~%IK*0z1^;BbCPRMy{vwkBu()*f!M+?;phU*q%}Rfj(w`+ssy=8wZs zvkp~B*-a{a+;8x4_T^rAzm-bip{1HfQ>q_{MyJ0iF6>*v_u%EWo&u(VMy_bpzjn{L z|1@r6|6d~WQee*i4YO<Y@}6ziO}_KP_F^hi{^QeT=RdeU{oJ4V=)#A;Z2D~+{Bm|2 z+FRpII@hSZ6}ODgf9o^rJp29^=JW6VShHQ{v!vn8do?GoN&b$R^K`=b>Bbqi_$rT` zOaHcW$IN*7pEh0(V!w;ePXDp!(@%A^`Sl{o^AAsM=@4E%YfJo`jgz-|&N{!_?bq3K zbGz5Nr)!Rxa^7xW-gfTB^G7=EHP7zPV)}h&Z<*cZ^GDDA`E?@w&#|d%|GI(=t6Y8Z z`CG$JGv%(TY+CYHh_(BsW~QC^F}O)``WEv(rGvdc&Dkzqu^|6W@^j<gF?Y_a@2~kc z>A>$(+z@{%tIhv@<V1Sbq!W|Ad5h?zEno7}=DXMapKp$(?@gU~UYO;0?)lqR$@9B6 z%Y3R_bYSm$P3!qLpFc{gt9|l0lqvsgF~pd~YV+fJCY~>><5yH@xw_=<A;zE;DrcYn z@~r!Ov-f<}X`}h--H$(>*bMXAzNiOwzp4>Ng|pn_Jb%OHu=v#T`;C773G1op`@CC> zQ4tj8d=s2hGwtN}-O9Jy{V_5>^4VvRLYr^zH<uo>6Hm8%@;Rij`ivgJC{w2Qm-a$j zRW|v&d(xBQIrr5$ITB4X_ZKvXXnB6FydMJh-}SD?7k_S9Yy54e#c9R)%en8ImfQY$ zCMZc<cegt)P+|UlV`ZDZ*8KYHPdnT6x*i@E*z&q(`;wn~GI!mtDSN#6-lj9p-4^y; ztlt82jZb5B>F&Ab-xL=b@3;H(b2ZcZV*i_9k5%xC@2lTuaJ0s6mz_$jUR>Y({!Zu1 zkF%~_w~oB8pZa%CtDdj%)+M|AxQt%cc+7h5`CNQ?{6Q0``&VqZuSTcedY<~{AH(~} zv(m0Po>6SAY-vB#+y7ek$o#o^HC+KFXVyBf?vLi;(0q5!_K)@NJqPy%Rpftb_@2$C zZI=IT)5lHgMee1_eK;pp;ci`VGo0&v6o18Yjy=-zHvCMD*WB~H`ha!#gS-1LA3MD- zs6zf*!*{mE?dJ}HINPfZSo=T7y`A_O#FPKrVE*Ib`h$DE7fS2b?BGk=^XHyJ&Hmo6 z-#wef?|xoA?OO8cWjqayAD+~9m8k5!*IoQ~;~Tf%R?n;svB~bAlXtH*L_{MjbzPXm zTZO}=N53A;`cQr-xlHbmc&Ys__E}#dpB%2Pe(3((!e+tTsPhsYt1d4IbQV;VeIW9C z>f*j1_p0XX)VH*`e=gm|->Uu8e&bW==63U<mH&M#c^urb=%Iw@iiE!zdhfXYmHoLC zXMZD{E5BYz>^1u_@6S8D_k3o(=f<x8+v}n1BgXekjpd*9bLv20aa8Vuo=641ZPw#f z{^PShek#3Naf|<NpK*nu%f5ZNy4$k;NzT}HBkui&T86&sdrH2F&a2`QS*^6EPII00 z!S2bK_Bn^mqSHS(roXG+Hh)<w!*yNVpmYg^LziOul@6)iE8X?L$I7v`Z{C!hFY12D z*v^{1r24es|7){PeklI(R`=VlTFak>|7Tt;E;0YdBYgjO@fX)N=^Uy0toi034_rHc z@jw68RMu%#h;Hg!-U(AfC69|g2|l}4VEeLTN4G54C~3z#`^?lfg*j|u%iDT#eAl>& zlm%v97x||4G)?gdyPgPJEoaKMrc*+ZyScVzJX*BuN1*bV2n)X>CMp@{cFcW#@ADqp z^D}?*eBW37zVh6q%Jg^lHh=%W@BPoc=PjRC{(t`NW;J^lkEGG|NA=OSZ!O-t;i2}5 z+*Q(j`l<7m&z%3>wEt(bwpPM_#$`PHMY#p<dMbHL_Qb1Am5DiA892FOy2gh%w+eOZ zJu5u-m}`HiYyGfo+s7Y^)IP2Ydazb`&+m87Kr*jID)iO&ES8PCqH^yn*PeLQJ-(|e zYaE+D_V4*`mRjLswBEVyMq1@V5oR}2oyPQX?eu#Eue<iQ3pWbf{@cj<$Ikq43-_;& zpP$N>Gj9wvT2>Hrv|!Uyksa@2yR2tEKfL{OA%|4Y;aj<fH&s8hxRcscv#K)n;e<JS zi$uP&C{?!=)O-9nuKZ5_dikE$47Qgi-Oj%BeE$bajk)_fzTcktZ0qH-kKJy+6WF|^ z(74@8nmhB@o2NxRrt2BqSF7`F|L1?}*L$ttzh=ukGZs!T<d;|<J|q23R@kSHUZ!`{ zpQQI_Kbz_=8If_l*KFN{(g(N9XR_x^=zehXtb6qtzX|=@Zaq$zuTt@W`=+1L)1<CT zKf5g3`)hAL&|GwAOQGf>uKL|+RhwtNv6+3mEdKQ88(-T}mQ<EZ&HQtVJJolhq5dwJ z$7iw+Y&<U99{rzn+rR0jLiWoVpS;lMbj(Jf|E%%(9j13reU`XdFn_{)!*w%`>zQo0 zur5fB`)8EK?CRLfZ%So1{at$D&z|!pHcy_Lzby=Kzohv<d=oFoDLETNix)hvUHaNi z&h6HpIcD>YKMuTP9I$>P<IO++3TNMcZvOdC-O`)Sc|J=RnLL+Nc$WD%k8i5kwWmgD z`qPv5RPtK5RXi$q&2G>7wI3;bPQ1UswqvXA^Ph9GcKDw-FA;v`y(yd6qo^D?n*=77 z%7R6?{5zhhRDAH}G%J1;5`F7&Q0TIPfLniNicM8*-=ePhXh+cZ#Y(Hh%1yWbeq(m< z*~?=~ZT#nFUlDxvQQ!Z4^UkmSTfgoPzV~ahVS~JheVuLP)<uglCC~S-@Z7UJLZ0=x zcKYt%dsT;S@162_k=n<7{*C2!57!n|1WvB7Uvr>Z^x@k5oyWyZD&8|!ezY^WxQFj? zdehU^;3=E!5B&7sqWiXX{e;K6rhTq4zstgZ>-D5h-_}35HrcS0*XQ2%^FKGOpLxCD z_1?zs#upaM-}!#MtjS!in$yeAx;FD2pT2AU%n3_wU6Px=%BZz@QT|8yk~hIGqL1A< zbbMp}{YTf<zl>g|cJ|`VmgoFeRN{E=7x6w^TLN;HY0YV;L(%27{rXu#>)Y}pT`F#` zf4pbG!M{wEi}Mu^zDgD>4%xU|^U029qBi$iA4H2y;GVm02E+;bo=*6xyZQ8s=rdOg zuTJ~6BV?+kj7V+w{{@e4xZU4WuPT3hUu}2v{$=@@DrXH#6wk}A@Z2M{FV*nj++yD6 zuD*}1e$c%xbgwtOI1b__*@w2<8a_9%eHVVxyRVgHb^p;V-}gH8NpGL^e78W2H*@Fp zRX_L4Z=801yUfOYPd^#`n&#NDdPdT%$6YI(i|@aP{^NfCt8VtK9~R%<M&J2mTFd?S zd0S-or$3Fej;wwdz0Yyi`CpZD#7#TH4{tXSymvUf0AlYYpNedmxC;;Jn)Y1WJ|W@j z#u?xCg`X%~fA{d`SdM+H*G@dzw!W{Q`@*N6)3&e4&(v6)@3`#88D)W5?*8`v`w4%w zbAHr$zh$%QtNksuz0UXkr?W8`o9u5tgIS!XvWI>D5m0$$T65aBrF_%jZm@%<3EjKq zU0LIJ`kw!rAD#PJS+pNKYOAi?$9-`79fwDuw(Z}IFMRqb_G1BO#QjY=zr=)W?T@~Q z{&QsJwMW0ECC>f{^QQMVdGDMJaqzgEq{wT1?I9$5?<?)m-CS7{IJv^K=JeT??aQYj z!dLsjBk|i{>vWVqu9|=Hw`9i8n$roJFNxQj&b_#O!i%4pZucLlpW8C+@*Djhb>7#- z5MIm`T5(bQGc07CD`uxb1G9+x;oE;Y(AZtuvi;OUR^E5&C!}k+Il~SdEzf`cQ;+5T zra4De|23|OX_$6?`<$fO)4N_odwlsLvN->vaC#JXU(^@fv(_J`-id=IpPf@#RwZ&l zlh4!YmhGRF&Ow53pMQlms9cZZy}zmH!?mj)e!x?Y_Jc?7^ya;H`k;GX^`li8<NNdl zPe1Fv-?;DTK9Fs{>NoB*E$98QbNS=4PybeZ-ML(^{r!2{(%0Aj+_`+)!+iEXPP@33 zZe`rtItq{e4!?EYws!wnck3iOu5D{CH|+emVzqTo&6A=Z=iKxD&ia|5nt7wo(?&k9 z_l;PN;Nx4@Yf7e_b?-l3Ba#!noYDHT!#j;H?pyuaCichh-T!%FZM?DkS|wiVDw*@{ zA<Da1qmS(PW-4EM<=J9!s0C~HYL$yTTl}~B@CkRl_V+bUuC1ThU*{mT?QP7w{uK~s zz0J0h%Kv=vps<Gg&wtgE-uorZI`z8kKlj_!mz?av7f#V>_Nv^(bnfZy`dNzaN{#>T z61Y>gU3FH+{NI0M_S@H=dGX<Ida%#)=I=KjN}Vc@^$a$#`s%;=>v^60zssiGVY@!f zkpD-_AL}Ch)cS364!`eS;kl<+wBo(W9@}(qj_rM4xVQU57`XP8y8n3BtPf^29mhfW z>v45VVGeWI^GI-Rdt4oJ+oJ{&PrdI8W1TBryMb%zteXDUQHO5Fovn0i{?oVT#eDUd z*A@S7eqX5iyz{68<NbYWCv=#<e{Pr7X36oZkmLU2D(jsM7v-Nk7BG=$fA@Uxy!_{j z-A`M{`}#;c)Lt^<+YYC5vWM*U{oek*V%ov;-*?_D$m@M=pdI)0@y+j6KHKZgmd>zO zx@a45^t1aS%a2ZRy`?i=bG%P!H^0aNVt*I7cg?t>M%eek)rj_=$%l65t2_rK-W&B7 zult&;?>FfGQEQ@7;VO3d*-NLs)wOe<?|!*$ugU)YXYDF>yvh~!%)0aQcV39m`E&nu z!e>Xen{^qdmhQX1#5VrcV-JZYJ-7Rh_E*N--}G0$M&|c7-Mqgqm`(P%=QC&aZJcUT zzd-F{){klP1@29|Yyl~Ye`-E_TPY6BO7_ZouJY+;6zq4n_afS3!><UR>igPHb|ku2 zfXa(C>K|WO%!HItE3a*z6X$l{X+zRP7lmJ9LOlMi*SDMOI|#1`>Ktz^$mD=!r?^A6 zZD)WgtE?Z>dO`UWQgF=X$p5P#7Y{ELS!3Q*%?1_2r_X!tsbc?7#};LGzi8uE-E{Z+ zPK(6L3Rk4t?79AX)is^gPrG<OMMb;1epx+_Id9eBuiiWI3eQ;E)c=m#{^FYbS%X7W z%)O=E`&G_f{QFJ1VD^in(*q_~m|oMp*Iw{!=P7W(6|wz?_Mzzi@^bN4RN{I|yT1$H z>)Q?vmSek)#tYwD$Oj6dW4n%)9kPDz3sc1}aIg0`OqFiS_ZxxdxTT($KRNm{EM~h$ zlUVm(HKxaH^Up-2&$#atxqZjX38pd+A&F_%(VJy<R*L7`J-_@BdA#R{|C~%+3+{uZ z-M9Tgspt2LyuY*FFShq!GW})%3Ay)+?OiIO|D6Pv>-XI2XMFf3^9a=3lzP879~xQq zj&WZ~3-2m%Ml~EwRIb>^{jm1SS`|Op^`8qFqwMY*9se~=6S<%j`!k#2*R*33q3L7R zzEo?@kjwWg|2cpw%l(Rb?$$pB+p#Z|9onuitvQ{~ng4gS&AtT(|FVE9ZLS?RzA}P~ zK3SExz3kA0dFI!&TTC_G?|<v;oFlyHh4s8;EBEHLuKE9!D@Cig_Vf&6c!hHMy+?A% zzp2os!l&v((JwzN0w+(uL($*G!NJXY|B`FP?f7S)rUFal<sV}AfA+_m`=7h<rwrKU z{t4G+{8NGyx}VqNuhc%UeZuAAi;`F#?K*mi&3;|9POgpfm(`))gbMFI`q%&A&)e#| zi=y{G+jaD~$LDan)9<B0jfK}@<wEy%rNiPhwq^U@OmNY^G4CUP%l2}8aPElM{=@x{ z^=W9zx#3%J{rd+{FCc48^c~*{e*2@~IJ%~5=LfFn53noipWFUum#fg0h&Sef6}dLw z4UKs!HC~I!s|LQQXnM|j;nP`n@f$y1EV7VSy~w>=;`!pGx$`aLb#rZgAFkQ*(PrN9 z+Vk#rf0;gCT(5NY{-*Bx=Aab(Y_Y%4y?JxNWnQw~u69__`1#^;j{L*PHv2$6`g~FD z(CwcZV0X{xf2X=<DkLe_e91f%ef{WTP`zd-U)%O!TX|93g#+x$`E&XwB^*uK-|g_( z_0I7Y6ZzUEm*OwVH8M@p^6hrrIOjh7*iVhlxEn`7?)!b@XGZ4ZoAS>Viw0N9eYce_ zeSLjS|G$|g{~xyhtW~}^r$TZ4v6?TIhoT>UP@8pNwV{0NybtTDI*x;jz@IPd4*fpW zJO|3*ZYf`Lcy<O8NQdj8+nc7sRC%{-Pv?H_Ytk5=Z1>CP;o7naM`rn+pXTS>JvSt6 zyziQDL+4$x)2x0w??pGu?9WRtcsje^?Do$WUC6;HoM`teUb}{u;RtdMv_~{t^!W6C zz4)~O`?ej83j4*#(e^2D#nD{?yd93yOqQCM?V91hCB>>-Dn0Sd=3_aF)kH2|&{B!W zZk;9N!6WZ0e6vf?#B71@fryDoq5(^`Y}Jm+yk33pp0VxoDZ9^D_y68F+5F_2bLVz` zpZnjo_+9?FGu8Rq)}7n?rF8b5h+h?zS6{_#IaoHo?)s$G4L?83ZNACvXI9T^{`!vg zt5>_uFeQI5=zA03@&EIh|E_g^rS<P}_pD91wy*T-*W<g&r`B)FdEN4`{Gr~KonP)r zJHDSEIOpfy$H!yzZ^cxFyIrWB^WtsQq}Q&qz2E;8{IbvGiy8OcjN+HI22J;bUEW`l z|M+9k*`?*qEPLw}tIpdV{0icEv+UIu-s|fGGGcz$g752H-nYqr`q9Muqpj+`!R-y_ z41PEM-@P`jf|LJG`}DQCTRCF3aoBvzj61Glu%4%~=E(a!r(eyO^zrKYJb}nFe48C& zKQ4cF_S1RB=$|$$(q|4I-OWDjtp)$>GurW)-*U`$ALKiB%SNYtMfm?4TUJH?<B6^} zubcM#tx~dF=C#tViLdelf{j*RF+BQ)S@vyXiO2uVYyZ33{M=rwIXh@Wppo{O>-%Tk z-=TB&%yaFniL<BFC&x_GE|=I?5VQT}4yMwCH@DAnac^?_wBqik7wV>RrR=M|1&cOb z=H*?!F!}Jy%TJ>Pjdj_}*FBJl$-C8Kmi@P3gUl4GWl^%qOfM(=OWfxqBW3&ZeP^?J zZ+y%w^FqEL>201r&5wy%-bgv(6mvf8#KGQa&F8OJ%=q-sFK%{sbG7|+Huj}`7i6aV zOD_5#a&p;EX-oE%y(Zpe!jGeL+0CQ*<kl1#+)TbJ-Lli-#zre%J}=pYmKuMLKS|hG zu-)_O7uj=;S+993+Y8rDYxa-gllyw&;J;H1--5rL&g;_n9e(R<%LW5)@7vLH4Q52Y zEq!}%>zw55-rOmX)^AGLkKA(J6Y1f7to=%1_f2-IdHhS9fBoO^^}oCSzv^A<{_g(R z>z!@=TmlwM$?}Ft4|nW(_kO93*ec(?)i?WAUwZvjcG`J$+fO(592F_K_^HWpA=A-Y zpFLRhnoMIi)%_JJXse0)dDY~HPHD@t&0pjvpZ~9Lv*63?Ip?pq%~IXnS*R;D|LKk` zHFd{6?Yyi!-?wvN)RsBCXT5c=hdO?|voibduTSZ+6V5YxXMTu$#c?+MrM=qx^Alud zd^J6no~3+t(%mCF#Cp#c#YEY?-(K_1{>9w$Y#BRWcroefZ~d#cz3%#sg8GR+bl&IZ z{7;jrnP%nmIr3Nk$>;S+k7nNQuWS80^Oncv6m!FMu8%j%OrLiCe6(HvhZE^<|EA{# zW&T|Abj>%LMY8kH*M0hT?D+n<Z1b1)U8tG%FZt6?9nXf(*%tF*@nm9mx-j!+&6Q7; zbKLE+-`i(fO3#`ewQjjZ=99m@m-&|;Okb&NGJom+88vmSD(5bpFSZMo&Ybo}?bz0g znrV+Oefrt*^m9mm&9zV7rz3T*Z}nUwJO3KQTIbo99&ElMIP3hSdLO&_%A6b0S)Utk zotSnqFDa(`Sh}U2?)>u+cIQ8yNY_o@>bdmk=4qQ39$((;JrCsO7G0!Ji&tgelqiX= z*;;r0Y}-$f)&C<;-@LHrN|EhRPv!UdGBq~5cHXiJf9m`_{^WDEN@0}!(VsrKOCmST zmbkv?V`QKA>F0W<pP#RIviaNR(pyU|6~&&udEq&8Zp^1IlfQmGm5XezI{T*=vFPzF zm-2w={Lf!a?soivY3<wQUyA*Zw{Yh=+y5ENi*5b0{yu%#zV(pF@4qJ+?VmoM@A=Ht zZN^vA&&$kgy19-$NR+v`aKb<Pw7>h`Uf=)m(%u6%ywX~q_wBv7clDpoKa|eZZrS;T z@8cI+FQt`xJinF+Jr-Fgo~yU_y32*%`HQx{oour&qxj`s&8B;wUEVKjjnTi6P`4n? zX1|~L@5FyTo2wqG+MJQExOqtL*5|l+b>IK=e9qg~sU)-B`tP&wW4kAPylP(UmuX)9 zFZJ8QqWkI<^BQ?S`PlIntXLa;t#VEDmigJ2=3RF_Kl#mqgb&pp^MCHEJgztI`NnzG z3Z*Uk)=l}B92fqt{Ym(38@uz+^wafx?;@M;4t?I$v6X9|e%@~L>88!3psg8}tDo-j zTJkbP#qRX?)M>V}krLp3mB9Y<4{Mg0UDCPf+mn6qhtBb(Pe1ccJAeQ4iFCWepO<%S zi?8D^j28QAv&eS;FB`3ApSJCJP`iKE^NMM$``)YnJ-+AtcYC29!P|@9rJD)rUy**i z?>_6I@@W@l-;}9JzVp4h`$g`5f&Too;upPz_uuC(`u-v}M*qfxI-Y0x^WvA!{#ziw zvh038%lbpUeoOw${rn}mreV9+!+rN>r*y1N|762_`{=vhzFSI9oAsA=S7u&a^mciX z*uvnod7Sbkk=x(=HJyKa;cKy%@~;~t-iKc@pSH~B@gIlT-uXSdmhx-%++J1$s&;w< zw|`Ns;=g+cRL_{Z-M!TRLRR}r6Yn|o72A*RVtqCH)+B2ta4a$N7vEbNu>Hc58=oER z^beG6+?Cq3Ho9!4W3z0N_a)QvHPu-ea)~RWw)_n_r&O})s_w&Pz1P!B_dow^QF2%5 z@9{O!>lB3dmnuDHzZFxJd`0)G%lk%7aHW`hMVGnb9ve6X8NQm<=<>eyab?Xxw&&be zZYSRrs+;vas~_wH+4={2ycg!Z^#8QxwcEV(gl3z`^EdChdQJCt@fF=$=e;v0YizM! z>zBJf>h7Z6Is4|XiB{Wl;(6hVU9P|SBe(OY{kd`d#Lq^v>Gvc`PYWz6UvZ%u!k+4q ze>y@ge#_1;$5uqIQ>rSDIsX+L1mVHkMdrSb^7`3xnpxfAeb9<%wuv^g>t)XyZ-`x! zH#7J3wEIt2L{Ip6>v3SF{o9Y+Yd2pi482|FuvI@G@&AR7SLD8PwNEvDKR>ti=8CJU z6Ce5PwMqCtBX9rtAD2$gxj(tLc1Ps%Sx=b#n7lasa^J72Pn8dy_V4-3>1_YI|NJ`7 z#*nN%Q*_^Xizz%MFEZ-xIn4HczgO@JpK?{STOla&VkI5lUv+ukHxV3+@B0^&TU@+t z+5~P}Gz)&|Q>dEV8n<QVm%NSz<ti6<ms<3L8W7uEE?9THuq`*e4)XqTr5CckU(7i7 zCNS??9JlA*ti%Y8KX-G#&hl0N?|j{P!P0F<`WwFOm_6mwwmEgJ*A2OQ)K5HCu6rIE zc6>_D`nsFnr?AgF`o@6!is*aA&jsg0=SU|sS8BXpTz@65U+#RN?W(<epDJy3xu37k z`s`+H_s+I=zgO;EtF#-xs?WZ*$rqRW?6G;B@xi7&4ObLjUcS5Y*ZjzPhrFkr(JJ*Z z{O#agtsr~pf6nXwg8u(<eKub><aTn6LA>;)y``nhY@dI6<u0rI#C=Tk{QGi=qXjXs z|MO*w_}Ek{w_N!2B8N}TvHt9$xz88ASDx@?MaGPrOQ9EnPoL$AVadLA>ry4poYJi& z(>~`cdzx^!^4!6v%g$zOzBYRa$4SSP$*Zm!Dox=NWS_BKpxw>?U#?!dCa<*WW+wLa zC%?@~zA(Rd!Y!ZAU&N)upCn8U<SDexKCk~ll2_tg+2%u!9zD1_tyx^?$%1M6PYlZW z?|nXhVb3?qIoqx!KVAPM_5c6hOwVsbJ+7G&X>D`Wuq@}zh3RL`H9EP!crN1c-*A3# zfv)F=$kI8<SJIMae$nsIE?T2;e&WQ(m+Y1=IQprwV`kflf}$V#r#D``mb`H1a#{0c zPgcRp*WQcn;J7AIynJiC`_8zdR;AVR6@8r7ScSggh+XdW-|+PnLzRou_i?7wJpUbW z<rrVgqWXlGiSKV&l}>&7V1^X?)$ixG$nez0UrpDt|I&Q$@q@4RzrVcwaH7rX$%Avh zOe{1?Tb?cWB0stLy{b&kyC*VB%q;p=>PuYDviy;H`e3J=<%+rQUDvb!UOq2H^hI%D z!TSkFdaeudovWW(JmHp>#h;6_l44dreNc7!;BA|t0PFkR=MG+Y{CVaYJ-#v?@8;$p z_Y6L-|Av-7><%4VW<00)$}{75z3bV@F{_^@?0)*7reEgDx$<25+#b)WkS{{FUMJ=5 z?>fSo_2$uogMpa^0k1gDI=-}5V_&b{Y4=*?xx!Xfueq!4B!FymJAH7sZBamV?3&to z*Xa7J``bCrzFsBtTxjle-PwPbOb&kE$lw1^ru(biwkv|aYI9!NKl^<nne%wq{0B0N zw|UFFQaK|L{v;ys-tlRVFYW99TYLJssNByrPnS-69aVqpv*W}cdrDt(f4F+4=X|!* zWkiF*T*ywoYU1aaw<a-sP<2UwwJ7FqsEK<$@qAQWrse9l%WdT|j+b{{=}nqeBUe_8 z)Qb8pZ0B!z=%-I^pwJ4Z<?x2Va^3m+9e?)l?_XhXZQZk5zh_3h%lx_K?eeQHyTZ@> zopjz>eJP?nB<KEP&qIUf##@h`S-fW-ydkz*Xa0WgpL5K2Sl-Tj|2k{uyx?oQXHDEv zKYi_T*(kg0_shR5QQh`-dhN3V`-M;2&EHj__562Ha`(sDpq0vBeivM-KlA-zo<iro z$L4e1UlFsO{^`f@9ZL7N&cA#=-SWo{cfMzm{%d{zab%p={%QMR+QQ1Ud*>exeg5CO zx^~ii=KPhm`Jcj1{twSzss5>d#iwcUn_@rgoc#UFljqMboS!@8-*d^@jq|K4g)Q~% zcFmtJe(~8Q>Bl=Kn+xw<UI*?GnI9`wKQ6p?a|@_=J67@T3Cp7Ge=Q+Jc*VOXE{ncr zu!D;?iSu*+FkG~bd*KSI`JVgvDOE*(2Y0bRMyLz#Wv@H;wTXA%{O+oQT(5af-?uqG zcg>$S!LI|m8|HK9%s%~k2J10jt@CsDOgwXVSvt78<ot8a_V}BxFV6i^RdQ8aT0i~E zmg~lQm-elD^6Sz6^>1^eBKQ&eM0vq|S@sF6Ub<^D6xGCpF0T=LHH|+~<kY*Ugo8@m z98L#!UAZK-ru3(VUf^rHTUr}K7rMnJ3$8fU<k)g4V#Bsg$7Wm-X<QQJ(aie#c#u>= zcAy7$?E8mzjpr0^3jTg~=Far8xwCol@BKc1=I7a&)&IZm>5puBko3B^aO>&yrt|WD zanCvO`1z`D2OrOf+`RnY<BgqvA1dZ>pS?9TP19KYeqI#+W<KvjYt?Pz4%$qVQ9hG> z!0>`_dr$q|UVD?JKg^aVW+g67m^RNb^5)ius~rt8o@eghdF!_8!iu)_sXqljbEPwS zPi<^{UA1V<js3r7m0H|Ao7UL&-0CrBKoi4v>kHZ(dZy>g<BJNVCoSW?UADE}hii_M zw2i9F!K>$YRn5!n`qpP(DQdI-%biQ1A3E%An3+o3X;{|$UeL4tWwX4JZ2kehcg-Qq zuV;L1t8}$5{(4Y+E&n_3i|qFw&hQD3FXDN=NBU)$s_fw<`>eMy%@O(a;O5Jl9~@6U zyv?3k<14>LL3Z2uuy@TNues(5f0nw={y(qwLxFnDg{$Xl`pb)EdhePNd$ioTIOzHr ztvu6P`|Nqs3hig*Jt?34RQuas<|k)N^N)JS{yQBM)!@tG-df3N5%&9$z{le^f86)h z+;=u}MpT664Ay(|<HYw#vGmT~DIa(?XWrkm&s}vacKp{%C!ftuzdwK8hPp3V>VHmL z4UhSrJa=b{wv%2lXLad%-{tz+^Z0*VytVV@2j!CwZ}+Fv_}WW3T4lZV-zgusR{M+3 znd}(*U;SSfn_E3}UsqZ9RrGqrx_|Dw+OuDrc@w^EK}S=a$(Lt2KPEo;@YdaE-!l1L z71_LVZ13DdPWvpck`@4I&)Qyh<$Ou_pPu<kUgmOG>F=!D_jcEO?fuz%&ZRfyzqFR? zpZ8|-v}wJ!A9VcwE-wGEc=g-u1@bW&+b;f)3oiQc?~d28>woTKiAXAx+CQ@M*qT!9 zVdZ{!&m#U^b#?d8*GRD5Pv$#UYw+Q%_rAwVFAI0O_kKDUyFL9dyJ~yB{FINTwFM?0 z58irTEWadb)?d|?$;ok#e?4$`-TZVX|1&#@#l>zv6Hd=Xim^Wi9}lkDdWU_b*kbF7 z+oGU2yPsZL;Pb9|C;zb*djsuuq<fmx1Qh-F<|r9|nf<tc{F<5xzZI?~m9XdUPKtZ{ zD`Dr?gPxkd7X0+OzH;X~cfDfI!q~@CMOt#+Sj%zF{gE|=UoK)Iuly95eYF9{u75e` zW#pi>?_lkOtj_XDB77Z{y|0^<*YF=YA9U&0yd$N1c7u(WS>78SB(Q1Y`wEqkN2>$v zDqfsMa<hy#o5xSBcg-Q*dnPX_a5$44BQKL)`{CLhcINy0o><3m-8HaDnZhrZd#a7! zi|@Xj#^dZ?58ONW`F?7BcU;vfZhlyM#`PU`7AJo_*mQ@zSia}t{lzsOZu~{E;*ZA1 zgO0r@!SZ?y|GGtXJB)5#-f`w*!Mfj;ZJ+nee*J!3tYhu}b#r*k!=D`aepsqz$zJ|- zZ)e|G-afN~`Ru2t_m7^t6!2-zaj(!!`O|6kFZ$&%^T00Nb88dCcKpw=vAk&Pz0-b? z*wNefRmAMVy*1-qBR=at-8=8YHLkcTiz?W)Klmx^IqO|f7ufx1Z&c&<t`FPH3gRw2 zs8ZHH=e+ogY12pJOP>z4Dz`T-uly}9Suppz)#muGQSZvPwz00eex~Gi_=|E@;nnFE z&Tm`vzDHSh$xFR^=N+2s)c)sP%!%H<W?^Z!W!a>&5gUSw@AfZQxMat=k6v6(X5VD{ zTl{KFU;VxP?ER<0O&46Q?Rf84^rP;-+T-kf^{dsGQ_dy56NW{A_PN>XzS~}jmXGnT zHF)?vf8FD|rS?TLy-)4Q-ZQCbLT~MH<%PoWy`K)gJ&P2^YZRH6<SBl4T%~%?Gsr^W zndv%yy=`_orv6Gev<GH_mGJcnRaQmm-+k^*>ieXgHt)Wcib(4pl2aP4Tmz-`M{`wL znL)|%LDB1G|EG2mKfbBn{}}Y5?V;l0nhj4U=KNUj<ip!$qkYTx?>W?L$dW@&2o?Qb z4?Yk2;wXFwM+$ydX@XQr3ZG<HIp-`&3SNCSp=E#fr=)kyjrsAPWc6F~B;<@vvGeDg zL`s1wkD5h|ckl=4?%5$3cW}?KuLs-L@b8=SJK<fk;jii`{BpPEA?1^kN7zs2Ke6-J zDslJV?RB8^`eVb_gPS4Q_`BQB2N&a!LSn<T9}gy+L`kppX|)^rD~oyd>$eEMnE2s+ z+P#-EM6dsqIb3;PcmMl?)u#?u|M{@5J=wo#zFgXbntR_B9{X?kX!@>thS#5sduRPt z&I;Tk_1(5+&WCH9prmN}u6oDB4|yvd{dCps3V*z>J+tNe6_#_{QfI_Zbbk(L|8A>d zGyg|9?|#A9=X=k+G5=P6=l!OF`-ep?oe%4O{+Gw(Mf#_G?Yi6YD*4w&3+?myP}}HT zeD}QSv}1OacOTimezt81H}C6dz5Aa(zEOTx>2dZo-OHWzSNfj*Ym5?E?{+uHy<)bu zr9Q}2rKfog-L`7`yh!Zm>cH(alRkuT#a&3SbBwc)j`*}ob>H%x=c?!4T>m!mU3uh+ zXgkMWH~$6J#5Az|^|_|oe{<K<zt5I9wy&9d_{e^apMpZ?8{YrF&iee<5r36O4g2cX zXSX$6pLTt9+~rzDiG5GyQ~&QfacA$H{q3{Y-b<Y(b@}H3_Jhp99}a$~tCp<a)N5~h z_lKI-#)CUW&oI@;KM8)~GJE~g&um$Ndp?U+qzm4=_Hd5)R*ieETzfWi<}ZEVs@qlG z%JhCY=lfTQHv3n&e!RE(fOY!^xjkUt>~?D`*L$cdzGsE&$92IE_I7?StDEo~q<_Bl zhjYq%&ewoeYW(Zlv*3`?tqR2-|AnVrU&CfAC4Qf`zw)&4gsVI{7AIcMSji^x>m&Ee zzTF%8G-pKYJ+xn%rQYtuo&>2YqQ)mJ*6f<q?|dOa=G6DayWjl2DERaD#U!QA^}Ai! z`VRKWE%7yc-Fzk}^|vLDs8P&`X&b{17jn64&TuWdlNQI^mw8G?bXxK}b-tPGKlX@z z+Msdw$3xY3l3(80+SiD&IJXtmyZ9Czy06T1PQUUI%aNwY1Ly6XE2P^%3l;SDtY^K) zZu4<gz_zJV@A_2SURD@)MMeKx^VfM5nRWKw73?ubD;-~F?918s`6=INZgqdY=94=< zNPM-ry@K2R<-6zCTlIZUzG#j=cdSO!a?3OClMk!+P5F3Hz4qMnuPF`lrOx~nG(EY} zGG0$%PiT|4>B-fW@%Nl6^u_nPyZe4hvcE7x-`>5VKj!3b<_y`h)2rXq+`QEJbCT$J zRqKdv<uBenpZ-^Sg3Lpa9gWjW)_$Kp$vJ!96q$X`y(Q&qFFmiR)c$z<Zg>I6e_7|I zb926DIM_Yw$<;af`O15)ciun0SgmrSe8!pS+lBAtGFQ|ytX=%`Q^Q>S?-zd-{anPB zWcqN``}?LXjkaq`zJLBa<(N-P<jrZlKZA-g&Q70n_<N1!<Hi2J=IFmy__yPk(7XN> zo_=S4770GIz107Cky@qc^V3IL%G-X#+GI@<|J``3=4a)h+gGMP7vIwN?6h;)J{zCs zr#X%r&n|m??e6*Y(eXBgMYGSRB=4Id6ZLuNlMlA>r+&PcZ1?=Mb*KHc?~nd<%zAQV zj{bg!itS&Y>{;O%clPHey+gZGSf0B=!)d=q#p|kqxC>UZ^!so9{A4j#|Kssnhnhbv zuVmX*#pZV3q*!f^^I6`b#+F~i=7%4jF`a$((>=2M21`zC(0V8G|Ne&wAFQXeeTWn= znO`s4mTBGQ;9KNpAkC0oUp(19XTF*GrvvW;rU~4;Ry;@C^d$FZz7KqX4`RJ4v|07D zCT+j<sn4tP`n6|@l50fHF#U`b+b6}c`u>xjuD+kHMcj*Gz4x@``vYl+POC5NKQ%wj za(3oEnXoiL?8N)K4_7+|=v4$x-cwMo`N6E>+1u2LaDjXB^D2bfs$cN0@QnNMkF(-^ z@Pk;-isy3ALEiszH|_kM3-a$2_cWjT^p9KWN%TLzU01L9M(eEi{Ce~po4-&7i+1DU zrR5#_Udme87r7ZJyk2(t_bjGP6Pf*Xua~W#$QV>Vt3UdR%JQ_kS6nK#?>$!;IC;;k z(%{Aq+x~ZhB5<|u{Dw;R#d%S#6|Y%9emwRm>x%Dpp?kdRKmGwl@atv2Io_`{I|oWr zt7a#}?VtZ4j5F?v%KEgsUrG<{{x*M4o|EsVMenn&`0@+fQ&z78rLbKum#m#V&u?R% zk+W5PC7aPi#z=GDolg7nswVW@{uKUmhpTT<)-~VzN_%>bTk2;`3g4Xf%j{w8Gf|K= zYt8nCuFm_#_fS>^6k4X!!WZX7Iaj>5IQALjh&8jX3Ei8!78J^>L(LC9_vIJ7mt6n2 zlmlW6<HNZ{pyhUzrmvT^bG~0z|KT4)lzZvr{keBb8m{?j8yg<2Y&TeWBHH|X!<yM# z@2{?(eQjc4^{e$YcNVExn!a8pFMLl6oP*ZPz9xLn)V;DUaPpq3X)+mCeWf|xPgDk3 z9GzTg`f6Ek%XX&^kT7!R$iM7uvmcaXcfC|^`ToXx51*s&r>twf{X+M)9R}%H9ciAc zxQDkL8bEW_&W>AF8hofYeSS;-ba}n=4cTldceil=+hCGuQCC~%|DD??uUziYV=>c{ zD<jQwRrl0_;s+E`st@NDPk1hFdNMlqS4-I4ExjM|sv1F&nXR+l?e3PjALjiu2IUx# z?Sl8Bz@Aw(`$6?C*_Q1;{b8;wJXHNnVg2lY$$MVxmb!oWt<s*U=Rf~xx)yl%%Ib1~ z17`|nUGx3Fe7^ZilP7Cur#oEp%{~0}^|JWMg}1lNhbE~nDi81Ohm-@am)#e*rwA(C zqH~WmhTZ)l@-X*@@_BfQ@)y2$w;C4Jx({U)Kv6rZ^m5*z=>IYxW#OCivK03;&wpMj z42ekQhq?9BKn2OGWp&%~w05sqad?X-AG81AG&A0R+y+0so}Pr%zveCwO&2|`zn<lZ zXk6QLrnRCiS`P!AmYQ$|Oxh-*!Srxp!!^H*wMWBpGA=&!v-XP$Ij~VVLo=ba<<>+4 zJBH<NP0A#kSButcag>era?MyO)Rnt|<D>P-@@d6>-!6IY`8{{<>33(;%zy8{`Tl$D z@B5$sm%ZQXsU&!JX>iHzvXftPj<n>hIiLD$dCg|AnP+{|GSlS_&w9Aj_HO*8KMIz4 zrneiC+l-E9oZfgsuwACTaNFOf2e-YKee|u<Z*JY&zf(N+Z2B&8W5LM;6a5vQ=ad#7 z`nc=3pt^$E*;{rKCkMM%xO2x{QF&kTdG~?c*96yRp3<|l{LPX7QPXbU3eUJN2gTg? zl+?^u-t&9@(>)6s?bF`Bx^riL{p{l#z8NVd1_;+yZ+W4xE&A%8DPi$NQMsMh+E#qm zk8*!~Xm6x}WK3<{#Z%rV7fzi%>2PV>sc#$ocAYu>+_QE~<*e)Opn@arU)u*WThOYB zJ+mr*MjzV!#sZYM7V(>W`owx@_gBwz+_U22_%>8lN}fIaIknC9RI0t}`}P&z^{d=< zO8e#Ji1qE6A`?}qX&Li;X^#BsC8ziNkzLuJUH0)8sJK6K`fp45e|-y3DPXM6FL3XP zAgB;<mCtzc^gZYMuKC4r7yf)InsN4YlgTHe($cP}6)c;QS&r$?F=qGhUbgMSyPXrC zzhc?EbAROdS!WCO9nf_3TRkoQ*Z=)hyIX#@)PJb+QYm}?<hgFvq|Z@@8Ro?9-u>{1 z-r?Fq_Coh!Kv|>p4&yyVu08cid!Cxs)C5l6b97pHjKdz;v;WRMns~5zNn~P8{FiNN zLRYOpZrpz4k6usyN~ZVTE!#h;gYq@^PQE?e%#TcWw;fe(X7}8D=*P9{=(81|GBSVV z&)5gC4`*y|erW4a(QjMHb}DGHV`f~y<UIxUycO~R5B^F&)V&Q_y}l=++}!FJtG$fc zo>u>Y|4nR<b>E-v-|%_a%8z?i9_{#e-J`!@j=AZXtMkqGx}CdidiBp9P#Jn|_CLRh z@BceMx!?4;uRF(k0g#_`&C(g4^c~ytQog19DF4qNi_|K;b=Du-^HSqs?K5_et5;j* zTe($KbAd|oYkN40{+1rv{pB~<|52aS9@I8}xMp(<Tv>cxRxfmK+55t{3xA&ZE`GZw z({8T$S|jzuJx7!U4hl~AyiCyh%X8o3Co7|Owa(uTY9CZyp50RZcrnzPU*ZpKcg+Mj zu)FvB)@Q!z9Qg|$xcYvY77^E58O;1}ZB<J@DDsQ%l}!3@t$sR4<<@7u1^Zv>9-6&< z^8Njwa`fEndun^8-hcSG8`QKh-|JlQ+6>|%;Wv9SE2Ta!%c;EY-p=s3E|T^9&A?@A z8Pj5OkMr{}TJp?P%KTbabJFlwk&T2v``gLaO)DmtXvf5xR7DGSM&5t6kNNER^2ux7 zn+4yW`S+);Wu3J14qcD?vU_x8_Dz<Vwa?nOCj7tdJL?O7YQl4B!zZ`BKNGw;iSttV z=Jy=!?^W8Dz5nN2v;E8LJLL<0{(NWkdUyKe4|8jI&A;5^zEuAE9S=m!J=J~IyZV0f znb_aIn^5~*>1*t?`{K!y7xK(kJz8FPzt`lqe8#opvOf<5CLEry@>=BdNongREk2OL z+_#<i(T3VjyV&?ArM3RNE1AE#a{i&~JKsBe{(M*G^=>`e=#tH=6^`+iz0OHw*F3!T z-OnV88g)yP+VAm?b{}is0ah6EdiTMN?=KZ)FxDu{(24r$SCsMVozBO*v$Vke+J50z zUdbElN6W78Z(q*^aqv08`%mkpf*q{8ao=pGTJiJmgQW6byejws(!K8I*%xI;uH8Rh z%RX!V`@D_&X1mu;FS@eXnWLy~`%CSIALc%}@MZ4K9UrFsQTZ;LQMWHTuXekQ!~N2) zD;}|b<ap87P&3~>ZqjwZn!OjFKJU6U@pIYB=|3yGXFa)6GvB@XQ1s?mpoCz0|M|tn z4`z3dgOcLWW6Pr6e}3Unkq*vWolmb;%y(BmwEJruxEwtDz4D2`J>k`$Y#RQ$R<2;b z!SoMeAf@Y{{kE|ZzV~%1IDJQyo7#n6Y5lOTi2ph3+5CH34xO9*j=SLA6D!S=1}S@9 zZfdVMmvvWsvdpZ{U%yXeTpw`~?8mUE`?bp7Vx#2N{*8i!@b#%b-W`dB#CzQ9-MNCF zVx!o)=9hT(HSF=5v!n02;GFe4_V~SVJ9qn#HN<aw{NDIgthPH3^3JU5owgkLe`P`W zBs%9D-`dwl=CzdX0OgIWIQ3_O_fDPv_|et(Q&yb%H>EwL+lxVY^;v0B{-N3W;M((g zXDvtm(Oj6P)(QU>x_9@qrG7@;=VeRgn*TMKXKp#)o#khp*Zy+?)jv*3-cO73;<?-8 zll=VLY{r848z-Loe)!pEmu8!Jj@u&s!OtJo&k~o3y$?9?ZTU>G1%HbVZhQZg`PVcP z?K{V-_q$K^2&tQPo&QSE$1+p-TO0*@YAQc-=HJzwBfd3c&tK7s-@^B54t7Ur-P0DS zU>CU8b^gOgR^C4IPmlgfHtdh){3n}T`S1X1!M_7}kC*Z)2mIDpUv41Z^4L^8qQ274 zYu2_~>bq_%UHb0J=a9!Mm)=QV(0KmI{j;k!eV+E5dCvAD`%Kxjo4a41pDg8V9owTi zS8tuYxybqOxpMcO9lLP&@tc~`BW7{?*PEPwm3wVc!t?I9)@g73x4%8lv+eJ*jSc!G z`>akZ_ELEz_UVp;uIT$D)_VtA%;P^Sn${(LkDc9;<1fF_^cO$s8a{;SJa`Q9gudp7 zx(OfN={?`GV(Fv3@r~si56_B%*s<#mSWo#d?fi4Fva?)!4s+yxwK)gUb6m7SUwKb& zv88@S!9QjFxcpx8uMDsL>z&S)V~dhc`2SI;|3Iso!rU6Iil+0)>&&fL<oAobseC>+ z(erW``+F-9J@>P0n-A1(XuP-i%=7sCXU`Qg3gk|`4qS2k!s5e>as5JT(yo>4Us7yy z>F)KV-}c<jZ9jhd=l6NCceWe%-n+hLwd`Gc#yLwORgY(E=CxQQQ+VQ=`ps|hd@E{S zC!Rm`K_N5DB3@rz{g|=&$Inx<p1oWcUl3z>UtK!MV%^oU80*=`FMNNS*2<bCSTp@= z#^kl`@7W^y7u>DLv}O8OJLy`o&=;}K=|;1}Yhu^GN#EnQyDrv$oBi1fnS0ljv##uq zK3!4kqAR-o*y}2JwLR)ymik#MD@^0AOZ!!Hv%uJn71#ZrKjxN7;rf)scXa*hn@qx& z@@Lnbd>tzhcm21($D1EAAu+!vwR`UNFXyc7w|`Wtm6ZCu?V0gQzcvrOJ+pnj|30*~ zHTdtwy$rig#nr3Mdt?`%x#9QBt!4{e^I2=XxuBl7_(8*YZ-(RC>nvP;U%&C~zfi#M zYu)oyA39!IQ}Fkzpplj9(LXlJXH{1H5Vu}8qx!?Y5{q>!*X=)aU(s9Rm+9$mug`Yx zS$8Mz_2&}|uZyqsWb#PO6uj|Gd!F`(eV@S%JOls6s^_Bn&wQN~a&B6cmSx^n8RIFI z5B@3j99MFeDUx~ci}_ah&-#n*lNJXTnw~ekqM}}7$6@jC{2SI6(&xKO+T8{3-4zBk zhs$?8jeXs5-6VbboH^Q@@7tz>1jTC?e5}7{?^SV{8<dpxJpFpcZ}#-yODDYFFL)$y z^!{T(j+Y!VH`2M-BDZ`BD$01e^hv?o>6>cTOxFASDS3&VSl`pHpb>|e(-(8*UzGs0 zr>>qk&2(t@=6Rq(^&-1erDfX(KK%!K7W{nrRBrb4?n@`UH&q99K5GBS(Ne&|*P^U1 z+~lmSuiE~4&y=^xb4zAUPxfRyEqnC!;^m!>m(HC2m?QtE$GO*;P2tA+$A#}Pvs<iR z&KdWm(Jd~{wL;px0MusNbnV&GyO~c?qvOAGC7Sk5RI+1Y{rd0(dRvooiQTpMbFY=N zCIuVob925g05xE)o;lswvi+eYxL^kx>s#@<4OHWQDw==x^w!KLsYkqX?(F60J+Nu| z;fd2Hp*mJx{p-dmgZ1Fiiam2&Dx}##BRcET;^LeuCWE^n;<XDVPM^&A{#fH2ag+Uf zY!W_ivg+N_^Xv8W!1wVjk3QJ$-t^GJ0wZvvg5<S-!y2wihoY~8n=7WDH_iBvR}HSQ zK!F?SRH6L~90s2joISla^NH%sztz`P=hQe|`8~b&_>#3}wjRfHbnpqzxLeK8Mz^2p z9_iiS5<e}@PkGPQ-Jn_x?C9%)_YUPj9G&v{(^ZpCMz7Y#yX~#dJv%Sw&)&9R%n*LL z#O~SiPtewO(4pvw;0EWc%1E~g{;S{tuwM?!`s>yA?3`<%pHWv?dFI*EohF|)C7X$z z>rUva`mdLyvFYDR=kqPf`l{_=abA4aD>ZK)w|Z%$pRe%sW5(s^0KVB5UVxf@d-hEH zAO>z!rp298*wcBu^4|irN>G?o3O`(HfBG-OtoS&y8+&A~tafGpzobg`yp8E4?<>E> zUpA{-neVPG-{$)IGkR<qd)D5modpe;b4q)5g1VWjXHMVD`ToN3inv8k3ydGGt!w|y z2#U?z&qYa6l`{`(1$?RNsQSOC{7BGujhm`6m712I471~$_f5NNp3^HW+pip7HF=L6 zsOSIrlW@!SeT|?DV*0sA@1bs8%X8JNN#HR5%#r_;({A5_pHEW{wXodTIcvIG+^&EB z%U7;WH1N9eTl~z5*5dWnpATUq#Lu3!I`yzPohE$m)pbz*4mZ{p7r2+o|9Ov#?<Y`4 zsz~vnuI;hopp^I~=kup`CZCMvOf_8A<-Xu6hs-H{_c$-UwR@(>tot0at-thAYMh?Z z*TNs!P<Iy@K3r>a2Hc{k{ONhfx={AK_Z1a=P@LMkR(y_s`WVzfdv<froe6Gn%c^2G zmu@|EPB-v<e3{(TZGq2uIWdh_{JQbudYJK=4|PRBqfuw~#JE+6hkS%N`qSl>?=R-> zly~@i+WW`<`~v&3_7kW7Glpe7ZffI!&wS6$Er>~#Y`g9>Y1PqAk-M+t5BFUD!hhjn z(hSGtk`9+w{_*(eu4S+C>7vU;p^Ij&-mz<EL}?#uTE@DD(SDo86^?DujZR0LxO9cI zCp9f{EW8xx-mUSn$LQ;xw>uAizB7ke-K=*0=JzS-OgH!Xf8TqrfA4zF*})onJ{dlq zs?Yk>>&0j8tj%%BMrVut1H*N?=7}y|-@2KrRr%uM(u?2UWp~NH;+VR~JiSkQ(RG>Q zt;b~2kIINwKYCDFQEpTByi>M5sXJXHD@#vwxAB)F_IC{}gc4<H6_U@j2EO{1y;R+g zJ*I14nn+f*zVIH`N3O^A$anl(^Emc>r@53?-IZ%`dKKYQ;{slH_f!`0ZL4@R^>uaY zgSBt2KR=k7$F$ZuO>XY}{fpk;4R_96D6mlM+uolM?+$f3mwh=k{eSVL)A!ka#=iK> zU357}?P{r;UCl|Euze|f_xC;dcyY2_?M|OB$@i0Y$xk#~zEt0u<^2u2v)w(Fy0teo zE6igT*LH%e)T#Mtc<}b-@b&9YPpYxh|MoQA=*OogQ}Vc;KE3c<{FGhlO!xmyH9sa< zuBkaGv#<8#<HhQ=zdk))ByTNu?QchqrEYEI(g$m8mQ+qOT)$M`Ua_J)ZY|to&x5zO zMMF%s|Mv7uaQyG*r)TN7h914%Vz*!G-Yn0>{PSn?#~Zaz)t`BIyMATOmnHu3dg6a? zd}(;+o^mGh<>}Qd`RD)65|{iO^=p&&2e}<Vzl9_}Kaz>~@hP}zd*SrB`01)YKh3!@ zz1aAN(Jqr0?k_%bSADK1dj9<Ibk;{3KB*S}_@>(5Kkv)S)2m(Wuf4tU*J9$uy2xLj zE;nsoyQos#=+V|MPt#f6KRmrvU)|`@QOgY<o^l<u-WLmY^i7%HpPG2~t$FOn^``R0 zXYQQcaivzD#h)LZekSp$_p=Xgz2)R>UtXTB?P}l0^P<k>*qmwm-iYn#JpvBpon9Z# z{p|RrYV-_jtZ7qu#%w!&J?~FX7u}dXJ?+EO)nZplEcP;4Mm2s@{rN$)IO69d%bMSl zj=TH+`tmg0)qYz3bw~*Rv^;3NFZ8oga-4VkI<Y<Nx3=g{G}J%W*s8x?bWd&S-hF!B zpHj2moSyXM>8dA>ynpY#`DFF#_g61G53jZU^k4Y9-r08jnTJnJKlAYRbQ`;>OUw1I zchtSv=lfmR=-HMpPoJ~Czpxe>#wr!Br)R_~CCANS=8ms({80Ag_1DtKN&3@|?YpB? zJ2NQw(c9p4E8b0ao*!TO{@jxn?D{9s&EBtJ_dwVDH6%D<v@3q+UD|VE#`R0n<AwHo z7SDzm9;aS0+YDm(?d14-(+^Hxcz?dcuRm((b6)NHbzu`mnmfHnzT5m2#Mn22dt}Ws z;!@6JzdT*qwEb(%Tkn)J-NgwHPp{{=x2w0RHg?*R)8}qX_fP+j`r^BKo8A6bW)Ggc zke-GScduRT`*JTp!#VWeZ5N1JrCIW?S8s&{^M17o_iL{|KiH%aUv_k#jZW>%Pw%Ij zPF}rc)o!aVKSS@f$*>o^?!s_!)y4j)uS@KelKs5n>ta7#6Vx|)w)IQu`Uh`qqv7dT zx@r5Ku=(uMReu(RzB#@9oZYnDU0%QUPJeM}f&9Yr`Y+BdJ~=fE!`afV_TScAggCph z`GM@tFi7&ar%}Ov?#e!wV|y&v#rFMK_TX*Y+UwU-J@@Gx-4`<f7RDZ$j`p$<7&))b z`%7~4c4*GCI~X0e5|XRUIqyAmgNN|hrtPV%;EY!k`{s1F(GQ~+O>^hP1ZW%VvXkGJ z!lxZ?)Se&z>BkGt`TO>Geo0QA4mEK$YyS68NILr@c5wIC61b81-1i<8L6UL)o73xf z_WAs-z7^1A_T^{mCjZE1f%^^74ZfrFt8h;+Gy#{h<a2)n=Z<gO_iXd8!@R#I^h2NS z<>v=eJ@?%a`TeQt<>@K+zYFHiU%F}4yIB{WhnGqh$s9O4`}0HZXDCI*?IY|pFBU_~ z&HdUH-H;6Z)AnF>!8Uk?{?3~JRH#-iX4;d}|87h#_lRHiB?OYzrsVQ|db;i(MtWdh zB>!6vT53j$?Maru21#tutnbeR!}D~$_#RvDufKNboSYt=9B-#pJM+_LXd+fPI>Tt? zzasQ<{-^WBep&fTkks%~`C#-;4`^yotayGk3zp;VX;$2x_5z%p*^}bsrtI^1xnS0m z>&}&y&~P@~ql*#FYQHwVbh_JrV#ei5)9uCf*vemlxS6@B{GuSJIw|DSsre~<@b->~ z&mbpTC&yo#SEf@QJLOxo{>7z^_D=KTFU&qZ`SwKqc(D$YLg>BF--z#Zcl(7U-%eku z|6XiQu6V}1&YlY0+MmG(Z&yZq_DeYe&eLz3%KzA$eZ5H~p6}Scnsm1Kwz~SH7oU@t zw*0C2w+=m+i`ritpZNVHq@Y;CanB7@=}y~sN3-I(?fUED(CQ<-sr*F@*vxmGwUzU} zq@LOz-*#vH<znt^)?4Km`R#j0oz8xDXnNb*RQ@Li<agcL%J~m+|F3@L3dwJGR4aaq zWyFE<vc<R5-Ct6@N|*h$%{}!r^n1~Z&#QOwTJk-(w-=+V|GP+j_jy?KH9U~D3Wh`< zAJ@H0#h?rWuJE2WZGX%IcClPX?M}63{iC<#-6uc&-rr`o|IfNVS0?kmJC9x#%Ztc= zm{ti*$@PjAzoksUWzf^SgSY?pz?@y<@xkou)jgo9DdWxQXrmuSUw#Wa&%b{qT66#V ziWe)<L-<qT#r~t0OCbdtALqS3Hb`LXbpOC-2P!kbb(6f{p3?Uhz@{F&G5vcAtR((( zEBDn&sd*T6lDz0&i*IJoq*l$EFAT}j?}Ya(?tlFi8p1U`ANoX0L2Z>vjc-pIdG@WD z`77%5RqHmp{V}@VINLI9XP~zy{snzWei{Nbx1al-6(l{#i0x^0|MCl3)&2AOFzq$O zT#Ii{yCrKRW2L?*fBDI}x6)+iCHqh4Ma17l^40fN{1%ifj{5cKdQ<rmUQj$m{rbdt z@b-rVuz>y3``~Te-e;f+l>4G@`{qUKEZ^!LFABHL+^;V6>u=}6^ZiqVzFck;$0&l- zUG2BcT>~+{$mPQ{e`p=b&XWImFUTrzlF&SO+sGN5?TnM-_h~olH|yPad6IQ2(_;On z=#3Qr?z)_smzCk|?gcNv4Z?cuirxQLLrVC1^@`^?*Z(;}l5vgO2eJQ)!PUl^{@R<f z4o=UsFMo6<^!I<~`SDxdpHKPmI{V%dy?7B@ZMS&t#ru5<=QD4=uAZ>}sQQKg4cBA? zl=j@exHsp1?1!e7e~urv2|$wIiU+pov*D%b=BDyDtdP>wa-;tA^bbobey`v>RNZ2? z|3jHht=rkn7;#|V#sA~NeVD<XAH+Jr?Zi)(2X}8-18;+-AB_G9X?LYMzd6k-StAJ! zc>TM24^?9~Z`J?LF2kzGIuFqsnNyn+mnwPe{IOpPdBWPGx%o8a%W|x<*m67I+QJKq zibYaO4#|j0+;#B%+p+dyMl#P_fn?KTevjRSdty6gN!ssP(HWY&>j%4^@SC1^-eaX5 zUNa}>1+RI2^W4?D-={8rv&U!t?>+sslk;lNf8BQeecidsucw<-U!SGonfq&FS>hz0 z<^7c}pTB#&|IZBl{qgy~mb{*``RUS>>|bXJ*O)(xm{@p6u%r2VV(PYk+y1}(WxTzj zE-0|j;m+K~&h$HmGyDwu>?asLzhzST{q^5Q3)zDFUxxN8*US;vBg}51Z}e=h=HIB} zzXKHRm8^Ud7+D$c;Tw-!&~I?(W1mPz_`CO$o3_9Aud0uI#+LCr@9W2(e9PzW?tdEU zIcbqvzn<m9-38Ak$ksnSX)iYCkIAX?N%Qqj%{Dt7(EL2pf9taKo1v{(r{9yVyZg6& zc{<<KzN`=06s+<3!1vz~QnLMX{qXM39C+l%v*!PP|MlOlGtW;SJ2Sm{sebhz)sTn# zWzJr`@x1$)+f#{@`>sdP&A#tqZ}v9|S|nd?+Md53l<lVNn<KKP+78hN>3NVVaryUa zqxt&bsrzgcYAwCxL(di2PCLEvy!h$bQ>Mp7kA6sX0`;5vF6?{q_2Oj3+DeZv$yfDD z?a!r@?ANLNS$^>LKkl>ElLgl=)#vBF_m@9A{v5Pss^_@3a{lYTr8Cb@KRZ)jT*vn7 z`{c{h-Trpe?pu*B$9w%o1P`Qlqr64Gvi3`gN4%Z(ufjL!&=Pq$OFlECl#>(O<8HSM zmS`(OKJ>l2`ut$3UHrDReS0k0^;b@MHt*b9hs5#)i&siO8d>fc@t=OZI5}aTjoPom z7wS+W|7%t(uUiAj)&Es1zSl;;Tbh;!tv@L5-LLog=O*3Hsl|Np=f1sf;EJB5+H}+| zzVmkAHU0Pd_n{m9XZwre@8qGKn>k{87T>=PX#kv*RM$@41aHR{&3o|n&%Ar_(|^|N z)cpK(n#?|t?eCu)bNLea=Cf<bYCp?UCweiWu;yaF?tNG*w(WuJk8O}Bj8Uk_z6X!O zNX3fT`<8yco@y6gma^|p0AGApe*LL^TF1qY*u`7kn=F3HW+#Tb*NE6Z*m@t@u#Z%& zu-?B85-6)#^B@1cHT}d4@#hB)P1hIN6B-Xm9@|s*{i)%LKlbn6ksHse7rxUxzJB%o zwdmndv+>38&h^l4h)wVZGf1QUsnfyRW&Q939oba=M*Ek|jx*0suRAlny6DHIMKax+ zS)Sc^uAQiT>fh4baxrN6%<<McPTp4X()9H1I+=f)piO1hrtMu7;2w20OaApVctd$Q z$GwI6uRcF8ny+7N6#q}FU0?h3KG*s64L6=w|9kn^tNOiO8>Fizcx(C|yDFn4`r_Sn zZ*H%I)Fhu|4qBTnfP_Q5R>f~~c-v4<aL?WOSHan&+BjbB+{4pfmIm4<&DVc5+x_s{ zdvWN=SWootjenZZN_A^f`HFZ*#=gdR@2)hwDSKXYkM8^{U{lLe_QlNk_k5OK`Bvla z`?$33?_8d`o%wMrMw+NxBrh!oYYZ73y#2Qj+PLuf@Gj#jtZ8o(|KZxZ3t*Ff8^^CZ z@$mEwTdChQsp@+#Gpu{5i5>|00)H*O211=H&UH@=(gs)~zGwHfOj!FkPNhP<>T6}e z%=6Q&jpNsye|Y-F{rM8VYnCsZzv=g-XZ<4RQ6}D9C-Zj!G|IeL@^vA3IZmtM`?`xT zm+tZTz*p}upMCnz8p|0!i>g7XH@@ueOm>TJKR>;3)LH+sY6E&A+Y|Grfq#!bq-;xV zelWLUJv3wJRq(HacklK%efYN5{r&pWHT&*N{rTyj%)Xw#e>*px7w_i&e8%ucXA*SS zW?}8g*NfGie|_@0*gyCE6=*-9=%Do<K1kSBPJOU;UlhEc$U1oYUjrm7TYrA4Y*`aI zaruf<KTJ2C4?nhjS<08%`4|BlulQ@@OFL))YqR9v4}+L|Q=?*f{5p8K*83oLM>xd6 zlYbVewCiho=dJzptLesb?e)70XKjhsXww%4kK43-Q(fObkLTs-@UFTwyS%?Y_Hp}u z`qFf5*8JaM(6V^F=$^IWS@5zrob%qwv!I&m^HYy!r|&=gkoxP=3i*xa#lN0i{7_0B z)5*HO3fII!!+7$8Tm{H*LTFQY^jvsG&N^tlD-axcJIy~o-6*qfiPx-ZtL@CKcN_cM zyP>T@xLZvZ$+zEvcKGAeE0*V7gp`%*1^1lohL0x1Yge$Fy#QAlzm4LrU7LF%mhs%{ zkJl64y!Y>^wfi62`#I~sIfmh{UG2;6!<vL^AIRojg&2OeY5QXzcnMM3w0*NHB!uVx z{B#SRB$iA54eot|5eWGLe{U2uLzD1z&U=lepvGm?uTNi_wwE`;gQ2%+`^reLsWRtl zDp!A5y1z;2<J<H~*UI?5+I^q)Suefl{|_@6d;V#-9=9A)_H1(fP__;l9&4M*KUjhi z2&nT|x%t7`sI`@`&ptmrcxL+hQ?P8eRC4*A_*nZW^rB~<<Cn)ryr4-fUu2Ijq<6kE z?n9r=>hG}roo`e58>zFPYG2(r-cG0X=HvILxc)!QSa-}W-o#w%xI%8fD0+UDcCqJ+ zUkY*VC$WRku8;uEZ7TnhxpzOb#@NnvZ=tp+D9_nU`dKvn%Tt}&5X;kFBlM2j#p`_6 zJTJ4iUmV@we6hbb%4b4Dc{|6wjo#31SZ<SfWe}+31P!<4iR`&MJtJ=V&zhMb>L(9v zX{eiZc>2ny#dSyQ;;)$Zx844G8zV=TUF?4=4y~PY#P>Kq&xWL8-=^*VIAJ0DGwk5) zO+KGN&8t^uru#qnpc;DV&gGr58gKY|{%^!6e4M`|JFkU0)|xf{dl1MR-P)azALM@a zg32M#&{ECp2Ww*&fnqJy_u1*pm#5|Gc_CHj=lfSJ)?c#;MUS^U!M_$;Js|n*X>8N> zR!9YB&60ojaaBEZK=Zy{h5ETmdq8azz0XfK75hI~XPf@n4V*LfS)6?_f7Q<bL&z{U zqPe#H#qo<-&;;?F<6ab`aNiUDVO^EadwuBmYuG{Sn3Z7n);>FZ)v`u&-`@R8PW{Px z^Lgsex0;;$UuR&LJO2lx{0vy~4gTP#0?8Xivme|wSyc&j@pkTe`(Ao3Kb|?I=XA}! zn0Y@xeS>AX_RSyufB2rT$)oq?EIaT2-A__-fA!zKbH4Gn?5Ujn?t$?Uw}iiHcisDv z4IZ6^SK05y|K6zdfrb=wQ~8e-&;If+i(y<n2c?%XFI4hayn60-i@Qo~pB_%i>Nxs_ zUrViQ)`>KeY++S1M_tJlk+YXpz37^}RYAU#Lsoc0$L8V(D-OI#@H_2t&sRWOOIBme ziv_L@T1v7;8$Pf0{=<CVdH(9%@3Wsr&f8e{?z!z2&+XrK-uw3F-RC*qf2D5GkFP0y zcy;@}Lwi>8PqLpr?cX}Rz3b;jYwQWCst$gc{Jn0<eVhB6Hhucja&qle%N^%+|8re) zJ+|k)zU_gJc|1p6AK2~nelyq9sqsR4&i+;xS%3OY>$2VH)8%3{{_Os;v3k9BZIqMl z8k<C|@|o$zcb+;OU0r|dxBHvVN2{On&CA*0_1z=+rQ*BFoj<<T#+{p_61&uYMsnrL zS&x6T7#?5Ad3=+D%pU$1C%0WM|NByFcIb)~KQ_HM{;M8564X7pHcGT3_}fpegSY?s zLlX2&y^8DaFTm?*hY#1@L0W?1s{7uYd~o{IrJJ2Ub-(>g+E>ng)=Xc1pN;^iV+(J} z*SUU4z8ZJ8|FYy=eed{nB74s2XWe@V9={4bxVv`tx2Gj;;9=N30U!MKEdV!Q^*n2K znl|gN=F?ibL+^-Pd`$W43zx6RPKOR!z|CGK^w;9k9B3xwI~eT^DT?Mcm6vqGOJ&!l z@)wb{axu?7rzSr--EQ>b(*<7B$>-iU)Riqrb%c%*BC^TG7svlChjy3id_VZvErqlM z^#%7Z&xW_z^hNjV^?wDfoqRj>j~o9e`qj?(bLG*+UP8ZX+)FnX{X1LbcMdwx1W&U1 z!hdgk+yQm(Y1a1_k|B9zllKR{e0YQ9&#ni#ch`agV&2prpJoUzFA8tYyce+l%!JST zYIG|$p8p>8ZAr}jw1Px**YAw_l6+VXn#sdC?%i_-b!WGJd1}t`-eo;JNTxPz|ChKI z+{aP<_;k0?kD!|0MQblQ7ag~|uh`zNGsglp#0l>{?eVfXxVjwLqJJZ{XRR~D=|#N{ z=GO0pn|!rt`zuC>$+{n(zPvPj?!Qkq$LcL-t=@QE{A}+j1CO)quptU~gJA0-`Qz-c zAP@d<O&ButVcN7kZ!O&9txe@m>>$xt{pj@OrTW3YRwzBK(wUw-Uw_)~DXD$S`k$cJ zDAzmdblyRGH$QdZRh4bi_Lp4nw!mxF{CY^^<>t&En}UBCJ-t7DYGl`{9(m#4HK{e( zeA0VY!$#KO!SQF?i{tNdp}om`fj!FQS&%B_J;%Lo-tc5{o%3EFG&r<BKHbH$ujlXA z&W-2GZ(P!RtS|8+1wH<L#$N0{8x3t6edD_K&l(zkRR?buFNBB1cb5FaZ>#D-je%K5 zrr$RDv8m^EG236>ZOqB@VKYteL}%Vnw`MPF7OS^u`(tRMvvTr-+~|d{M(5AkgVD9! z;O6Yj$v=vwe@XS)zHIL~m8TC=%PqhCJoT<khVjFnV%QKIJnniI$*bo>J0g{v;q61a zgS(gc!kfzMP31MscKmvue-_m~I=xr2M)LP-;pF-6Ilcs!#qUa~L=T3_?ia@wmcl|; zbkEfz;JL!e*$?j4%!7x5+QH~Oj^I}3&FMdi&Ups!)IPSQKD{#^)Nx?7`1W(t8%Le~ zOnZCia6KaO7FINUhqt)mKlH^z2l&jn@6A08kGy>GJ$J7|TA;Iq<M*jI>nGROCcXK5 z^wA-m;xB8@py%%Q0)KDZS_^3y6?H$*jf0jP^(qzL=d6SU^v=)^X7MY*xtmXA-=7S& z_^=esRYr9_Z$3}`xYjb~%foJrK$h>WTk{?o$e>w-+MS>V#<YDh0(&-}N0iOMAFjnM z1Dl-ZUvqQP!Rb@m??yfS%DVA<cwG5oj?8fQd@nruau><3*1PoAV(!Jio4!2tXU#8O z{%r2Kj_a4Emvi0=o|YBwmkya-n9q6dWGE!bB|JLqZT!RN+jaG0cJ~FPR;<~#=iMvV z==VZcFDmb1zcQ@NrNaelZrstUkQRr}ZoU)P<E;G!(w7vD&pYwp^d_w{k~hr1@NM~m zk(uqq|K9k|3N72dbKE<59@>hlX3am#4(~VAD^^tB{sJB)dw68J>&w$q{>#VRdVls< z&>6^BmXW)EK1PC@|KfPxd2k&#ZQq}z4`hF>frhZi9&f+Pu-fm>)Cak?{*V^FZ_Uo= zUq(wOB!Sb!(mU5Q^!f8J(}ein8wGvP5<i~%-o4GxHf=sj{%I$88FZiP-mg?h=tev` zT`O6mxvzGLtn}}iz<XP!EL1atjZ?$NLHIk=E55?2DL&47*Zeaf<<H!v?XOed=CZTq zU!4gtcixfd`PI)u-n~+PyWjiRzh6ggJogUto-#dq+cWfHlfSc0#@-p)vU<;wZwu+M z|BL(ZO&6ZpY9c=L)x?0apXJ0KMGL>Ao;t6uy(s4zv-45A`;DHD|F3unpLK$#3c-v0 zN0&k~b~)!gEl49&Mr=>>arg*>omRzf`(@{wK^^w_KQ;yaGFs}V9lY*%k>$6aNjv=` zpS{?nj*+FCUmSmT6l(B)jS6=^=m6Yq*7rAT;NfwcCI5IkWC-=p9H+#*l?~I<Kcv1{ z@846qPiJ1|Q$yzOut_a=Q1^G&<-{$4W^0!Z->yTGUEsm!8HnW}Ee~>kKt=;Btv^0} z#j~$xsdxIbj(YZEau|u?zsj$T-)f<boiDOS7S^5AJZNp@4KFn_4@TFn0++~^_8*^~ zm8_Zht=eJZmi#xLj~30}bjI-iMT|^$ev!QT{nZe+ev&+R+X2!bN^UCu|NqNB*es6g zhra7spdM6Z&7;%Ru&f*}cK*nx8LEqaq7NZ&UL@as4_dB$THmyN7NkouUwF^)|L*Xf z!+n<gjc(xH)2t)Y{XOEB{i$2?<J-n7t7a*G`*~%<#QIDBe9*Jq&pj1Q-{--?Hs(X$ z{1uhp@x1RG_gwWs;oJ>ctsu^l|DAIdXk>HVq#vL5Uz)BND+R7V^q+2XtK9kiCq_8D zUnC!We<3s+Tn|RuKqvm+iS9}7g_}E_CI9nna3^(*cTMG+rj>W5rr$dMQ42W{XZ%=- zVS4Jt{;&C0p#wzx2XEVkgF2_)@qQfl&KZJQ&7cnZPX7<r_PK%s#I;lZwb74FMxSQc z&iedUev))J(+ocNx~{qP1)$S8<rh{mcCmKlYE1psc{JcxGsDuAQ$8(T(h|SaW933u zue2jVbGIc1a2lO^B3e3W5#PmgELZ$muNi74yKmupDSzs~4KcTk&abxw%1pgAbJ@8S zuB?luHGNn4o^#If^R&vHd-kSp-ZwXL)5)9LpBJCs`}^;`yS2BM1s!$W%Cy{l<CpF; z`A^g4S{qHZ%Acl~CVh=bU-e5x<PX<^np=N3Ev9J}`)rlr|M5tmbVlOm>+ZAdUp$%= zlKIlUeb$mPP4D?Fi3M`?{7Fs4>uxgs%HFK*$8c`eR+XuK|GPh2n^tsBL9If3)_JD) zW&V5Arp8`+8QfBS{k=uLfbbp*zImUHc)zZm_2C=O(>(&sA2%lb-*E5ST%+3?&PmVn zlDu-|uJEr%0z7Py@8|vfKjmw_T9Nk4x85nsTTNDd%CY$qRFv^^>5~s{ryJS7TH?Ro z#`(*}*LQX+v^T~l`4naSO67mJR$!0B<UO<Me&!$geJJ}*y@6U~p-je)r}Z4~A8xL( zao{=D{^hjmHGO;UzeV019HReY)*Mh+>2UYL#h#GYztGJ8qkr-EH@S8F5|ii5s{1MT z@U7+HN<+0u)4!i|9_HGf`YV(?N&I+YTl_x%it>5SzP3i_?T<<PyJ_Yx)!<qG;t#E} z{{3CzPPAwK{Ri*nHeTG`*U=vD#izS}ip;*hLC@Uhet9|ld54|ZyeGdp3{S3nIsG~3 z`-kP0`o~n(FN<HM_tM_|``#mPJNOj$NdG(cntNkR-OgLTo__!Q!TVdmvi&BVH@&KU z3tbMZG<ou1M#Yb%PcD4&KKbyrernwn&-rzcPG2@Y(~priRI@bwTcq*u?oYnwM`t{_ z`sK7Y$NP=Uck~S*;ghQQaP2FI57un1tJL7%uX1^=jY#%~x0Akn<J~7$sBUMeCs(Iw zx#dq#(T{K5Gv!M!EstN;X*ccv%-71tRF*G`pC)*ZH@_&Z$1~1%zl>Xje_7G~BQu^H zEnM($`eDxeo1Ag+9Zx@<u6jMa{>)+hlMnB<>KvQzQ}sJ3=2z3=RHKg{yiYECI(^dN z?eVAnte9-~w}{2^;O_q7+9NZbT={a^n=^mv1Bk;Xwv>PA-x;6e^XZYrg%79s1@5i8 z|LG$GC|oZ7dRkriBQ^72sBRp)Pu1^7p>H!X!$OW6)>mzx7VpJ(zfSY>V!vNsPM_|u zEBn_5b$FlBp42As<VoR|_1%T<o!Sczl&2DhZvWGg=NCBrbb9of_`coC^!c>JzU`e} zvPEcl-IpcX{r4V_W87qZ9Mk+xJ2UyG&}dEO%)h?g0urrt6F#h~X#1^tOhvzsnRox5 zrVnQSCS4a#+WE&Q`)^U2T;0qs--RdNv+FNgIxp+~8I1U>RK0lo*7O~AkmPRpFt>i< z=Omv`S-+lI9NPWS^WAib$$KpLO#Xb*Iu!kx8xrJVucvE&{@`6IsKX?pJt3Xd?@S*? zI(*z|7bf!n>fmtUd&lM%Lt^rez{A)p$Ka{ZPhrnf`^Vsvp0l;?=7je6w!6#E1|Le= zAARYz_-{T9g$FNQVY-_4;_-QZp{ejw)S+nQ3UD?ua;|t?UI0%f@@jkT+CP2Fn6&dx z(e$sU*FS$a-Lu}_@a0?W1+qu~1$>@%3?raBUF7-ycR(YuuI0ltZiut@^nKX21!3}J zj{N7vH8u`9)8pT5tNWP)3+H_|X2&oxny>4@2oQPIUpqE8Lk$iSxc9E?T({xL)i0+{ z=FC5yj7TD1TekmX-?I;tL}ah&$DacwZSEC0A1y;J-4>tk<?g@f_dksAuxy?4K>Aw& zG;K?B<Uh}Ynr<$1PdOTqxMy?Zzx`fOt2phn{`biJHQN09b$a8Tg&3>stzC8W>zqFk zu{P*s;+~l=lCPFSQ^?bhL%09rLd~Aq@_p|4LU?Fj=Ey&)2T38~YvPx!d)wW-rnUCH z>f6ZAHOsxLe%D+NHjS^f`D3JNfs*O{)PF^MW4V4@LG73KW&L!4d$;lnK}Ejl-%nzP ztltQ}o9^iI0h~2wwrpQM6`Z&~E%<txv+_skrThLqRlh_2o-Y1$stqGpDzz^jU$?#x z8Z26eq7@;@<dfMU>z|5<w4L1Y{ljBOY|3q{v()C_|3#;=)BpMJ->&=i|CcVC@3c8t zfAh)Z>v!c#=3L8twy&!B=k18i{|?`o)Bc(DmVl#m)4B;iSLgmKzWB^M@|Rdf^tCmr zD@%TrEs{SQ|MXYmMuU6aXV1>$czu;U``=Q~DIeFvE%c8asyMFs;htlKHVcTYuKD4d z;-234XJ1<-zL<ZSXLNf*)Bl4%jqiSPI__HV??7UAw=?Uv+RoRpy_RfWuAj;{9=@PF za`I{w(>r>#yNtVgHV5{9EMzm3NdNTz_TR3#+jSOxp7!Yf_S+%m`?}x%7EJS~j_paU zjy;k2ST(<J->ao(bWT3~wq3GppVhj~*WY{9_<o=1FWV>aV*8o>7oNq-<<>>B+WnvH z?$@wx?HzLiv16c<N9@4$A@}38;Scss{$N%&@w#|&;-2_`2eA$n{P_>}NN_*CyX-); zZ^da=ke|vmKHPJyFgN-1D@mv4yC>_t)|TzX#?OyNNW9<7wa2t&yUzzFo@WP7bYG9& z9`W~Xex;*E_x0$;SP}E2sKzs8cKwk#7Kw77-L^cBHRwM%E5^C`b-)f8(|QB$JM6Q) z&mCUo8)L38?RC|c(rVjXZE^kIWH<fDpC{U}I8X7iiS_??KiK5AU!T1#HuqY4?*7C7 ze$2F)J@<Ux!5ve7KA-LH{BP~WXZ#Wib0aq&s@ybVj`~*KKQ;#=B=WnN-U}VN{ZHe0 ztHhk^C%XSxo!uh(>bBeY*Z-S;``pa`R2Y~0dV~F~I__hY*PcjUT75q4u#Uk!v5Eg) z*Uk7Yf28Q;r^3~z^)LN<ZJl!PU#ac==3{&lj+owOxXiNq_rCYSDt!rySAOJwe>gEc z%69&D7WwD%%{OYFsk(6aZ$ZMzS)oNCv-UF{UvFD@;?L&Xe~$&9Zcnz5IJIG-srH=b z-X|;T_RX9B+$626|8G;NtNqO6j)n2Jb7R|Q=4Mp4N3vg5x?S4e*k1NmcS-Dmvlr}s z$~@OyeSUp#t=@+HyIx&SxcK~mN)r?RuhgGv8BDJ}cgB9akbd%E^!(I1-`Y60FO_$f z@36akBSE4o;`)-8n{%eGw)=ne)#uwG^SSSAT=&Z~;>*pe^;|J&R}VkjV}09b<M!Km zTR*>l6C88@y7VdSv$M?N#TqP`dw8#ZENo=kyl}FqwHM!xckF!|=UJruv(EYF<MK1t zxB1c`w`*$*{_mUpqWW^wy7w^8)XvZDSQyW4<!_r@x?%Z>=5w|0RyDs#DLp6G&%cPz z?*5-!+72yNH$M5;lmrz0xaK%BKA3&Fuxw7u+0qHe9vN=Z^Jy&I8zJk{>#@{rR>)za z+J8Ke%)*WFTpM=CoezzVnHXz1c}e=Zt=Ttilay+<{c7KQ?12B3gV*{hUMzU>VQsU~ z{$+gkoJ%%5j9b@i!uD6<JL~JJjaC+iUOfn%`J&`Pxo++2nfZJBHqE=aeEauPR`<5w z`6_eWaP4!iNsBLu9DH7^Iy3(BzNcJ^lHZ=**>^Ew%Ht&g$B*q=)TjD%=cJtJuWL_l z44c0^WzypFty0#7wtgS~E;#g!XX5Tdau!cA_Wix{y>!a!KN?G7Sbu&_e-rrO?}FR& zo`2@M|DOHiA8uaxn)Tt2|1OyNgyludiNA8miF>5pv)}cuI1Mg4Oz-hWxmIMqfAsZe zgv9z|za75|-usqyu6v_FT<`a0JI9J@v4Xgs){j|x<U_nGvf+AsE6VSkd<|9hPJPea z&zAZ}9#m?6dQ|H8@$Z7B8#x~p=4l(eXPAFn$L#0hPjwfLpRC-G$7j#4$o72UpLvff zs%@*P)porOy#DiL!c6zy`}TdXdGguI{OQZLd*emxs?Se)e~A6V&XW_J|I|e0CrRC3 zJW*!f{Mje|%sTHYr(hLm+WnfP_vzJ-r>qlW3*#RCvYqvM4WHg=m*vg-zMcu49`p6q z*Wy#o8Y;EB_?Uj&d2-`)%<(PrW_$E`9e(k7XXlyqKkuaQUfkF=Gv;^(&o9Gw+}A`) zbu`cWrtFTHKl@I~*UDTqtJ-h2ZZTgkeJx)1_Ve55b#s2!yi?qKpe{Ezcdvcnyj>qP zu9vT^T=nFGt^d@Yrq5T-SNXLsS?hYa)am8-w|+mkYtg>l=Ym(S;j^37IiK&>HO~8= zqwl}%E;{qhlv`$h?)!UYKRT^;JiUsPgx0BA-LPqWt<dXef2{W4uDCUP=eAqjn9}LT z9{W6U-L5CA^w-TPl-IWVVRTdIhrjHSe_I{|@c(g<J+n`cUv`SjzS4ka*RLF8@8mP{ zePrd4e4)QEe#1KP)y>{(`L?BX@a1JysPMflzp5Jkw<LG->UX=Ib$=<oz3r=<-23Ql zf9AcOajn+-WMHO7?Y-?&ewx0IUZ-qzZRSHOx8#X^lbK{?UUykdoAP_wrr34gb;BcT z?@d<x6|>;h<3w-}%_v`BAN%(}==@lF^9yA^Z`i-td*oz(QEhyFg2}w~-hB5zhs=yG zo)_i*WpB34^>V4thIx8s?AJuU-u=4oX>%BR{iK~6`2O*WegBo}jod$-5Ed$VJYJ#9 zxySXwf@L$sq#yGecyQ>j9_x(IY&~`;^%9ft9oH#G8_EQ?*EVMvp1kW7C6r@mdVoi( z`>XrWHr3=lW@A62$3BNm9=jN)wk=Mye`&MtdFAuUdG|h-eZNzEzPNm6`LlET-v6Fc zJnww%JInjU^S<9J&tEtpKeE#yH(|o81OMFEzI~r|;GY}IH*=c}`x6`gx)!$ARvc5G z9>e-{`Ts<n)n-@sNT+|(_IYldY-Z6W(zm!qYsr7sYyTIO{FT;U%G<X#<J-g5S+mYh zVl2q`qh0VL-D@cq>z17r>w_Qcod`MsZOhJz*`lC>gi;?@)LeY_=<b38yC;2+v*`c4 z=<Lzmk&WNg4ppb=Ja?Vlz1_QUd*=r|u{{~ZAKwK%$W`03w-j_h`^R^|4`N*_;w2AP z9%TE~wrBm!>l@CSG_n7xzwoK)-_iyDFXZfdD(IoZG=1Kq*E3p|rM@_>zr_08sn;_W zeKcKU(3SIydGo<tkJya(X1jeZ<S>(Hm$(0M&HVIZi<nBkg$3(=J}Ia@$#-nY@kB{E zDgF&VKm9IhJEwn%=i#Jp-&8OBV}G-2?|;*K_peLeW=(r<&Rz4uUm*K_#q3q<dgpd6 z(p0rvHv4?T<DCvNm;OJ!_P<;6=XOKgcCk2-vwV;Lq#2#F&iZMw+$`AUv-C01uNmtU z+dl6)eoXWVS6}V=o9vg>`m;ASwm+`ASr}t5?ZJ;FcH1Lr>-O2%*6NiTF-RPEwz_)X zKemQrT}Fn9(++-5i#)zRo;~Zz^QZq$wAp$0UHGN*H~ZD+tVQS5+X`!!Z9913x4}AR z-}z4qf4=(cw)(vO)#c02FD$)weSUtuoY$|KWqbdgt(5t?Gr*$1)iwLCj-}J<&0p59 zJilF_cHZ~(`wv`N{pYXs){Vl`Z<;UtXL{v}<Zo`d>Fe$CH~#ulWqa}Ywo~et%VS@y zp1yC_^V5+(SFk@{zPDb#@cfqh!EfYFmX=L4oS*;ASM%v}v#d8FVrSbYCC~cFV`0Ty z=<$Dg<p1wWp4|U-v}k&Zxa;P_-$k|y&9(h!zHalCw665J!#ftqoi#jC5Epwt_`w{d z&p&g+`m@voZHr`VU&r(rO||>BHhkq;jrmjk>!uu9(z8BqlA6)yPjkJ!HCDJ^K7X=J z&a>}AmCE1jR~Mxgx$~|svR$<8Ovx%O1&QlBs<zyHb+Lt`Dui|UD$Ol&p{*w;7;gF3 zYRA9tv(v2Q9LWWoardPTmsWKZ+TDAzoGJGFTk(I-qyPW+yk2j4q@b@Wt^Mn*s73vg z<DdQNFcW|OV#>AYsR6&6KHYPxi2i@3^5U~cb5|VrZF#8riT@n&T)lhUA{EyK?{PMX z=WICU8aJmsZN_Jo$IpdMb1&EJwql5J&UMaRT^5t9|Dfu60@vZ6yVUOf3w6_$ia&h% z`}!#*%Ui!}X0Ctom?`JN`4qXWm)AwB`TzP~Ii>LB)}P;Q9}GILt+8y<`;R|=d2f!Z z|NoBp!oQ1)m+s&EX4isTCV6#jpPAcd?>+WMg5{U;5rtNZDaSiA_XU{jep0djCy&K3 z_D2)`J*?*c5I=uXz4YaxjEAll-)yjp%-_PW{oM2&egV?cPJW8-(LOi(Gmp-Z(gok! zZBj}<T=Lw>H_h62fw26o_*v~wFCBced(*<^Ny=OJy#CL!+R^wT;W+2I<}1_E>OEKG z_GHJ%+9Z?~B(7`DT;siJ-n-3??A!fLweflNU9i&loBis+^G?2P`%aWjxV5V6UXO&~ zy28>Ag{zzAFS2^E<Nm?#7iy3HPFN{!rMK_jr8Uo^_{;X2OIvAJI=x=-W&O(LwJz+@ zb*D=w+`9Be-*ankVejf@|47*w-%<ljkK25|H>EM;{=WA4s(*YvvxQ2S$rk%(HV@79 zWbYWNA{mnF!alpNP<F|-mfap&XA@%{zj{y{#{S+TkiE84bLxTA6&L^f>-(V2{9JC& z{^ai^>n<;R|9-;1=d&jq_!`#x+d5DE*NqQ4*WI`5tT27|{)S6Mb=_f5BDlI^|6{&G z)t@BKb(@K=KlWGlZA<yK2dvUD&t2l?w14_-eJES1s>kU3zf!U5yLIl|-#GEh=EeS> z3mMH`q<{L?_x$ay)ab6)Vso?&_67d`b*;PTpO)c|U#qW#O*!xMyZg|S=wnm&>;6@c zSJ&=g?)`18;{M`~@2~4;?(cIbNjjgnPWZ~R+u}~w4)1uh=Vf*M@%L-^?oEHaU?;oA z8?jsQv)rFwI{37BGa}k<IF@Yq{yc_#rS92(lR|DwT;E|;ap~2Ae=+REvOO0qW36ud zd6@HJ!4r<P&6oBs;}h%T`(|^jbi%EqlJ<m9aHLJSdeB+(Rl<e^5$^jc3p36wd~$wi zbN2c(NKq}`$ya83s&v9FHs}9Gb{xBUus%xGX4>lq2^Mc>rk1=+xPA5J1;>+uQS3|W zeP!?Xlx!%rzwVfIIwxH@0puWyqLP>YxBs`h?kIHk&r=8G2bT^$GDX(mRI(vi{<>q9 zbcXx|jebySC=FweUuw0Z=xkMQve&8fdE)A#CttkXQBshdY*rFb)N;-7WqdIEewUIB zUme#qUlIHJ=Jiyt%gQ5UWeO9k{_`LBzAx;4)jq2iug`B6Z~6B8wB*ux-t4>ApGWf7 z^iIBQUy5XxY}0riyPM~j={mlB$6r18xsz|!^P{bIoql_Nei37}<8d2O0M@m>NVvQg zHM}=oJ=nd1PwmfthMMEM^Y_-+&Ir-(zSrl?UVeWHuj~|=g{1+%u3tImx2XB_wqn^O z+g_x{uU7%tc5a)MMfa-(_Y&uZ&Z{lGXYO&hR=euj=ehkhcU@Pt86LQQ@LlZL(yFdZ z*1C47SO4dD|Np$UzW4sYd!Ank<IEvRw~qaH=%L$JkAjo#57{@dE#Hsa1(jcMz4s61 zDet+=qJQJT_fF6OrT?sNco;1Sd(nG)$Gy~t<r|_s?X8Q%<;4?Lf3dz{S$21m!qL+1 z_kZ3*pD*9{<?Hj?oX)>LKkW%RKXt!u{Q2W2xh~H*_C7%so?hKb7HyyWnq{l2<@;Ny z2J0;HfBoazcJNg~a+lQ#+0`rlwNKK!+M^|FYG=N_Qu(^_+UFHseHYH3o&3*q{_Jw| zi;H*Z#`Ley&H8^;r@heCmTB(y%D(4GtKEGyUkN_@u<()St@ss>o$Q}YRCP4}?6Gvg z?8=mw3%w_t1okg{zW2i8gXa2P#y=kCJT`t)Jn>$}^Ut3{lG}~Km;Byy=+nvx|HLEy zcVGINzc`@kS@6X@&Cdl(Co>jQ3C|b5`0UYMzsB#cTedrXSakO2?KKB}^B%fgY~8Pa zD`t<hNX3573je$(pq5Ks*n_?8AI^bWF=d(`^3?at&4sjLf*$-8duV&_6e!H^E<bSF zxk8;gZo|RvlTUQNem*m-o<Ay1;FQj}<O5Ultpqjv?={|W|5UCXe_Y2TXG345;@!e< zP(7i1>b#?Ds@dnpn+dTOU5_Qcw^}Eiu)1XKgQ(g4+u|ZNIY%G9wmz$Izh~~k<E0t( zo<}vatSjxLOoJ|-nwTiO*6B=8rJa;<gWT0ryIrNy*FRqPx#A1xw$9l+4a=f`?rAg= zU+-pDrT_5l?p9C>ZS}<dcPe|fHi_rz#r4*dh(FAYIr|t?#JSm3$v?bXYz%6-g)7Vd z<$Gwmw+pJ{o6tSBgAhf#W`Fo*^7%&-@3n&`W<OsnH~D2`lxj(w;L-SU{`li5n(}uY zk0svqvg11#rYZk-%UO5pOuJbH_0`db-tta;_GypGpP5JW%Rbco?zH|{F)wL?)4hEC zzvs9AGkv%Hzuv|E#iw`HEjW90b>aTM%q`#VLY(>gt-Q(}-P7Ra=G7hd|0y1-eqlUE zJjY<Zg8sVKpMJkR3@MAv?w|jv$Nl~Geg9vPkH7Aj-?;YDuBUFh^KMRjwCiaMPtL#T zt_tznHx#f`Dqq(<F8AQE#g|>KzvkyJVtB3ATY6e<k-YG<qQ4HayTg<3ZkqnV%(N&D zR4rW7{mqeoe=8(6m7YG_vOVlW6Yn$OCugtgIzCywYO==XoWF---f!BF_fzg=`ri2u z9y8@ky{5bR&92n=uGiBHLl@88W4LAill}!&-9NwnfAvvv#Z;czjbUH4=C2Rmdq`~c zrT;Pi>swxze|Y`>(Eev%|GS@H$k;XOymZFxR}Zf4`1har(Cu<jaKt?R^}p^=w06bC zXOFJ#_*dWX!K|+5I5;j}|F>7!Q*8%MjUYYBhpMX-VS4&G^P3;I&h8F>{OkYXmhI2I z<l;9Rw34VaynpJwd%x-4d7@LDpNj_mDgFMj)Mwhd|Ml~G4)5y!P{^^(>*tH8+5L8o zpD&ue7OItVpYmGBv+Tu%f0I)BFNMwPck2xLVw7^jWxdGalzIKfIOe5?&g}QAne@8i zMNP=B>u22Sz1R+X+*xxE6spSdwjQ870t(bm7wrz+wrYpCz2=MIq3S0^;HuWm?w7^G zxe8z(o^YS9u*Y{g=-z~*t0(r`c~<<kI|9mXS5LUtyH#Yf>fcC^?b%al_sVkNyw<Bu zATM0CKYQIU@|1hM)3L<kI-tBXrGMM4nlF*F`ql1SUlfz?cPTZa-gA?CruKRF#V(pv zl14d;!n*>E&bueGoZr0ajQe-H!=*MQc3OY4pDo_6z`P*QYQFh}XOFI)aOW4iH!l;C z4r;c{|1hsc9vr~R@_$ty&eerFnP2$cx$a6(*XZgA_xVbDjxUEKqfZy(1@E1Nr0AM2 z*ITxia`hv*Un}&t{+xif?mYa6DNo)BQ&UBc>#upk%J}objaH3)S9BNr2+`<_bt}2} zqwAp9pN#ENv0A+=3JR0%%(iMiY_aa8kM+3)VV8NPoH-G)DQrftv))9`6&oW?B+2Wk z#n0NI5^vl7d*0JI#_u=V@xA{&XZMFak6U-Y|Nqo>|MxqeZO;F{v-iE}<cqg|Rq(wP z%0H~lEOE8;+49C`8#lYF6`#tvd#1QTWl!nx&ri=xUgV>{>FLyWGao%l*FUh>Xr^;2 zJL7YacZ|Gs^Y(9i{xbIctmhwg>@HVhzP`6QC63)N^WU479S`|uHY)8@emdW}OXI0U z#jho=InGy`)hF|*>Fr50o0I-Z&u-rF8MWfGkKdkmY;%vjaaX<3-5+XRb<Ni`(&Y^5 zO(y?*yfU!npTpT>w|84y{i)BN{cp*hXpZ+QC(aQ!l?I)YIG^La&B5+jz2A*k@2ze5 z-YICaf5E}3rae36`53Ji?3v#wsK2M6)q<bl`p#`T*KGd&{^94?rJhWC;<zuZ)t^&l zY$?3Dy1oA7dF%6&t!5kbT$juUNWZlGj`+`Me>H!JO`f#jXo|v*X)~5A*~85)@N3%P zYVgISDSu`@`;{nn@q@1ZgrAFRIp*63Z2p+_W7>X!dtM;dgdg6%$D=};{qvtiX^*dd z(7nx(AFcVEmG_$P6W)EQPdiUP{G#_d$5nd%d#;1q|IEA+`Bxz>eZkS6)2>d;x16^q z-*9d6jU*$18gHMVpnIydr+1kntle63n$M_y3jdSO>{(`Uy!Sr|J<OFjP#Fkzz;w?1 z?D})vvwA@;aH=@Y8FwMU*74qh=%9H!Wc=Q5Iwxm)<oRx=54yKK=bC<h`j}~p#r;nK zPojVIoO(TB-je&*l_U6W+Sl`O?mPNFTesHxtTp(0SfhVFlZABeZ<@?=C3NqPKaF7X zw+P&uHV+gJ>)Y~gx`IaSAnwt6xc2m?KTT|}IX|)8e^jqGS@7g()uny&&1If`j#d0I zZNJcmI@^l5jbhKE>m+_oTd4VKT8{g*KQ<p_&z}#S+xh7Cub6xFHqPbW&d2;}+uUFD zj3?#BoSQQrZGI3Q?6dCal@F3GH`H9`XKUFWd;Wf-TXS;SlHWU(ww#re{C`X1-<^`j zvo(J9*7?17pTe+Zjt#?Um*dZs0ylrWx8%U?*&n8H#$CzT<1JDVue_&Jy`nB~^GCh# z2X~z-X7?9^WWH;B*d}yO2o#Ci9UIH_AFj0poeSJs-plm<b<6gbwV=TLp3b%Bzrvo# zgWOVQ@=tXCy_RCEd+5)8!$+-=MlZj=e=sNU^)AT|Z+FK&;?;LcpL{-B@oxS{b0faj z_aA>|%P`=3`dm!D``5*dn%@@h`z?9@V@<!obSvLUC(G^5PI^$PeE696oeTX{p2plw zo6Wv0eqHr&-k-#MzXK)XH_QJOmt>gQ{&N>73Kq-%HGi0Ea|9gsUl!*J+}qX+jt@8c zTW%H0IY9oA`uoD}(C$|Z=k>G9;(F`8_#V2wY5r$Ws(j)8-x(Tdy>(x74@G|$JFlPd zpn0PCm&G|VdF)FjG2XYkp?a^)dq=<KlDaSRQ)J|_9zPb?vZL<H@<4kl-J^9^%IhCm z$EmB%=@R*MG3ByXo9`y|ZF6+LES`2`$qwoC+&Avar#+fi6LA0Uik|g1`{fjv7rZRp zm$xA8@zodZ{6hEEJa9dGZ1u%{Ii)?J)s>*Q$ojIlo%8*zFi<FmFP5*J{bAethkI7s ztT6p`v77^RkUUt!zv&;$_JQLCq-gqwZEv6LS<uMucF*tkMNv&XkB3)c^_chl=9*q1 zx)|*G5_`$^tLr}%azw@0|4O;if6i~CylO;T)`fB#eR0>Ombxh_%8kqSy;l9Qm~&5t z{3j8M>}300PmAtMwx9UEF67wtH}3b9nHRiC-3N93ZO;6=-q66Vn)1Qy{R5~g{|iD= z56EHjKU~Xu{uAm)<vqT)EugVr@$f7cG<G--MSoR-2fTcdqHRCFdg@bEZoQA&{2$mY zoAB$R@)M?QxlbV>FCJ)LRowM=1*hP)89XifRMa#z-yf3x@uAvHJoe!6&l1A&`^pbp z|GxP2597r@r0yG6b)AvtJ^%S9v#Ip@W8dRaPDppGt$zY`>%Q-cq#w$vSAa^6n)j~d zhobk-d@gPh;XgsV_U@7ub%(z%p6ya`FXr0K((sQz*IhC=RI~i&-xum9_XK}phZI-o zO81MZyY@YGI=?<XoKw7}`+vCCuWA1-LrSX}-}^<3k;|jzJ%tukmztsZPio)N86U3Y zJ_3i$!{|*d+kbAK1Gd`yhuHt(nLRHyox67C3AngW3QE7a|5+(p)SUdEu}`AIg6>cH z@VubvBuo2z|8#{PV*gteYEMtghFf{5`;7eIdC#C$7AZcA{iO{~PKURDQQLF=?<8>Q z|DapTk#B#HTWXE^iQ6mlJu7TK+Gm&acIds%c`0LeU$iOzrRS@hw`_KL4&9%1XDi?T z#PC&@bHC5e|Hb)-)NR@QK%Ny3{59>4ja^^uZ!yXE%iCjIp7r1Q3a+kJKaBn*cyF1y zB`Csq?_Zk#A&nKnG-~(|_IC~>oVN$xe^ftX{&d0EYd7DTfB3mhpvF5sD82pKCo5*% zbK7%zYfekOiWd5IT&?B$*>aop;^|GPw(KQ8<9bvkdPu5QM!nv7W_`fkyo2kje80a; zu!w&AS1efk;XIq}_rG;hYR>-F)l*|$_wsnnU5B&BRu}F+s@h_%4UX?S`5y%jMSoSE z7k?#ZkJR^Q9;H3m^C3z2-F6MdJ#QhUq15;2DMHXH?QvcA!IteG4dGf8_vB_<fJ+SV z-)leoeev)5lXYSj%i|vkt$(!o&T)}Tf5n(jTCAy?((ioX(XWfL&ldfjaVWz7_r(_O zqfbAJc(#3!)%Pr$Uff!DMb-P=@s_$Pw&8m2VvqhmX8{!*+wZhhe+qxHTYl<C)87{t zrrg!2Zdor4DGK@p@9k;_hiz}&m&c&h1<<T$)$?H*tlTR&6#ZKOTJDvwJj~64`0v-n ziLg-kvUo8^{?%ri{ou0WLjSHcFCI#qXn4Qxkm|i}sdtWx1lj)zG~(O7_8B<ym4sjE z&s+32<IsNj(w}o;CW*ecj+^vG?>X1s72ebC^mG1QQN1pv?+w@A7mJ?O$bG-tf9l7q zzb{f#?q=-ihq?STXa4QIP{&3g71HV)?^jiWtD~$hi|4EC(Y^m1oJoIOya<|6h7{Px z1@7$von{Uy$xCz|=B|4VFZEh#H=MpD*{6Aj=f^wkm`7Ki?C9TlZVCUlH$AUp_C#>i z@#OcPcyi`vx6$4cX=O9kRxjJ}BglyFbU36)ye0K@vHpcRlloIf{+0avQ=D}0OlQq; z?@4!`#w?UCJvr&O;X?V+^HWbx)I3wKmuPLvmY)0P?~8rah5rBM|9t13T64DU%jJ~2 zC+?lR&I?LVe_vP}irzX0oYP*o9~Zv2_5M?+cQ{+N|Fwn&fYn6M6($f3Du-_W$c3b> zzb{e_-QLy%)xBO}PcREOnQgyZx8<^^YRB_vwwmvvdLQ}hPt^J-eqB6Y>G6k^)<5<z zf(m!HEB){MHp<)He`OZ4zK$)-=FIVzI?W+Ta!;QferdpWsqQ|9(F9}ulZC5qvde6q zYI)36#=Pp$gvy5Z7u#%fJk9Iev+vkmUVMCNN?lIGq37;bRMIPtR4!NdV>maHeb0W2 z)(_jR6~$dq(f_h_!u6~3DtuRDXzR`Ms`##J&2jjR$f^~daci27+kU+Cr>^b8wfo0D zb4z_Ve}6_f>x*c9x7SbqZHRm1E&b1~-PoY=V-ssZrSZCR@lSTS|J+rz^k!_9oVrbK z{EXxF-p6u3hyMWGW8l|sQT^Sw^zZwK{7*Meoqrq-sb8M7ma>1c@@XuWdbswl5$J^b z?Mjd4P7%ugV%^;L=ThaL;+E|z+d!ucrn~H!%C+Y*XMPyyGJ(X{_NpD4s&U0xH<CU_ z`&F!7RSCL)VCu7!1G}#n=TH3TE81c{*_!EeMLWNv?<c2vhCSc4KEyd!WQT!$eQtN} zyvl>q<NPbM^B;a?ka`mRYnk%I{8wd}apo(}mF!-fr};;A+l0nqUFJ*XZ~u1g%l~B~ zxt+KBC&({Zzn0x>*<LF69CYmPuVt1k<#RyC5r@q<)L!a-*!-;Op0A<c`vZLMoAiIk z`>h03__L*a21sGnuVwlI_aYCqFHoyAt-b7Y==Nv5cc3c<X6?%~eE4?zgzNelh5KGs zJekcFc)w(w?zD$hPHc;KJno0=io0)q*W+03)f&6Jz{zuF?c=mlZo7S}5_CB7>X)<g z)b_kB1|6Na{ZPz;Y5BWa!Rb<E{j&UDLJxE290uPE@x}LQ%l2F|sGFn??Jj5iuDHT8 z&i8(a_QSOi=fFoK?tA&VW&6{rIQ|7ke=bw{{F~!*eA<@g{8ts1h1Jh8{oSqMy6&g{ z=hCvCPSYDU`^p3D_D@(W^65(9eBPL-b2ci+SvK=qyz}o=-nFdHyII}xbHjJb{f@8J zRf(;)zVPVPy7Z9pr+lTy_3j<fxuSb^*X>Io5~b>vCa>R>1(oMs|FDZ$cip>RZl&8V zEPThc^_)uhtkUq0vk$GXKDjWmynMp0=qgjNIe7^o<?+QUcALceM_Q+UE}k{#e2!Mn zuE{d9O27LCmA@6;^Uk4r_3lY4-n}bZv3v7M*7^U<eC-YXS;mzwKHJJ`*k7ypbCpK^ zn@L4!%b!T(e`7p8af*f2=W=h)yI1|6m$FC2+~w_k^=@K7`Lod94|4Cm=U)6yr9JI# zZTFSfGAW1?TtdoUJKe1<xHwa}Eng30`M%fh{y%tTlEaDU6UWwqmI24dd3&sM&^US_ z%KOa+hSjp4Tqj3O4M|t2e{kT6y_Qw-+ZW7p0-Ho`{RmxjRcy7`pA`$Xvf5`Y4s(2} z9ICNm%C<-DZ1xUryt1zkWN0raNs*abasHjH{_{EI@2m4qR#$(YxO?*1clV0F-Mjzq z+nb+v_wJtE!`-yN|Ln{K>)!o+e6?$y*_{uE419L*n%#)owAxO`YW20md4DG<1lS+E z7dcV3=dn}&t-n)ODt$T2uAjU$e)YC>A)im46s$iGEBYh3{mSxN6D($hN<OIA=w<Q6 z@F_oU*sm)*h1+KxiA`qjx85-G(YsKqcYK$3ybcw;_Th+MP;39<hcio#%sukZ=v|(A z;r69-kIUZhDZIYs@jI5@-CXlmFIl}h?ao^JDSbO8Tf`i@D<xE3994Oz@vKPs=h8cW zuOE-CoPK=$CBgE>0}qYfU7NJywpl;egzr+a<-waL%APpiT~V2?R2p~nO!?mCmmSuf zhg0v|b$qn$v6WnTg7MM2OtR&hGw<BpS2k61hT1Hy+L`GJtvqw=&U|*>e(1)Ew2tx} zd<xsc6!&kMavkKkqE$QvIz>In^NxMo)>7ahcdO*L_Nv=;|3uQ8K0bc0@^fRM-ueEF z$rj=nbIMg(gc-iClzex+n)BY%VvD#fo_q2yRlN7+SfAzRUs@`!Q1N~HA7;JHe|Cu7 zQi<znZWlh}{qwKFLF+`b=i)gH@qLGNLoBZPUzn(S*MGOj%8%aX9ZpGRSWV;GJH?`? z%UrxlvruL2^i8#ACVRem+U`+jbMeS$<y$I#)2n_aHf?u);5z%r>mYr5rw?-br@dAM zS-~t`RVjY3`bm}?e}eJLr%7w4-@SRMigVX1_45w81{<E-EP1i?$i(0$J1=;5DrfGU z@-}&%PmuogiGOzd=9(veQLS*-mD6@j<xK~=%{s3yjjNmTfH$phugmNs-GvXF^zGd~ z*xfqum@!9f?;h9JPcP+U?p@Pr^U|=2t;_JjzAw*aAFR79t-OBU<e2dF;YW?H{IM^M zJ@A$3vH4HOy&2QJ{+tWveB4q#`SrIeCUtig`97-I>%?fGKX(d`pG}5@`X7sfyB$D& z;@-tvk#2S1H)m7%;nX?tTRiu~O65mS{Sde2Rd}!+U&2!Vvj^VWvb?_#(kGrP^xiis z{z3Ud<~`qq_Izdm#leEt4d35}9$LMs?c|B~RZZow%+EpUoI)iZye(|_KAGkH`sD?C zGA36%{3-lk?`_6=zd7#BWYWK-5_e|zY?%jtMGoFJp81*EEO`G**<JUy7)9^8zl86k z|GdUo2?-B)-t+IQ>eDTJB2uk)Oj4z9$#Kb)-xc*UpI97T?pYU8!wF6uv3<rhZ4d4S zo&UJV_fe_kxdQux)kRYB=?>tKOq!F(d~kOH({u40wY@RRz81x9+Y-0RU-Zut$rYSz ze)GKB<Gf@nkIv)XJH=wsHSe2t&rYg*EmA(&Z)|L1zsUDd$;#>TRV%8G6@okw`|9a) zj(fj6WArboeN2+sapClN;XT1j=k#y*RP79U{q$H)=H4^>MOR#oeNi}W#BAJ@`Q}6H z-FPn<%hpq^ab7Z>ub1Y?e@>ZH^^<jyy#L?ll^*SfB~&sdTg1M4%FObfsZl&vXubE| zKS~F!xA(zQ+0M=fvR22zNulNY)2sd)OufHs5?|b*f74y~&Xesn%qx=?R6ALH33_xw zb6N~2(QS!4_07;<ZRPaYo^^M=>%&r;zTlo<aIEQl{dAfo|GUBdcxP}RIqA!*RBWI7 z@FRoS<hXlFzZO+bd$CmYb8L#{_oMR~w-{cqwv?^XEPNsosP`r4kw=t%>hBrqldqg! zKjF`ghm|nn+d1wn++Pe0r^77oyNVIvq|5St*Z#t~gK3veS8s`XcV1}kmOJsU%70&) zCS@yk-hq+%@8!!2rwh6JDVBn$OI4b;kK4>#5|^j?^W(MspZ;{1bzWW?S2y*+-ET6- zp&6$4L2P{+Jd}QxHkE&jx7ZKLi+`i^**ClBb8qU`|G*O?!E@q$S6|%GQqlYQ{-1U) z%AfGM?6ScAJWv+=v+jjp)Au9uEy0P|`orAj?)P2$JU^6ifc&xBBu@OksIAwBd%xAs zffDWX`=Vck_qhK%0ZMDEvF#NFA7d|W=eTDHPSWA`MPrxjGyZLM(E7#)r4v6kEYh2E zLG9zNU+XwN<SAFoRtF~{!}q&>tjl0Oc)OzKxc&{FzsuN5_P^Yz^U^%>n54(oid%m! z8Bg$HlS=#iZ^G*D`&9boB%MBL(R^g$7mMZ``S<#hWzuhW3f<UWe;QIgJ?7cXajz9@ z|MbT^yE*TLp8r(Hw#9Rg1iRibNj8pq!tIqd4QH23=g-`0Gh4MP^JGKmw4(Sok{;5` zvDcS6>#O$7Q9?_d7AO1t|4w@DzQuEn-q%m=EcuI}h19<p5AIg<z{7lJ`-8i+9mkn( z_*DJuxOTcbefqW2oSEKTa{cKC4jowa4N?MD#G<6hYR|emB@<wVb2fcHGFb*3wpBa( zAKd*Xy>5EO<O)N+m={m$n!ev-o)f=e=9f>qqxALlo%LVq?mQ`8&35V7fosx`^dk7w zNnf>Z$=9H5{gp<Zd;f5r>_7eeBQ(AIoATi9UlwS3`PcJ6_7CfIZ%EL5`NVLr`g9y5 z>juAmy2j{>QLg31#~*o)q&n>TZu*05-Rd9z94Ec6we?(gTetu7Yq4CR<)^>z;}hDm zoDE$6EU;d8?{`(#gII+Ri+mrwy;Kpq=lfTagS!*WL1p0j)8F^aQ><t&14p{!_1L}N zzZN%@&jW|#)9Uzkj(d%l(;bhsi`G7DG3&hkv^u_-<$aGh*ytJMAhWES%AfJc?N2cN zI{Vv;x?uIU=B8`k9Q^DwefkC7{qH0_44J=nSJa=lS{*Os?pOFMX^!I~kE%J2+xovx z_v|zNGw;dqhwmXl@zmn5U{m=<h}{;41Dnbd_z@-KLw=U`E00%zQwjT)xU%5AGOluO zYPin_ue$X>%T)i;*TeU3$eg`@bIR{mxsfve{!dSC>0kK1!0rHBjm@$TUsiuVWL_rw zUtMQ=el*ugmHj!byrxg3Z^+$T9Q1X!`S*2Ovx~NGV|{#x^S|1ynC|%9_XPiooe}E3 z|3~2N2ZK81``I6N+s%-BbkzB7*2mWu>PmP0U9MX<_ZI*CNQ>N$yA{`@7unmbp82uX zSYP+-!Pm3Wbff!f*XqrV_x|v1>-)35b5?v@yWz*yZ7kVUv!<;*`v2nIi_fl0eYx{< z-qh2f744TB&aT(pkz0Ct_3RJz-7?#MZe?Fxr2RauxjuOBKlW#jbKf@nimQAqayM`D z+4aT|fA`(KW@4JLfBUUJN{gCT-dcbD(e-OGErkvBuDkC)yDk*k68>_*+4ZKY-WT0{ zXE{4Q`iXh$Z_~dQ&Ui;x3vBxn^!9r0=h^aC*=xCGghl>|vbK6~O=VAb>(jK|@$5I& zH^gV%%K!eF<@eMN-oEN@>mEv0Mm`ZUd1D}-pY5J*yu;yL^UBNb#6NxdbV9dc`CWY< z*YNE9U(4(#EJ#@P?Y7?ElFI3EW-p%xzFht}$~!u}V$b>ubqD=Ef86%^$8NXZXGQA@ z{)QW!SCZLz<d5{U)irsu4f3ac+WhwXsnBQXw~L%#HtQUE%l?&1Z{wdm(-t3?nD0No ze7*fMi|@aZpB;VOKT~{Za9n*zQQ-gi#`BXBShpK7ek)KeR!f*U^DVRVCHoSEO8&2b zzfzgrG`fYJD&zVqsu#3=OZ(Odd*UMY=lEY;{?2^SHST`pJN9|C5i_H_Y95-c_Wz{w z@!+>7b!#qF*tou){B?Ei)%o%({cnX<udx%ByCdD_e>1ecZi!0N<ib{~s1xmL<{yt- zxc|`W^Y!!I9r`il`Q;6<M?b%R|NP62=Kb@z-&cQbT=jdsSGoR)-<CFhw|Uv8Jnq?7 z!uj3Z;P$unLd_YuWjjtKZoBDtJ#pL3#6O&Avt^IXP1ts`@>*Em;yF2Q9W2khsoUfc zeIk43zZ1siXSqsgBp&)4wDQ-6`mjYe_el0z3qMh{&tI&qTo=>7l7CJA4^jKa7JX5x zVk7^bs5@GC<GXg>>3#8qb#H#FHVaoyw@9fM_^JIxyRPQjFU$E6``_H3YIXkGFUxfk ze?5*he7;-$)0ecEkEb^tZ>TyK@MXctzX5^vCaa$F?8u4{Te<$jE-mH#A}i0|s9Wh2 zAFtWgbvyWo{I}@_`=_3|e3bu8boQi1k*DS-<0C)LSoGTS5&w2uJ7wKe@xCVe7vYEg zMBSYsW3MOOT)rljEojdDQ%a>GAM@AjZ+qSR+hWGy>8e+P?%kK_zb+mZ!Smzw%=zaf zt{3kKoSboHx_Q`K-97vd7u#OVTrIRUX+P8b?Q2}-7QQ-QbHe@pqbmWC@iNE#xaDf* zO%${F@n=ccBkB3im$dHpdfZTxJj;Op=9`MUx8GF6-g;9p_r@EE?Pk`;CZ6qkem3p9 zja&NN&k`RWJT`oEF#fuY^sP_qQy!oB{=sI}j}JDpB0A%rRrZ{pnaO{Cap%+@sq>Ei zm^1Sm@e-d-C(L17=JU_@Wk&SBW6w>N&dZ-xWzTj@IZ^AW`fbU~{XaSq=X}>>=+>=M z>M67S#8y}I>8o2$NHhD%YPUI#lE-I$c=_jqq}Ct1{`!RzIQMHU{P(Bx){EQnE2Xa; zllym3ZvXxHMgsPAFYej>v#F0^^nQN+;<57?-;d982=RaTo&AGKZpx{ac*aX_CzeKZ z*Z-^iJ>}q&pUc<IKmSwu_3!?#Kh}Qz9Dnn3drpC9!|PKvOONtjV07zrU$jm3_|IPl z>-NQ-oM#)iBz@=e<TW329=jeZU-~!ZQ%~+`3B%mmeYc;yeyzJl?(z3x%grCZuV3SL zG=Ki%<7>0x{kK_sefvoAf%kdt=giw}^iQzFSH)S#?|542sP^O1=R-da=$_{`Iyilv z_&N5x%D4%f`*fyH|Ia*Qr^wsSh_id5e2b)aispH4Q&;y$wOswD@9zJUV$-KL9&3i5 zz0p~}>`m8lfv6=*&IK&#S|Z{bDrV_e7MVId)o+6K6M>UP>>g(sKQU&Qd{AIorg<{g zGeJ`9-fxCI-)(Ied^c=Y`gL<zl6LM^(@4&@DKbtmYmQ_b5tuF@F4s7LU6J2O&Lz-7 z|EU$n?}Jxw@o!rzTXXx=leZb|0-HYn`TP6+renKvPnPTa6!unA+Ot&h`^Vqzwl>oD zPxqbQ{bs-RKKbhZ>mFa<`gh&)_1XW-@77)ae1GfT*>?ZU=hk2Uc^%BW-o5{n+OH|v zTmN|GOpW{cu>R%cS)CVt?RtA<hWXKT+InXHyYrT(9XDNf=<5B?+ji&J>HXKcofrP| zmgxP`w{>p{11s15yH%O=%JSKvN}GzphkweN#l_G03&+J+?BDy~XzS<OJRs)X2S;1~ zbKFR+iTSo8Zfa<mzS6wa$7-jq^R8e2_|JarduI1i|K!GBo7lYH$m{i+{MSb==LtWO zew6*p<wX1Gv+Ty#W?$JoXa4Jox-!Z0tIhr&jQ{@mud9uJ``4w$Z<HRbbdTOI_KjI` z_3IydB(F`6V;A4J&&kHwrj#Y@`mQ_v>yzu&^?mw!v23RPbit#mkE#FA-u%GI?tjjt z`?1fQZ|papxsm_2`jg}Bz28H(UrXH=bM!{+)(Y18)M;x!{}DL1y*qxQ;(w=qGb2l{ zemeNY_KGu$6B0D*JP=y_@8zZYuYc6OvH5sM<-Xpo^Ob*|?=Rf_tNs0Raogb4$BU27 ze;pcm)p*bR4O&{_>t7eu>CHR)yT9V{&;9jB{@AXIw%Pqec%FO7y4KGQ#}BP3ojHG* z{OfDY`;CIkzy92O`X~SIm2pcG?XPY$$c}xs{N?doUmvXRxnlME<t{_h+pRy=$=~0w z|J51J->dstBVQNUw*I+dEchuH)ue}irWd|2l0WosRe0`=_)?uSQ78P3rJk>Eub5}w zW}ew{|G!Dszs66G=eu5<A6VyL`KMCkFZ<J@`ioxVYy5Zp`DuFKm+&e77uI}Rt#Ql! zr}e4*fpwoEMQq)Fa-Z5CkaxFt(fPoCEuZ|S$Ok;C4lLQVg8zN*tI9n!r@y`Wc{+1% zO8#;7!;>QvPQULzb}`9vPG8&Sz%4TK^<GOp5uAPI+w0hA%l0bGl9TUyF0i1_Ppzjs z(j~{zy6c;IzWT*vo=AT6y;D?kYYzm4zMrlW{B_asPcJU!{JUE*g)c(TR#Ja{@Avj@ z*S+?-)oYe?*}V>QJ^CxeFhMjhg;UyPR))#S<;llRg@hV~YKAWD3JJKBQEnD{E?rnq z>FUzy=DL?%O8tb_YDb0i&QRMMc0E5k)WllqeEaENDR+4CgZw}1BuC!z=a9@0+_h!? zty_%O)23~ERha9y)pb&_E^kSc$|Bv~vUy2N7pJw!+-l1HeQ~<K+@y`4Cw<lS(YZbM zOxgbA{`$Y41f73Qx_bHOq%ArIxuJg1U2eXQrf_;|eqPis$69se^4*_*FJ{=q=`3Nr z$^WbB<t2N2JCj@c9yZI!>d)ur?^jD^{G<0X%;IO}7A~LKglEjf@)17{Tw?!LlMvt7 zzcHbG@pJaC_bw&e&+v3v^3F7~m7)J^UH-gH*WYL^3qHPTrjC#Awd`pH6E|ylP6=>Y zuu|)T&ZXv;H?}=~-LXwe_ITLR#n)GroQay+7qU9*@#iVBXVZ6|SX{O1e?ePp<7VMZ zft`wBuXEREM8uiJ{N`M}>68dt+l18<KBQG<Db3VeF*m?O+h<9S-_0i#GdCZ8e7S4y z96L$5KKC;hRvw$tutt7w<-eZ2V#Y@=`QKh^mcDb=ia1vHU%u*!8qZr7%z5rK(c`w{ z_Sk^iLCbTNOKiS=<C*a3TyOs$bBbR6d(waSz4+g*r=hPy=RalMWIg4^;nONiv6+SI zC0o)P<yf8zG-qpZYKL72d98S*X7=>OAwIo_gpW(8dPXedSDxcgvi}HQ#8i(6LI0au zRaKAr6i!L<JKEHxDq0=#CV1nbM-3g3POh&)-S(bZ5ia>vYjIramDN4FCI*@py>j!r zdt#-uWvTM=yeC(B=j@tz`N5MbtLIdO2CV0L73#QGYehKg*HsJRM6axFf(VMru3Ta_ z?TUL=TU2K62VVd6hWoB(cIFpvyE1D+f;5}vw!{fZuh)u1w=cO-rE>I|s^+#mtt)~< zyRPugT_v=%tklSB%B`zAH3LpLJYU4389UdX>8hootJRcqoSi}PrG6e$eyu2WT4Z8Y zqB2)_(b{vInn7%;UpMA_4l~>4w(N6wki4dc;ZyzD{oe~0ZK<v}c4<|P*%uQ}#jm%{ z?%?;7)l*%N;!yr0u5Z0yg`dIvio$1(?%xaUO}9_&ej9Ev;Si&4s>`X)kO-l8zKEhv ztKA|K9$YEn>bcZor7ClFMR3I*SL<IJ?rQJslap-<aFgHidrp9x{N~?>^lDFt{aaZa zBOt!K+l#?@v6}blkf@B_Q#@-GCr3^4_}X!0`x3RTDlV5zOOG@K2!=0Z>pS^b-oG)@ zsX6|^>%?iZjCFsnk(lvAFKTQ11O<)ITN3}}T+`X&?Gx5i1{waEV|s0#r<?ZH2%f38 z{_$Lm)IZ;MPcC>@e04}>sPT^)8yVC1f?Mp?cD&2()x0qJ`s(B9y!G=|guR+AX>v@^ z?xXI3Ed4K8skh!<mD>6>YpdQ5agmy*>%~uh+_O>Pn`B;!>I$8;EK_$*U2uKbErYOc zA=xRaE2f?C3Rt^fbHc2e*NUeC&4c@Ptt`57cIx81O){mMpRSm#=WbgQtRKHXZl8l~ zO=Hy$rmr7ZUp?f$a#(ytd;YVh5QcsLKZ=U8d(o9bRkTirGSCd${^b+gR1_6eFRN}= zovhkfwX$kvRp+mYq`=kAf8QvwUa(!waCb7-1>5BecZ0bu*e+(cE6jDlc7DW}ym<_F zZ5Ve|GL?L0dGU<%!dc-3v(+8U{dJPe{Tr}KimeALz?9tl{4qj5vh<tZ73ju8q_yR- z+wuIsai}vO>Y(ns@yW*S$hO7$;o@uW#JtF2eW!5cys+l$t@e{Xo?gVYpY!x;4b87l z^K~@;A5~twf1}6Ttlrh#TAD}A3vyTNjJnYE?32ItEN||$8Si?xEZUiNq3apj;?Nb@ zF>5pQincD=X>_6MnVy$+j{nts{#~taGt;WG`d7ZIysda;JzrGevhKHSyPjw6zQKFt zJj1TWx66NNI&9ydysC~bBl^bXCF|--nXL~kl}#+=T(kSeyy@v{Zp>SKI>EN>ZDr>3 zCR>;D=W44jy%FqX3@)@z6`0JGd~V4h1vODN!<7bmjMjTw>MKe+bKR5(JaMeSY1?sQ z56(LZswvGON6R^yCr{x0?PT_b`{oAyl*9X=oXFei=A{qrpQyQhNi$Vr>+-0bLCbxm zc+cNxl`U2G?pb~R%Zi}Omy%cA|DJI=wC#$jR_4lefh&tdmA}50&s~}Bwxgw5xANu6 zsHJajUOghlnX%R2ea!8=w+EN*+S)!fDB|#q!?&1k{B@O!3cfS1vbXo!jO>)hDZ)A0 zr`ab)Uz@?a<>#}5%%8aZ;vO#BYu@pw`R2n4!TwE22k#ej?f>`aVe@kTM^7)_-CMP3 zPXGJ9>BkpWS;XGUovrh1_s4@7NqZ)iy?>G*a<szvG5^Pxw;xv-MIB+Td8hj3<79Ci z%}J9~D@+xit^d!XKH0VB|BZ_&JMPRr%P;=X{OidAlk8nb7C$VKNIu|shWBIn%}pvR z119S4tmTOQWV_3IOGy9mWY^O*;r+Ac#006tn!VpQw|C!vgSK9CLHiw!lb=1ayuT+` zBRKhUVo$i}(}1G4Z_e?#o%p<WuSpzVzk%-YkJ@|w|I8}?CUf$CS((-}mbgzM`t|c3 ztUb54DtwJ-naQ2Mc{}>2)<n#Il)Ct2!LIXuYJXzSfBv;9e$S)zc6R^H?w#yw#=CR& zkx%DsCv*iyNWCtPO#Wx4B_DGn$!^tCzYh;qyT+*fUGzrv>@|j46}v-Q9#upo&v5P8 zE^Yqi<o(J!8?@`wiw>{*^L8um?z*p^T=!L8&-uLW=(E_<XLo-yd-LnX!)AT6H@_bI z+nm1MJoWe2)8YNAczHkX`^)=VN%s=(?nf6HY)_`I{^=5ZJNovI9M|Y*>p#t>zMWfp zyWCE2>g^vLr{>-+PkB1|_IArFW%qBoxr=-ea*e*2doH!9THWr@-np`>dHIV^sjd_H f-*Uk9XTS4`qP0Iv{w~c+|GoXgm;bE0C*%MCHb|*y literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..319a188acc6b6247f32d5c84b8a9ea5a7380b202 GIT binary patch literal 116468 zcmb=oVgQ2*#@M^%JKn|xe)y=+u~1`Sd)AAEQdJDzE866$7%tvetkfb`^<tst0kL1p zj<X4}HZO3=*?3&8I!B-?U`m6=`_D%AEzfTj|1V>=KXUW6l(jc4f6tq}`~1h5>o@=V z|NmQd?bq(DwP882!FwM6lX}FQe&bf^p5|PeT}Jl~vX*UZx3!6nJ!U>Xd7smrq~9MO z32&2AfA`^I#K!NHC;b<D*L=1AabtJyk+u3Bdn%^gIQG-{DBrnxie-hrN<RK`J;^^$ z$8N)am5pCjJFERgZr`YFs`$I<$mF0s4}WAnl6ChNmA9zrs`%IS#C&SS!LP+fwb#v4 zzE|)wXJb9*@74d+cdvfq-*Ef6r_GVmx<}3}P5JXdX=C?q@h;mtdmY|9zWn*<PTilU zy^pfa%P#C(KD|TRW?#gd*tk#q;a$ah_B+Kqc>DB`(0YAg_3#Nb-%THhSg#jLzma#u za$B70y>(hY|LGpJmV2lAdwP8MqlZ(EvhuA{(c4(>TG(pqJ}1uq)8*CO#&cqP=RE$g z>JgKBxZrW~DStjiJz^2RF0$NWf1AbOnc8uAIzL(0_lt&KpZ235xO2YE$Bx_iBK|ve zuB$lqwe-kcU6uMh3wInp%zK3S-Fkhw!gAZfI_Z=A`O10)1=)q2e;r~H-_Jhs@~x`< z-e!x#C*K~m?8{fzD=3LBl<}&oOfPKew(bm`)BcDjy<DWdeBz&P(MJXI_PNSD{x$n3 z=el=F_u_Pap38o+`uO!3>!Y>*@7-bYw^MefZ^XT9Rk;ND^A<;?&lTuqhBaH?dGy0* z)4i5ro8;e{gto`2ciL_H*y6nBA;<OUlm9TyFX&u++I!Do&37Lg!{5~G?YUpjfA_j~ zt?;*kf4oL^2Sxohma}$VzwxWpyY8#K$&VIyn+KfB-%tC<uD9VU+sfmW{YRMJJQnb` zIIj3rq~f6Zp2vLVeOLBB;9GC8Z_$yt=3cgvWgAOXJCENu$|+aS8vbf+;nmY$d3PLD z=Bp2UWbOB%vHZ<`&sUr8pRRkzmtJwi@?4$gvF$sKsK5EQ=}5ZF1J?65>Nc<0pU8gw z{p3F!^9y<wfA`*VM04GT#_BhJx_aab`ps<~%06%I`R*4K-&*^o*5Q$?+|K+T`4d0B zI=A6}m~x&)<?YHlRlj#uAHHdQ?(sS6^R^FW)=3o>roP-S8yzkv|D)w^`N^7Zp{jBZ z_|$LgoOLAl&SR<Fzy68v?>Nr+emeV}<muAs&asOh{z+eA*K2KG{Z8)nSN@3g^@pqe zT3>tpz3$zgU$twl%O~&s$MX7Xc*Oes$EyCyUVHt1W8D8P=f9s><2L;M928%Yd$XQ@ zy~V+Qr55rw52dWHPpxRKwt2+tzvHi)Wc>rt==};`L*^Y}ws|P<{QBgI=C3tTYn~e? z+Rm4J{WIeIw67)qbJzTqPrAFG<Mlt$i0|u<?5dZ&_V@M1clWzW|C_D({d}MMtIK~s zZ+I8qRQf+?&F}E!yZhN*|LcwToqp(+J^Q<x`KzZ_O?q8yx8tZHU%tXevFC59zjRMp ze~-ufy={N{{*rfB3;v#d{_#QW^EjUA@26L|){1`1)A;($;s|rxhh-o8Pf2f+^}q33 zbY^|}p8na=C;w=^D`<Nx?Y-yVa{m*5bouNw=M^0LAsKBxu_k-M*W>-CE2P!Wh$l_| zC@5FZsC`X)<7ds8>kk`0u8#S!>0g)by$g9e4!&bwvTxQ$xo`PeKRM$h=RazCy|VDg z54Yow1=FusKa`dKk+A3M>LCAW<4F4h7neV+a<+La^SwvEps4tyed71zH{$O;G!-9P ze`8<k6KnY?RW@%P2_C=k@VNu0-9xVFe=2t#d$%^_A7k~OJDErJ{*&>NJ@JECeY@Ci z`ANTuWD<Ux37!AYQ9S2v*dtxNkDR-=PyW%vyyt=B?fq)6^KTsd`c~Eckh;v{7konc zg<aY;59F-Nr+j37_pwcV&tsb@AMdUAt`+^Zv0S}#xy2F5xPs>LJCB~7n`Hlx@4Usn z?jvjYPydqKw(;v(A^wfs$~F&}&hI#Kbh}4gd-k2=|Iw=Z9&zMX9DS$pRrbc=x7$7I znyTkKQkhiITYM+s_c|f@jqU0-kC^pu{Ni1?e9!DB>^lxB^1ajhD06(r0cpF$*K$Vt z4y*5Zz_{LG@A95<JEgB8H;$g$t0I>yuU>ITG_J6Bb^i1}jN2=YB<g&WRsV6o`dfYQ ztIh9qA2Z*1$kT6e{AO)RO}BT=p?9^ac8?kKD~^53Klw|#tf1EZWd8@w;}*O2AMu{^ zh)aIQ@pH^6e;TUyJmh?DQNN()dtLOa)f@Wvx;)b4D{K?L^FVg{j>-v7{C6BS?vvLk zd|jUMm*@74s*WShZys^*?>JzrSNJjD<okl&>Noa2kF@(fw1vO<x9&=L0{?dY>3>+h zTO2*9tP=NF#{7n#s$CMlf5j2@xDBSBwojE+?GNzs6@Jj@d~R`!_1*{0=>BORW$hla z@>d*vS$?A8`S&IBn0DW&>^YMB<}r)^jzik(3ct>sWdA^{-{Oy6=X;BT?DsbQ{~a`c z?#Ukv<rasP&lP^VYqamEs$JsuY89xT<xhb8{CDpp`G>-Ng<opn@qAzZG5ejzy#5u( zfAXKGc+bCdAJ^|2za5bxT5b9t&hm<5ANf7+{8EmzKWw(GF5;15Sz!x%%mc~kJAMd4 zJsk$~^u{aV|5x;U|Ij8p$HwoG=Dot+%g4R<9AuX(4D+ZtbWQjO`?-x@)r0!~x^%Md zIG|WIPvxV`^c@G@O;)WtB>HZ{cacv09mll4&DSbSpH?OH=Fx*pq5i^dVVP+{>pyT_ zx2W26g#FG#!Q<yA{OGH$dGKVW(D(l#ucQkaE~k6ifPJ6SxxM0$`W$=rN5=C$Hg!Mu zy7SLCvi=~So_42u#Zkj`^VB~I<?lH3^|R`|$Flr8DjScO*F2Eju0LhR_vb<Lzbb9) z_qRCuty^{8Lq78xm7PbT?>y$*z4b`CO~Pyal-l=8g|>g}aDKDj=aJyNg2v|Q-g}N1 zzbp8`)%m^RpmtxJ=11Q3H~xCB)c<GLSzU3M(=Sf-qsaRm$1;^avdLE*xw%@^F7dVL zBj)Eferc~f{;#l;{l;NMxxzm2m<ONKRsOd~-+A~zU3K3BiS-qS&&7M&Uh_X$AwIvL zhkee2FUwPFTDfDAmrwoCP;2vu^L@op_H}XEKmRFx-D+{*;cJz74_Tg99M|0zum2d7 zP~|>0Z8kqq@jE}|uaNbP+QmndWgbYJ-*H5GUBO?qlkY!r@>^7GK4L!Sk*xfU-_a}2 zTb`_t|88+q_*}t1G0FQ6xVGP@UVTJe=8>fSjbGN0^~cTf?y3D$d{@x&c)RzW1Cn|h zzw&jK-#BV3_n|9&&%;M^C%yl-_lo&m?>7(k2&?Znr1`DR?UAWmo!^}YU!EUTzIR`D zUcqO%kBxu7cde~oASd(SO|20B#;<jSeYYKB9(-VaBs|Yv<6Xf=#g9#I`MbmKBtDm4 zI`4(DQ2EEM<d}ckjtIZ`*SF))UzK%*pQ;}*=GQC875;61BwJmtWcQ)tZ~dfSm+U83 zH1N-P_)K4Q-s1=BkLb=5`^fpcUU}ZeuiqcB&fl>2)Gy|;f?xbbc86H|Hh%6G(%;yx zZSzoWeZ_&R_MZRRqW3&5n7ZTp`Jniw+C7g&ZvWSLY<%Y-TmO!uH}{|T!8yI+*t`8+ zcYcXS+8;2RSJ+`L^YGVwmHh|QV;;S@uX^vH$oC%yw9D+Y9-H4u{vN+{A7{12{~100 z1+Bqva)s6xc1Z7eAo#xG(Andv{}0G+`*-$VmcqZ5Bg}Ii3PjJJQqdP1^MG;whaT&g z8m~{{F^@%m&!4vA^ZOwGme@UyB;+j)zD-xzf5>)S;it$>ev9ME+sZrN-#E-JxAA}A z%KU?jdiLr+CG!gUn*UFz=uWOVBt38A*ZD?u2i0@xeXhxGXuf>@^bZbki(}{NJ@*{3 zTlb-*de0-q@c+6$rSm@a9oF}_b9>1?mfsb}HMj9g&VR^fUU5YC+&+!R>M@TbcgIiK z@wE`i)AdkKpB8#w&{bXYK-BubHrUg`LHAp1=lq`!@pP^0BU8S@W^tQGvi>_Z>V3S* z$#*C5_2eM`8qQ93i^GcB_PIT>EGukeuQ_D=uAtEKWPCwy_?vjIN9UF=na8oa;;5lr zLDTK^Q~z*%-*N2ZQdPSLGW|FHS;4%W3HEmG$@2xx#WFE&k97M!a^_bYyOx^zr^)}u zzn(So;~gLIZL6GT4vOu=pZBZkeXUG*^Jz~(zqU<6|MPD1n8&ieZTR2RhP}$((9b+i z-mkFRSmx87!e;*+M+MKTgR)Rx<-8lWQvb@`ws`pSu>iltA<gsJ;uS|!&sFT(d06;e z;knPJwC8<n<DTbUX7Bz#fq%Nq{y7gsm+yE~`CKZ0$HA?3^1bCY58Iy4<gZD1ZNFsR zw_lh18Mjr~SshWIll;5QXx{-@yTs>iLhlRu#cdvnJ~v-B|9|kSl^eSE&i1f5rs(&f zNqf&@Df4|#_;(yu?#tKuD4YJ{z_qg~@9N`T6&E(J&v_{Me8-NRPqyzkrvB!>(<9@) z4^81Y|EI61Phj7^eaat>?-s{Su2qS9Bolt)S>;zb@rna{=eOtYIAUE^DR=L8{yF~N zHy;1|DRSN7u-*CH<~t7R@>ST?9nzgwc;fSyYvL(?g|`(R`TQM}>67P8*DvVzp7Wum zww?XX<0;QShTA;iO}E+qUJe}XpZC-@rO$cP^!#)7o(F8xZO+?267}El=;wdt{2RX( zT?wwA{l&e(-{MH1%14><9S1(fs@5H{eOFLq-l=bKTzlJly~5|-LH+HqHjgCqEe<}l zRoQ=tcU@tDe<#1iG39$7`qoyT{J}hb!+-mr`G4OE@fWlk+dPs<-`JC1*y(>`@Ae~W z^-upf`TObWult|J@qGVZF86+a(Ysr_&V=9p{<7w)>bbeKXLnxq?)v)k0MF~sjmmsK zX2p9Qd;PhF_1g2Fei8H8-)ykse^ImciTj`LjIVzPzWyQGZj)b;Q2TGg-YsXYf4F~l zz4iBwuRjlRzW&_Ie(gEGZuF<GKMxD%Nj{Htd-RI)_2*XOYtOmYo<8-X`?>H&yZJXB z3RnJnnzE<r*snhio4Diu)g;8n9ee$`oA26l@#;Gh#cTHVgzN7}+b8<leCp4+`4c|= zs#)Cq_2)6h?@wC8CwzP*`udObwV$!iYwGx4|527Z(Y;RN@m1+-Kg-vAt`4`FfB(4E z{}%U8kF-x$eC;d!$Nc)wztD5f`8S-if84p}$tt6`+Jw3Hcg;Fd`j6rDzxIgd@gL6E zyu7L!pSv?@?)_s{^&O@EI9~tJj+<e=yY0;KZR;!T_BVrt46pq(-(!?tr#sXCZOreQ zI`P+k46pqZUi0~VMe@BzRz~x0?Ohi!fBn}FRlzU+yR1F`JgL_1`vL3E)9!10UTN#U z=KTF%5%c%^ZnWD!JF&Lz`YySjXQCy)t=BKCwKG5Pt0u4Q>(6^KuRrho#;bDw(f;dg z&C*^m^Y8P5)F;>e+kW8JpY_GcC%6B6-}~^xF`?_vtCiQB|1K6W|Gn-;yZg5vPI$lE zHm;yl_}TOQ(f@W@A3o=+n)lK4@oMLNwVOXz$*%e87V)}w<F)9d_jaHD?mwjLm#6o6 z{Tgd&z5GJC_>X6<KbZFXBkSvb>mpv4=BYm38YiB;*Kpf=?XPM(4&O8PtXuzCs;~KT zMZbT|*1TG|_ZzO=PF`DnH0piZ*0}FxM)%i!efX>{=zpK~r>@(lDz;YdE)L)E;C0TC z)3;AotlfSnD!+g0J;v<4(s5htWb5l!mhWjkGylW=#n$txjpwuo_5Y}PwEEfca;x>` z2cz;^x89S=-peev)ozZh!>inPI-jfmlt0&dF2_@S-^aE__s6QA@3zmm{yK3jNOjlN zds5|5@_q6eU(@bueSW`Yx751*Z*BHHp1MA;MDG5EZ-@J~#=T!>6!-e;#%r@5xxGH@ zsPvVseDa@(mDPt|-aY?V`Q6V~cOKi=J?O5NZ`A)++hR50eLMTUAKwMPKdIVc_r}iS z)z){aAKUhv+VKDF^N(!dHL<-FvZsH{tCCvtwI|}gUEQHy-#@ksZhxoyIn(CAEP1Jq zGVOn=uQBQWXes~uecg_qSH0^>nZ@U9ve&lTP%OXF{d4S^pN3^}I=^Q>kPCOK%YDLM zk@TnIbNh*!-4p92e*UXny07aa<2O02pOV*p6|eca-6G`=r+$UmCvoNb`{aKgu3$Ik zpZrz1ck};^$8P=?D(_ie@TcJ9``$kXPuwp!_Cq$he?rasf6t#kf8720xMb}MJBMSd z)ek>qKL5C`=-ttRzY9KB{CH5D-!{A5BPQNo_uBQ#EnDN$*Zj@04f(WHK6lMuiKMsN zIkNYQM*LoR<d(newYrxZzn$(X|CM<77XQ1$OYCg(`CnJ6N1Qi4S~bt~+Hc3^uXTn} zA5V73yWOeUab@vO$#XZuzY7XopMSkFqCRf=gRPT(7j~_F-kH7T|Gaevf4zU{cIRW& zjDkOoJMCpH4u@%djgX&I(GdH~_6YO2jnBm0{&Ak~d0#N`)a!J^PvOe<E0<geHokZC zpR%g`v0ZgdTmNyn_pJZe#jad$@~PSV<S*;z*QC$M)ykFcdwgf{!#{>X*FWoRnD?FY zbzOPH_v(YY=4)U3Te2_s+5Q{)H5=n2zAGQxB`<odZq>$bmlr&Kd0a5tzUsc}YxgMi zYp-KB#I2uuvf^z%`|BFPYgI{WzD{x5WAw<j?B#Pt{))rK_X<B|U;2Nq+`E?j@1w`x z0x#8TUi-t7UDsTFY`aC}mM8vh|8ky0-&cP9yl4Byzp|6$lVa@;?W$|EJvP1K$lQtd zrGIM2N$h|4$#B!Y-+P1RuX~>uYk!dUaj;Cy@+a5b>XM)ITcrJIF|U#MdF{KW?XJ3p zweOSmf8cx`@mjy<k7)Uq+;4x4_TT#1Slr*%EpO9b{c~sf;j8>}k2lNPeQ)~ubxTsM zt);!v<E`<rYyL_lzdg>9Z66l#d+o7X`OMeqq&9xL+_yEJZ_VFF_F=Cs*Kut4=G^vm zPw|@Pcav<-3t#&@XX8D6u{Gbhf4isF_F6|g7QFU5u;**tm51|c&&#QQep&e>;{4*H zyY6wmt}?#%Rcy`coBigmj?R*o{CJ~d`i^IHVTu2*KmB*@F$aIeQE9smeYg3&>%u&0 z6-)EmKC4R<-kH4ramtgr-!78#ljU#kSNteaZE;xn-o|;y8^7B8QJ?!hy`j|Jc+K;P z$+rF1PS{KS+$<R1v*YiR&tGNNymqg6Sd|o0=dy195#DtLA7%@^FYIC8llWhA)4wD8 zzO*$jmnzKpJME191L1og8p`MV+t%}ap4w;88^_PR_t<mHF7HE&`<_Rf;rq3Jvgd6K zJyrEk<s)0R{g#N|iHGj#KKc8l=c~<{<)>?;wr@P<yymY^;@jzmPU!dkIoI*st;Y1x zY{ByRieFV@*0-0>N%;Le#XjiHLn;3q2Y<dlUGaOSQT@4nCT(-mB@1IF-cNeZpR$j$ zz2X@6wt2dr-`+TOR^F?wTi-68Ilg8e+qLuWBR164wf~iw89#r2@dmqk@rQk1lVtu+ zToeC@C;Y~*<j(IE5B?r)xa@PUKF94}-jnL{Q-5(C`+ehQ-bw$B>*goM?muYvINIjn z$rJN?YgSMC8h-5dj$d;3>=VH$t)KgwZH3(R=J3O3Kc9bmk^9`<MBDeWuPdwdr+nOX z-|pI9zm4zG+e+=FYc|IJNZP;Q-SM7M`!(Vxf8FvoyH>}w@mq4sR(b!5C%YzzpRW1! z(?Iju`Sz6?&s|TLn|~zge9P8(%)7s={wnm1pS|LkqTWB3U)INU{{}qxP`%XN&#f-~ ziM~bRpRV#ZSAzQ2y-$j@KlbhW$tuow1*uQ2yZvi$Kh|&YyKZK_`6qs5`}&nvo^S4- z{qS4r^N&BCKDXnUAE#Jo`=0N0rGCWs>Z7~vpVL;2|9<)CuK9-hpc!}Zv0d`;bS%%m z=I@MuGp>XOZU3wF@#u5uhu!DR4_U?cl<pJCwiUfrRTXo2J@dEpm-l(yD~@Y_lX|sO zzUIxphA-}2ruK(t-G9*VQFq?Qlqcui_I=Iz*8b6KU46hc{*CM86Jz%uP(L=^%5M4} zzq4Pzx$XNd6<PoEj$hkkdHeoo`@(nk3VuzFIsf*_-_W+Nd!%DF-PgVLcix6~&wEPk z*UnF>y9+I44hjAaOnAFI=y?3JzlU!1+g__Hsf)VCzasm{ub(l(*Umq;+Hfv?>!kIc zStDL^Zv0l>$A519fw%L$>gN~qThIA3^N9GIqQBa98$L(Ds*F01Z|WC6?g-ipuQTMQ z{VY@dZ}92wb<bMS$INg3c05Usczu53wfzsK6???%e_r|4d``8&oc$kd-tF;R^Zad+ z?R$yWb?On{cOTj{-}K$h`Hb;z#P{9MU-P>#;V%FGvqAH}1vhM+Z?xv`jO4e+IrC%6 zD<}Qbvy118uh}Pa?Yz0fhPr(R+rEBgEn72R|G{3@XXjsr%ipfo`#U>{KfU4zFW<{{ zG5rdoPwC3{t5&bsZ+!3A+2p1Boz}c|kGQU1aQuhRaeJ+wkb>}>#QwzBg_GXz^L=&s ztL>WC<`#+ZbGM(a_?oe!;&|nq>gPMv*F3jPw#|PXYZUkW_OV^}6|ep6+3+sCQU4ov z{2TFWe_w2Tcf4!se6uxwpOnR%U;X5FfBRN>?V3lsn&waZ`0Hnf;<fYcXExT^xi^0O zZ0Yyo(PzUCN1rD@XswGa{3(9v{<-s~e({zSewZ%QztP)XrQ*QUiSg1undeE`KYH}> zQaq$GKJQs;`k48R_@w!XYweFm)psi&oByFJUAf-y)8qNmzvh0+HH!InsPazz_nqR0 zuU4z9dmfu?`=0T2U4O*)>f^iSvtRrBrF!Q7r2QX`)+OHUmw8>cCF1wXmaX&C*8JU( z@b>rz@zlRlcA3?D{5kK(qtCYYwI6@|Jhx`e{P$Cm{{ETC^ZNOD6N_c>(R&_x9{)e} z%jX{d8^2T||1Xfwxnt+}OZ$k}W92nJS>H*<KYG$R>AvL8y%YC8n)6Y5+kBOu@ASQE zckOF0{mW9_<NvWETY10Xr?uZt{!xA&B*}NLUM`;3e1DM~Kl{dc<t<<LaIg6tn{@X* z-|M<>5#NiCivMoa|Mr@B)4q4@?WOiYYyM72db?aI+ukhVcj0lq*YoN^uU$UB{OyK1 zySGiHdqrPYont>)zo}+_!Nzssj~oAa7yP<@>Aa|3LGN7GN6c|A+6AZkPy2VP_s`)I z-|bbtn)dJ)d=NQ#e#5%?$+7#78b0=~IJ|k{eu<yo)}Q=!EjZPdeSSep>0gQ0znJxI ze*bVi)$VKBj=vu&ZRF1HO}2f{{JQe@e#OV7`<d7Lo|}00yv*ymZ9iZk%=)_ShWx~@ zTkB=l{1r)jdt4&hzO3TWu1oi)fYPyKe9gX1v(CTI+PF`C)`ord^Ed93-&E)Q?D~2A z%^U0LB0Ii*KB}~4zJ6H3{5t)QE!KM;$%WS|ukRP#UUB&0eJ`88xhwS-yt`WP&Du>y z{Yies@ptb}Rs4RRQpaCzaZuT>@Y{Ezy2HOJuUTI^zxkWm%=rEFza#e7FOS$?pB}Nl z-aO*`>Z4V0!q>j<H@^0HTb=(k{gu~`y|efDtH@W-k{<JbclwTh?(5|HW6D4N-ZZbu zJmyd15&tLCEq<xbTz?ocMYCp2e|5~ig=f~!myb*Q`{#q)>({vlepTJxurIEB&HC{7 z{V8>}`tq+UmqwhoK3sK=cixKaM}OL%{_3;ikny*Fu8*$CpZ=w|?PHVooEN2kJA1;z z+tx-t+I_BlcKy_^PIn%19RIKOktu!0k!$<C@B9m%8E?P-?!jM`Zzmr6X>&N@@%oAH z_p4s}ykf&V_x`V+-PqU6H{X@{=TuGo)gPfp?#=hC)%*IZ;(+G7!nW@IQ(sMY9Mk<~ zf9&i3ooDuM((g@tuHR$PcOu`kPrc@W<o4NX(*G_!b3IvFU4D8+_t(!K{Uhw<>yQ2V zDZ_p3{PPX}y`Eh^f4pErot<0D*XO>5*XEl~wVGF@l~eEZ>h;EUcAH1M{Cn=-DEwt| z?(_Pkc4xnDe71Z?LQUJ;pik1u`%OQozcH>mCcJIh=dZbIUbk1I*0ii%e)?DI#CWNn zvU!sCAAgXXv|sAy?1}IH^<J57aPP3S--dVbU0eT2-9DePzo6Y+x!&j#zv<((`=?fI zN<Ue{c<rn6n%Ct!7U=)oKe^^>(vE+>KUe(Ve}0c=`~M2L{{JuUv_HJ8Rak3V8WHc` zJ*zHu<G0nH&!6$P-xcxO@Yt<<&TDn6H+&23-8!Fb&0nLWx6@^_?PVf<AN()A(*L~m zfvS6wa+c?1URQ35c+alB=6kc`>%S=ntL!)a550E%_r&I}bs-NX)qef&d+hc5?;IQc z)%Ekl|Jft``uy(bnn3-1E5!Bh^ozyRP5S+J|LI@6Wd$EJJKc9Ixu5*TF8bGglTX^p z`@@av4jA9-`4fA5^S<waEAx~0^uK<7;+N*NU&d>`n*TWRL(KjB)IXqR#h(|+oAw>u z*W1?p*5+O9pF5i$ei9bC{(0SodFB13``Oq0-utlO?~RS`mVfs|lx#e&>r5hkue|#4 z&)-KaTjkT&{FSKjy5_$k`{=LVnPzMD&p-BeOV9P6HfuhsM!fdi_^rG*`Pb5e(sHK% zFJC%8`PpOU<zJe=O0Il<fN`6I{3GFW8-qRVMRy!mSKe>@N!-S;?�YDR$2{!x|Q% zkA>gZ#)JH2_KDx7K<}yE>y>}c&#g9$`G369=7;~rdF36Tw(alOguCyBul;?q;ob8l zaK&-`d1~!le(~3JM<RY}9=zo*daZ8F#&3_8rQQ9uKgIU%UoZ7F`{Of@S>10cwfnta zHU4$shHJtP8|%6Ye@VW4E+oF=(7ANgdygm7NqlHBSFShzv{&Dw*7|Ynojne}w2$tp zYb*WBR^F3e_{Ztw{GLBIPi*Ilv`^mCyH?z@uA}rX$Ln8vkKO$KpxY>Z;q#OoKQC3< z{F+~!A923=c-6go(^L1n+umQgKX}dWnTdDLv%xB7!{odCuj^B5->OSz+qXviHav8z zU)`oC_T>MQHNSoz3|g~ae$BC8Kf8FZoe!7USZDXR<?Clj{$6|6e}8gnU9VlX=V#CQ zWqCyS+{Z5Nn75bytG~IVFDv&U>B)MxeaW%kR=1w*DKGq(^m4z%>tCGLzG}x9?>qL- zTczIMle=>Lalyy@vi*O(J!*H=HI)A4k?%QQ7=8NJ+KKf>pVIFb*Bw0Rt$P38EJ!om zBE6>P?R3w&%qQV)b^W%-_$!Xgp15E7=S|h6cJu9`dCjL=^}EMczq|Y4<)!BzpS(Vo z`?338>E3$<Rg#kH*DvRJ{d(oWTkCbN)y>`T?Qzdm`L(A{{kfHIcCC(k<G0|J@L!7$ z+|pOQR`+tlH|PGX@?mS9?@hGL|6UX{f8O%;uQtMK?B%^T-s8{e{rq{O+v7hqIY+AO zXKlFG|L64SUyQ%ECH?)|Che!RQ+{W>{^L?R{?|2~$L4E24(_%0jd?uncVP43^C$mi z9^st#p^tyhh4<IYJ#3$ze)?57;Vl2gXU}&e)-*PUd;B|j;(gB_*5f_#AKT_?d)V&U z*IoKoB6|IluR=A4rh?iK>~a><FHQV<<)7&Jy%Ouzm&oz2)4BGUZ{xi3zS8~NYkt=r z+BIMH+TWNDN9!JT|J~KKX8rGnNq74tUcaC1wc+2sm0pj(RImNG`qaO?8)<jHt=bgl zXFq>KZTQRclCR&dkJ|9>pVsfJN$cnLze)I8^MvE}f5pEtkNKuo91%VDZ}Ru$6$|9q z=KPbmp8Vv!+rIMBmFpAs^v@0Vtm`WM%k%md?>EW&k2oP6)pcw38{In=s{Fb=;(Gsv zb@v}P)$*@9`1Qfng5tBED@xWD=UY7AQ@-Z;+t}!1uj_tCe78QjYrf>Qzc~<xAKE2v zeC_Xsb;_@^?Qcf>*1Tfz{k+HHrC(li_Q!-5#m@A<e|}lS{qxr%>f^4z_>q13XHP_Z zoO$Bk%Dau;zpnmri#-2#&zJlLW|>El&vz`9=aShMb<JM#=iG_=AGCbbzPItQCp2tt zub=o;=+47aC)W4=VeIdbFZ}k{=ziW4dAGVc+m+|j<;@Sj{d)fKN8WR_5_We39#!3w zz4ke7!@KWYrTgbfd+vL;yt~vs8P?-*?=7`wTl3c>>FsjaY<szg`noyyB3@~K-Ib^G z?VZ-&_YVZ!BkK1T^nCr!JsreoJsk1ubzu|xp2xiTUq1J}{y*v8_nMXOTWV$gZa)$n zb4g#atlsI@a>Gy2%JqjBAE(<Szh3tI_3eo3{2!0~;J9wD`C8nqF7rvbRC>+&kMk$} z34I*%e_7$W`+H|znQnCNFgvW;v9>>3_fW3i?O)0h`HG}HrNt}N^HcXRy#A$l?Q3z2 z;l2a+vQ_`5JSlhESIr%nzjS@{;g7oKAGbez7xw()m)YlX*`LetJl}5DZ@pdc_3M*I ze^qsD{FdFcHC}to--zV5#~HKt_eT8AJ+A)i<pIIJ5AK6T05~^%^KRQJU$o}$g(Tbe z!q+~}+c@ue(^s3fw@?16vM<<hk6&rc_sJ5k|1LRFWxr~}z5cL0g?ew_rtW>ZuBQI@ zuiy96bM8k!;(oWGmi?ss#^%kxPyFC`{ocHP<G;GkLd*BWfhW!5C;Yw%nn9j${cxZ7 zo4bLpF4xFs*L9U2OW#o`yS^M;Ro^kJJNEIl>V2;B*SBw6C;zDDqu{oC3O^y0b(!S- z$BgUU{-r(HT|Vho+Og^#6^qx*Pq@2ZB>S)FI?4M9|9vOfOZ}WYvHn5F$7^$!&J$&i zxX!<E-TjA6`@Xza`uXZ;!QZpbKYoZlw^uT6|5qEi`rAAC4=?w4^sDOChJEwI*8JV| zs^ZuAovWW@6RNED&%Uz!xom>%d!E;o&mzumKKitF$Nhx8@@FF6t8<@|$iE+pTv@+Y zd^~&i$tp&^cY5cpd>4IQaZLB#2hQu?Cx0}yd&uhkefo}C|H%4Bbx$AsneaQZMO!BM zeYcSQ$AhmI9(p_b>DQ~$Kbt4+e>m@>wV&Ul_jkQtUH)3T=Jj%m^qRJ}+db-%pKN#g zw<PUPk9$t-@1MWSu6=dhV_<jaX>{se@X!UHdC&X84`wIhd;gq0QJ%a~KW$ID`5b7l zZM%1_?xC<;@1K(=_}}Zkj{b5r!D9dU$~$}C?^Hkh^zXUH|NerCF8KmEemRY6*MmF0 zew~v1_BvDc{&^d|CAV*t7hm&tThd!|^@(3?e;qt}%ir)?o#%#c-mP2Zv)24INU(h` z_`33a#QDz$s^<M`UShXbo+aWvyX3Xso_$~IE<JL1Zyxd9{aSNs-RxS)f-`?}j!578 zxbNiO7YEKBKl;x(#qRIlg^btk%g=h)_tCP?Uh(JJXT|%iGVSJl>=VCJ<M}TCn{(>l z#_P?^m&;GQRy{OJKKZPE;lUqV*6*kMxpzXo=MV4ip8FqL_O^T0?%LO1`d4Iq&-sF{ zdU5aF>aw5s?@0aAbJ*|G+V=VD^OIxkk8nO#mhI=>T4!(g$vWph&+k_2J>Z6+ozp96 z$@dT6q^Is<dHru`#OwK2ZXI8F=K6!f1>aA6uK2a0_;$bD_XLaoeV=#yo>AN%5$`X2 z?fT`$t?_H$PpNr(ogv%4E#kM~@mu+<*Xpbj-!A9Nw%3jLoqF_^{<(5b+gtp4_g=P3 zfQM3d9|8@fewHb*`Q9w}`ftMVDtpTf_x%6(yt@25u;FXnl?P$xAMQ@wQ_8>dzRHgl z?KSrPD>nYKNj#wW{<vGs16gbS2md;%-_-Z7v46<$oPWwk$#*;EKehNLzjOaqi^J+U zwULjE%RV--%ha!5^FHu;j>S(q<-YW}{Nn#l|NZtmwWe40&HwpFlw}@Dtgkr8{CoeS zFY`9ZpW{FA=hwe`M}PgZJNE0J-NBo+D*F%p`nT`cuYYw1etqs2e0|=&Y>oYYiw*z& z9RBaP(!YM6P{QAzUTysA%#XhfxA4F7SlDlkckNfEw3r91*3&0cOgjC`_V+dkzxop$ z$@Bk+`rr7OD7imLJUm|c_0b(iIr;Q8KZ=Uax!*Ro`efw}d!^5>e@pVe{ymfB_3xP? zuYb>!di{GQ+w0#m1+(paB7Q$SaEt$1@>03C?F`xbTO)q!9_PFs{Xlm9hFZ;={e0yW z2ZiGb|7|t0`y042J>h=X;hT?pEY_X4zo9&H=K0z^J^UNn#pgVbUB2U|)yexC&i{N{ zaVYNKzn8!5W$K;ISs$(Xe{RFQ{nBf`zZZM`xBAd6e&cI(8|Epz&bGf1@mul8t$e|2 zb=n2TzfQB*W@$g?p<MLzi9fn*_dFC#_n-31?OU$#9Q%_Uk6Zu9PM<#Y>mK#fKiGa- z?3i;TSmrTLe#LR|@5VKS_SIcy?Du+~(?3wDes06Rx+a;|@5M8Ef1K<%?^a{@=v#1V z?cDnZt?FCWo<9Bc*pC)(n+IIW<Mkg`$2?%PzOPXDKQqSu_a$jQFXg`4Pwc&qdDmAQ zzbcwy`~Ia-e0QykmC*XaCh0wo1#gE>`6&GEL!*0+t@E|h>r@^~uetv}YR&!sYHR)) zB)?^UJ=19Zyy?AP_c$rbNq#)kG2LyC@uR(h@r5V9=G@r3DQ5m}ot63r<mMGNna_Fj zOY4pLxt;Hx+%%eBWj4pg{gL{oV42v^XR8alq-!1sT8Ags-bwzy)98N-^PKqDW9d5% zS$>P@+-`A1eb0jhlYV~v>(lY|uTS4rd9F2o3l81lm%UaO(eoqg$lT{Af6aQIbhf{@ zBI$_so?=_mymgA#?H};<TkKnQq<qdp=IHB_D*n~1JiqMS-GYxy%6+k)_-zvYx5nu6 z6%^)1>K`^ex3ONf)8FEdaoonQN;Cf-Fw0x7{ZsK>Vaw$FDL;C?{=L-n_3xy=Ni*Yr zA3S)AU+~)Jo{jU;GmmI1-;@4$up{5C#{AJe?<M=@y#BcH?a8l8Zyc7CD{L0O^XOC6 zr2Okf^~XVjywjx$?@YM==)o_e`z^^fk9ni@C;wa{y}{1zp$z|y121_~|8R=$IDD>G zWnY5)`ietGPp8(_*2gB**56Hh+b)-Fzb4{0cp61JrLMO+;&G>-e{V(Z5ou++M^j(V zEGSLh`Hy+~jjE+rgzvdLV)QHMD8KV?#%r!RpHEl!K70@=w7qtw{Sn!7A3M0`+zmN4 zzo66l%{`w-Wy+t~ZyYr4`_Psgb3f*jcg-QuzC6Xk=U0vDE9L*yw0}MCZFsHDW5YM+ z)~|cA*F3kC_;|77z1tsyNB^>y*v+*+U{&81yiWZ%d)~%k?{CuID~<`r71U`<%KzW7 zX8*?g%@3cbgR)NihVQ;c^Z!mZvOB^TSI|-}^H^=dAHMP($3L!B-S>zm|HiJ~BX{Rd z`WpM@A>;Rm|2Ad`e?Q-7+bUnS=I?{QTh6S1{xsQk{gsY%w>^fB)(Xz=`H^#E?X<5u z<)p>8Pkqf_*sJ{JzTYF(zJkv1J(+gbWA}tzTm3O_N5$MD{B!xYZ=e3v>ds?1_3{Zn zx{CKC{_Yd%kJtZPZE;X}-G`>^n6^pw57_!Gc1%7p+5E(xU(dgbyq<qQd(Gbq32)n> z#f8D6YtpH<z3dU6ot5WFe>~JNzu?T*l8VFJ+uoh2dn{abPvtAmjiWDPQ)+r^*B!3h z+!JozHdpw%`@ZhE`O|(Ty@9VY)19>cq1bziKVhBK`4hhizj-XX{Kh{s&;pV75%qG# z34bel{)f$s|E+l77Qf`R&u2EyE6+aS?^a{>s9SLReYMxG|L|S=scchZYj{p+=RKk6 zI}W{zRlWC^fxqI|zuP<OzAZJE*>kw#vG4Q4U+<>u<(>bbZ}D#LJJrk2Oiz-R-*N1m zZAuMj=_sea#s1|z_64nL%THF_+dG5*_5I~rBL3T@LJKRanf~WbAFGO6DA?aqk$A*g z`JUv*dmZa7o>ZCbN%)?=WS?yHj-O>a|FM)?94*xO$oV{`{L^M|uKqLUi1DZ3oV(G_ zR&VT<ws|0UzT(i)T9y0%D`)OM#`x{M_D3Ffi{sjP9~=F5?4AFFeZRuz@ISQ?9eZ8w z#XpPqzt^Gh>wWGy8~#<l<a@n;dR)QzDs8`a`me3ur`2!le#`D*b8P9qT9dMRzkhYR zj6P*6*B@Yf>~8b;?g{&zn*X*z_mBNxxNfiiI^AOVKbh>mx^{;DS{BDo_;d3_e9xa9 zutC>Hm3Qjjg9csoJ!8)AK2~*)`PyH(jqkqqmD=;K`Tg?$rZe@>IS%)}Qv0Yie@iZX zoSwRW!?(vxTjdXbKlw|uG&kaZUB&TV-w)sWox11V`<gZ1cZ<CKt9Q6+e|Aqr_7Qbu zJL$sL;VHF{l>xSK{DN|Yjp8wnJ~e*&^|GM3T1G|aJ6mLZO1#zKf9yRLeJ9_4Yzxn^ z^>}vw1LyAjTAz7u9DdmhS)KM=QAmD6zq`#t+4VmTTy<96|8K#X_2-#QBIdJ8n4Y_T z+(+v9`((Z~&r@qXo?ZUTwc!{njrLTe9}&M(WUI|5uXg?UpIKKXCy2kdIQH#uYE6^1 z&7+>zJ@v8Ag8kbb^Ly7^`C0IdW9I%ts_Qn+_NqC+DEF~vZ{V~08~R_nd)0OMTO7W) zSmoXWk?lVY{L4S_NAdo9racdMUjJ1)UbR2-<I%rdufOk?wC<l)C3YwIxALZcEwXd! z+k5&8PJQo|klz6wL^^(M_tSrt59IQ}Q&amrYkR&I{*#-jf7Rk^y43Q2Y~elm8?Sr+ z15K;ceTjySBHg#^uijt$?q<Qy-KfJziFeP-zOJj9e8&D|-NWv`hjz(JUi+J|;ahO` z*7<5{{#qozJ^tW(%HNh<VS6s0m(G(ra-P3F{@Cjpxoba#)_h$O@p@(9(&xQ<k9+*@ zvp6Cg_hDh-uY<psSEe6iy!I#H@ssBj={1eB<EQ+yf^;hTK5F;f*Zz4<-V4;P-1<)< zy~lsUSMN#jl0Uajtbfp0toh<JZ1s8qh4c`ty$oVy`RPBhFhNU-(Y^+F!d&yN`aK zZ_Z~056Y~!pZc}bK4#5t!PS-a(-Yn<=gPLf7V*0<cyr$G^i6T@_VWw=B)oht`MQSt z+Rr!k(`(-5vuE21-g~)S!u-DG-`Gcd;THe&I=|moD$i!~;OvR}y*2Okm+YJQ(N3=} z5<ZS}y(fR;e>><n(!Zc<{Sx_)McWab^?zQ^g4egr-f!3MetM_*n&*3yZS&vfr{Ebx z%EvN@bRRT`)DygB|M?xq{v|KDFKzQs@b!D+c^m%OJ!Ve-vij@yEAu`7v)wqVC|A(O z|7+oax4)O}hfMeFNdMC{Ieuczb9oE@YsDMa$v>X+k#igS$@M*dZk>?daQug0e+78D z@4q{=qi#R<_~Wmju~K{QnDe_2SKZ^j_BU?hyX~L#C$0beGU@Jl32^x8ulXIAe7E26 z@t=Qh0v}f#ztzuvt<L9v=qv4&xBqSb{JL~QTz*UGK8e>gs@MLl+wiSC{|LMCzK1ja z-75Te_tO7+`@L&Tzis?)E9C#tX@AO&KMh~v!5yX+>0{?DeuSRfZdbK$UvKGOf#W^> zAKJK;_nUmO&Z!N!_WC0yq^l`E`4`)<YKy-U&P<2)ne-&?Cp^EdQg8A}UHN~2@x5D1 z=5a!Ln)4q|{m8nmE*3I+@xCtRTJ+1;*9!i0e*TfT<M)$Fo4;3fzV)A9Z7`?)na#VJ zur=TL#b3YHJa%h6=e4@E8@?s?Zk1<W^Vclt?RMF0d)bKJC+{z{dpn&Wdw)vAZ^h%c z_;tTou1D&gpFjDlYJb6od-_Vsa?&4#UjJQjsLDR-<FTDv{ukECsjqo%n7lUqU{wF# z`IFYawv4#mz2VvMh|k{2agrb9-0z%c+w+K5zT)`X??v^0_FTE1EU$iF{iB$8)!hH8 zkFTm9zE|&UqkjMRx9`RKkIJ3<09v6bHr-y~=Rfh3e?9i{EH|n;_SB!+@XyBL_^;0o z#9p8O?iW%2&s_TB(T>~gYu5kdyY}Zq$8onkN220ex9*cOk9ptFEI;M-{eqtIJ&EtT zgXYiO9KYkRdQM^Nzv(G^b{W6<-+#pY6Z4$E6VA+kDD?cs-^9-C76;UA9zQs3wEy(a z)`|m`*Zyj4cz2!ib!AD!`DDXKs|Cydg<rc~Bc5HCb3|A<?qToim4$zmi~cg(Jrs2B zpZcROxaI-#^Z)8!Z(i9et+y}f$i~l$js7=Qzlomy`xSTUA;xp^>W__M9?DerPyf;4 zZ1b2kdisQ)<vEX+P52{FZgJ?Nu!`N|nV;heJ_c0Q-i~+v`={nU&L*Sk`PZK}UYq`? z=k?hQUtM<oW81ysDD%7zP3bXqo}V6jpZqoV-QtH&vU@G^PQ({{NSS$l-<F>Kjm_pV ziLW)+^!ryFG=083>3?F;ymQy*zMtM*x<6^n^O*^@=TCK9cdIdYv{>+d?~lYIwZHf5 zW5}+Rl-qGnX1V{=zZr#%{x>RTLH5X4?Ce={e{+8K!!MiMWb&V^-=UXk`@G2LUrVfv z?cC3YHT^y`hRdG+nOkx6-P7~mPaobD&w1^$&&GM_;HD>Z?ar^NmF~~^H`Lj4ZoD=< z`MKmKdDeFyJwF#N|9O7ONA7hWyZ)wo+Wci(nSP<xcuwrWj>jE;c-P-3P5IMkd&e&N zns-5eFsMH;`&n__?q~Kfar5tqrq<onmw#QiG~&GR;a&H81@n7<q#Q|C&WrWDcKv7W zn$PVKue&!~3tzGGp3v>{Q(wQ|&|YoxNOXP0;ZW6|H>WP$H?j6M&+~A@M>|yOk3amJ zV)uTPk=;SNdxc$ZpKrE%_o1))-1`G3m7m!BU48P;u6V|4e@|_A2O49IR<1L81YSN; zTN)YvynMqs`NXyQ$D-mp-PdhDbdx*9zAEMs<8J*aKiZu4JZ62a_xtd^w{6|o5`}vv z*FSjXx@lg$ujKuQ49E4K{V8ZG&e`koi2a<U{p%>B`EyTIvPFE?KC&x*r=Wh%56=GW z6MtIGxf`}e;^*p#?_;80T?8e|p4fHj2btG>=*f<`QuLR3-N%l<)4gnV^sTYq?BD$G z!!9=&_b2@oN3HEXG~S+mvg)7tsTy0pf=@-A<`$rp>WUvZt1FHvZ?l<Mf6z=XUh}8! zH;ezmavyuP%8RY}n-K5+?D~#oQ@KRj^AfKsr@7UoKKbtUFX2i4j)zrcGJj^o%rCE9 zsejDwUP0H|@RL7y=6~qnUT3|x@yzl#p5^9Kek}O>aLdG>(lHOjtk+Nb(Nb&kn3LUn z($8?2N3TpL&3`N=Zt<tEbACaS^`6Hcjv4(w^wWJu&5}KJ+-si4CfU9RuZcN&i(mR$ zT|vIm>(_teuKg5V^HcNMAF12+2kRd5_0Q^8Kl|TPvi^Zk^u8;P|4li<{U+dh;*LEl zd-iucZm4;kCN#gW!CdCiujQ$GW#$(&E)IKS?Dw&WKj;3OsyOY(vUeVH_*)$N`8wrK zlk~azqX!o+k)IQN;!jna-nGwN;Qry7=aI>_=MSEc@BIU5HBJkPKl+vBM)ls9_%`P` zk6E`@99O@yr}6Kesb}tQ)?b_WeRhw<yA$>gfAkvN?=jw!@Y+{sJM+x*NBQIm8q;GQ zJvuw-{zIwnH|#xYjtbuU&~-Zc^e@Bb@eg^wNBmAa0P^eiZ0l>^v+w1m)b;+3c)U{Z zd{0I4k!WSR#I^ayqUM)INB)0Mm3wEO>o@fywtWSk!aBuw98fL0r}i=Sc=6q*Z&UWN z$hV!o?R`gm{~_UbAG_FN_QqbjUC>=Fv(Nd_RoRrf*B3ukt^3HyA2ENr+(tY7y~%&S zE3;p#w|{g$@Y(g}+01LsUr)1GI8WyNj-%hKm);Y8_n{~HUtQk8hwV?_zkU;N?RpJ+ zc3q3`vEvoTmrjhA{JHm-du09vi+d)1dv_n(SgyV@KV?t<=KB+V2Cey;9`QQA;N%an z-SQK`6Mi+X&uywZP$7NZ;>fPLmeRjW`91&ZZ~d;{`@<5v3zY%14Yl;j-mIDb3v+UE z)(BS5Znn}ggM()VYR?k#lS^(}Sat2q&B=L_n=k!)d)@P@`1G~EzsX<Ejx8^&y&*mC zz2*JqA>npwKmY%Kz2=LG`k((|yVu+Q<h=J#H?H9P59U65wa<T7pQy63KVY^0=uOd- zIpv{0>%V5~+%qeZe_dYHrkMD{YuY!yJX%!jyVAPc;$hKTvF{Z}?y^7q_08hfgo>k@ zW$RR5%NBOr4Ls&v(aml1Na(rzw2!vyK8XF6pZKfCdCvpR>g&@!%WUX3eb16TPxG2h z_MZJKzbrp^^z0Glb9qW~AG`W*B>(Fwx7gh`M}K+$z0#x1f4>)&xkuU`{B7P59Fud@ zbY7f#Uct|*j|o@ZSJ!QMwL0{EjQ_dipedB|8|SS*P_>V*zh++5oc)e=`NHcy^!#0W z<?id#{{+8%=#j2jus%-d@zu4d@7aRvjqV?RE1kNZCBLw1vbpEpW0rXvKBukxZ9e6z z_b2WR<?5dfKTbU=c~0NG&T8K8rAMp&_eA{Od;HdW(bvDu^**uLRo78k*HT*7SX!5P z_Wj25U-LdTq}x1Xot{7OUxoR!&s%OBfB4^H?@{+(ukN?(DM-J$f8`b7XY4;3g5T^3 zy>`B!U)tt@SbF~Cj|b1D{N??b`#~<<V#ksv(;o|N58J!>RrPAS$WryGf2T)XD=+-( zQrJ@ZU*h$@rJ?KB{1TfU^PaETdgnjZ{11%pH`W(h-e+XrQMvof`ww36&Cw?+O7ksu zEWJ|wEWh9nU!?wF$#WmOqTlSD{P!bYexB;<*MDV`{?<H+*#Dku&HeJZq3_rJO1YZ8 z`rW;#Z`Z%tu6cdD;(VoW%pUJ`%MY2ZEBuhR@_j)IyUpXXxheJS#cy@mw{Ly*W5w%- z-)uMCXUzX7sQ!Lx)r6B(miH3UC;VzK?GHTuxwhiSt@WbW`^~l+|CUTz`#fy#hF4&F zT58W(KW5y$J-z<T!%wwEwT5{GP3Heke|<ddb=P}^*DKvmf0SJ+XKa6vd)<ew>^pno zzukT)D_8J~aix0sq_3v^BCnq>J~qp}dF%b=Q2DjLF0NW1R$H9@>*Q~rj?%v};&Zki zc=!M0PivV6a{U#DjOP`8bzJvfZ&Uq(=Ue7H3zOY`W`5xh#mMt}SNHgDXy>;$cJ9Q# z9mkF1D(>m**tfane|7ofudDmJYv0s6&3W)c`H_hJj$`W2I=9|uF0)tp?7ruyd-lJq zv-j`Bo(uoHPwLTw-c50R?CZ84xY>SEc>0dx_uQA*Jrp=!ap<LO%759~*}Cc9x4ims z;18?0{M5gDs!#rq`)%=0;^#x2<rPP{-<^2huz0tJ{h#X7ziv)H!WdWB)vWJfdssPd z!?T-7XN!-tpFi=(HqTBwZtYJ$#pf4)D&BjWTGMjbzmxk-ZOpI0R~2_2+unQhNZ6?E z7;oH%XOn}@zf*oL`Qmnx<^J`d#|=O8R~-EJH|0+k^PRV;`$gUtv|aZ1{JTJHzp~w< z2mdzx`?&VfeBQkKYUe(DNGt5yyuBlOO=Vfc=Npgz>O5ADsd0W|<M8eJPrYkD1@rc6 zJx-RX^No4b^m^@v-<doA>GFNx>#sP-ZTH}pv5|fKf;st1^4U)xZC+#bZbto)i|MI* z7fku6dGCSpxedQ9za5XRxl*NfeSem0zMPN!ef_Tj)8z%8?~p#i+ILU=U5VZK?QzdP zfBx0-x%~7GN$dB5yZICApH)YE?)msk*2wN)b<D$wuh$m-t9*H!FTCQwKk+4X2L$aN zNs9AN{rvXj?TbY}4OaK{pNTKDK3O-r{qzrAzQRwgpAK8<ZTPIZa(%^_rSj*LQ}+H@ z_ekLNXX8V^{^)G5v-e(e{=D4l=h@w+{gSUg7a#of=Zl=?^NT<2uKnb^_B_4o>(9vK z`LA<7G_cz|6r3JE?Vn6xceqTQ>#NkGKVLk~+F3Ov^f>3|x$fS78=T+NgkI|}=;yY1 zAe0_I`Qy3dl)YVlV&2^M{nWF;&OUj~`SU`rKW{$t>(8B}v&rqI{j9G)e{T5t^QHZ? zx(`2jHk|X{XlHM{=KOiL<HdV*zwOhzZvTk)-ecRikBrv+lRukmKC}MA8cUnFSFdhu z`pmNO`<e2>KfIp~OZI*2@_thb%F?^Hu92TKzg=A>xwcL%V*Y&5YtPerzy92Lc-Hd< z(|+b_&z}pv{%l;aXpaB${0-;MZ?Lm3UUUAu*!!LL_{8r|s(Vw|t1eR${p#H0l>ZXD zD-Ld*wQraIw2$0*I=lTR{yJ5|Uw(h$-=sZ<IsNu~*X=l9TDD)k^!>_B{~G_)+_@8L zH=lD}McuN9`SVS$Jx_1``qT17ja~fWbI*TP9{KerW}}_Gx&P$Pne!B{*???idi{Cx zVaDsX9}D_d95Y@g_OkzX$<6(T<@)yNe`S+@vHJQ2|2t9N<|%%i^3%@l5zB7-315Tv z95t0IZ2l~Ns^b65O?7qhC-o1Ut3A5%m&vE|=|*=Rvx!fi_))h^Ut6y5XkGQ2{~q7s z3p?hfpR81qdDK%cC;m`WJmUHEYk%ab<)?qn|Hya#+MlDd-rGi>+nm0qzuW&r73a0T z!E2tcFFgB$jeY)<pUtNATb{L_pZK@`$+pdN?60q>{-0U3C1y*|^*!EeD!)hk-h1@c zd&z8j@il+H{PVtMzcK#Dk-EgU?p<5uxAr}+%i3_ypSf(uf44Q?lRwzpw0{;O{O)Jn ztgUtYJMHg2KV4C4|7F8I`C}3H`GwcKZx_Axezw%>?`Qd5|9;r;_3wv-v-VM+o5fH3 z{PMS;`RiYU!|ZnYmU(qL^Vf@g-*Nb(|B`*jE$40c9K2Fpf6CwOUvf9i*>_@9^8BC1 zavOdpN!lm!PiMcWe~j1f!?X0D`GsZtC-V<#f3u2=zt3O0=6yToweN>TUjI()H9gOk zJ&*a?_rrp(e?Po9Yk$;dbNz{*U;a+)`1;r2xZ(Ba2dw24M{VEL>HVGgp&@<FU#C|u zy>HIncXrz5>5-)|M)e2p>8IMhe`-|MT)XEuXIw$o>-dv@SgXHJvEi?1{~Lbd*RMK> zgj#!_jr-)&BJT4`uc_P?@mXf$KKXYW_sOrjR`Yynk=$JQq+0u)4g2K9c08`~miZgC zZvS!3dykaoZTv3%tF_$XcfRHM1-0Aed=Ha-KePV8(ZFlp<5eGL%RG3nJ;=YX#n|RC z^S#OU1;xKls%Z{C{p(lVj)TAIW+c|ypV_!iew)?&?}z=Kf3Dkc{8ybtVy%5i+~I#` z$~QifOsuu<*|<-B+K&@o!*=|g`z5`5dqw-?`;+DMJ}~aCpHTHL%JRO)eZFbk*CR`1 z4F0bumDv+_?0iM@YWq`ll6i%FhwVN8c4U8wE-c7DS^SwTGJgJi#cR*g`@a5+OsK6Z zi?E+>d(B2|&H3|^uRmYDd+MLn{lixF$A10s*<fd{J*Qx=soewFvVS^%B?|iaD~`C& zS#PwjV)2}O|NDK@PMb%T#u(Ng{TH9I_w4M{nhs~1hcfa7jkEWk`XlQ8<oO=gYqJYG zzW$U<tgRD^m_J|j+Vk{&(|+A+Hfd|lpJ#pj`SNdh%l>oUH=gs~U}qn^=KOi7?=kt! zkM~cMKlh;{yynQi`!B`i3Vw#2bC(Z4ef9R5U9*0k^LzEwKIN}~xy7N`^<H}q80vj& zn!EjUmHVgS!srvvjW1dKuTi>Y^}lA(k;Ai7Yg)hl+<A1?alULh?rYDVGrs<Oxt{&+ zgWqNctn82e`g3N3oxSv)qP@EB{;B?z{K)sd@YS40E!<IsopZ}iRqmPWvG<U1ZRtJL z($d_UmD{hFpDF(+=U#EpaGk%_@2NWui}v}cm43I~^l#c9n>imoSN44UIWwWQE(}t- zJokIP-|v%LiQW10@Ir0<9RK(2yw_}u*PK5u^ZN7Vqng)mKUBV#{Qb$Le$M=j#wY$& zzj^dX#Ax3!?%LA(>W{DXrhczq8fSd}@IlSgy%O#g2aVT#5WK#_cIuh$_w--?{!`i2 z&ps#N@8`)Pug~u`y*9sGdX4>izKYXz%6>67|92GcNqT-I=zfjEIq{Fr1r_HN_JP}f z?fpIP3wKYqzdvKm&&{Uy|JvWIKV8AEK7Z0r!)t$q*ZdBTO0QY_)&KOLxz~37b9`O( zSANQ0{wLeE&Z#}IX7}Qk2aEnCuFSs2dTo#On!oQheycuo>%3&P{oVc(ztq2R&%dER z=W;)b^_Rs#pP#FnUi+Qd{<ThK!@d50?y3Jfir;=b^4I3!r@BvnAJzCAdtIe>?W@w7 z@8vyTZB)OVTz!c7-XqTU1(i}e_lwUx|5d)k?wh^d^UFUOul-zkO>%zbU*n>B)2Q_S zVXxoVKWh6}z31neGx2MGo>PBfv#Y)%`IvjfLDp-Zr(gTS`u)kI`k-s!)zz05Km8P( zcK835h|hc*p3O~)|J}-d=S9t(S2e$k9|`|%^?xINt<GZp#LpS_PHVmgw|uSB+HkL5 z_1f>kL+<N#|68->{vH2!&)M?do&PK>eqOl$M(w^I9h0ATh1VRIeg5RHudA2HJ$i8U zk&O8rf7W|*!rr~-JHF#M_q-3M{u(`gS6uXe=ltn^OZT;x*7cP4_!s=DoEabaIXn9w zpLmb_hTp6+>o+`;U;Fc*_>&sO$L1ABB(Hsb9&!HuqnRIN*QwpC&p$hV&Chcxzqj|4 z7yfXaDW7=P{@AYiPT^zWKN_}Q`!m<H{@}UkFT~$%{O@YKpW*dC-E%wM@6kV5@ik-T zpG}ec`;w|Q#nfM2(;jcUHzIGJUg=+(tr7PA%GZ9E_J6I5-FUD6oX+c)e<hOk-d9@l zJ-YF0oz}*C{<hbCr*?m>o4N5GKlioY2Y<KCasT{n<FmO5XN`}}O7H#pd%?k9wFU`) z?IbtW&6n9&C%-mgfB&ZZQ$LG-y{Pq$iS^o-U-KqDYR-eVtw%Ne^pw_peD~-P+w$oG z{5uXPm;L-L>|V2D*PZ*?dFvGK)kL4${;5{%(YumQf2Ti|)hno~+9zMwSp8<6<11mg zpEhSL{%?BozRvyI`;G07*L&C=V|=YzapYWi>c7T)=F|4RpZWD#(Uuuso1eb>oo4&5 zG2*k&hG&t9XEz_4^_&k>XV~yZe6~qCYy3m;x#D?H&U-U|;-3!<(KZkHrqAoS|Cr6c zqF8OEwf&^O#h(f{ehxjUeaNuyW0UvV&2dXhW&TB6`@Zwt!J<FHo9&|X>awo=ZGO4; z>8JFd>o(eJD)Tlz1GQS3OwTi4v-vy!^sl3;Pd-b5Lh0AfGaH`WeDLDTrT=2*D~`DD zIsP^><xki48$Vm;s9UbS;{2wj_fJ7T_nrqr*W;&uv^^J@-#vHp$zMP9Z}#t#(V4#A z=(YRlyX((ZeUiSs`sqK7(zC_grspNI=W$=N*?a#~&DqPxXQelpo)^iUXL`*>dQByt z#bSHqxQ~L>>FV}-4$r=S^5-{;BS$S?n7=!@{J=+@%F-RjZ29&ne)W94#ytD(^sl$? zUygtKTHfdTv}XHRU-v$J<)2pjIsNkFS<j#MnEIDb{WxoRyXkp}?0KAi`ik?9bAjzC zkN7OJ;aTMY(Z7~S|L0s<|3JTJpFsYH7UP-&mU-t~_ZRxu$;N$<W52IrZ<F+V{igc! zReF8rbzk>ac*jrPf6?D2((ixXweZuo>t}p@Gk@9K`cCuX!E*%~y4htC|9=na|0p(n z$3BIh4<9%^S{r&l@+<56I_=l;1%IqJzMu21rS*_~2eZv15&bXbhre!L^1rw5xbC_A zx?csuEBgPw_ozLpSmvknd}cuhzr~@m<*9Xz)iIBLR0n<c*Lr>SiBXiEf7-R?$+PF_ zTwiYR`k|(;{<Pb{Gryh?Ew5L}-}7_wjw1(EPfGV!tgc&grQD)^PQ}5h{W2Tw>Hk}q zTL1TR7sKoM;Y%!jY@VZj*!s?cIsdlZh&&;EyrN%x&m&Rm<qPHSPyeZS?;*!>dxiX% z2SU5;r&RSb?>VaM=db;{@=NO0J+^Zr&->-yvTc5Ou&B^&^SkP0->026_S_q}^uFk~ z|4R2By~=+iTlQZs?!z~kqQC5OekA=rvuWQwe#UFR6_4JFPu<J&eaFFb_Dk+P66>#6 zxW76E)NcIPF?aKc%1`p%wns$!HvAV}DQ<B@bsngm?w&pW)E~+36$d{$zB(KE)P}Q` z`+Qo}#yj)wt~vhPpmxih-)XkC%QK(HEZe^0hkE5>QT-o3^jFFkyxpN*!}#O*w6AxT zpZxCFu-)Qlm3>OY`*yb1-<`X^{!Tpd(KBVg?Ys|N#lK$9aX<I?PtTsh9_2j`nAYz& za`${{U4MB+_vQEr=6WBR?#6rFwO&6*{eYd^$Byi?5AUb!xn)29<=&@S2Crv-o;^?3 zT-@OGMOI(^it3=3-x=N2mEUuptT?NEU{-k7<KL(MPI$;_9`U|i?Db!RM<1R%>2=@p z=$%MVxt`ARn{$F>9<}~++wpJelfVap(=Gm+RzBv+-}qnp(=o-k4=sDUPgL^VIDR(p znfi|7ntk=!Uq$)9?A^a&&2m5UWple{-ith^pLcDq_Y67R>C;VK|66+G+{z{U8S@Ie zjL*$~C~E%W$exd#mC;}KhQ=2@p7ed`Q=5M)PfBmMICL;`N!*Jc_dV;qZ63(2ujt-= zf3o?#hpfx%C+zzEzGxoP?;C#?UlEphAkx3%m~mdgSM{0lZJYj0j7d9vHuX$ZN#ter z(|4bqsrn?pEd2EC)3d*R;Y#}Z)Bf<U&q>W+pC1)_eZE`y+I;cv5%qB~8~^Qj5fk{W zSYPwLy5hC@;>v66^~H8Pe!gDF{rSZC$E)T%(zSaex%>IlkKFf?^e25TdGh%2i}O!< zwdW+&Pp#^8zm{F_FMm^fjcR1Qv;4Pdm$heqJy}#Lx_RHuRWENA)tau%p2vI5hJ8(C zAGmldK0539vsat;J(Em2YkYiGdZX!iKK?JukKg>cBwkqWW6xfPSMN-B)V+CV+gIpf z$2qUC_3!=(@^T;e^w*d_`1NN~z2xbClC_b#*RPwrKDX5;UpM`_+3R<uKJPa?vrIVq zxxw_j@->_0*QcsxJ!i|FCkg6Yfm+yaHay#T-1zUzf>Oqp(~E6y?mw!>r?2sqL*3#S zd(5MmHCi!$LqFYq#Q478oB2xp8#^bwDL-KQZoU5V>=(Dy4EJu9xp!dA`DwGIXMKI) zwoH7_CmZXGzgs@le9qT-%)eZmeTP-E#nGdZPk$M`sd~gV|3}R+b-Vdn>#QR4`)$8P z7goBhv^Jmk*<zl>5z)WB8~&Hy+<!n)?_*c>o4?M-Ks~RDe({(;!N;o2r+&V2<M_e- zOXfY`SYB~VTkb`5y>X=f+-1w=&YpcQ@?3u6wY|}^<aDQRH+ubV?a@%B=lmP$nG3s) zzwS1kW4teL`X`&*jh_=fc6_b7k-S$v%x3cXVrfhNLbXrFKF&NUIIo~F{8#j&bCxeT z*<XC@*}lW7^Gf=iN38AYQ+Jg|TlzQE)*Rq0^HX~aI%kZ3$HAK?Q)_0N{N-LWQ`daE z@#~9kedTq^%MD(il=WRdZF{-V>z8wnu6x$IeaFGmk*fV-)6<3fcdS?WZ`&uY8n^Kt z|GC%{`@Ql`du+KYA9wua+OsLXbN1%bKjgx{lz0CPo?w3NLsR&hr$ut_rx@KkZawFL ztzTiGmSp^6DRYa0rFIWE`YVndjZOW}Tl?u<TGf`Adw18ce>bp=T=zcn+TrS%aXRMT zr|tUp?~K^%_vd9keo*>!>}K~#>FGB#Q*75yuIl)De}3PFf4?uY##P2m+p&9tjlcSw z*ZaOt{Cf3V?b*+}BhJe|<bB>*YNPkDNjql0%ennWtNzJe`>bDayprEyZ^s<_o%849 zKf5#e>8pRwerB(Ee*a<LXC8~Hm2=)V1lJsKm$7lUcKvhyhI#gfS)ZTXSRH8jza#jX zubjr~mHiR#=Zn7nY0f|C<Ga&4<Ufc#uQ<YbE?)1k^_u7JH_od+#Q1#f$BxC5{uQme zSoASr<?Fw(NqhHKui3voulwuwt7jwX|KDu-`h9*S|HQ9f|JYsotX}bKkLEhFOaEp2 zO7FIxs(Qux`uY2Yub-dW`y5;T>-X*r|LoR_?B4ms`f&7}1l#)VuYZ*DEZ@tui%<TX zFXvZpdHr0`H;$FBpBo>qw(HlucE9|}!$0ea?%A&U(DL>BbBq2dU%&p*yY|`L;^7`c zy9aXZYj&?pvHjZe^>^~IUw<Fkb$u+K{JHAKk^Ah;U%z*&MAYy1So%0#{WB;~5}!Z1 z^q%qijruKb_CJl;`}B!n(DnDzMP7gR?*00m)5Kz5`<(OxlAyush~N8@Z2v#oxat3a zO6NJTPV1H*W&Hf-_{oZ|9bbRv_kaDJfArV)wR4Z3uKD}>n&Q3t!Ryoy{rX<cz2>?8 z#(De0i|^@v)6;xjKHs6<(mmU9)zWz};rI3@*Bns2W^ccK!@r+D!;aerp4)%;?BpZd z*S>FLzV_Ylf9Iz9I=;&NTpt9NSG@POJ$%#hC~sWl{>;MitV(&Qk3HXaSoK~BzmxRb zvuNIjEkXQ+P0BR~Sj+s>AA8?<EXQBbz4`L#A74&xn(rPTcKY`68DHP5Ty}T)OgSBK zfAiOM$yNC~Yuc`St&B{pjmz8k@9z`YYy0Q#N%;GF9s8R4`&a9ity%xQxZ!J^-^aG! z=7+0o9<-D`PCr@oiuu~}pMtMH+f;1pxxRn@&V#?cn;WmGmp3^6>pNrgn&<B~%&YGg zKWF{$SJ0<Qp83kZ`{!hDm}4LQ>TT(h&k+wMoKJ3lp6$K&xaec`J(bI9{-y0X{;vMi zuV0m4Hq^-_%gpn(i|3RpY~5Y#z4zGc&mHAE9{rQsP|vpWKEK6b_dSmmO#NJ$KINnI zp2L#&9?OW&>&Y+dwU(LV`KnsxA?NuWzw~d~FUmjo*~fp{>*uq-eqdcDe)=u<*{VNE zm)%d_?OwS*>eHK#J?d+|KkoTjS7JT$eSFBX>WTw~?{?S=%fv>#yUw+I$1(Rk!I$?l zZcm?9C%@*WyX^Tt4^)fx={`2Mu<Sl_e#5)@$#?f3wR~*-W=`m{_iKMnHoae|`8RP7 zsCfqJcKqj?{>8Rt=9=YkI@|TlUw_p0kuPxB=f0+ref^Y=?!WdPy!D<Z+rD_s-<*$~ ze-EjD<Nh7<zh$fZx%$)ps_b`0ykE}o`maRtUioU9qS(yyUkmn1=EW<2&u%W=EB3l- zap?W%ucEIj=Z2rGtGXw!`@i1z>Xz+04k+st%ANWl=l><U`}F3Mf6b#mi)Ziqo@MEO zxI#YvoZe^QHNTffd|zL9<_DX8#fE47*ZwHSN$!92PG-~pXQoAVckPets_!fB(Jy#) z`p>yp_oF|n#~9QdduN%t?{`#jJ^$-}hI|t9UsS}bJh^_`v-cm){9p`!KIt$2wLgnZ z?d#{x$v<4XEg~;I|Jq~o8Fo?cwx`+FF3x=JyR3ZLW^?bqKeB%Qc@kmouY2wH*27i% z-)+3tFMRFy#meqA>%Y(L{#w_);hw+Ywcjg`RP7Imct2hD+V8~nuXPa{p4A>u{`vF2 z^7G3!%xfx_MeOG{T63SB*Y4wQw)sD5ldpactNk2y(|$=l_q|WGWd}}XtIGe+HH!Pr zz2V*TsIO;MnTPG&`bzoQ>$}N&?}>)j%(t|*?5~%+7hc$*Z1a#wzMymV=~I7L`D4mI zmPN+bZiw0A{L1#Tw@iHB`{+3jb>lwv?ERlmzvu8%>(sw<{)OMDocm<sRjc~@dCN=h zO&449*CyfZ^8b2~_2=st_4M?gdlvQ@%fvXo;?4W{FSD>B@28#A+Mm_g|GI>aS-+q5 zS*D<)^gqYzf2?Kalz&g%aeS|7efHV;9}oOsO@BW1>z?l?Dog6+U;pFvd$Ia=oaA~r z9r5PK@AuQIj?DTlmwiwBTAlTO?ay29D_*N}i})S+0M;YV+4wE_Se3o5RowmS_w}Ej z+$mrCCSZNR@zNYSg~zq;o(u3>9RF1tobY$w%QaQ`SJhYBMVEg6pHd%t|M0H5p08EH zYv#}Aa<7rgvUx0P_lR+O_>}YK!P7qzuYBe|^;70t?S`0|6RRH2`RTqk-t!u_+pk{p ziUX4K3i?iKpZ+6gUeRwJKJiyq@|&87Z_x!E;W7{3tum_bH|^)lmOJO3V*B@dcFdap zb-pcMKMN=S{WB%v|KC|$yQe?-E%N%jvGp4J^E!|!Yu23m|5waee}J)Uz1~+5c8kNx zc?Czm`*;7XfBah{y`n#S&!d@N=k%YfT$O#|AM?AH*?$UGuKqr4vj2>)i=Y0RoMwA> zcHG8iFKfJB%}VbuJ<pLnkM)|3_nOLY5ufiQeV;VxdC%9sMF)S?y{wHoXM9m!e)`5Q z+6Q_4K0ccjw7#%Mdd~yK-_MopZ5}AceeBxpa4g?W`FV7t{*k*|Q~op0dr|x|avl5n zX{*oA{QBVYGWFA6#n1doJG6fCzqW|aXEr{IOgOvw@T~NH)AO9!^Ng?A+|@tz?^oTc z#9I5_j}!l??AW{Q%j!!%cU=2<ec~^^=M@LemA*8WJMp|@^Jnk4gVUeX$liPKf+^_y zhVxaHavT1q&U{~Rckk1);b(qbEBY0>`CZM~FXxKBm4fTQS?S%T=lQbdRj;Y^i}+lU z78(D!1U&rt?|8)g|Ek~Cxz|;+Z?EVt-?3v~PkccyzlFz=clFNarcd;52%q!lMbne^ z^g9`IzecTn{ABi~I*)j@(>J%D`IWQt-?m8p{mE4u@6?39`t{o<dd>dxB}ZmGm&=}~ z-KVcMKmU34rh84!YbxC$KI;^0p7>Msp27a(4|$jTkG6RzDgU8qw)Clr{E45x+&KQR zcgeg5Q)`0m#Cm*M{jo<nCN|;~^SOxpBiifs>HmKI<>b%Cm&Z2!cHX>i^PK-D)>PY@ z*(RJVJ~HdMVD>!iYc}Tg6Th0A7s#GxdCi6!JbU$K<1@>LUyfb+FDbwAcks>odpUnT z)b0D&Aw1`P$g%Jp%JZe%#V775+i{5bo4(f9=4+AfSA3m)^4IO=IqR3^KRoZlKW*{+ z*<X(q{pQ{*_y5ut#_W0SYbx3HPx?3uvza~Xd5fw4^Xr@HJ?mrMEWNazBfp^M`;H^r z>k4X%fAuPVy7^F2|Hl0Z@^TygOIyYtuVU=WQ+$2CV#)fSfyY+!PwT!vtMq;OGWXL@ z-=E#}pMB#qNzgdQtn@bU*u3sF8}~Jp?>0W$nRNE@&&6}xKl2oN*DJ}~3;GrMfMxoR zhUzzWy8aaOr`t?E-?X~hv+myfCpOIA3d;&tsz;^o4SBtN+TT0&r~fF|=Ij1m9r<48 zc)j6k_tSsl&sKdh-}r215@<jtz1j3UWA;4dYc~B6pJO&Y+xc_%oAs5Tg!-}XpNPfY z-Y=^Uv%cF{9lg@u;()Q-#^3xm_bbWs|DHZ!Ptu%+EbDh1GJbt^$ElS6FXnHmJ5bs` zZF2q0uX~?fvi!@bFJ@(L(fRUZQEAXhl%WoA+#NibQhT<z+4MXQIPRitF3#thzI}4t z+fuuECI8+oeK#lOTmQyxahb&blb*D*$2<}Z-?4j2&;6{qU(2HQH@}IW<Mhq{<>`w> zrCyu&MZNP+y%v0ZOL5la=rxt^Hay!2?jZU9H`z1~Gf-=m*jL}V7x-)IBi%AT?dP8F zRK5y4w>WfeE-0TZKXP-a>Uz2Iitfer(|`5UUW@+Fu>11K%B;7qU;5_j=ATQrrhfXX z<k_khGv{56{#luLaMtp2HOcjrzre#}7@>M&H}}tnviIghp4)z0QLjjH{$nX|iyf=4 zSkIa0-yd%CP(#JuCi%P0rurjQa`QgQy?>Ei6(+fUo^E=&@#}Lpec$Ume{S%4oxa>X zoysbU>TZi;;4v!Hv7=x2r7d3l$UpJ#pykt=hj*9!m$rGxBVW)rd-v%d;5j4PCHszR z|Mc0hyXVRCIo{vqZ|q)u+ROHk<m;mqN44W#RM+N3`oEobyd>GuW_@J5&i4Ol*A{>7 z1dmmzUc(%fbNF`MhI!5Zza1jWzfbv>{-Z<s&AskFAH@1Aj#SUNAAM~74rTf0e~RY4 zD4G=Bp)Hg6-+oD4#@w%7YsEMHd&abB-tp4+I_}~oubZF#aZ0<pd&A3%MTLSZv*)Q^ zv#AD;09q!WEe3^aU}XH~FB_lDOgL+N^<#})cFd*uY}MZ<*6~&}yYD&fzUP7H_3umX zOIyaf*n!63|DK*KFIUiReeHE&iG8H~?ip+3=id;&eDtWVyw3LN2CqM=`pWB;PoK2w z+0Ep$#fNTlpZ<Qo$JGD(r8Vd0pRbJg+yl01^PySkYagF1Zjb(D{NTgCOY52aJ_yPe ze0X1E_nh%bd-a@$Gi!=sVjVwae{8Ux6C3!ddhO<WOTMz7s`J(OJ^jns-90bQZK{t1 z4Q}eEUu*tvu=hBmocLrE2PuC4)*OrYtg{g`rV1K@oZF{<p7s5U^Nh>+C)NE7pYU1K z;y|7ztX^}q)BUD=GW&?+y~m>KE7EMAcNNWhb#T-FhU#BZ?m^-2b=AW`1Dk)Ro~^19 zy{vuBX6|aQ*v~wmV*c#r1GCaQP0!0^&x>ADc`o8}Oo>fpRruu3K3|R>f9kv>o-?nY z%bb5|Rd4d02dw-z_6z-d$SD7@EBsDu<gxs9dXP%(Fr-qe<ONr1H`cIUH?fUew?6fn z`E{{u$fRziSj6Wy8z7-N3pCjI-D=bRXD<_L<-5RDTJ4T6s}DuTJboe=bpN3Y|BXtO zzsHz=$`qD~p48s4ZqE9{(lW83uOz=k>K|wRwqE_S?7H3C_w3vkc|Xcec=NkE2fmyu zDsx^5DJZ~&{2fsI&01cp46D)38ejWZW9R)Q^htYp#p=%={hr%<9n;@&j9tbi>eavA zr!|s4St^cd_N{ZPt2owsEpq);E5AIY*X>_YH_f?wWzG3%uV>HvYGArIA}>#`^zZwF z+amr~Z&1Ew^Br6&zP#gf?XnHDKHG55U-jDWmB$|@BdW9Grz+l0E1D<w+oEpH72!RT z{JVCq_O3IXSJ=Ed+oSe?<m=vwqgU6a{GVfIx!vq;L|K0Fweo47Z_g^#H%6__wom?w ztvdS%uFk5fZ{DwE`U$Pht{d$;B>VG<MVIP&*>xWp{$_jqT~K<>I_q!erhnh~iuO(0 z*II638`1YJ<(l~Ew{y={)renaUsEZ!^;~_v(TUVO&vqUJS2Z%(^K`G-Sc9t?%fz#n zcdmXDe@R}v+J91=YejSNp5v-<1+A~uFXzilJkNK&;^4onC;0hq?4LbneezxgcmIiB z(`_zY|MSi$u69Grox^LYznj=b>Ag?A_P4s*^gP4s=f+3D*06!CLDXoPPi$tTcSQdZ ze)wVKrS(keJC3m5Nq(OBq`lha@yr^rnAqq~pFyixHuP_P?O}V->h;p7`dx3H-<$sL z()Vkd>g_k*i|l)!f31JoZ}u5qpES<+FPGD0dR_|47@Xs#{m;N-aMr(qA8eUeSGwbX zZP|Rqubk`^$CS&wAr;#7smK*t)v`D8wh`C(cf7k;^hsdzzU?t~SJtre8{0;%lhZ5x zTXQYq^PPupTc4h9XIoP#x8Ygkky+^<I3nXe-$^)Ye0WxRpXqrq|1ZlAKi#|}zWUAs z&ir}0rOzjBs_%*2^MK>`bx_+;X#I{ucfY3o346U}`{iH7DgPHu`WyVKXiJRksWt1T z{dS-I^^4)MxyNVSOFCQJZhD?Idmj6m%3uAHznYw9056&|UQ=ln@i}MXGs{QHe{Vki zw)4_@(fo~{D=hs#%@5))>{EWT<%=}`li)`>6Zcfzd8pf$r|?zs_nPew7|Z=9e7^EU zcLk_Eo0kEq&nBO#`jK+k_?nIQn#wBwi63Vz?>9XUU7H8CMrOk^%cQfPJNEup0?mai zKLwr(`J7VImmTxq!Ox)g1#Wh>aUWY2OHY!YxAC{hP5UHU{__?6<zGx|clCsypSJnf z8td~0dpFOqzr1Gmd7;<!_ir8kb$@#wyvXe}JueF$J(b&V&)<E`_uvmXmhnHBUjAqE zw>Zo`=kc>vqkTth-xd5(TKWE?m!0stJe8l8=O_M6fAi?ov7r8fV^xN6AG^eV#o32n z3*P-dbJs?hZE3X|@BEt{**{PHnoaVW%4rdw*KByU^C);4i~AaA8tcn^{omR17_Zp` z&)FC8YyQiMI|<vB?e`p2mis98Tz}$6?RO`RS2oU3-_`j<^bwc)jr}XHaIf7QxAN=s zQ@>`5pQ@6(zIU_C-V<y1r+pTmUAo@bHcBr}sr2mTBj5(U?lqhAHI;f1pTERuz0RIz zcg;o^)HVIQrqHuq>djuCU#1Uu<vz;Ee{2em**~Xlzt1`GiT)kwbCUn3J!xmZ^RVx0 zmCRnJYv<$iULU_De)_2PnO_HsetT_}`@j9=;-~ATLn|^!ksG>Z{r^A0+4Bs+wuD7| zUbFGp&fv@Q8Qa%Ssgo^e>i^Nu9De%eod0U}cOLVce=_;8*3>^yHV^pH&8Pp0d%eay zbMN%Ov#y``r&{|tE_>JJH~Y`6>7RD``s}X{c$a;T_&jIBvzIxkd!D^aI%|CB*Uz4f z&mxn~Zay^Yxmfl*>ot{n74G$X7PV8qoUUIy2h=r-*F~<v@)aw4Ee==jc_ey%&GS8; z=YsdTeUmTnkAH0L9lLk?oIOX^$m@RppM34|_F15=*&bhPU9+>r$7VfmGWE|_e?Id? zfB)(0Ui*daJP^r`Q~xS;e8)j<xefK+pAIwIJrZ5NWB1ga`+H)~ZQm5vvHSYzf9y6F zpMR2#;g8b|e{b^o;BL^EPx<VxXRD8ZyMQv_E}%8I3pi)PGt1<&muuI*SzlQd@wsQK zU%k-U{f@svAL_0v+%y%^DU${@7N2))j`of_sPO#t2R8TZ6RX;4ubuwb@b~-4n)cW4 zbo2jRUz4x9{Jr_>bL>9vb<+Q<mx3n(Q2Jzc8n3hG>0Pr?UQ-Ef6nt0vbl7^&(ds>i zkIqj0)0ACv;9&HUcl93dKG`-zpDbm{SLa_wk>~7kuBD&;>VBr`N8sh|r_Yju&fnLq zpLad!x$PrCaOZDb#OD$_z1QF+SjuZE#UkD>7kd5o%Ht39h$?LRsfzc)kSc68v<mZq z^vLe|!FptNp7mSTS6}W;{T`fFn{N4kOXPRE<f?$l&pW`A5#ehp^X#-C))a%Rfj0_X z`u(zhtXyWV{(E=6y8WJ`hH`~XpUY4D;3|I-{P@MUN!P*4`ec{XU9fsByY-)6Wc}|- z%XshmhxBL2>9|h@wMpYmUVohKBfp`}u0P^4&pw^Urr@dPHI>i6h2YJ^v&E1u^||nr z|C9dhxKTa*i}oRDna8$}Vei>>k2`A0Dvoh~d+$+Kao}J0(;C^=tQJ-MYwRBf{{CYs zx!x{9Z(rWEy*IyP?EKRf`F+3UHONTPXVAQJ!r9G7XMy}_d(9?%O{LZSNuM+3DdxTO zZ#n$kYd_bV2SV}%{c{`P{V{9Lx_jH7g8O6X(Eix_$$#&>KLP2F-8X$b_vw%7w7(fU z<Ihxms>R(OYeepk{f&Pa-p+j|`TO6X`2`K>Hc8*xH`Tk^$$fjTRC(0mFlSt0Yx=d- zg<lzO+W(rkM!w+g;-^oJr`6`~{L>l9Uzb(2DMoh5x=K*(m3X%Jz^v!c-mU%QugIbK z(WTxh=HL7;+5OTs56`kMefI~}7qeHlw|O80s<~oeHCKDe|3~7R>b>Iormc>j_4R7e zf9=il{@vR0SsJY`rtvxxT+ptmOpExOvjMWg)&1Az<8L+oMtu~s-aqxTiu|;yj$)a_ z=gN?p>rLLI@P6w(M=#!8YL`6!tC-D$cjZO%X6<9$Z@zcyo}bN;^L4J*o4kHF-{*Y9 z=a>!8UjB_dhFr{Ue0DPd>`zeo{ATA>SJ7_1dQN`7b4-oTvFAIEvGUpJedSAka`~~S zzr`;0pARK>*H8SbeC_jxp6?az@t60Xs`#2?`FnO``M<oXbj$zkk>&q2-?9CyynOiL z?;|J$EqJBndFE@sUv_-0<NBxioZDXU@BIgy=|38Z@6-ex+rHztY~S~w`;VvAsNK06 z{Hb`3@tg}ClDl{8ntnxj&c?W&@I8-O_gz<?`1Q&=PhazChbPbcdbFszXtUg0Cuq?C z>o3THt7*9n&tA?C0{6r!ms$Ls^2PqJ`<;aEm!Gt6uQ;N7@9`gFqq?KAKP{F|`t0(3 z+8(EvhaA6Kp_SM9Q@^CcuYKO}Ztl|;SJG-X%$a{|P4#k9+bBIhbu5*apFU>gRqKab zdDYLWo9_s(yzJnWSFPi<^XD|cl~-%}HU4S0jc0!Sab{WnwB4IMVn2h1Y7#+Z`ts_q zYuD@lL3*5=;2tL^jXs?AkH=!pl6dwx50vd5Jjf}sd)^K2fZYv;binTVLpxylC+p7M zO#MG;U*&UyyOG~&K^?I3nb(?&XWoPM7V;$5SMG}V+_Rz14x=@2@8W!Bzx_IYKb=>8 zu3gY@cfE)0QAW_dyO@do-G6_3$0?utAh*8asPSvd=Tkq2#83Upe&_P_ANQB6yBF<u zE*;bkJ+Nl^bMw7PXD{z;xMB(#lM)1vNll9Yc@)&@=m9t5?y)|#5&rwJ@Tcj^@FwXw zkIr6S8uwVjyy779H+hG;iUaO@9*LIoPx&~T`{d8CYuttA(8}u&xbhM=e0|W>*MHjU z-pKngK5M{3H5;E{j45`X{s&oYBLC9A>2|)yeyu%6P5t(1ew97<v0-lh#eACy=LOUG zC+%tSz97HnV4&t#p5JSxKW6+reacV1>vyB?#he1wUDM58pW6?bj&;J;`;tWTzK+dG z@7$X|S^nNb-FuH^_4X-07LR$%%(qXw^#7)!eLXcydmf3n^Q+n0Ja&7%=6aT_`t-?= zy6fLzQ0MFP?5`iVmWiJ}E4tKfZ+zXooWh@sA3IFX+d>N4iEEaFJleD2S!BZA`Ce<j z2lpR-@A-dw#UW$62dw7ZJ>S=<Jb$^d|M7co+k@Iam+YwScoP0t(tJnNiYx4MHs0$9 zkBN=^cK+q$Vo^}#wF*>u?VlC-eO+GF0dS$N47Mk4og&uCi+{;~W4k2tX@Bp^Pm;g) zNTT23;LrY)npW#iD+|9EoXl?5wn_N^Fvvb*?$^Gk{~Oor-@6Pvn79=*n3xS3OstmO zEEj(rHkf#QGVZ~|Uy#AXzb?N-AM*Bnl$&00P;_0r*Zx8mJ8PTAtiSse>}?Y4Cw?wn zBmUrp0%S0eH)YSSgq=GUMt;vr0nHxYSo7Ol5YnneDQqDFwAXCr&OTLXa$aEj7xhCA z&o7B*^(*M)-*Noh`IG$LD~=ie)Y(zB<jM29KIe=R<kP2K5nj7FZq?WL6Loo7pZmY; z-TLPKoi*jt9!G=6(x;`_?wlI&*=OUk$mFw|4}zAPpBDrVnXZfYeCJNox9gy8^Ro)~ zdagPDf_`0n$n^cj->FyBWgbZO-`LyxCVpan`(Jm@IKy)VP2n*QXMW9!`5X1EKTrEL zd(8I?%adn*-7BiJ-Yj?L-j|e}yPB_ndwAAsD)}Nl+a#R*++lkDLEol*&yc#Z^IrJ3 zpT6(0|J#m(!uMpBzPsZI8%Mkd8%M0}fsP~oU;8HhP9$_3Q5-amSiE`Oy{lV_&C%+v zcR0rp|M`K(5#K33_pH;0j3dgwFqb>A{K(B@=s4nW&)Q>luXQU9+_imbV_M7oF10GV zvN(UUUF16M&2smSe}R?@wV=jz@>%19v(hnovhVvr0~^KmmiOh_cl?;#bH1>bTjo#X zE7@}=mLJ!iSMa6c(_!PX_xiux*F1kH`Tk?yzGeIqKEAqH^vz-OJDU?<&K1SYD*b*i z>AUl%&&%4kTZF|&yh0ST(8j>)_s8v${??v4==`MSp%%RM`r`_zz5Z)`wT(NOe(0{S ziv9D2oBsF2##}||c==ol-py}*H-b+-5j5nWe6}hCQlu}Pg*k}me)8X}^aj)OBFpP1 zL&gyQ&4rC2{&$9qAzmz+_o5RzhUgijzvW!j(rf=UU+2pyzpjk=ey-?C#AdsQbMooe z_8y-trz`G1VHdbh5*{C6Hy=9Uwc**ztDE*cdx;3w>0g!~ytjWzJoCK=g6}^H>Q@}y zT6{`aFXOWdd>m07F^(t{X<t2QjeK^zj<~<sYxdJ`gU?j`3AyZk`tIc=>p&AmbFMrA z_ZF;PzqbE>WLA2QDX8Ntdd((#P35}+?|L?wc_F__4{+K&V&;>woL_A8>9Fm&4?cF5 z>*gu_+*)x!_S`(JuY0dW=3n}CGIjqm$xZd1_xGgFdZ+XIywU5$Pe0vEv#scRX?x8^ zeNCm+`Kcd&**(G60kduWa{9l&Wqc#^n|VR6H2DhJ-0wW-s)>4I@B2xru<d)rQSEt= z=QE$$TwR&+pYi(_(|`Klsj=rXK~rNt(`;)SUy5eWJIB3rU1eLA-FzH%Soaff9rhC1 z0rS&^b-@0Yz&c>rDSw_FE!qb<&~~odH~R;jcGG3AH;13T>V2l_L(t{PPk*JR)qXD8 z2p*dWpPb$}x%<TDEhsZ%;U|!5vCCeNTFg%!Qj1-_45`J=PuSBGe(I;yo`V;Sm(EL^ z|Fy^_;s5^BeTPfGPcz>O8bpjwy*4)-RE@<cL%LwA)y^ZP#p1M4yI|#P@Gh7nqzl%l zUi!ZjUX7hsLR4exr$ef-dkLT}*tIq7`6hcK^6sg8{h|D+GW%nb`<nCMN^I`=-xEHk zMf$@AW&=Kd&g=YI_o}c$?d5+l|B7SmdmcPHV|1^&d(QIXc75lSzOt-;^7%hQ_7rA^ z7)u5)s9=n}TQ2e^D)ie&1}<eSo=Z;rwJ&yF(U``-m7Mx!<8ixh5uH<4Xfy{o@tY+z zT7S9V%x`-|y6T0qeASDE`(LNl&)*%^_kV|7_WWso=bSwA_wJ#0AE$r*_y2$B_q|Wd z|J66T*Btoycg?*=Pr9c6JNAR`yhVM}+Ua)J{_X#MWGR1NxPR0&>EoH3*Q}qvr=<I_ zc<p10xOV@FL&v3~YLDHPo@wsC@#~}6Gn{J<Y2Lek=2i8cT|0M9iceVgQINl)T=n(! z4)r}%tKRP4wdv~hA05ee9_sq7PkLM&Gts|s@$cw3-gAZBf7v7cuCaRUyDI+bHG8|W z=fW>-|M1d#d*9-8o!9EepBhj9x+C^i&(!BFQ`0}_NUygskEm3Os9Y9NIW3|xETZz; zrcZ}YU9R5tcK*frqH({kfv4lD=R6cXS6FBYnvTo-(BXeW|0MtR8})s2*C*{|a1Y=9 z)&0)J>tA-7#o1Zkv(Jx=x37PBuJBt^>fQHeP8lCKRop%`y=m%m$Im})rp@ZNUSm_e z#wLBH&0g_al~d9?yg{RvQrFho-h0F|zp!_2_9XdrA3NMXSw8&JIPLx~*RpwHzbz^! zT;0BE^F6<>**EJ}i{Jj!xo>*k-|0H9r#}9%^z^SMJL+z3+E=?Xvhv-APdXbu*(5x@ znYHiJ<r<sBr<Tc2cfQR1FIw3aQMqi#Li_4HySIH^eW*I-@zv5bb`Kc(cN|_Fe&e6z z&ovwWC!E$k#(D3N<nxM@y-d#=-^%a3bdA5_-MNq7LQhw{x2XQQNp4Tt%Y%jgqE>64 zXVN~;rhQ&a`~2o-+V*FUA9UTe@6(-xr!!AHnVIx7@=@cz5R2XGzOFvbZu8*m*ER2W zZRFz~3ioaJFYxKG?zs;=#WA*5j>(6n?{)k7`u4xy*Khu_{$*r&E`I--^L?+)bzbi_ z*L%A+^QCgwJl?Q*>T7JyM^ygG-}d#(U&(~p`ga>X`E2-PbK*&4($kv{JO6#zv8VBC z_O*&Pdyk&mym#SM=Q)o!s?8VO-=R96N1cE3o~)RM%6)QqUj=`!DL<sU&du82@qW{G zz1osJmA5z9S?Jx{x2FAlMAeZ~pIfFr|25YvuJTtzW!}b5cM_jQCOoY?aBB0^lba8p zDn9gDda``phi9>6`{ddy_HX>CsrNyS-F#zJtMI3lAA8tkLZ7s|@2T4UR(|6>ss0_u zd28>^&DmpP<#%uMn(Ftly9(#*%a4rTdw<UUEB~qzd;d<KnY_kkdqm~4h)S`DO0$T{ zZ4s68O#i!XyT9b8#gB%$`g>M>t#4+Z^T62e<HIzweMd~!71R{3{$8OrpE10D!=F9; zxBe-|J^XWjjoibQuSqtKCFj4W{-_x3A2)Zpoduu$o;CAjrprfOTiicuYI@Jq^tP$# zeN&$cf@4lNY+m{ro4@@x|5e$uM7(d8y?6J}ulWx+<v+Zd_N2Xk$6?ubg;m<p_K&-2 z_VnMbTvWe(kJFsT9KZi3e%<?O&GeOWw|>p`zfqMvZ+D?gZBb;t?DET-_WiqZY3}2{ z%h#^6F<xVny~d_LqOvcda^8kdG4;o;h0n7Kn-{&traYoj%wn-U`<{IVeqDXU`>vp0 zz2=ayUY_rM`|Ri4C)AH9`)&L`|4Dmv%)_p)Su%HzUGuj~e|`K__v63Mr+?l2_!IZ( zDjR)U%XRxQN}on1KebGFYMJzO=P#kxpR3DyK^}cBrhPu<b9~DC+fJVgo4tQse)M76 zwe`mL9&yeu{E%urzufxMVN<^k9(J1N{-6G&UD&NX$L7+jy|31ouc)iO{r-dKZTrRf zuh;9vT7KKVZB2RK=Jooo8_R#@oA|x{9#P2`QCT&8!^cy_ty9xmzW(gl`031sPiHoM zvH|D0nnS#QEg#>NT@(LL^=(bH%!AOBud;jvP1ZFBEPqb9v0isQpZbpD#`8X&sJgfG zjm^*UTmN*|z0CgO8#cZA`0f0-YwE|pE}j1MZtApo8$W#s3k#p87&cEZY+m&mq%fVe z{*Q_L`u#`G8DEf>Prsk~_tqokdk@dbu8n&jA^(AM`;GtkpAPHJ`_Pjf^S8hEe$2IV z=9}X>R=?l&_k6{I^EbDDvZ*MWQ-6DtT+Q!G&5s`yo&NRgQ`%F>q^C2Jp6)z;YV)B} zn~$9;K5(k|$f@G3+x~w2yYtwue?1>v>bLFqBlkMIuYbpZ+wZqlH3#2GdjI={dEUwN zLnr@7#T^uVQzLrs!HfTE<|WMk8gwV=zje;O<Hgte%)?`@_4k#BM_t>it$)|TZ(UL; z$g0Ssr;&+IZ-S%nW$e{!;q$cD*eI{DNnT@Pyr=IZzxj`j>N^kq&dvG5DgL9U**f~} z_qR2iKUpe{S<BdV{rS+rziM;bN~?QuXRq<UJpI68=dVq6R(k6;u4%s>^Xtiv0^QZ- zd;iL5etakTj8FT#oc4K1ZU6VTw$1y*v++~UhEI2r?=I(gZFkjTPxsgV9qcxbjO`vC z{(k$%*U~pOrr!$xFo)fLG_$5`N7a_6;SU7m3+fhLjh?e1u04GY`?h+o`FmdL)fVj8 zb#K!>3%~XI*R0RqP|`g$y&Y7yJnxzM{8<~sBA<<)?j(c!2}-ID?#8bDuRQO=E4OX` zU*$b%@3%N6d+$+6Hnb93{PgMrne8{~d#;{ewfWwXU;MXz9hbiS)9aU5-n2Yfck{?= z{(Yxs>%IPXcA8!CQ_IAsl6USL(>~7|HjguGo@UrQ)v$S@Ve@Rm<}ruOyQiD;zvthY z55L;i#0%bgAo1Peu=<?GkJ?|F_nlaNP}wf=|Hdcn(QocwIv2h%u3@qKMo2Xl2Cl~1 zPyhPWwAA|e&9&1@|C^;fl}vcL^YAI-gQq?>Oik|u`7*tK>hqqd%gdv!`#<p%de$q+ z{9ExgyMMdI;q;i~_s380U#~c#{BushKa11(wbs`D-L-RU?!Ah>8kxWR*WKLxSKsFT zbGh$*{GaT!ucr!SbYD+?{L6a!*SF;}r#|Nc*DlOq^K`@J$%f4n4x48jHgC4|t$(MC zkDM|-%KP``<Gbg6g+8=h_wm*7wa`lJeOmpe$mIW=)53d%_Z&4o_whs(>$&<v-}?6* zhgM?M)4$#;{8oFqN<iP%;@ta`Qc&T3GvVpYq^Fg~P8A<IWxQkaTI3c%#R7ZLb9I+~ zU46_f_u<*{HSg{o{nr1HYkon?U-e1yd4+B1a~{9)H2b&0>b2>r|6AVf|6g~z-aTIJ z_)mVF*P9>zT6g-_yFBme+e`Z+D$Q1X{{5|G>T^kL|Nrc{wwM8`{_FDNPw8vojqQ@& zx4*HuJ%4LeN3cwy{z6DC*84Q{F_(Pdmz350H`4bC=M{cETsE(1AFKVQFBd;PbD#e8 zVByE)(^VDUm%6X9@sF5){_DA8+Wzv|=XJy8S+B9-USq=#u3pL_D*Y<{7C&j1-t)82 zI=<g|&;Ls4`Hz_17k=OlTVLR0XFBg=!{X%=<mEoTQqTF{@%3885!<{g*XM8f`00hk z&y}fnf4^KRef;Hlo!6`9o6e6<t>3%zSbO+vEEU<tcb8jA=QkZWC;o2{q8gi?Q`4Cp z^YF*?wf7#+tYM3>z53~M-MMe_AGx0UZ?0+)zSjM*C%tCT`=jS?SABXVKF!X8uf8zS z-cqkVGqS(#CZup!V^a*ST+G0gi%s&=m;bxouD4-cV^jWVeZ+rZn}^JCOJTLxuhnLC z$3%ZttemS}wd<+qBN_J_^=((bui6~9?AQ96zh=j8{d&!&{ISKat*LuU=luJ%Y2Uxz zrPjxP-`}zeRI;zx_{k;-RKrvrI#v8PdfUEFUp9WalLX2Sn-56-wS4e(ef0jQJqKmq zeQfcsICeDu!u$K%KD#~<ek8d3M!nk4hhpJ7lJ-iu@6UX#UL9>87BBO@K0VUjTCcu5 zvcEq6<;lXYzr(`knSwK|a@f4p_6fCr|N4VHif9|`SYofsSAY6f>9J^=hsJ#WE}VP* z*X-v*DfJ4K`6AEPJ`H~)7H&~F?P~Sa$ozoX{NJ+wPJBE6;<i=vuA(>pKW@5bdG23I zB>%sXmvY+Y8MXc2pU>IzDJJo$WMZuysI)~6(2(W!=~wHI|9bj==iK~$<~_e+SHCZ4 z5We$}`P|9nNB(U_uEFAORDQa@`EPX0<?BD>z%^J+<b0Xy^*h(R|CjX=RNSs#yUxZL zT&jPMs7#BfycSU@xABwA#!ohhPj~*4wm$DrUo+?LzOUKc{1xry^$A~@j{j)z-*NO` z@d^Izki$1(r1$@fw!VL+N^#x43*YP?H`=AwAOHN|W?|j=R9lT{ax&ue``6_EJGcg9 zQScg@@8G8VHE>Pc7g4$H-^Fj?^Rn02B->n^udDa}#ILE3mGwTDZHCle{VyT)*Jqve z58}%9apf0$sadUlBYm&P_8b4zXTSe=XY1p$_WG~gkMFM5e|<0U<*~vd`PJIzMYPWg zX`kl;*DIh9jnAoly7SN}<3p#6kDW3;{Mug8zW2EMoyTnN|6e&azo7BF#Si1vzyD`F z_dcP1!1&(77pza(-D?8oe)YQg@!P&_bsq6-$4~y9_Vr?6;r~?Ingf^AkGEfXb<p+C zU;jG~5!F{Cq*g($zWx-y{x8U1aiyl_(x3esKE7tZS()|b@ees@_4P_;KU4pYhR@<r zdyjIyF0DBBvpM(w6uV0P$X^dCzFtV(TR6uqCo<l?=B1eSc|Ps)oS=I0yl?7j&GQ0a zZ`y)u6;J}b_w?F-LH~-c9JlMgPKVWAU)JZ;^l5+6Ecl{ydi6oeyp8{pR?iPj-|P8x z|F*CF|I?mpzl{Fz9@Gc>9|5Yr{>NN<{67lT3G=|x2?NJm)mBI+?0@f9@En|6`j3|4 zm_NFIj|u)XsW_tkW`E0{g6_Znw|x!;jbpyg`72od#q_VdwEleA_y5Zy<Nx2kH23kF zz|*__XKen&vjNnap9yKrA33%8@TtwmPZb|Kb$R!NbBk@9V=m3-X#c*Y?(Ijh^dCLh zZ$zK8XWKlQSmS3=zw+sJyIYk}ZyrBM4ZFYUT$T0J|M$K{+a7!7|7CB<8~d`z^)ko% zqe0EK$J4*Q6+Uy&_1oW_hh_C3{jj8`Hxoe>HK-p}x#;bBa1y<9VZQCXzs=Bon0-|3 z0Y<sP_Hh4Ae~UqN*i)1`?0xEQ_ujSgAMVV3{B|<93Y(H@Tk+~r^W#66SM)c5igxKW zHqqcNl3zq6oB#H&Q=hYGpJxHboe=+*<%e$CNA9ocJ<fdZ&*rOp({KDU1b4;mPlnZD zqR=|*{_St~?Js}3e{uTB!h3q4DlGE!uXi`StItRN>iGIIX9FnHRvtTbxqjW+<>1m5 z+<TVW@JVOmr#By5>Z@YpAT?NJ*}S*4Yvdk@xZn6K{;N0o)5(HQUZ+<d6SaHvA~Z~X z<M}G}JC9!dESu+4TX*o1`|;U%k@7O)`Z3oIi|g7ZJp~uFNz&_WYQg;@M7I_aoDaY5 zp9JcMse=1q_3@AjtiXPCxP{_;sq+;FF0MYw-(T@H=(c^*UQ@Zke(7Jks%Q32cRzme z^z_p8@t}@aQe^zzOLO+zySF{t=CK60p0o^`#|rLm>Vo=4zfBVFE`JbOw(rBgYyWxW z3YyMa9BpU6@k8kPjzfi+U**m}F@EsMblUvyS-0ztXwTVy?UkTkg#Iz(c^^CXiLc-I z^~u$2P$x`33Y6v(Bm3jh!{!-=&65n9XBjrneT|JYsGhC_HS**0e`}v-&^|AF&-Mbe z3+4!~yb>T?F!LLgi@J5zgBF?oOMvvi{s~0ae|C$`KU-|xx7b|w_1ec@&Yu4DOV_)+ z@B8Ab;ND&@xVI;$eO@?h-s|nRex15}{8Vu_q=l9K<$1$({;hReE1IqEJm#GLp{Kk3 z;`{A4e=2?A{MZrvW`EzGf^O}Yjd8t;+i(9mc{}%SL~Y@o`s17G%3}5vNA};}`sK-v zs_j>&ruR;L-Z1rf$JFPqj{N%ddwxXaF>vqs%tp{)L{5QceUwbtHCXpc&U*fLj!&@e zSA71@sXLB}`rS+T8drKvI^@6k?e`zzz}>I!y0Mnu?(YY6zxZ`tA7r2YZsRAJ4WGW) zr97VcoJ;#W$Lr6M$xmk{KAoBTwDJfj*WG=<H^0ES{#?cP_t*6Q=RCjtm|^|D!>_Dm z9<Y@EXej=qx$(c->D7lh-+k;!x7jrBd!n`fzxmthyR&~u)gO6P^uFTv#njrOnEIT^ z`?WRT%1an~_Y2(Wh^fB>>3;o(b-$G1-LHD#pASJJa>ncK<vcbQ{+qN{AbjiF`eV$s z=j@JxYOa4TQ*D2o0rkB$pZ@i%7+2rxYvt|u%5@t*LAzf0(5~12jgYFV9$Ixp>#Tpk z4X(OOqHGV^y<WQG=)auLw)1wE?fLm=Q(eiP-<LMMtF3%_tnia4mYQqzwtbMcz*A6w zKK%NBqI}*5!S6c`{&v6lLs;*FBzyn1UH?tz&97myc`Vy^&#SKD2>aE@^&ztP`}08S z9}DJGyw0z=xWdj#PjBOz_UYixm*(o}`J3La7i!NpE03uB7EyUFqO$6K3Zz!y&^~W_ zt@hN0cfq}fx!3-8w|O8q|0Ab-pIP1Cvt{$7ep}S_T-{!wHeYt#y|kaA6-U_T?7#a; z*DqrIVdHc9xxbfxIl24HCHCX1uYx*X`%@$1<I7NLud~~4RY7_Sr;7Whrnf>8X?g$F zfBhAQR_Aa28{V&MUvtFp-3PJd{o6lUt~;^(z(s4F^=!{~9Q|j#wk~Y$SGBA26JE>j zzk4ox)%xktyGmtZ>p;!W>!+(~T9=+Tnh&ZcA6Vz?0grkmV0LFOemno-JlFd98GpMz zupQrVT+;5LjJW@z`xZ*`+4_I9{4Jj-pSQ7I)jIxY6=R=Nbo~+8THp0KkDqRS{5N#k z*RP?|-^rx^+w?B}?3(lG4d5)xqJ5q@4AjSy1^4lc!{*()`qt)@@e$R(odrKqUSF5X zFKj!%<Ji4mMDHu_bbc)_xc4<@&A!mNU&~h6U;P#xdl^!D#b<+Ruj}AJhtg?Za}zK- z3*dOmTbKP?8{8T&|B~Kc?!UP%w4y(IPt~rg>@p7o%PUH4Z`-TSH~n@$x$>yR!DySu zGW~19AN-NrR$o#ZZU11W_3_)%I<NOWe$#fks$lU__TxW$*RI2;xum1xEAzlZdp;XK z>3npk*P3H{8PfY&wslvz@NN5J+BJs+zuot)+i_HKUSZ$r?>B!eezoTMihnw{>+6G| zov*XgzTPaX{hV4`-o12hv|jCzQ=1QhNA^H{uP{*a3B4^K{P$(y&z#rSd-`v1zqPsj zJi_*fX5WVIG0=*u4q9<B!z(T(@CahGer?H~oo7KKh<Ur$v~Q1wjUax*GJ<#%)aEEY z^!hm{b3Nk&*IfT=AT`$qCPdA}4yw6CZ~hZ}z4l{A^_>gX@0Q=Hs4SUNbA8jhyM-^0 z75=(=`q!)KGk-HcJ+I%}XW{O7z2Ew|buOak)e0Fv{G$XNK$PF~XV3f1f8F;S`gs$% z?%KFkef_&FUk(;lJWu`mWXGP<o8)TuM}i9VH1JTR+{RCcmcW0;+wqlb5tZ*gygPdB zKa2m5hH!mF`<f%N?+W@aPrvm;GW^NoyI0Q%*WUQV1zJy9f8<s5)kyu|zp2~)J<Hp6 zZ`SiX8TZ?%ppMsrP5b^W1a-XRw_)jcDP!q)on9NSd+!0?_liU6HjfuAzi*!fA3qHI zbXa#?!O<$yzPQBK>h@`n@x!~*zixh9w0+HS`+Z-Y?D)mGTKl{ds6TXGQ2YE<|4sY; z{Zj{5($V1l5ce7z>8mgOSO3yp8=t=CsIcAR2g~2o+*^Hu|GLFN<)2F|{?CB)yMFF~ z^t=9E-FE-s^4$MD`_|T{y*#z?|K`(G&n>*CeSPqJ8npJRyLU|cylB`w!E4XK-Go!d z$4`Ckn3~=^^*N{cm*+j@>o?Z@+@AohyW+BuM-KnDJiYpm1vI-Z7kU1-tF?dK#JBe! z&DwWte@f}j@;!f_g6gjSr#9{Lo(^hM)LnfAE&vaK3&1vT0Vt>q@+hb+5Wo2|&zIxJ zZze!T4qvZ<j~uGNsxCF@{Xa_~-LAO&-}65@%-?+fLFI=x7XQzt+WuI(l>PY8eErw6 z_eO%}79uL&ZTz%m!>2XiT3Tk~r<lKeZ`a#gkElFWvB*BUx4!wS_Hp-^2XCd<-g_Xj z{YJI$-($KzeJp-Xc`Ev6-Q4wuq-APvz2f{9see@WTmAWG)i&jCD?a}|{p;e#cl^^z z-}6t;lToiv!5lYCMXSAL!^aJyq2q=ZU;UH6{Zr@@=ZBthi(foH9}3Ecr0)$X-SbyC z+J1?C^W!J})4yIU{Fr{a>c?~M`7-kV4!yct{p0t1i(38q|H9}0+<RGA8oc^^#g2_P zcek&do_j`-?|#<b?hg&$fAkpFRLt&O|Iy`t-;O_PzLu9fn?L90>pSO)Q}*<4pTGHM z^qRlr5x>PPQvWbk&)@!Yt?~ZiXZ|<R|MW!f`RQ#v{~Q0tZ|e)a<~Q%(^zqY!9Y0>D z+Wwin)P2n!|5cN}|H{wZ^XqN$*Xr)8=Vim}xoZmde>nR0&@1=$tNom>?aoGg&-`3o zW_S0vz-zl{J09+QRDG-By=<BM_8rG8@5Lv4Wm=z?o44WI<)pdKd-k4=dn7Ha7V~() zhQHbGKC>Oq-~M~<1D53#$5iEZ=!>4)m+*ak`7{1=HSgc&{%3w1USWLu4@dTYiR}M8 z$9v9y<dmQLv)A~)it)dm)%v&o{j<OEb4z{0*8hCwJ^mlwd7J%za7KUbPuY9M`;M>H zzy0Ut%=dp6&vpOZZjn&aV!h|MRsFLw>p!0Op?q$C((nFre<mB(m+M>iudjZw<Hzz; z+dt2j`uCl#)_?sm@#V?F+WD!qzxC5<=aogwU(WP;pWKFb+1;h`)@y!SB;MUF`FdaO zzpLNo@AOx^R_nF#-D$bk`$8hVFFdx3pE>NFa@f7qzi<6JRScR3_-c0}X)k}#n(v;C zU+pqC+;i8x_WQ*C`ETVfOlRD_=e*mTJ(usrul{}e$0O%wrhMm~Nvyx0_<pf&UO``V z%!9wyxi!t+?~1z*ufO%z&ib=@dff*G>-*C_O4l6Yy!KD?+COHyPj_}-x;A+)yI$>) zSK-Z9K_|P<**Ncb{e@3^7_U{9NBs5M@ayiXbGv@C->#CZOs)8<cY67;zpc-d@5!H4 zmXk}hd-&$!v#-uMwO4D`{JOUC{hX?YSNQ$*9%cQ$@bJOiZ|Zz34jIdRe73M`A7}fH zn(b%T7oI83l}mg4bn)Y}hu7An)OBoEkJdk`c`y0BZP@w^{n>Yt)-Rf0(YpEjtv?*{ z1wH2PH&?YK&v`5yXM5<{{R#i2zFQmvwg1!KUEyAS&*JN~mGkHRdUB<?e)H#-c6l4# zB{!wdQ$Kq3_uHS|cOG!Pw@dgc_x@u;xcru?Kkv8w@7(vbZ~Om;R|*gOVJyG#+h5xL zk?1-5l;5s9ju`Tt4_p6HO#Y)}|Bc@pdhH)dt^a@eTmHuW$^AEeDBDe}Z|*MtTzUM| z<DRMQ|2?APzpEbDrEk4_p2gSZVEwsO&#zQ3w@cp3zxUR;#orS<jOUpjdfk8P^ZSo% z={t^_+C5gbt2y(G{eSLn(JxP5RD8Dglf8Z>zu-^dr^B3fk0pQq&wjnu{@}kI2YJ_> zSby~5$xk+rvGG6ip~Ja;wxzF@2Ctt}_5KR`@^`kUzFq$r7V*40<auTCRr}1pCkh&i zWqiWyA4!=1Xff~K_Lu+5(n6WI7gs)>`6CuSf79P{I}QrptDW_yZ`(b&{12~;!}34& z{jsun$hkV+IBdUJ|HiLV(>tc7zq<0>Z*S47t-<nhe!aL7-oN#4=|0nIKa*rW?1{ef zP^N#!fuHGX?RnP~bT2+1X<IYrZTzL_*9yN>Ebl8n^ZrAN`kr5V*4jT-zGrjr+UtUj z%lbEd@U8#xd4rt%$DXVD?>o26`z4z6R5J1D&hl3?gV)dfb#Z0C-`^)!uKREL{KTfe z|L@It`Q6TY4m0b0kd*)Mu=|T}_?LwlIrcZJKV4#7H?#i0$LTqH#ng8kHlFw4*XcL? z(sOK%etTWm^*w&$M^(Lo*5>t5dyfmsedxHn{L=aFw|-al|NTBwTjt~SU{kq|4eB<J zJ{>lz|7sHbzvFtvZ^6~aD<0WI$JCuYH$U4>&*cB>u>1|*O@F`MD0cnFn=|w0{5*L1 zZ4KxQgP*(BPJf{MdwIp_f2!vs;va4;Uzu-{@cxYaoS*lMbN=%_4&QNH@Y+AaYyTwe z4DF5|Rn7Uo*m!^8v-t%_e;D`aWq$sfK1u$(e8SuPhpmsPR~%wbuX}ms{fAdUU%VfG z)Y-iM`mM?>^=-;`osWOroU`ZE?sWaPw&|dD(w)@Wzk5LKiRaO_&p%H|teuy&=6BVR zUF(&v)!HQ9J^qzDr}nP7{Of(W8{b{#du=Bg@%?E-X?)b0-xm_^wsUH~m(+gmoBlj_ zo}%5Pbgj>JH<I`AYpwb2+56Q_a>G4$<!iq+susSLzr3BZ{r%?eXM5Q9R8H)@{ynzi zYwh%;+WT)e?t8DbJkI*-!Ik}fwO^BN$G={`@ml}JbK8$v#rFl@b7%dw=XA~EM(H<? z#kT+GakqK+N64)HztAt|`)bE;de61en`!^}!=!EZo~4@AbvxG_)BL7)^xuwSn~zPN z|Gg;s{fD~K=0{$ow_H8HH~9RVs<&7A{c1~IsW0F6?&a~;GC6te^}2bL^JM+@ot(G* zfTZ1nujbKvk4oQpDA&Gz!(aAaSFHNtUS9d=`TF6bOWW?ZXxlt~VH9?My-9}4*I zII?<b?|M)l@G!IA{-ejL*Khk;yywur)JXp8PvRf{V7~UBG5*iK16A>B;*wv-|M|`G zx>n?Iw|#v?<+B}+-!B)n*xUQ|zQyP0^nW*J+FO2ZzJBYc)4X!nRCe@QdsVwfT>1OY zzIxrA^S5VTNZ;RsD<4n!Y5Hy7-D}bt`rYq5y81e2FXQ^cGRxWVk6ZrqRUA{k_WMuE zSG)NA^S=K4oFxDH^ZwFT*308SMMyrV2vNTipYij2#P`(CY}WT1pYwiv_UYRn&AyLv z^9x${n*Kdx`t5$k>*rsVmdWfnx$^O}pYC&F4qlTlZ1b=9D;pNS|K_#Z3G&~6bX=Ez z^0`5}=F$)DwROi{P5&<z9sm9_gZBBk!Txi89b7rxZ*TFdy}|Q0e){5O-G5H}k??ux zhmX3h&9_}w(6(4Ra_=!tyGN4j`CI>T{VFQiQ#m{M_-pOkzj*ULaDM;M^F4gy$A7mY z`PnUYXuW<o?@!d8{{lZ()-9|In!A6#@Selh!skh^u@PQA&+6-?mEnGS%U?AIf4`Uc z+ui0oSHHy};l9F`w?C)<=qTQ^ch=SOKMoY9zu)xt$;rZRd8?P7Ilr;IH2Qr<?VSf= z^FK74x7giw_WryZ^6x)9n-!*C(8K?uCEDgOU-<P+KX-q>@$1*;_bji^@8^%0KVRte z=gWzA+vQ%{o$<R{z5ea;st!~8qF2?+=UIN0dR^nb=5z0khn4;n<+;`WzW#n=Q~l=g z)zTOC_a4Z;D_n1NerNUmwc9TiTi>fa@Jjue`VNkq{mknMIv2l=+Itu@vZOA*<!kW2 z8nvVM+~0nVdGt%`+j-_ti+!th9{+24hWTFn<u|n_u3fj6-*D*Hb7rMIyXMU`KjuE? zA>VV0z59CYSDlN`H(OOd`R~s|o~6&`ho<kV-}d=w5C4Y$XU*!{x7Igp{m<CmqyMqN zI=$}wnfV`Qe!SQI)~4P2_CLjI{{*l7tBx_YJNz*<r|#_;d#lgxa}55qr0@CHG1vX~ z?}*>|AFEvV7c_IH|2sJI{-b#xW$)E@uQj*)oSy#g-{P`;6ROM0Vrp|D@7LA5y!r8? z@ad{gCzpm_zO?r7m*BAL&oleKR<0^IUc1(G-f#1SyT=7z+pV)Z`|r+l(`&We8{b_P zdu{hL;``DAyYzXl)n;sbcUV&UJ*W2j2gYS~Pj?<XWqhzoeo4gp=Ah4&^FwBx|GngR zl{|06`^6tNZ>x8?f6k~r&NlJy*Mtw2=c*U(eQy?VpPlpCci*nBwINntPp$m!S6lGv z-t*l0wfhf6*&niezgptA`G)V{OA{F7KT4YaC~%%FUvu!=`xmD#eoQNSwIi*r`LXoP zD)l)JjOT6q?;4$7oBZuKuO8@Jy8RnJ>gpA=H_wi!JtR2qV+ViyroUgG_o`l-Z(bI$ zpTBC&Z-eBgPit>p3yxC^vxO#&TPx+~{_0Sl_q^rw>|3AL?@xMenIxZ|pY~PP?;~He z`Sz;iR_AyAUA1=lD*e9g@tb#@|0A1M*vMXU;HGZQelhuicJu99sy>uP+aHszIm9`y zuxoYn%|AlsI}Yu>@JjkU*Zm(4UY~DvT4T@ewej6yxz~0f5tY{?z9$yES{xi7d+6Ks zKc?6I+*p}Ex2o^Ejeldf_>Iq|76)|SeUR+0IP`OEZe5k9_59;I&-Z<wUi4~5%D<Mw zw{KJ(G{5;n_ud0zzYXQl-;SHUE9kDCbLZT#^A?BincuE^eLLFrh_uW@x$hD6`^*#m z{+w5y8SP)WcEhKxIaP127%!h^{dIA0{+wT&^DW*>ikEMA{#ify`Ram(#V1}_?>Wpl zub@ABUiJ1(U!NTO_%3?QzF&UP_6OZ-4#?^i{)#o*ci8gX#(MW_yVtB;Zxgh}#%qm@ z)*73t<#raO@1t{T&&DU7?FU;UQ*<xp@khb=h0Xl;bI+F_GPQgBqq^c>{^rlEbACUu z_*=HTPx#sVk3D}+-~93Q`>kJ$c^~+`TO9oTe)Grl-v2E1_nOP+o3Kyc{`I@~hib0Z z^S}FjC`ewt{KupF^*a*wbFpuHd;c*9f5nk|x4!BBc-=0_r<YxCE0}n;f8(?CRmOJV zvhtzn``t=w4hcU#zvEBX?fd(i=KB9;kNExm!^DrA_v&V!wYT`}oxbn8?6x|0z1GJc zx=#N(Sy;I=)ppmWor>=zwBPfrzHb?}zu#h!Jg?kG&iFg}HHG`vCH-D4&lqN37V%y4 z;4XjmHNPX0?jGk{e{=i3Rd1hvelG8R=aJxZ{jI;NFa4N4^ZLHC{qZT^K62)7yk~B` z=DTlWxc-Kp>@`OqJ*XWI&c~<A*c`idJLc>;^TK%Nn0qJf;x+9a+1|T%^Ofkm$5QLx zC6)evwXM!CKI`~F(>YdrGwP4X%6;tcpY{Lmw)?+kZHw!ymHF3jwZB4PKU=!|=DIl> z{{8k(U-SRp<a*uc`2V$!7+&x9-veFr@OA(C;H1CrUzeQwb8n`7^56G|tFCYR{Gwjo z>`3%H^F!%-j^B%2D=)dOu-Cfg$jiGqH3hP_*Dug_KR)}w%Exnl@_yUC?H*_Qj~@0n z@z<|eKW31xyYS51=;zjo&xNNy_bgYRr5<B<QYPm7wS4*Xdk>y`d<LDpd3|4e{f2+F zAMeeyxA?sG_3eMZzV8i>_`k2bC3~Lvv5)){<^2l!(rb?V<G-wb=h0c-od3+VnKJF> zC9f(H>bf_}Z~S^*s$YH2&%o8}=9|7I?K!A^XO&;w+*cKc4j1dpmrOsex?lSCuh4A1 z!Y?|-T~nXSX`km>?!Wz`>9=`_a&vwzHm={g@vpi6#*f)|9!s3Jc`p`jpZ51;VKeuh z-PS)J@@%izySb`D`1bxK`fDG*Smr02KjZ#GIsYFm=`nwHyxqQ2^6xRlzPdxNWaph+ zf4!p4{!GOE`S;G|+RlG}Uf}g_<3qpx-2o5bM<&<S%Wd3e@1Fke<jnp1TIagopKo{V z`*X4P758L8=kVEQ{<V0ZoLAUyz30ECwEW#k|1K>*S6HLHypQ|YcZ(mH(fP*&zd6me ze<a{<ap>v!8-L`&=Rg14uvmY_UhW**zx$#R|L*JBP&fZ*#QyU}H7WaL^6RgCvwtLF ze#3iBUC`Y7$J4LwpL_rI_HCat>l#e!+iQ=h?>Gu=X&(3?mp*;--}Ll-<<i#u-}pZ^ zeiXbHbMM*vjpycDe)iv?HvP-CZTt4@y9=6b{C0X*J^SWQd>cO9dpM;|{YLQq4Zk9j zzHUA&{+&Dij{cguiivaG|8i`67u-@h|69a&!^6A!ndeo!dt{ya*S7v|)q&L~-<5ZN z{k`GvuUd=5zjkvr*2(AXJALi?Z>=L$@k=B2^M8-n&##?c_x6nZhC2Dai2eNG<y-#N z+UaNCc_aZkgKhri^WlBoF%LdGUu*Zc<+<Lz+utVdeIL2&K=d>D50cw&{AP{bf7o<h zL3jF`KZ|<pYu3LNpAg?^eJAm+-J6Yd^5-J<^Y4BC_|G3Z*dnRFc4s!!$zMD7XR&ep zkzchHhkn)W=zD7;dH(g|ulhGX=YM2-U(nB8bBw*_N9yeNcTZmPe|h-e$5-b-y)^y8 zKiW^)h3`C;JYO+))Bo6<ziiVhRQ7W{pTFsRdjHqo1qXiBzNp>5cKQ8w?KSt?1;L|l zlGolJmU;dC;l-KvEk654?B_opv7i61{D#k8ZSotw@6Y;s;~|r}#Shcf@&!HKHHTL3 zzV)m6SCCcQ{j)0{&-^L6uI}!)*M-gOdw%nNy1hv*?%~z^sJ(}tF4x&F)jr>hKVm<> z`<nahtL3+R{rbD0_v>#1NT0ax>+cN*e%0Opw^}7%e}CBW^|!$fMeFzjpYsaZg>4>* zJl~)CSF^BHTjuY!tJm#wUZ;OqTJ+|BNAPj$XV)tZF~_~l{VOQ{@s)e-UcU8(zpTyn z9gF^C{m2-Uu>B+M&wnkyI9@#RA2?lWpO+1r$9nDibH>-dH<vyuKlg|8_3z?izy961 zbNAfz&wCWV)nA`ye^l|_W5)1`L#BH5=b!zyzP4O{>*EK<=UUkr{qNYm-@ne{sQR0~ zjaRSRC%*o9<G8L}(tG1+?#<aXhkpIDNv^H`WuN)_^>5<?zdlcu1+NfeU-SOF(Cgou zi=TZz_eb*e@5{e6t^4=cJKKENBY5uLg<qSGv(I^WRz7m?vFMs3=`w$|ybZrFeevV7 z=PgR#q;9JNnXGH~_(^~6p8uP-#kDc-`6qnsu<p;T7C+ZKtv>ea-<%Em?3<5VyZ-$- z+w0%P2TvIvJ+=ABuYYSc?6a><|M%d`_quc6u77{d_4>DQ(A?*}p^!O|`mDc}kEF~k z{u!^%ue<ln{=)QQg+I9cWYy2)f9yG5@%Q<*e=X87e-^F1{+RdP|JL68Lbp2ExcYOS zK-Q(Nd4K+_{>@*%{_$+wXAfKaaeuz)weQa#oROdVb9Fp?{bK0z%I&M}AD(A_Tu|>r zhqTROvGAQ|`Rk8<(|_sx+QMtDRo;yI5AViLlE3#@a{a$kuRtq<m}DODl~*{|*}nVO z^Y!n`zq8gZ2bEpx?UmQOKW_`3&IMT|`1*G-Wauw``{x(GFCYK)@6Mx%&+k6`vwp2T ztKLV(_WI<nV($yu)n)#+y^UYFzVGq<l2;X9w{NTK@2@x}`>pQuv*q>akDWnFY`>@2 z)+p^~6#w&c=J(s%=Iyf&kGMZy@Y?tEp09sl^PRu`<!snz?|<&kX5;(!Z~ug@i%ywm zevsMjfwA7lg&Y2r{OG9O^S65S@%_oauYXxvzUOc3^1k3_{5O8HN1y*~EB*elXkK9p zyUgP^^11&S!Z+`Ycdt3J`aY=H5K-Iv^|`O%wfW(>8~^RmI?n!0`@^%iZS~E`cOD7b ztv)wj+;7$62mEhpX6-*XYyN|oA2ruq`}Vwlsqy}zXWw@u{%I%=-|+LEyx#Zt#JBPf zZ67D^`NcPTzUAlQ^na4_CsY5sZ=3%n-TQmrXZyHo&Gv@c?|H-SarRx+uQ{X|X0N@b z?%R(?bq~9Le_g(9|F4aQUb(lF&QDtNdrIa%)-w6xv-?fIi=Vgo{_uRxe%bnY@sBvm zBlh!GueslDeC@sS`}4WBf9-fS-U}DJ_B*Tp>u-a@ziK0_Klh*eBl!CJL(bRVAO6yR zV`DsDTt57N%KY`Zc^~-R|GW8$_1p)>_3v{_-^*>Q^OEQ53*Q-hT>9Dc9mi$u9?FTc z-_AcK`t9GOy8FjpslKbQ54HHa=;{5(-T!N4Yd-E@|2cfyynjD`iC(jhmw(v(UVh(? ze~Ev;I~A|_ANNA=y+wYrdd;EL^&6jCK34wr|JJ$d4;hyKKlS;s?fIwwdwZvAAOCkh z$~L{O;qUKTRn{_(SonW5oZoSz{rAm358g-2|Chhvzv^k_gTMZHY}{w>wWcmS;_thS zzibn}-rl|ZZG7=O(Z2Wj&$|nnl5HOIu<z+FzVi?=fb!wQ594#|Gv1f)-|#u}KSTC^ zSv|@54?cLA!A5J1_8m{RvHrYw|Mt%r{{^bUxBXR$d2D;_r*V2+Z}c&F(|=mq?rB_p zKiRncFMrv*o{x5M@mHVaZ~S&Yaqj*D(#PZr`lHk9o}c0WKe4W0z4Y;yd#8Q<YOj0O z^4!15Nd9+wzdYDsq4Rq7?-|8*{)*S0YaZRTzIx5?sKmR+rC!_dMSRaavMYae{l>qg z^RGpG*F3gMKYGpYNy&HHnbe=$PI!7Z>1i!wS>}&$>-qQCrPq9SZ2D^Fx$&O5>b>}c z_sh9n*P0~v?=$(n^{>;tbX~a*lG%GXv-duzGmC$IGhwd!!K!&8uPeRw)HUoquXX2v z-1mwDzb_rD{=WUMe~nz&jt@WgB!ip0JCbVp7O%ef2O4O7AJc6T|1*}^y#<dstzLb* zj??Z@v+@3tXXQJRYx*x|->BMbd|&&m%`N*QmXDR+#9xLs1+ry~{&le1Sbg?C_vb6~ zTbuM_zkd|y-mdT7`k(LhpSj11^&hj}tYWvZTqnP0&3m~`TfScaEu}m>?d#XW)AKgk z`O98=o_T23dgE)gcSE+#dzai%Dj&S&_qOA^@<p%JuG;wSazkmn+nV2-s#@Nz|GnYZ zE`GzXd(mt56oc1yg2Jc!tDQyiUVpVU-#tI%m)Y&@H;Z_`m|5>-_Mi7=|IYl*>E@5$ z_C2|8Yh2^jxaQJ%Vy|t|V?Nl;D)`_~XaBGLt^7k?xx%LGchy~wvv2>^pZjyF@&4nS zkJ)XK-`h|By?(>D{tv&bw#D~I&#?q$Wx?+~`5){yeDwpBLiRuPt>^pScRSwhuJ<}{ z@0Xi}KktWy-xCeHXB)PkeNA2U|K!hC&x?lHE7!oLG5W6dD_^V4+VJkO=VSd1zblXJ z>c9Pe>*u$Y-+Qv(ZT?;#*1tdLv-6tYvWaWAAGh*vE1f6hf9JZgo_xyp>Lczohi<b+ z*Z$S8_CHwrp|BwK-usBp<q@B`Weoo{xZkn*Y@PnEMg7?M9ed`z-Cwz7uK(}$h~LXs z8P~1<^)<I{snz-T)$5+`zi>_d#L5yG`RQLz7XF=)TKhX<^QU`>Ph%6l{%*MXUTpPz z*)V%`h=Z%<yscj%uXyiLzsPI5w;SIDx0cFhulfDL_TDxA8`}?Ze&2c2*e-dkdE#1e zo5p{4Z`komulcMJao=6@+V{ZD;7@Bz{wbF2uYU8Gar=$xMQ`U{nlJh-FX6lTp;_|D zXU~VE*Q~R$TXn9k_tlRU_G9x4%baiDub%|#cQt<Gym#lsGx<3`mm2RsZ1}jk=Fn#2 z``K?mY0&twa?ITu-|i>RwJ&~leMjOS!S`ln^^n>~{dVQIp6?%DshL4jp{!kj{^R90 zsy;p0QTJ-ozUo_-?p>aqC$s!~#I@_^V|E?=Wm6h4e>vytedi*+mtMYAW%ph4h_2tp zcemwU?+cCizVg^Ef97kok{jQheigZG|GUFdukDURROWB|bPlrGXTv>q-D|%e^n9(G zz2Tnv!Od@Ls^oJw+;eA-xpe)9rCHtI&}#eK!sg;NU%A$Nm0I(8OT=@_WZUyCUu_N+ z=l*Z}ev~bpf9v<?CVq>fv)d#09$}o{&Q-nxGBZ`V?f;1%qUZ9n=C9{~OifMiIbT>| zJiET+nfbXt!uO2+^_;&^zYiK{8_J7s>mM|=1NBww+M|zsx7gXb*4+B@Uhx~1Tk1QE zkGWUWde7bunVd>JCSTa^p8n7C@yYt@H!ExIIv+pEJ^kwkSMT{U)Ai%7J)W*(Yq>6d z*P8tJv}?~Z+rL(7ZFu*Z@%6rK5#Ki+-qkOBt#<atcekHC-M0T-a7XF<pf$fY9p9xd zdaZWF#&?Gsrhf03`hC^*zvfT2A3J4ytV%v-<2`oEYrmHqsG4sQ@qV$`>)I##4}If* zak`=UUb^zV`m^`SSBKxKSiAjLl>RZR^J1@UEU$eQi8z1x!HnOx9tqn$mh|6IwPWx7 zrtq6T{k{}8cSnD$JU(lF(pmk~W8oHDxpnW)$eYajyEpvyKkH-bKeQ;X`J24vukoH; z2fm&DC<vL&Yq35yzpyGh`h0yaG{_3h{b2dMedA9^&!^zT4>|sdvS-!nw}R@2`I7Pv z59j9o2UigPIOTV4f9bky-yXSX?_|=~M_+5cu5W9hcW=X*_WYQtBfo6sZm{!zZGN-j zZn*sGeR+jPYwsl9J<jxcpX`Qr(cPu<t=51R*iDywy)Wlp&hyK)92?&`cTN2+r~RG_ zGAaMM)*xvwe^~y;zhCVlllS^3t@(aY>~(F)5#CyV_vrNxYPTIfc>V3)u*9|D$!pCM z=B6L9I{#|zwz|W=6MD<1?wy~s=Xms<s+Oz8F~#?}W$vGQSO54-{@kDGa}4SZ8S~vs zdw%(!^qRlId-m;pJN*&c^mBhs&Ak73-pA%+_YYqCd~e^K`qr)WeagSAtnKz4eztnv zp5m8RcTdaP@F{QOC%fdY)rVe{f5?mW|9dCl>*xQBpOfA-RM>&HSc31^EWh$6D)Rr| z3+zi;<W@RHt>Cl$a=}^9Nq$y~T-6dj4^9Q4RSWrTzXTjv@TpkjNXMdsOv+qrt{k>s zJY*+)TJyZ--u1}rL-r51uFszSX7A?rn%{rko2?&r;phMV&*T2*q{jr`dBpX-qJ6Wp z#{T2&+VcbNT0XFuFgNgR`t?cS6{WeBho6d1d0y*z{loRH-xUWqw|z6aaZ7t+@A5-t z^Z0(Rx4teZ`(?*rcbkOgr=`w+`+6$=ano=9jq+J`Mmb+UyZ)7Ge_}qZpWXOxfA_C< z;U@ED*6@d$hx|9(_D?wHv3`MbUFT!zmH+Aj_eWoeub=uaa(mgsFWJirzrSAoPVC&i zg*(=k_vP-*&iQ;dVfOojuZ-mvUp@ZL<-b|{k260HnaOi*`>C<v?Q)^pb+?jl_seek z`=UPhuY1qyhV*Zr8?>M6q`x_Sb^Db3w>xj#>fX?+e#~s1?z$W6S>yIQ{@#An@ZMui ze~VQ6GdFf_{MP<IxA*)j_8YJAH@Mezecusv<-YE#?>`*=F+MjB{C}?8?{|FSYx^Ur zKLz>nR{i?9=wDf2{UP4hlWN2N&fNQc?ymTX-+wRfus^-+9^<yJ_Y!8m7r9*%e&bnj zd#+t|&9j<^#?N2ZU)_K9IM?l(mK)!KTXOB6-uU)d>~@{Zhl#)S>JR+gJYQR8zU%MZ zhp)x;uidM>?W2W#NVVBK)3SRj{>nU(O0VcI-cvdGQ?|@Tc`2JmiGlSoSIX;`e3Uu) z{lmMoTk+rk{VTphb^no*XG81vueh)K>b!;W|Bmp9uh{Lc{aEwgblbn|IY#II`A^BW z(~0@>#blq|ys87duWgf}^S`H`+Wzy~hO^~8xpu-ipCca_Kj)rr8Gqy1;=^Y0#@qgu z9N9L%X?}LiHu>u}z9qLtztjF@|8D+YndIkdZrzX0c`JJ3R`$l;^!{8M;j(j!zoo?_ z{}+E{pKi~7qjvMR?`-TAM{U<_IA3@3A>a4zU+u27&!@ktSEz5@zWu^K?u}pN6JOgO z7yTK%XW!CS=H0*EF8bGz{7Ky=@qM_|{IBnmU)vu%xAw|?w(C1$uB;dPYQ0B&|MA(; ztM@)$wC{6v@9|&pkLUam*u6dQFVF2CpI!gndi2Cx?0ECh$oCf?SrqD(9DB`k{@cSt zM<e|nzur8rDrSe(?Mxf1-z%TDzAm0~>~Zla&GW%cZ!5JnyuB`TyY4O|9a$vb&UerG zt!pv;*Y>|#j%}MCl=EBl@V5A}8{eFJbM0j|to`1QyEk0>tNeyKy?2EzZ*4j@&Sj6z zdG6Wx)+Te~T=(jn=M&5GzP>lsXMa;TkGG;f{CVKMt<RIP^$(fNlek^6?Z&(12g>Yq z<!-L8j@je-{B}!u#j(V-JN`>c-9Pb%b;l0f^VZvbX>R*9_2|j_k$=io-H)F0k6&zg z{qsGq>=o-<(`Axg+aJ1C-xt2|*X>7a&|tIvq(0|f+}q0Mmw&9OmyiC>d2iDGgZE@Z z_CrHXZU1rhnwTr)=hlCW_@8YvQU1}VzE}2#&HmK{)*s>hZML`Jmig{?D|fek=AL)# zFZbME8wv^^UjFe*X}R16TYu$k&rj}M@hNwI)s1i2J>_qXv$Vfi&UCv@d*j>fw%h(* zNxbcEyzOt%k!|r!-I+Dp<geZM=G>LL*Er|(-oxAGnQr@PlVHuymh=4Jt4FWypA#0p zT`81k-Jg{6Jg_<XneEq%{?9sxf8P93mEFE}uT*q3`|A4CT07H-TD$jAf0y0b{=0DF zUH=y0bKMf>E4st;uU3XVVB5aqS>=t#FZ`<Pmbw0|47|U;<=A#b`vdNK4qmJ8TKk`C z_x{EI%61(6yXaqH;QgITe!V{#|M1PZEd3+b>RZ<Sml1!Gzp-BYYQEUl+pd2F+n@MX z9JsgC#P0R|<Jan&(m$E+@VZjJb49%FtMar@)iU=(zxH?kI=9qR?zR1K#ou{xJNET_ z(w!Ic?Mh$$tFnh*ik26C-|lx;cTQ~FN9OaveQzsQZG3y3?RK5)hPTHBZ`YNqU+^t= zf8~vD(LK5LsyDs`H|Fl2a^u@+x!ZLwHoR?@yj>-~acy~lYw!9*+v_*386Pc^OSy5b zS$5m!wa3c#$!?hI&b;lj#-2&P`e$sHoIWSrU1m<~^XP)qr_t5fId7NVI5s&^`aIw5 zzgKR&d){DuZZ+fb{L7WwxUT#c+kK;Y_ai~SO1Y#rcYMzuFALlsaV7ukllLFx{JVc` zetLO-y=d=yhq{K(yI23`-S%<$jbrf-C;gG-d$;fxH0ZQmd;a6<w}6J5{K@-|?%H0h z-@M|!-mCr{s`ZCX?hU!W`_{`}KX3f<FL3+Ew*KAPUr!g+ZC&v`Cid<3H@A}>el_)b z{P@sSQ~$>o^XFH^?TDLyY;yM8Umb5Ni`K2(Ve6lr^V{^uws_+=8|N40{N8wM+kL5= z-?<02&3DWBeR6N#G2xB(<hK14O1{m{x$SR2LiBr~+g0y3u1#;xwN1}?eo*jsr9e#h z<ISH_df(c3Zk+2b85bM9etp&I^Hw6)AJ<u)_p*6pyX~7*&fBv$jujs<oA=;XR{hz^ z4aeB$JmO5>QPX~Iz3jJ}?@ix*NDQoxxDtQY;V=J_-4(kpeilxAZGY_Af3ff<;uW>> zSM$Zce((Mz+;?)muKe$X$I}0@-}rU^A@Ao`cLRPtt9$si*YEMcUjNzyg0HO;qTgTj zos$3gZPM)b9JgzZ-FQ~qeSVI9&9j=s+5NWjX7qF3uA8;-t@{6EQuE&)XSiLbQ+T+x z)jas$#NWLi-pTy>IX5{wzkBUow%Zj^Igcd^&(_T?_~Q3A{{6Ev_TN4Vs^8eT{@Z2b za&h~g-z)bnp80Q1$Ik=Vb0*6tegC&={zKlMKNr>YGJlf3^B7!j_~$MBxWnu`xA=CO z-u3yVuL>W2x^_qYb!=kvd%oK>-)}to-H~fI_x6gtyq`B8OFt)WSG;e-S@*tNyR4kw zD-Uj)&zAGM@W?j##kaF;G#}3?JY8q^=*gTtc6;Z3TD#YD+qa;c$0s-RrnlcM&$Pe3 z<0$)`$BgOk!~SW7{a5~d@aR$IE&G*nz9#2<-Cp5d*Le7T=-;xy`u!{HAGZ9FJbz>V zUTBCuxXOGrzDqhr_tkr`ui@njYOgJgvDouCvnHydeEH>yFV>sZmiOfD-G1ZQ?gM7` zb+`SzlRW!8=X^_0%V5{$U)z6jY&d(I?RK5l#<$D4Zr9y;cy;U7Ur#!0f91Yg^v~#9 zad-IhOaJ6_>vXTq*YT^4TlC9JPX2a<P|SvS^BehF>b+$iaq;gsEc<)m@yu0!i(;;n zzgzV&;;-qwN%BeW9aqgyd~F|pW&Oulf7s+dY*=Bh_iA_f<qEY=wlQ`Qe~;hz<-ehK z|6$h8a(83j&V1hbx_ZvB$<_0Ib>!}yTdkS*dhao_`-<Csp4)IXy)V};8`3`DzFpIE z<JsZ8+m9vxK6!ZC{G^=U)(5xkk6wMgcA50n=bPsn-+3sz?ORsPTiFf0=?Bc_U9G*c zZ}0qqjeF;Jd^l6rSNK)#?SI|8g2u<+weCHd^>@?pi_Tl>p~d2Qv|^DzwBGv8qq@NV z8&<r(<Nx>jll2AP47Q3x>lE%!+i%qGfYrs$pVVy<-v5Ku#l}CSV{D!NPVfG8bx~b< z;iu~E^i}m+EMC92ad^D(U$M-l_s?2i-w)k)bTa$ATb}-R_2$^UFnMS9<I3GbH=RYc zKi53|&F1QjZ`sYc`}uBsd)$$0zl%Tg_qO{sIlpy}ZJRHY^LyoiZSh_?zf=2j?MrTa zJKU4IxBtd9>xvo2u5Xx^Ewg!^=C;oYhs)&NY?!NVy6y9mhPO6S8|Jz{JbbnO$eyzm z4{WR-z3sZbygy*y*6qix#dYP{NN)T1X2V_o-nVsp7Ekv`>HM$VV|Vt-{`S~C#|8an z?w7Fczw&uw>=k{nuhHF;_iwkDr{h<@`RK;~9*{!OeI-hvD7O|`C{~JK7K+JNkPF4+ z(EHV2kP1b)<<LUW#tm91Ci{a5#m9b+FFy9S)sK0;{Nt1Fezixw*;x7q+?luBblYF4 zq}%HyzuoY+y!Tk@c3t*{x7!(S*GX-BtNy)H%Ku1J^^I@dJ-PNOH@-PH=I-^*dA;}e zwt2GKzRuY=m)$+*x#I_w-t*@sn{NB8a<oh?wW2iF&VK8!HO?PXwuTqZn_5wuH#fgJ z=WXeYTeCOzKJUo2IT(Cp-`(?4xBo8raiDVI!#{tm?w9R2V#@a~;v@67N;$SW53dGa z-G8-w<JJBT4s|`-`>%iA3JJQHiTjVgj`_MAToK>Tsxkb@IPU}7?H|E8kJoSbT>UD) zJ^POSD|xZ6bC<9DZ~IewPi50BSnJR7XY?Dpz^}7iL4|?IWc!D5)&BOe_VUsHMel8R zf9HPqzMat)^~W;r)IVFv&n<r5s(1bT+E;}SH-Q3)>-Og>iMQ9=Zu?txWSjil=PPV) z_h;w));+TAzERF^&EwnVXXX4}dT?7jTh8yqBirQfJkPS(c292G*S^97zf^Br+kBu* zZpw{w&9>V<FF9N`@63&Ji<P&1&iHK8tDh=&*M36sIb(i*i(}W~n%3@Rx?SOw^Y~`+ z?)iMT|DLgUw8!d^Ap802Kl&<q%jGZpoc3tq&oc!dRpxzn{aY3IKS8bjz{AO*^<UeU z|J{A#SAT(1T~GQRNO0|`>iTv2F`Iw)ug{D2{b%Z3uWWzdW$@K|Y`6a`y>Tr5q3%!X zH@Ttv<FDB3ys}>UD}M6+L+r3dpZcfmJ8EYA0=HXg|F^!XPl~_Jt*&p?Ypriry1U@l zq{};gUkWbgey(rbTV1bm`}33J>Ulyrze}5Q_fM-hUbkk$+v9w<>t1hsyIpzP-zOnb z{ck}@O(^NMzT~#Q6OwQ9n{NA>2X5rry63!pxi9AN#?J>1m&qNuac(lp?aH78>wd4C z=NDVjuPv=Rnpw}g?H}8nn85Y_-DKiD%i>r5x;s6Ee|h}0<T<gveji@FDoWhf6sJFJ z$HD45Me|B6ehSacH$L}4aDCL#=J3oKyST@E%Xd7iJXP?g#5UeK=8+Wp{`Ef?`L~!q zc*}mZ{%h9P{i*l%T%WTm?neFF%sufHyRCcK*IR#Ow4NR}*YF_ox(~0uo|><}dPg^R zeBYVr>3=uWvsUiz5bv(&K7D${UfXXk@(<lUt!el8YW~T8(kA<6{N(-6Vs25<_RRjM z?Ya*g<vS{teX8Dbm|e!syYPAMEBk}mHjf3?&s+P4!@R=i_MZKoZ%r+#K7)D;+vgmc z{OiiOXi%}X@2$zcs@*n5`}NN0pRam+SoEpH^6*L9ckHk^uf6T>1-(bVU26{A6uok< zr~gKM`!Vy2@eSL<!~U^-Ka=0J+39oqL$>z?e`JnU&w0dLHgENg&wgLuKNP+9SU|p@ z<@58Eb$#A@jvkcPc(=d%XL{_f*6r`@dfCseY;QgNea^AN-{)0DReaxfx#CNmC1*sw z%_H5ub;~Lm`F9-MCjafmx5HaH&Uf$lfBaSbj;>q$1!bYvq`!wx58ku0E#~2jpLZW} zez&OD_w0W|^_!Z8p9i#K{w)0DyyvhWU;Kfp$1M3Dd!+YhO}+n!E&rd(-|92nm*?$@ zwfOrW^UmMPpfpuqCiVUQpDXRH+vS7y)SI6-KPR>G=sVG$HtgFgHvOvD_A5MS-~azt z{ym(VrGMb(?kBxRe^$<n`s4rg^X9{xZ;#b4{C388+ei70z4kY>_b1q7zlo20>|NMl zZ1X^N+sErSj@2JfeJoq>AziBe$MiG$k6($M``2ahd495VeQ)})%MY|J{9wQHK=62Y z;GMr4Zf*ZqedCz?<BpGN6-U+{%{2ehajW}7NA5rMoX6k)Mg7!#{BdJ(Xs`Z3xqA<6 z^)~kIKV<fgPhK*=zr23kPky^q|GxLEj;;7?-gWz*-^RD^xvKA9tGoNq_T5KD`GSA4 zPp#+P+Wv9<hF<$4x{rGcJ}LI@KbC!q_g~oCnfyDR|CBB`Ru^CE^HcS4Vd00^Uj0LY zeIGlv-#8YZAYI>Wd~Eme)&G}&tgc`FPx@G>zRdr<kG|EY-I#ZtNnX;wv;6+$AKxv0 z@4NHxs?PZv$Lf!m{d@5E)&2B6*>@hEdUWOUzs8z7iPrX2InR$@{3O}`xqsFDho*7` z9ozq}{+NE_7$`Jyze?sOfBo<DdH<`c_xlP%xZCFk+&MR$<Mz)<vn9`qt*>A3(bVFY z?z|5jxqpPWeXRb!<e&Dj*N?x(J=Wjgz4xlU_We6a*8jiVIJckE+CIhpfz<E+i@trc zI51mYv%Wd^kLI?I;TCCotZg1D>%H9mBm8SRpPlCIpF56~*)6k3w~;KXU-R*8K|}VP z$IRP4^4~bN|JRgX<^O^{zkMY2Tx|Kh!iT>W{3@$6zj5w8V}DQjk)!@5b>HuY*#BJl z=Aq>A8^`7+NY^)h@7aE2_WnzMeJZ^7|6G1`{>|Uz@9zJz<;j^}uX4lg|BZy-e-<CP zJKf|T*X`%>vfIwvSLMvFm-+bc*ssbLf7*{tzV8w@d*^(C-SXG|rdk}Zd{@}(e&@j> z<yH0(cI*A!=j;twAAk3Jh7Iqxf<H<}llLs%%hF!I_FMS;(7!V)*Nc7o_t|7$w1t%4 z<Ijh_KKFb4vHs+@pOH<uw%j?dBa@=f+iv^%X2aU&J-N31H?A4~$p3o(e#h6tlCNh! z>iT)|!>`4&*30%4_Nvz$ICp<T-Hv0c&#(U9^R@8ftD{ltIgVQ#6TbJz_N{2e<(2zC z)L+?`|9MNnpVrG2`wRXZy}ZMI-meWGzIk`ut`fU(ZS&D>^Qv=RTP8-Im%Lr|D?aS^ zwt0-(zTSE8O)BcVi2C&@+TF(X*4_WtK3jcU((b|4<09qz4*h*B`mUfOTtD$|L36Rq zLtVZ2Re#v{w_Jbp_WsKMZ&tsuPmFukx;@^yS6+PG{yHiDeT7-+R;A5<qci_S-FYaO zzCEPokoKc{=2zp2Yme5-+;{NXP;0mG;J2SHo!75F`OI?XA>aGD=#O&j**`m^pI!es z?U?X>pU-=bsqz(maPLaDIAkeT*xSG3;BK$4>~|gss_Tc}`Md4d<V61UaSJQjxo1Cr zuW|oP?e{Bx58Zx0_gM4$`Bf1+=J-E;_<vs2k#9BrH|FKPXFs+5`R(K1YSeGcd(XS= z`R*g%YQk^Kd(S7oe%;^8k7dvQ7L>1un<JlP^Yi}epOKG++AV%qoEPsa=yQ*WwtIc~ zfgFFuSFg4AJEY(I^LT7|X<mEz{{{c}E6(r9t6Nj^c}Kyo$(Mh;TE6_A{v28V$M4Rk zMEmpKt~z$(n(@JH^H{fi)!DfAx!molvK!Zmj|sl6Jfh53x9;QFGv}qk|F8JzRoHAS z^S$eRL09&hnx>z}Y+oBccoQ16|LXsh|Jin1)NOqv_$@>KSoNDfPG8lZ?Txwd_i5&y zD2qQ=Gi#zMzQ4X)@gycfKc})*COP`N?zXQw8`h?G=h}Y1ajp2!wt2?ezJ59H^nd#G z&yo+M@;ChUUn8Ga*jl~k@J)N|{l{7D68^`Fl%HGm&-hw;Yxw$$Rjn4s1m_j>Ip4bd zh;jY-W#4SyRqrn-`F6QtdqG*><sIecg1>V=e;#ya9>}U3h*j?31m&N<^jofNZO-eN z4<0|=GEaKXZ{3|oUe{m!6aJ&Y+UB9$^!XwG1h3z)+<r{B*6X8b+}>$l5A&Y;&{7=p zZc6-P-nXVdIxc@+v42M8OtEwIk4^0K_gKHrw6VLtvY-3<^YA;@HXqzJk9pfynT>0g zS2JGS{`Jd-wdp;%w!%5DBOlyM|66eM*So@^3xCR|^{4OoWqys{+@e5IKJM|C%cuMc z5C2NBsGEB&yWKnH@q@2j@*k#sV|(*=_pSAMEB<XiGrN3Y@OAFr;?x%2glr1<r! zUV&`du=e?@q*L3!mTX*`-jZvZob!6;QE+tT6xQ0VeJ<y|=ia=6bAQg<_{)EGdVOK9 z{sG2!AKCgXjvh^)kuS5^4&-b0{Di*+{j&al-)H2v9zMV7FQ56D>_4;5sN3nxiFssF z7qesMlgygpmBH52r_IfN`S&naxW$prr6T<Wg|U{$+r2Yww^{7pawp>7)33?9j()34 zKk{_z)q5?rzdq|N{+PRd`HueZ>(hm84EIaN><j!H|41&}qI&WpS-pb(zvkL?M-<ES zR)6HF=uMY-^h$Tt{D*AME!K&Cuep^RyQ}r{>AA=L-naUi`0&xE<sZL_`q}Em#C|l9 zi}T#}-1^YBn&&s>z1Q9LeD}d`HQR5@doOtVvu$GazP;M3|KBa$T3;jcX^;AjN0m1p ze64@=Q?sx~+vXvkb@Qj{n8(WJBIMOCRD|VUty){y<81Sg=Y6LB@!98B?th?_b^htT z+pULJ&pY;=dwIBZ@9uE>((MIhyhn3wi*sH_CP$xVyIsY1<67~NZS&rlUfs8Ld3&yH za!joI>zNNsUq?Tf_EWR)Q~a#;w&x1k!~d`S(NkM<M3%2E`f>E$-miyw{XVuB$Lx#! zX>D^Mu6O(V@c*;7-s+z*z3}0isO29sAHKS^{NoF&<@3bv#fBF~pO?B_CAVSi^M+j8 z@aw^~(f;DMtK4o}Gd{L$UUW=c^y|n64PSFVHvYW%_)on_{N$_0H(&kV^yuH$o%J#g z1oI0H|5{>E*M4pFA=P^iAI$Cg{==i1eb4Vjzx4AL{40KTme+r8^o`vQGxtPW?7x_K zXaC2Q)j6*#4{V!v&UZ@w>z#+T%~Ra=wP(ZH^uAo%?KiG%KKR&o>pWe(JhlFcv^uqz z-dXzZm-X=fw)i*c5vSirHtYDbeY*3%uKdtqEwiWl>tS2Fr2cPnW@p+|?>TPzS?X>~ zVe$R~nYqWFx4w>^b8K?-yk8yeaq}&<2ifdzj9b6v<HNS1j?2;~CC~l%V|RS@{cBZM zZrIu9ZP+_cYE9jWZ*Db5-mNvAceYpb`-hff<Il4ne7Za9KhyUeM<N&hkQ3i=_+Pci z{KAg@iUS98P4-EyFZ>#J)gM%D?e{PIzHL=~g6uc$^zGKY)gM>>Zr#4!zL&k+;_HTj z%Hx-R{PNpmdtY(ebL+#9BqeqG^WB8%ee5~szjwU-Syu1<m%k?d^+VnB)jfCDf7tU# zOnk?2)pPq+{jt?6X#cxj(=OWXb@7qa-?R5NS!dT6#y#d-Zn0!95C4t*-LKZ~c%SyL zv`8vn{NA0fCi`~XUiqDSd3m_alkaDZZ64fv6f?P6qyE>W{5c=lGMe}1pVI70w>*4% z{wd46I@SD-73)6FyBOEFm;02epPpj)jy-$!9KL(?sg(SBb#sdyEAKoyyY{KA-g}Mm z9lP7_JbujlggMUMNp8b;r^+K2v!8Na-)r;u@bV|hW&eW9-mUx@Tkjb6@Jsfl?$z&4 z$i1u6_+9aDr%b~CHP5Y+>#kc^ZkKs<XsYs^xR}0=6_S<*-%WkO+qX_}xyAl<a~}RW zR@BG8eaiM5JDX!3e2sl7v|M`Up{vYKW#7r^U9YHHb0^{d$)fJb=_jgVZ2aF9{8rj| z{PF3h%w_TF{1&U83O;{7O+BXGVcy4w&YuLW>vgTaC;j~&7x(bX{8aJq`O|Fg)cSq< zXmg73n|fvM<oBl)_3D(|cl=!{^Z4VN=l03}Rc_d>-*fO{>r;{E(<d0m#QUB5s5oK! zjmXoiarYhc3agBF9=e+QME2b~mFpGzcHc?(Usu#Unf-Kij7`wHg4w5S<>qV2Z}^>4 zdElb_DdltVTK+rgrrJC{Ed4~e?4F+W_q4zFwbvK?a=dx;YTUPi@8+BOpVv=dep4S5 z_xOo%QAhXr(}H>Xm5x{ZnKmckJNqX#cl*h~bF9TJlJ}?Vu$w;T@!_9Ob<6bB#4Bnu zcOH2u`h+Vyd~*4Y-P>Xw|7<I2{u_Nl*DtBEzkBxy*}V6v-z#bwY7V?R{DjfHf1>*w z`@nexe@iNlwLd@M{$@`=nc13p)%69xqHZ3&Iy;rW{QZ<#oBi>88~#84B&9At%{ivV zr?22Qr{%H7j(!`gPe{wu2Kp8LE44iQwfw1!e4R@E#?Rb454_&IGyTncq2<pfYRAL| z+<SO#a<)x;Sl)*E)SX99nm)DFTc=*WV{hl3#~;H!G43v(!Y*^ySFW&5zVcA1*7+YF zIDU4_J%0LJZN&fOC$#s}&fTY8R`}cO=Hbh~Q{;ckPhz&I_lt|3bKjn;@X-6^E<2ul z7f;&r{P%kn|C;>02k-4Pc_(uG`E=twdsobP{4D5`Sbz8w?l*DHdW9viI}cgDuP@)R z?fcq_2esbK;d|=Vp07N%ttct3JhbB0%fFB3{rRT#+)jDp;iINc<kYWE;=i$fp3MWx zDeiafy38vOJ!$NhuPgu2YFhB#w*^tBgx~D<dmQ`nQO<{kxxcgaRmBM2Um2P1y61@P z$Fr3t_Ahsb7#=(KI@MqQw(Rs@+A>1#3;MQKNxm|vSUX+xTt{W(ql)~1-&^Z?EI9AI zh$z@(uJ!KIj4L~iGwXeMeoN-N?<xMRZ|6#UejRvj-tW))i+;~7EC{r$*#3(B&hvk^ zTiRFipSpN-%KN~5$2h+p?$(Zb^hc<u+kE<z<KI{A{Svh0d!6OJEpr}xO?@I%J$<V6 zn;)M9{VV<+&(e?Vu|N87zSg|Qg5o>=7$4Q%^RIa4G3LC&kBUdFW&YS#?wNB;Jh1M- zar?lU|IuCRqbom`=KkpX_0asuuk|9|)hq9uK4<>)iT+bT>m$4KHRJxaKKi=->70s> zvO5>o{fe#ht-tvF$=~+S`oFc7$G$!_{ipl*?gzVFNA3Q{)>rY_R_J|`JfHCSC#$W8 za$Iffyn-LGmB()OKT*E-cmA6@{?8lAm2Eq;W$rtbeKeb98&ezet?;{kQN#24>DO=k z&$m2sa{5ya@%vMf@0=@YIzIoD^qYTCeuX7|vNDqA&Z|G)v9Dv#(RS}stYv!o(<^F2 zcOH7V^@;2{r=7>{o_)%8e*4tuH}@m&J$^E;sJ;95Y1=$`CHW6OG%63g6MiD-?mt<5 zj`*jZ*WxGKYbs{GxfB2T{T{cthhJx>h%bLX!8T^E|F^>L%ZnPG@1Ju0#{X!`BcA&1 zJAO=&dHij2QPc7N6U}e@oi*pt3+tk`&+?}%*VXIge|)I(%P}b7`JJN9>+513etGnX zFMRsM>^rf6c^_&@cOLy$`qb7hPp5pxj-_`VfBW`{vA@$Mp<KD&qH2N7!#@u{^}IfQ z%Dtvy(i@wGuk~$v4!wSUQqM15rFuuz&Nq+q)Y&WQy7wIWxBIDpy8Hy?m>Q41!ry|H z2Or-*DgEZ(<~fgETrO(+eEy{6I`_(M{`(WS-_*qGef*_f)OtDoly%J9SbdwUJAd2m zJoxEU)cx1{MD?5)zjp<KCv5ZTqwOAi{Pn5f?(CDweR<0M7W*gLJpT3Wlc4-NZR`D~ zf7wUGJ^ZSiD!zQ@n}@GfZtCBiev)zCeEszs{|8wfIl1}?hj{*k<U9L({XYDVt8Bi@ ze@e6OTT$ER{S%90{x|PA+Fn1E`%R6T-p5~`i&`(QKVcnH>%Fe<`~ITl=Zo(o-M`3v z(bn&rYWWU}{dXRJTl9&sfBGc$H*t<~g(aqyhkkB-DtX;}O8*amljoQt|ASl-n<Bpa z`y|^KTZeCj-vcZUd|v$2^xJ%mc8luXxC-}*C;OedH=eFvKIK8j|8uF$(sllJN16AR z&wY6HW@)@7-_O10bkF^;J;?OFF8HTv*$>+(T<d?@w#oWAKfS(V*Umc+l<%MB*;Dtr z^6u5gyz8HTd-7fW+UuEJ^~YB(-E+Y9C;O@A+coNs+~z-F99JJu7SwzCR(av?z@v|~ zUw_ST-z(6*=N|6~`T0kGJ$$pYsHa>0q_j+IO#T+5H}?bXJ$m3-)Oq~=^m2>3&N~kt ze*Gl({+`}<i^}~r5&z;(3ZJu6l>hkA{^`|b6Z_wR-wOWuS{^va|3skw&)pvq>Cdm# z-Fxu(=u@8Q;gh!C*gY@i(Zgk*_`<hOm6o~hdM`O$`TLHV9X1K?Pkw5d{rsf6%s!_w zrnUQz?>V!k{_wB&o!0zm_0jwG{@>a4YWfY;^WVG+LXU<|ss7>dk1_pQUGJWvE59c* zyx*_5{^J*0%PnQ~O70f_mdZT-ckI@CPP=<S_Z~dpE9zQYKgD=XebBkWzmk>R{PU-C zzo`k;`}pg2QR`*-6V@?*ebyB|fAKY|`FZh4-EaB|?+c3zcOE{v^r_tT@Cp1CduG`r ze7E}4u~_<~@VR`&^E>*UD%;(0Et6YR`{+(|+{3T$PwDx|t5xr)>Us0{U1w3l^W~?r z-`I!q6@GHEJmji+d`IotIS)Rb{lvEW{iI--zZ>T~{B!=P%ys!`+kbp8+<D-f{Yllh z|FdHrmP{z$@oQ1c<9Dx%nxDTvrTeX3=Y8QP?wyB^-uzOv_4wP$C(LsC8vHl@`c@v9 zsPAvFf0NDQU$Z_5%CA$m=1={bueJWeuWOsSFI%6ITlY@w_m18DG7l`LUcYhviGX_l zbmbTu*S^BvK9&a`%b$>bv(Lq^@Qat_;h(Rc%3RN%y8Xw66Rc%XJCD5HKS6s=UC6zM zuO1im?yjFGZS#NkouvQ!pW6EE(<$HaW7(a@Wz+a8{;ae~_<sFU$Kv8wUthO;{(RDS zoxYO%$A^<PeKxrE|I;U~_3O2ETkM_o=AqV<+B<h+_&(G`SN8t3KCS5YPSbtIj{P!^ zzbO_q9d|z|{l?DGukeeB<>5-j=R4{e_Z)4Xev-8;PI-F8U$vcwURpnqU3X9Y`i|eh zI}d)2Kc)Naf7rdpOQu`L)Ofus{2lqT;rRcF>~HFP<qE&ZRv!Av|5Wn2{q+7H1!8;u z70aFbH9tD;p{e|t-4=UyJd#_dr~G@z?nN>We!lu7_51o%=Q(#G`U(oYEswo@`;?`> zbI-wdZhnPd94rt2Jp5GVdjHhzKR&4JJaEr%{d%t$-lA6Pe|KAD=ly*DEql+y{jYNi zPS%Uxdi2-Q<b1Ww-0*iB$^~~G|ET(uIq&D*UyS{K;=Xm<mDa33bW!(-r1>AYoA0vr z)IBM6=w$zG^XFC9?wWsZ?VR4qFxT$ed+hJkr!4QU74>a?eM*$?=f5>awfEUuKX~}; z)cnF??VBfG%>Nyc*l#1=e&ew8o5#9*ANbaPlxwdzaMsjB-hIzu*3ZW4MDO48du;n) zW<{m$(dPaazgv0V_LpCJefRAlRX_Wse{br5#+DBpU3&Fi%iq(d-S4n|dNpy@`7g7I z8rIfztgY)=`!6lf{;=TRCt}B=V;(Y<?>M4&{pYP&>qYw0p5>Rz9xb=nxvu8G>enY% z@4r@4ZgJ@LYwdkUUrV3Ro%f;TbwJ_&#cS^KKIJXTR|(&7+*U7d&5qCJtK{#w@O|tl zzH=w!BinNG$?k9N#(cBdT7H02Px+{~%w4B<9|YwKtG$n2uV4LbQ}jv6Z~3~{D-JNP z%UitTx8c?PeC^{q(qyk+w>~e~D0Y43n|ppgMW6ENt+=oMiog4p?a%5{-_u^5@BVde z@s|H<|0{+4SFW_2xAOhO`**z_^NM|SzGD;i@x!;QeAmi`=KYudsC0k3<XZi6(cYQA z1fMGVWv-9evORjsb)8$;?-qQ_)x7^u_HF4D_43PqWyHR^-?4N2_@ysv{nn}<4%P13 z%lBSgZ2I3UUT)jBJ=QDtc2;Tc`Bi=P{;V45_$?Xwkz2|a?DMZ|SH5*x<G!uS_sv_s z<*og^@sDh8y7N!5{FU*#uda9h(%tsiZrA2p+8XhXr+xe7T77uYUge+Fr_^l%_wVbz z_1%8m&s!=z^1I8YYTtP*Cte<W=O_DB{`Y$A6~`>|-Yu+XzFd7u`P)0y>i;Xg@mU;v zx>r=caLYvNnj`La?zw+7-On-kZuq$k_4YfDG0PQ{)*rp=pJB84?ECO7|IQ_EvA=FV zA=c)v$2}W|ukNpoS}e2uUM^ZbuOjZRe2l<*@sGCmg!ScCWZhO@UDucXD6vY4zu<%a z9sYUqSN&4<>on&Ns_lONp|9ds!qLskSJyT1AKBYJ=U8~f*Yj)i<>oK^CEM3Aoj<g; zJOAUfiZ2F7&CiGZHO=dk7yroVf8=)i>VL0}u8L3oA8)enjo|W+y!QmY8z1eSzG`2$ z_M^i}_a4uy_~>!9{9kG5vHzU%s$l=ht*vOjeEfv+IXUg>`61OmA3tHqm)D=Z<HS?G z>HF2?3%{~d{?**Ny?W7{hrc|FdS|PjX3m?hw443vef!Y9!tGPJ@7Vj^dwlrvQ%U~) z`t1LgeLI$ZLUZ0c&G#Sv==^NxKR+#eepu~u%c7R>^HaCqsGWBw={^4w&fn*!|E&+N zJ}7@mdQV+r%)<>+s%7>Dl>Kx5`dIv=qF<cO^NP<xI}g6}ekz!*KY8!@6?^~mKViw& z-g)Hc>8E_`bK=h)KVR#nVDf)@-M+VR3v2p63CXXN-y8JtYx>oCr+W(nt5<Gy|82?l z-}B?u)gkta{&-YNzMVQ{x_rpLyukej|Ei0=&&c<#?9RS*I&SShwm19T%KisGp1<M0 zhwXjlzRv6GL-!rkjuE*3M&!P2)Ys%a>hJcwGkN!5W<~$q<17Eku{R$J-!t!{(D_0y zo0{fFsq20*-V>ZJ{!viA<NduAzgC~$I4^&a@}8>AdydNHef*}|<zEqd`d_`_{)*<; z+qL2zp1u7<Zg>9lx&Ood%C+yPXn$nMcVE+d+IhPhcA@t3+27a(&-?Ii=O@8@ugb3x zfA`q$3;Fip-PEFn!`DwL%H7jBUh$i+vU%_KQ<CSte-hg*Kh@jjzt6pgf7d@@dw*`- z^V0s;#iwoa>{-*t@~zq3!+`)kiLtipJaeeYd~k_wB>CxT1#e?~|SPR4<Tu{A=MS zHuL@|#&_)e?mhY|{8Xqsy0ZOu`YB#JoA7&&AF>wpm#?439<x7gUcqOvpB=Z$Ppm%v z`rr5W6K(I*I`9?zPcQ1=ueLn)?e9Of+uwgnZhQZI`;C3)_1FGe{k8HuUwHlm={fsD z?>&CI`-z<R`^oGw+ds8@uh*B?{V)7U>-yjH|BBTX|L4d&_`qG%v3LEcjZgoF+)MaA zy{PT>=C}_%?B&^C!;3m+e?DbBC)O#ipf0kqe|z|Z>X;Z;xq?dH%0nN0pD6p~DXy>c z|Gt0c+BXls^c6L1cHt|m^S3;9`}Zm77@L4|1wR8SyH~rPQuUjsWxiwY^tyX*H_pws z-S#>6K-oUu8|StwZ~L74mF4dGx%qP2KI<MR+t+&I+;)lEKert$+n0Ld+;-h<pVuBK z+xK?E+<e|`pL6@)*1X<0H=lXi=iEbO`(!uH&0nql>fhU%&l~3EGj98=d!%gN(HrNs z^KSdBd$??0?#8+Ks@p#2w!f{(-T<1;e;(ccwnlox+<f6}pWk-8t%<hv{GR`OcKh2J z?hSME6}NrXIaW3=cf;It$$6INx7|3mS?G4<w!>xfOmCdqY`g9An&V~jc&DAOG)uHT z-*@BO=BtxS|Ci0Hym4+b!}B@mFzsvXo=3L5wYi;SecmhQ`AxptmDdui&wsmdZnNC& zO0xv(^J+QIZwlV7+*Z}~?*2Jr{@aye3D)PGa-K)_ytTQVV13>w=Xqr3TbtX7*5{>i zp5K(aU3o3p`aE0C^P4SiZLE{5&xiS6{d=qOS)%oMrkv-I9dB*AH_lC$&LPs;Td)4T zwfVfE)=o6x_a4n7-+l)4y#2g@ciZ`Vqn!EIb2irg3rhNJliL3FPsWD1^3^%d-TUAE zk=QU-UU=K*#~p9~MA-U%&wt+D{`L<?!NH$`N!IpBInR%?-TpZ-(b|67wDUg$ldSE_ zZk+2s_Nut<&BnR%w)1{kyD^{p_s%JO&%9Y5PTap~`N((uk5?~u{XcAfQm*f(wHD*M zAG=i)`Rv#J;obJ!{P4Fwc^hr_t8RN<-v0Jy?grca)j8+$```YQ-C(<4c-wQ(P+aWa zeebT%i|4=nSvsM*&N=6Ne$U&VcN41XjC0Pv7rXsAI;py@{KmZdY_~t}KK|{`w;S{B zGv5Aeo%p-vQAVL%e}t{`_x$<#6+h0L=iXqOuej~G?y+xsayQuKOKy9f+x@mud!uc> zb<X+d<exVA)j8**``=bdZ?Mf5-uC=$#!s94)#*k5_x%5`!8V`qTg5%6ob%B+U;j7# z?LWPLit(EomwS)ix<3`#UUM$sVb}GC)^k1=uR139`$pw-yZag%^MCG1e)74muW0sr z=50UaHlF?7^Y%OEob-=1AO4*?YPLT+=eOdaZSq2^&;PzCa=Y%t#@_V@%;ueXw5Gl{ zw?=o{*Y_Ld&R5>{Iq}%HJ(lOM{k{3#e8-Jr&y#QGXXpIZJoN3C{?W3!r#H?mX1e`3 zK2h4g_w9G}sGP^IH+-!>uq{44=lR;;7ysV;DcLx;-EQ0G%--A@!EN{7du-^{KWes* z>GsdKMC<k0IiNt;^JYWu^M<$Iecf^%&)nF1yteP%^}2aKZk+pVy6v;gp|U#l8^<Om zy|zDot-kT?o^>1MUN_zLzSug)KA!K!G2^7)KaG;5=YNj5JHPk%(QEtr*MgQ>E8n?c z*<d@bo8@~&bMo!>iUX48BHCr-KZ=>(IsWmk)q1B>>Thb}=0AU@vHiPzb<X>Cww(9* zeK+pqSDa7&`?E&x$hW$R=C^;pirxPGRY-hGbfnDtHFoPhc1XV|$lduX=6j6tI@#+} zvTqm6dn~1X=Df$wz5k2;IegE4&t9AJ{=HJp`|UwF@9%Tx%xAxH!!G{ChT6Eo4ZnJl z4+zVBJauX(TTJ>o!|Te|dsct0x$EB<zT@EK-zN69as?;<uspf_=g5s`>W9tjRk!`j z+;G;vKetXT=kw-Y&b`;49Y3sBX&3jHLA|24SmqIz`;McAb`Mz2-}o<lw%>baxAimi z<#+C_55Hk&pRuu4uHeA8e>KOxRrdd8dN=?4@#{D2`n@*P?wk6E_iai=ck!OX(X0Ep zZ5{~87q;52vtFlo{p|d#Ix~x7?$6Twcb+}pkXzSxt*`c9^s9flbxt{-Hy`}=^Oo4{ zKW{di^>5Dpm08&Sz2b;$*^X~9wd>f|30`l^K2`c8s$i|%lAkNr%B=tI{(SRir@QOV z_V?%3DdqfDKWb*ry6xx6jc5JabL-r4J{v#o{3!deYxQTPUi}S4GRflUHx8?QD{N-I z^SEyP&zT?O($Dz&>^tk<mRskQ^Z9bZ?D=xH|M=W^mi{&A?)<a<4Y_qrdzQtu2+KT{ zQQvV$`pzQ}`GU6eImy#ke-=D@{?;eEGj&OITBqJVukL>P{5Rw6^WP<JpD+Kex~u+o zO;zvP=haPbpI7(2eO}$T{aXLk<D&N-%gPmi=2YdbPs=`0dcHLIY5n4#Hy$zYpE>Wa z^Xz%S+kYzbul~LLr{%`8^yb{UZ8x5!x98S<yYX!Kc8kAqA3E6IL|BH;e|^OCkyWwp zPPv_8F>|NCtjn5H@ALWcLy_I_7k)mToc~!karS(X+kZrEJX?Ou%wBfePsxpE`#-z) z^uJ#nyGQB#Va9KTJ<M|+n(7sHfDSM@UUBH`aZ`KkQ{@(Ebw;P&e*PtN`#U@Dw)f0c zIrGo^-`Mv)GW3r9{eJcv`}(^+&b*)hu%#?$&SRc%i{rZY9x>~E=<$A2w06h3oqc<s zsUKvIF|gNtYG%)#^Vv9gw*2>}Hu*L3yN{XKb8dToy(s5%aN_LwQtw|ZKUjU|5##%h zg5@_J-zw(aDYqkU2Vczgn7#EE|GfFY^?v4j<)>!$_q0p?o7pRF`*}0rwtaNY=go)B z?5lG=8z;=3&)MITe2nk9a`^pI@hd;NR<s7cdBjw`<CxvMf=`-f&vz)>7~2c}G_%*- z_EU4iS^wVLI;)(|#!0i~pNH;}pDizc`_Gy}hrI&FEe=Wc6?O{WdBFRwuuFZ;L(%J> zF3+0JkbgG*%Fmdfy!ZR1PH(=y|G>9@*@wRU%l?}6ZvOrGoE!H3@7lQc|EUdo|KEBv zr;I!1;iXS&<7*CB+dP)q9V5Lid!6p}mhA76XZ`aE=NQ?upUSOE%X#mA_xg)}xpmiW zJX1gT?VrhUGkei(KQlq8_4}9Gj~V4Z2=d=}Y*oa&(=Wz6CVgG>I`?(fpBMbR`Iz(l z4Eg_`yW~GKgfIRU{?)$A-Qr)<o}=f)pNhTz*z!5B@V|GK{*Os}4l1wv@a}ZicZ;80 zZ)zgHhR08JzVlEffB&+I=Iim(-E9)zYiFIWU3;zmtJhz<DgAGMPx&VO#lNyw_*MIq z{*`s|2FJeLKb=$XbNiotqT9}2-t767eM)|T=Wo`h*Xml={*{XU{m=YroZrrF>sz<= zZ@m_O%iH};E$6Xp#`=YOj=M|0-+tx)uhp~e|G2%W)?VsS&yLS?FaPk_qqa{y@BVVL z3Wa&~;a_#<C4J9+W&dN|qi=s#w<kYhk9lzSyZ>kJJ?it$blv{GU2tCK`hVX|>Qv-v zVjsWkxcz;vu3u+<{eoX&=RfrQ_|@KZ`}<)#JAwIP9~sy0Tlnv_{K|c`^J5-%{CIYJ z`A3QT&fWHbclOnn6?oV5tAqBy*dPCQ<d=--{Re{K72l1IR?qnpQ?_sAuhst-*Mfqk zeqlxP_5D*oK@)xE{CW}p56`X_b;dr|Nf#;Eaojy-j_b#-+poq|gjpUoURPLbc9eb2 zyx6k+i+|m-d?F!V(0IC7Yv1vUJD*6(7k-G{dVQUUe_>f(Wqa*EzE6zP#RF=-+Fo5B zV|i#d_o?WZc#pio;>5~BZ(URV|IW&<53Fq7-F;H@oxa}p9}iBRTl4F1{wYN{eZ}V$ zyO!K}Fmu1L<s;?#^h>|vw?2;v`^g!1^M377z54H456jhG|K3siFYog&_ALD!O}9=L zl(^n}`unx%I&deB|Hy6amHTS%$0mPof2F_sQ)W$b@}s&1J8JFUJ!<-~q2qgn|DIi4 zuWo;T$vUs|c(`qEyTy-*$IKs#n1=`d<6Hhm_A~RhAJ$)2%dh^&=)U90`<UFqqxW}a zeau_?O+6;w`&<2@Z&LsG?y_zFBfE?1{ZH#=+jl>#C!O;T-T(akv9=!t%v<L_R-7Vj zb1y*dV~O%jYa@H}X~H#6-s?@h@$mhs(i^*XeoC*CTmNBVMf-L8<UJEV8Q1J+jd^@m z_vyDa&+Q)1(f;Ug=Wgteo=*>Ty4HXE_FLR)vCSd(W5UV(*+tr0<sWhEw!i)(Y<pNu z!Igg#D|~Dg&vV{$<aPM`?>+y@ukl}>m3?mO;=f$mcP#kZSM>b4)cKBZ{ea)bc{}8G zev8?@{o)VxIS*~${@xy1bL`%0llceNUy1Md+rDzYgmsK?cQJ4N@^4Bq4<7u#a<6A{ ze389{>b&UP`vdkIy8QP_eXn_p^t$NcHUG*h(wF^x^R4Ule*aAe&raR4@1(5A_WJcI zhi<RdlDjwY+t2UbOfvDoh5!A!ZtqWjl=S{q*ZMm5!r$M0`;Mspt2^Lx=ilDRg75QJ z{5m{0^!~rUljWwHhwj<k{pj2Kg<C3$xsT3vDg6E2z06`q@1yExXY1<M?^*pRdUm?a zow%QWmF#!T{$zdXy2ZZcPwlS%CjMyr#QFZ@e6gQz?_T}qQQ6yUz3T5{CHvj&pVCj6 zSGfOs_4U^Kvi<*Tr@jw(_vq@}EBm&eknh+%<$Hy9-G&qE-!1)Cdy3m;qI}Ri`+&bk zzlZ&s_36SM#eFBr%}(`e%s;vQ<b9n_-=C;YF~705|JHf2pFeA_)Ol5QaevxAMP7dO zuY<Ky=Lf$_{O{N`z5CC^3df&};ZNR+{oMU~<=?JPLe~6Yzt1Y!@7(_>`;_vW$@}A< zte0Ex=h~^0@+aS~o%8$ciT4`$g`Wg^(<7eb*N4BA*EnC`{%^~Pc>92#%1`a$>_gtl zYtEmq?|&ry6#E@J?~hldxBS=L?{{^db7kw_bnW}mPx9rK)J*>*5&nd|yXO1BQ{Oeq zHw3TvJK@u_)FS^H-=A{n`T;g^PsI5He+xdflsj=>=hNYKE&GKw2Mp^aRHL7qKN5V( zeUEy*cjd3B-u0C;Zk^Y>pSVA0Pt23${NZ(ul}*~8%DZdc3!Yl95uf<{>6iT{>;=|q zzAy0mH?L@YTFuN``I`41HQK9O*8jBFU2Fg1n%>9PSME)mSg%sIpyp8Zr{%77zcsen z2gN;p@HOkd$IidspWR$M)joLN!K=|z_g5|cw*Tqx{=j|CJG1L%f7<K%&*9F}J;yD7 z9#q<2wdU4$P5loZbs$Y|KR%waf0@mZkJYC0Dki?SiTf$|)c9xhC-d$<wm&(a^77qV z{%x~s-MrO*r+gB5f8u_S+~29M*!M60GpC5(x_(*3&J*&T;#1_;*!|q?`tKcQ*8W9% zjwt^;t7Kof=u@`#@ssnjZK_Z8%TEsX530+4`sBU+nu`3VPs*Q^PuXtqqXU%8zMaha z?@-xR{=~og&)487_CfC+UyWb=SNZAP@F$%=e10}8Kd-f~YVx=JPp|uj{^olsdhgG2 zkcZM%gQDj<IC?Tc(Nkct;%~vk`@-?T`;PPe{HV0QV%M#Hjq@A7TW;MS`J{dS`adn7 z7^Xi-@BTBh!s};8|C4-8{e6r7%}QVKcg`o-zI`Ci_|ISYr|A>Rc2G*Yw|Po@;J!ou zuD`6GSg#Nld@r*0)AuRu_GvZe!+z^Njjs8#?$KW*d#x$=1NR-f`F)DL&Zqt({8RiZ z6zZd%Y(F3PTluMJ-~RRA`lp=VKV|cCt@>p(&9~=k?5i?fUw`8J{N*+6MdH8hLt(jQ zhmw87lkoZlpj`7t=4zeC&u@Eb>Oa>!U9ZVs_&59M@$Q=E{8Qxv?Iyps%F5q-;{N3M z0rws>{mGd)f4{@q<x`edsMYU2!SD6-`IKr4+wNn*dY{}Mu|8$5QK;X3;{M)%x8YN! zTUf2Wl`r=5WcQT#V7Z6W{@6Z!=o(u8HR<X0De@=n=lOrEn|OcX{lI&V-uyWwFZT2H z^c8=ZpGwM|m@oG8^>nTJC3lX0WWDll;-{aNKDpE^t2yxYs7U<Y*1!IBe~q@j4~R?r z|LD|rv7fW;gZ6n>_Go`<cHI}Pd4KtxgMG`tIiH%XebV-$>C@^tv0)!8CdTiHdFwvq zd4)p#<`ew!3qf&nd>^P7F#b5@li>T4_k;5O*QI{;+B!dY-{IK~Z>M+v`4W0cU&Ft^ z|6kt3_j{v$iaynriF18x-u;L9<E&47=1<;>|9qN#rOx}OSao>l@4rg+Rck+4Yadtr z**x|0`)avPd5!rWCjQBsc>moMP;s_-b*7E`skis<pNU)kXXYo~dF$5y2|fE-{@-4| z|6fm)Ym|R{#s=~ZbK&$)a-ekf=M`_({pEWOB(C^&*tPDD8#rd1>-L-|zqjO1$ETS! z@7uEKVG%P495Iogi2331Z(7ms?`F;0HSCw)IehzehRsu@dX>0<x&y+XY_l%xx9C&V zdw0S>etEL?)OyYS0{?$?6Zcom{uF(x|4IAy;NO3h_ABpSyyqzI&%;Xfzst7PFR(cr zx8~b&*MGlcPswY3FZBF3vFP{T9&nBc{~qvr_4cg)(RF|QK=JZW_vbyO`p<e#cTYK9 z0ZL}O--qq<-`Q;b{Yu3l*E(=<_WjB6?mvsbg&E)brQgiE|9r4L<*&hC;9R%u#Qe_X zVSRh6SO3+0`n3JYv%OjN%WK*n^J~QEeY*TzbN>RHgVmq@+aK*rzLDhw+kYN&r}Ro2 z$IfrLIVC)4Ix^hS#}6IbS1Nr23|^RR*m(1r+wlX(++=0X96Tnm_o}3;tf=(yUAb?{ z_ZI)Vk$v(z|LYy+KJR)^`E292-PgkRKD=}6|NsA8{PW&!S^jt3<+<N<X3oA*yZ%$! z<@=MbpQ(<y_<qLo_zQI(^X}%)Ot1K^`SkFz{nh=yY>WA~e>v`3uXx{h{>IOlcjue` z{kC_Pz2W*o&-%o7^|8M_SO06QY?-dFWqyCf{pYvJ?=9bV@Xn!=)30nd|2y$clHG%e z`*y#a{{DJxdu3m<{_B0`|9p_O{L%31UKRWH`wI8J^gh0Fe{J!vbDpo<U(fk1`*%WR zv-hu)%jVYwd{2I6Xy$kAZ_eJ&$v6K__@!Aat-f4C-|TOvP5Qp$%73#iukXA5cT#0z z^ZS=|n_sri{bl-D_T7u}Gkfo6-~Y4Wm+t4*x-Y>`4==la`Tfl86$|Y1e%*90sXuRX z@Zb58`g>pY_OG%xEH7}bzxgHo6Z2=m+xE|&S5N-;@A~}h|7N_CKI0v8VY~U?7mr^> zpJCo}q1?RoweTzJGrV)o{F0krS^QYp@AvnujdzmoJ^X7rm3?+yUDLbwGnTKe{kquq z{`;%^Gk5P;IzQ(Z`==Q{TjplJtlRd|eEyZcQz~1%e;r*WU+?vIPGv`L_UpQ>FK^Ee z+n<v6XiDAYm+wzzKeL_pqWsL|>91;!rkCtbotOBY^;ElW{rAYP)z9SCz3iX;&uL$} zUGnSJUH19E-aap>Pl|gy=ieclpIg37KEJv?K`!xo@~N}tuiw|dwQl~!R?Hp#<*jeM z%Jv!jH~#E+w|jQoPu9ETGoD*4es5g9Z_VEa-LI<8)Y{ax)m%2;x8z^n&&IkRkzW`4 z?q4i#lwaU)fAh;_{nvG8Y>wS~USc2pzQ(=GKg2#Y@A2QxQ{BGxO6O<3|M*V(Rru_> zucCMLXNvDwdOzpa!|<!?XTIO?IrQ#(v)bbIb*F0<#$S>*`#Z1h{GOx7;=UjEwf{Zq z-TRs97EA5(f8D!&b^Z+N)i31>e$?*GKlD2|<+1Ls>iA;r{x9F}U---Xy*j7P|MyeR zQ=jLbvNWqx+I=UwSbnyB@Vt3zYG+sW)PCEWua#fFbYJt{_*072*>cJMub*0*pILwW z&H>Kf)i!?}|H>WTKi&A-wLJ$;%1_<C_?`Nh?3j)7>!NplE&rMv^DlJW&FIf!;a?8F z&)A=`?=bW4>Sw===l<RDzov&T-SX{CL;ejP^Y`Zen)~TX&#U(zUEck7+}Z!w{uJML z=N#!<+xM-0FI_pm(fJc|-2VJStd+%G->&aDWLx>i_UXOIFZ%@aU)SE7JwI~i$FP6D zxody_zBT{F%p2Bof0_L)y?^)3`<JuNRL|KUZ(x3YY4zdxUw(g@QGUbx=fC|L{pU2_ z7gU7p{L{G4JjMPvYo(QD{C)Aq^X~k8SpUo{?u7cBh^qT?)#;Nbf8G7F(rC}O)$%Xv zj_f(W`TJ?w{(EoQ?XT6Ht7*^v_PaFib@eXS{p;H*n=dO*egAJ+jn(`&4?k$^{AK<7 z%ij7^Pk&Ce-mxJ6ugiV&4|dGopRn(F{9A3$1ateV|7L~Xu-<-8{J&3SEu-ZT&YwrB z`R~|2*qZ-8=6CfowRJbm?=Rc`zx4jG*Z=1IJ5<;2{;l@c&7(j5{`KCk-(J}`d-2rh zw#r-k@B3KT&b6`lvuDTt1u^IT)a{u5xYg!w>!+`O4ZrCvsr`QC|C!u56Z|Xo?D$n3 zGueI5byfclx7OFK{C)7(=SLI&)@+W?`*t`!(>`_I;cKm5ZFN6?lc==WTNf|-nbCiG zfZY7R%8E$KBY#z&KJ+=o`>wP?aeLj`_b+$kC%g~3W&hx7wc4Bs=KC-Hn-#u8t-pTt zon1Ef+uc9ezVFpvUUMk>zFo%t^t`0kmtN`5uHAg`{`Xz`m)l={U3g%hcm2Lq?<&(T zzCWGxF5x%VDeK_MLeZTExhw0#p4xqndJ`M?_f7e)N~d23->3F}|7o%C{XfT_ziQ3a zom}p_@3?a1SNFH<;n#n2=fryQRpghNS{}-_|1<ep?K5kc4fFH9eLlPIXZqXYXT-l1 ze`EjjDXOZzT{nK+jp)y8x37oY`{VkZ`<W=;4S%txfBWY1zt2<n{$}k@Id=09xj&)5 zb&KWG-^{KLuG=y57k6b<aAn&2|3!0HpS<Zm<9=sD{{Gnd^Kai?E$@F2fBsCa&E25N z>awQ~-#(H0zJ1c!Vy*3kwR-Og_aEV|{9OLGhVT9A4dvN)wf`M_-~N|J{mJh7f9dZY z&Z;?hO|~@unDWl^)sL+;_&?mr|G)IN-e;-k?Sc09-YlQ}_sRWR{4?Dx67Jgs?VtZB zZ`bySPetmMwqD=fpZmR`JpHDrU;VB6f2nu27dqw5^ZR+`pHtlA@3Z$DRjw?nddvR( z%5R~ZyRLeb`KA0jk7eJtZvIyMjF0ced$Zq%)9RPqH;}(x{igr<wfD>S&%bA%@c!PW z!nnHq-zVMueDdYrRB81uvyER>e}7&6aMm7+$wki@Z=L_(vCsN*{WH03Nnge1*ayrj zbg=t;&-T0co^Q7|zxa1z&oRs2*Q~#+e_ne3;On}itosRa5BvUp`t$3r$@y#FpWdjm zx}UGzKkxAO#}n?@u70=s&h|pbym`Jmf5yC<`2ERWwfv7x^JEwPU2>tWX>a-o>wC8g z?T=*Nx8D8D_8Fhw4S%!WH`D5u$s5WSo~^6dQfL2r`paskJ=L8yF#(nTigq5BtSsiM zjX(F7Yx|R<mOuZ6XV@P${`oHE|IF$enpfisetK0N6RbR1t^Uru;_dcn@5Ik!$85Tv z|LyzizfU~gZa<@Jv%%ibeox%}=Q2zGow#!-cj=!EFZ-Vue`d5^A9TM${r~Tl-%odz z)-Rm@c+$SDFWH|5-cNa#{P=2({^vKgd*1JPb^EpKudmWms%O`^-%q`l@VfWad$Ye^ zYIeOh?l1JSzw_np=hgBC+dnqe?Rn|{MDVk1-~A=;J<b1~y7srFvhn+u&%XA18h%NB zmc6$(^80Du`!-R3n|?a&Um9;LZ@232yt<=%V!!tX-^=@TleKhz;=Bi~b^BjdiwEsb ze)r%>^RE5(zodU^{Mq6D>uhW3`9EvEX~)z=&AS=>nRWV??(U5Glz9*5{e7_L)L;GA z^ZIw|pSrgD%ldxP@&f<+rCahruKFvfe*eN=!SnHtYu~J`Y~cUW-u-gl={<+fUEdlX z6I1zB*7EST?N4XyHTB>4IriyE)h+Ty{b8Hm#|8cT;QTdL#;54uy;<QFe<l`v4!U)I zhW?x9Z}^{_UQ@UITfN@)*K(EppW`+1_16A2{mixfjr#P!cXC(y3qDI%rsSVZzjKK{ zrmpUp-Mky-`m1ezb-&Yn@_2sA<Ga6pM;3GYe@Xwwe@A}f*YvmM$6wTa)ZcmNnSJW? z>g;#PukWAgKAm|#ZQeuK-v^⪌;Pp80?8&Ex+hpHA3o+W+z0nNxSQGwP4uIi~sB zc;5MS|2ifsKk3<Ps$WpW_w=x6seR(ThqL}Z^7>Qr{Gr^szDcjY?miJ6^Z3Z+x|24C zs=wXU*5=n+QEeutci{WR?a!3&T{d68=x^W8L;DI%em}W+^eLZyUrj>(+1~Fj-k;pj z^6A;CUG^7OZzwl>dh+TN^XXHS-|X6ScCTsq#`2y|4_@6epZVJ&?f#y&PZxvh75mqz zyuT6sS>SkhnBC_0Yi(mT-H)04{r9Wz9Xs0QY)GG!zT-&i`oA5OZPnkJvo*ixFQ~SA zw@!Wfo3)j_)xX|t-8$cR`^Uz=lIrGH_X?gjpJx5-TFn9WUx!Oe_9xy;e6Ds&f8FXm zySC0r{2lh`$h}$e8;Yf$p8UFHf4bbm3ANvUoocsUmH+qw-=?2&e{KK8_Z_yLc;DeS z_jgb^*>1Xj_b=IV@6@XAM4u?P*x&vsZTW9LaIBe!yu0WBm&5$~!8dCwo1%Xm?A;=7 z@crYFeLv$*EpC5t&&f`$=-j<o(<>I)Z=P=?zwv9rTXXYke;)-FwVs_aXRqn|4PP^! z9`)T4Z+QMg-`@wzPVM%omH+KO0aWa^l>3LgyXW)Uwpe=koAVWQvwkW2&C}9<vv%jr zSMN2?PUUZWcm8$xgIRwcJv;UG`KtL3U)|hvc(3XA0$=-`t>1K?3EtcM{$5b!horlk zKYnnsOvpd0yk~j-J+I$(pId7myWWyNu{r#NY+Rh$@;7TM`+CE*^7GdIHvG(0tsg$` zj$7qtDa%9eHWnSdH_QA+_1aGlw%yX70g5i3sr~su^@{!XG(e7&JDwkAxB31$P;}k# z`+l~3Rs6$8Z{KX*{)}7irTF&ezh|WHNVnh7T=f6%uIG=}O<nu_<@>_3vUe_*zh6<? zyt9}8&3TKT8{YL+*v$i_C`P*r^6!@X*89w7J@;F;wf6cd%fsI|i_YAewfx4<C7+(? zflB|?H_Mfu9)5mxd*KJmoeBA8wPpBDo!y;fpS*9w{LS_g^y35fNB>qo^>&y0y~lr6 zpPIe<W!>35hjf3RygPOG{Sf;@XFWfixHs$kj-~Q<n&;2o*{}ZXY_(SZzU9^2KYzsD ztl0mr?%JJ0!hdJ}W&ZA-Gk4M7XK}mY|G2E%@H6@5(|fai-}tri)1!O0w$DtjI9?~9 z{l0GHzW%?#(EJs?=fB;p`-a;KPyOq8et)(7qbKD>$L`G%xA@!q>EXUz^9|k?oUJRg zuiLl3kUzXTX8nEFdyk~lzh8&uFLn)nzw&dJ|9zj>+g|^<_RZR#9pAs4WzX85yl+Fk z#4hs*>C5gse0t_n<6cv9y9N8zAFnwzoBicKm-UD4MNU!g{PZlQsO4_)DYNg_?i`)B z)TUy?H{WM=eK*bJ7uDFz|HWNd5?6Wr-mG>DyZxVL*5<!`IO*@Rg?s)hfil?yXeK*) zc#FK@`GWIx9}1rSTUv7d_+8Z}llGdfFZ91(+w!gWnVH=N`^Qf{?J|G#Q(|Xw{#o{# z1@<;U-=&|)mgz13s}vd+8+vX-t!QOZ{#pJTJ7;}*5_s#raeu*yeZMoF9^QI&dtrg@ zO&h=Z{{Pb-f3SMefAQa;zpa1g{7pQYzoM>v^V~Ou`HJ@+{&71s`}nJUhr!YIc*<_` zaQj1N&l*%`&wDVf?nA{}_A|9M8}scPD@9)2bF#bVcJ5~MXCY9z82n6mTi)uwYM1{$ z(kp5`Ydv}M_Ghx&l%5_H-U`iQo~L$KuY8~Uf8M8gdrjwmcz5sA-T&9>&O=H>`33(v zCjUOoU7ej*7f|p2l6fk>|FyrBfB9lI$J@B>{9EwVIL0=lY{zy`r%2uZ>b|4kVsW`9 zzuf9-GdVe3_BU%QTfToe8w1H@hM-*bf133kn?S#t(Vu0c{lo6r#C}hHCi-pO5}V4l zPtPQaTJA1CEn1y@FX8>nQ_bmF`xD+ho>BWb^3?I?EB8-uZ@sg5`?G2p`}m({>UMuC zI9c~O=dSsG_rF}?`ib-Rn#LD+?yumxf86G<?eBxdQ-4o=_j5<}(wth4`u^;959aNQ z&bXhD_n7&&v0vQ!YUO$Hr+?pw{>&i%g8%&G+Ub?;bFXLauh{qL)!L%Ax7$w`R%g#k zdY*e~vUrAlirm_?`?U){J-qwswnfGCm<`*XiSpfFe5YpOH{)mAzb$_=|16sG>+Y@p z4R_X6Ke0V$zhY12#&_4xXy4g1e~-(~--ch6@6@=+-HiS$x&2G?BxpYSA1GHF^<C`K z$H|)t_n_pnTCd+{y-WB1SQL}^nS0aey{6wcepP*X^z@c|V{p;<^wjS4E8i#A>$ksI zTiH_{|NQUz3;+6d_6C1Tx9NY?Z}D^5oQ>O`vHI;_TT`+0o%$K;n9cEj^zH8-v5l#X zUw8ezQhs6YWUt?<pE=&&ERSDm^JC|`n-xDa?;pQ6tG~kke$Ccz*3Y<qA3J|T{d}Er zdBxAE;Cjrj-v3zsRP*Dnz*%hlRQ2_N_x^bHZTRhe^Vz*wyKns7{OQ3~XgyXl_tQuD zSNcyb-hV1voh|q1$NW?2-}Y{8_x?8f`saVf^ImWH|2OpEqc25==6TM0C?vl1oANWs zcQ^U(Ex2Rv^u6ZJ+)%xn(VwNhf4OU(WuFd-w7yGw1<y~rvvK<~X1fdW_m=$T{mf_W zp0&SX^QTu#MQyp!^KL|cW-jXso43dHcl9$tzMJLuSJf0;*<M%>c=OMOe{%ozk3T$m z;{LL~t-nE$c6e62+h4x)>C<fA={~WZv-{L+x9_sgMDuR?-&=QQ@1$RXdFvW(Mt^46 z{-wD*!#?5N<IdX8b5AYK2GwM7z9_kD-MYWeVt2*I_^;bg8C&%2+MdJLzD^Z?f35DQ z&0*_r&EGZN=dIb-|M&kSP&Ls~ZXWn<UpTBT+qd)=XpI%9F8e9?*LLalAiFKQ?APCK z%>LH>U32^U1$Aw!Z=dA*e(la-)4xyt-r7FHz2f}7-;7TW-Yq$Q%vlAN%N}oeZybNa z{N1X*jW)ac?>u~ZujtghS<i3mSpVr+^DcSg^&7;s{q2_Q@qEIun}3q_w`+S2-fN!9 zemonJ$u<Yu*#-S=wW(@>WU}R_(!bpXM;QO>eLq>gYRB00)qcBHb5Ql~Bjp<T&phAX zu*-+Ovk(1VbH_Gvo87AY$HDEzzcaq^Ld(UQpmK5M>)$2yXPMv0yx^C=4$fxjnfrgV ze0tSd)b>^!n$28KO%~n)X)%5~)joY?{^JKrAuYz5okgD~BU+5BufD(bZ}pS1yUOni z>(+dF7Q8Foczwa?x=)!;-$`HXF9<%ZT%B$Acv{^DNobSNezi^2Zcvl)cFN=AU(a_x z6Px$q{2Tcl_DQQR)_u0Ts~@%V(6ef2i?Q}p_xWsSi*dmo>$qCb1}Num*KCgM?)zTv z_}luKncvO%AOCQEb)QocbM8j;XQt)q8uI&A{O0`3cm0ic{G;c;Wxf}DmE0M(zkAP7 zQ@?#{{!XfF`Tl0Nx)#6R6<cZk_(z~ZNAUg2+3^?aj@KmK|6%m|$xY9q_UY?SvwypG z=f{qJ^Li#vHIKjgw==GFZ@z~Aw^Os<pAszFuYCJXbg_8#at;1{%W5a@>`(r7cD=TI z{gQu9a(@HvZQTA$v9EqjP1^nF>K!Tjf3m%|5B<v}z8O}LdEV*=w>~T)cmB7&`?>IY z^v;z0v)Okpm+xQscMhcO5m}Od<n#QeeS1yiKQ#XPpnEreM){4O-QT(cE5GJj9{#rf zN#|Zu`-I~g{C?jLKDm7>w7qD3s@-^X{^JKen|^Zs1-BPBPpA)vw-@c(f61OZrw3^- z+Asg~Oyq9)jPM<)_CMzpec$uSeTSuaTK-w#JD2$Pt^eBqZh^>a^y@9D=Kfi+WzOd9 z&s0GXw)~8HPHe#MvrB#-Rei!WTU<Ro|EzURY*6J#=eOZ!jQ1ql|Cspcoy6DLH}PTj zHgA6>d@t5-=kMgV-_PXE*<}Ct=c!ly79XFq?lm>{zi>aeO7!Vr|C0K+{mj?jh}(ze z#e4td3BNu?_TAc@?b*Lhp5Kyh*#BW(?f2)Wn&-Z|S+QfE%!cjH1n*roPk;OSjQF=+ z>5O`X^Lc9J6+1iUY})?J(v0cpS^X0Gw7A6g%T7%Wo@%~*qVk)2QQ)zJ>g}O&e}aCq z7Rw)hGkbrK-9690tv0(BLvq>uNO)D2eb@Z`%DUF>-J8$fo29>FN&KI-_sQUH+4fU^ ze}lSZzfXm&hvqU{cvU91s#<xT{25TH0##-EAyrv>#{M6BKfStG)b`f>B%~@cJT=)K zQkB`K+u4TJKJR~(envOO-m!A$%l;><pBbZP{`$Hs{$J<(_R7BEUvHPm|B3wDwzHrA zOZBsp-Cyh;>%S^L!~f-U%+I>Z?_cnrk<PJ+{hN8&U;M88%;OeI>u-FyyML9v@%E1{ z_kS$;_wU;K`6tAGRm<2p-%rl{b@hJ9{gk)|^Y(3i*<K%hKW*RPd&Z^rZ+|&kzv8`7 z{EO>f%Fmpgp1FVdd*l4V-$_p&E}Q>1_&f76&a${gb!YbcP`UqI|EuydzP$e6d3nE# zD-YEiImdqWy!qc>_jk=V)Gzehf9H$xW1i)IzprVkZ0O~GS-1CP^zz{SNqG;Z)a`!B z|AhB5pZ}NNzV`Ne-<6*UmXTZk{$~EKf09?^XYAgw+}`l~{}q3kf1ap0boTs7^S{q} zcG(-R-(b5szs9Tn|Lea$d|v5)agMpL-K_TanOC#V`0lw-ZuZx9=h;2SPwIcMy|*lW zj_dc>XOeO+-<$oNyK>+8JBJUh+$Ep)>+~$+`GxNGY5T1IPh9?Y?$-3He>*CBdA%!l zy;M&RwojCMIHhjiOKbNP^9{FuaM^$7i~FahpACnVzuKA>KaVP^EW5jY#`B6@M)voY z$<J{HMaJRPEAHq2I%awG{S5gR-@kmHUFTk(a4+Hgs$KSm<?`CgpI`brv9jlRyQY24 zuXRiRow;-D;^C|2c5C<l|N75m--Y{+8~1H}8SegS-^o1({wbH-Pn6pez}FuHijbF= zr&Q10SDE)U_8Irz&VPBA-@p8QCR*m%p8Ct@&n^DV_?hMVm&d;Mt=In&EM~9vzy7!6 z^8Ay+&y?4_d_KFb*6!~48Pji6wY{4@yRM|}Yj<g1@h{WQAFtlpp7T}v8Jk($nmu>F zuzzZ+Y!CkRciH@Shws+UH0Ql|Z(jR9<170!J-+xw?`N7pBEk0W;br$@0=}m|6aD6O z*W7OL{{LUU3&(r>ZLRCb{&j6xetbmj<ei;s|6i<aul%Z3>7Qr(zVM6G(|gO}V_d)y z@#4MN-@C3?zt5~*9l!eb!)5y?u%Ai4bD@7``}<3EuV2(1*>mh#^pxeZ>xvA&3O^G( z_wxDdeZ_@ejh~6xUC=lGJ8@5f-Gd)%f6bfq^M~NhJuUCT&lKnEX`i2enO|>Z-QhdO zHUHLKHrHEPcl?h-{i6N%zgYib{>;|?{_1z1*>zQ!pbW8NIVeM%USV&%edG04`#whg z`}gy&&lB#yw(DL-&#rq4j)7~xOYSGmt8wJhU%l^aP5bG7&F^NlpCv#sQ2Y2XXnbhi zzVmyIYW^*_d|q$)?}Jvyh5uH}{rvad)2sb6tS$bu>{Gw{CH+&&&yMO}KbOVFJAOBQ zruxnA!r!9H{p(iL9ke-M`ghjPPr7fT!-MuG<t04#K6Tr-ULk)*`Hm&`^MCDJ{#*95 zh;;n5zkip#kB|J@xU)O>*X3pP3i31kD;Cw?_%eI-i+^YK9D3OKOYU*;uix?Y_8&v9 z#=mIau{=Nb*SD)z%xBKN@w@At^_ktB^XK15yZ89b?NfVw_wU&CZuc2uo6Glef9=j* z@!t4*!57)P{N{gKZ4&GrH`bl8`P=&KcJ?b!EZpk{#loZ2^9}k7eC_XlnVY?GzTx%_ zUz6{`qG3+m**kx2e_y}$x2v-6dGODlW`7@8y)r*jEAux96btKCgJWR<EEaz6zx22B z=NEI!z4PAnpGl7S@AxzEa{2o8puBKR{;Ij%dU#%#1Ii2L7iuS0Hu8UweReXk-|B6> zeVg^y+-KG?|D5kX&i(a__iFh}{V%=stM=diQvJ*Bv)J-4hkfmLPkhJzTE_p&<I;2A ztN$;pJ96jX!~I`uUoNwstbWG*&Sg-^bPQB7ZTy}O^2rOeSK?=wV=k|sssH@?`}@0A z{t_)_wszOZH~-tXC-L6HNp<^QJ~zMox399Rck}Cib(g<0gEEEROaIyb8f#MQ9(Mjq zyqur6?zi!0uJ-2_zDqFw|9Aeq`o7j*+<yhWe_88$f8WwyYQ@6U&#%<BR~Ba0)fLUI z?7z*e@!jn2qp)4?jm~c<-tud=Z+&_8*V<=tdN12&|8tTvn7^^m@ovBQ-*0BS<PFys zdfDIoGWqtZ`3C(TTtIOV@V94Y>tXJf)u(*xRrF`@?^qshynX$-e{W0nC(V02clDmT zUz%^PzHjio(0l*=FTK_)?i<=)DA!y4`?v4@#r6jI1)lY{zFf9`_3wnuv15k2KpDb% zrTzNiIhV}$Edb?d<B9rTzE>>D&;50EZYijK_)zpquKj0)t>vEXUuK_W*R5N4=k}Mg z9<|edI^Ey1?ALBzNDbj;f9K2Q-LL8n*c>$eJEO8Pc;EZHSMFzIW$rmt?s|Ft3Fl`) z(17XweY@YKzm}=CzN>%T^1kq0=f6#r4cWiGTJ2x^ZEf|ccti6IlJF?#0Y$<0OQ0x7 z|MlDV{{HR1n2W{T&98s=In(Q5H=+NG`knSCv+IOkgR;cEw^z*ft=RwnYh8`}SMgtp zpiI55HvX&cGqr7b>woioe$@DB9w<kATL;b&^FcY{%^lyrcbDzoz5kb8G5_>0hkff6 z`DdKJv19kU<Fo62Gu-W;aou9+{JdW$nXmfKaIaW2KmXUc<}2rCL|g1>-?toEJ5>KN zE&g5jMtxVj;rWkxf%o!$9c;duKjZg~rJ#zz`3k6Fu;vG4>H8PuXPEC;VxRl#rE_V0 zid^zzMQ|Ln!{Xq`{{NqU&ji)dHW#;>{eAUtSH6*c!H<C2{=<7Jm&w>U)z1FO_g;QI zC=T3Lf$Hh^^A^A=hC7$|&Hw6L{o7F4G}q_*W8eGLQ$Q8N^e>lv>(%^c^xvph^zQlW zy1yBqios%OeBQ5%$yfAeuvaXKH~t>K>U(5=D5!e=aT8V~Rrv3}|K;=XmvzT&j_!W` z0+b2l*Z(_k=cw-A%**zm@PA_y{+)kz-Dj4&^Jj+dSdw28S^xj_d-Zcy;$O7i(D?B; z_t!V!tL8IjTP(GI^iuSee8Hcho2mI{Yv=3<s{F2Ucl%899ZB{{ao1l}i(mWyzpwm^ z?4Ekj?|M%Es&*cHyE^rBVBVu=NuL_)TGKzh+5ffn<FC6nEW^GpQrC{(uYW*r=hrF! z&+x`f;=fU$e7~RlQ_uId{D8OzTj$${eiweGX%@G*Ztu-}^WXn2Z;3Z5-+2Dtyq`bH z?rzpwct3pmy@StYPYItMGS9R|f8I&{=|TGre@ji7{#&i=-kQIy?<Fhm_b7idy#9Ut zee(yC_SF2Ue`YCnqB&jT`~8*Qf8MC*u6eNcsCCL?mw#Vf?pG}Pre4+lRqi)r`m+4Z z>@(7HHpv@ow_EW2`MSDzxz8f+?=8J!<5v0Gu5#y^H+!P{^wrb9Oh0}4<L{~GEf&qU z^|p^c@mnZnlKc6veRc5->_x9F|Id`ZQPF?T{J|HCormoz4^>y!{mc2g^X2pNSN~?r zeLUrF(dPK|w%`6b{kAL?H-DpV@k?#Lyv@8L-#2Z4#ysyu|M|;*+kQ5zoxC;PHoo$s z?al+wG$A9)Uu&P<<leGBecl7v-~YI$mfHv3Kl-mf^|SV`>bPR*_jRl8)J^;*{%*EK zs{Ou2|LWe{Um^eKk@%+q)u+sr?bV+qR`b1=z4m=#x&F1^oA1AhYu+3D^o#2Mna3>@ z%e|jIcC3}Y{>1Km=}G22-_(EUeg0e3|F>fE{Jd|g|6ly={ET&5-OBf!ajWk9oGkO; z7eBa1xntq`{XO&N@9cK}CNA^O`Fq-)|FiFG+WyQ|?q$FJ^}n-zHk{p`y}zpU(;uE+ z)><11tH5&*Ir9Q5zj?joKO_8R^ZWnnKYh!2wflzk>YSR;^QPN3)VDk<Tl)9#uedoI z>>s`K_{uI54IZ^AESmD^UFfcS<NSi7b>Fg{USqxDUr<?RnUa4tIp$)2-_?J8l@09Q zil6=Velf2+_3vxl#CHjg57oR6ow8hfy0p#2=<wiokDtBTboejVZSjly{z}!xAN=hj zGfBVV@4m{nlee$G`ft|t9qRsZ%kS)-a!>qW>z-$Of2vO1Uh&p?{q?$&cMjciy((|^ z`*B+RlKY181&8WFU)PmI-qrq4B>D5ey;;)LXM2;soVmB)Z)aSSZTQarZclsFci-4M zDW~}T|DrdsGynMB0_CT)`Xi4`ugVvc@IppRzfCRAzgF8{+3fvo^Y#z_q*u&;@TjWd zuiht~=@wP1zbQXse0OvG41V+Lwy$UXsy;hYx?<<VPp87?u@p5P|38WUdeFOvkE}MG z{mT<=9(KQO_P+JcYSs8oi0`Sp-&6c)+eMI{l)-~AOYez4WUf43y*XM#{==>Edkg=z z?QFd}dxd@OH~!gwC;prFvmyE0>hf20Rnd2~KRmp)>8Gjf^*hJ7|GtU66~AMC!gsS< zpYN^RQ#bjarNs`5uz7_)(khSGR{oWHE1e%0_o&sTYW=5aHV5uyUonq*`ZN0}U%5r? z{7=kf_w{$L53WCCxXy0Do<k1{KgrFOpK|tk_WtA6b80;*+x9+wDt5l&Sn2XRD@*l% z8a$it+%kFg{I6U7e}64o{GYF=r~CG4OSydY>o<<to^yICESIM(9e>?ET-w@VXKxYz z?l(Uz4(#6A&m8kWz}(^xbKl1v;haw;c8l)!ck9M{{w-PPW%uBp-miZ}cXuzp^XP}% zC%NtA)A?^4IA;Fk_sJQvcN`LXx1rZPrY_|E{s%Rt_r+^^>i@m@xuf^1t@X2?&QB!X z%j-P9anRIH_^ItXc@_T~hmLvv`uT`mtGwVh!_C8b)pmRz_|z>9u<{i)E89GfIB#)K z&HwS^KcA1Y*V!BIpWkPrnqTnEqqhDR=iBrrhIuFN3QsBT54wNM_-CDI<;BnEUtfIn z-cHl~#-Ay3KJRO-`5y7L`cu=Mqmq^1GQY{MuWO1fdTx9w`jg=K9e>soJ?Ff|Z>KJP z<Hw#mkNNa1<flxpiSK%={&L=b)~BL7yPZF=9`_HcKgL@5*Y(|G_xMTdIkk~y^A`OT zyuK&@!0zQ*?`KXwKSkZf*4fPOnf>++&7YTRe=j&Zub+L-VPn1xCpX&!-hcf_CcP&9 zgXmMmo&D3Fzxb>9`9W(@=W6j2y7T7izrSu>ap>Z$$1^|Ld{|SVXJb9@SoqgkWxEfx z_lm#n>$~$~SzSMGUi_jviFtdR?mhhQw5aFx^plo$dqVU!p4|NC<)<~3Y&O#KIM0`? zf3IKAlfLi#-&vog-8tsD^!xjn<?E;N-#Bt@`<G((^?~mmK8vVqxBkXt^GHD7;*i<- z&i5Zb%3ZIC|5{pG?-Q5soVTj}oK5ZOn8*Kgi~4nK9{xCcir?bl&JQ{jQp*4DZ0uy0 zsdK(>{#dr}huvqDr(&Qvn6kb1li%$N=PUdsXBm6{d*03?X6wQ~=T!W%iJm8TzAydQ z=I6h)?yS52n{Thab>#i~lht?B?ElpHvt&<B&FuR92l>v~FR5w&E<eTDra=9Wao@(1 z#t&b9`m%53d+rU*?ECVMY5v^t&3@JQ>1S5Q<$bJa+H>5_?!hDBQ@!tBJU@#_YW3dt z_dgJNUK4MkRepoJ;Fsjy`@gmoO}}%1_h-ZH^DFN^?6mpY_f5U%`|eGDE5EACJbEVb zRPO!i4If#*K7OzH{p8`RPriMh`hMS%eVxId>P#!YF@KeQ<NK*ae9z-rV?F;Xf4{ZA zVt-;PcVe=<=KcE6zZ}x>6W7XXn}7S%P&?<pOJ!H{{8NhWKX3e8`c~RLSZ<>H3FS|H zHg=wW*``1Fcz$dAq}!Wq9@v`sU90=b{nYTicELB_r<R`+CS}L`b$IvjPi^HPJF|VO z_y2!XeM;75p3?gb-N|!m!z;Ul-=*z1V3}9Y$R6`ZGW^Dq$j>E}W;V?89<KcLSEZso zy3YQY{?zSHxPSgr|Mkzd_<6|BhRg9Mu4(@I`(^W+MDF(m$Kx8@WgfA*-*|TObFE$8 z#-G7^^?!^0|8r6}=1)}Rxtc$FW0J+cTl}8;iIboGXM^xO?(<E{KidBN!)x}jVQ>8F z-)V0i%zHn3<I#H^<@MKo+dg}G|EYj~{p8>`4~3rBhsGt(PCtD4`OQx~6=gQY^S<VP z`75edc<j6D{?L1q*>C*be$U>}{9M(x%m3cX9{c|FH<$0tgI^<`$lA?`?EA>~e22~C zPg4HrQ>x!Qw3YkOCwRW%&@-#&FF)CQ;Hl8F5uSI9|HpUsoJVZY?0@Upw)P9x*)wmu z@w%Xp@2`#A=Z_keNA&y(%a(i+;-40S>X4uBe?0FGzVo^E-}|4>{og&h`}51c&dN&1 zn=`)rp4B%^_RT}tbNUNxjxd)i**un*Z6iES?>zH;;Rl@QHSwR>YwNw@9zIp7sy}J7 zW67O$d%aIo+r-D%CCE$LUpYV9(7do!_fDd8zs0}B`|=Mee$!j^{bqg8yAA1&ej1%B zfBjec^v7F=E&FVqn&z!j`ChSek<CK}Y5Pm(!($zHHa|aoTCVKo=fZ|^i^%tnnA&$7 z6qGCINuQH2`T4y2?cKk>et-JvcbEO=^Yd*E-!z>v{Y>-RJC9zmeUdwWeLDY*<JbCs zes6!R`TavhEX4P+Pk&~;6Weh}^<6=$d(2~2^Bd1@e)>{bW}`fB_x=~(Cnxf6|5GP+ zXY%<Qdph^kC)wR|Gpk#4zr9;_&fTb=eEi!dCFeYrQQsaC_xPPyQNQn=<A;CARvf#0 zEB?7n>^$~)jOSa^4_*GD`M+jkr}Q1YUw?Ub9J8*oKN&wY`i<+3UCniJ{gp-jmPhW| zJ`tI{Bj){`*vjtNyH8f{xf5#lfFaucas2s-MeMh~#Jox7cfWC5^4>!(_8o^6<qBKG z-~932FF$){>-Rr(ZZebkSHEBVUjP5Pzb!V$MJqq>KmGdm>i3WH{%n}F=jZZY&zP_D z|5ts#;dj2}(^|7W`6d5aj6d0~JCXgkXZhdnOP(F9vA;K&eR;6mB<bbT*S4>?|B&H% zdceHT`$W|FgYVlf{?v5msOit9+wLpuA5Yw4H~E|Trx#~7{q^{|cJm2Exx$mb+2+`J z{k;^jU+H|Iv)sJU%I^|i%PW-PKlt36=Ue-H<12Od6N2B)>0RG*{^0K8tL-1R)f|zm ztmS+AT=IT@^rr`NPDK|zj``GM{l+HnH|QXscN2^H)%QGjrt$7`t?0ae(cd2xYrj9? zoMRukvsUiux!_dc_9uU{KE2uVO8kcP{5y{&cl%%7`|HoCWSN^qt#i3Ioc|taQNQb( zyUhgt9m@LsY5!-wdj7-VU3|dL#y<^r(^uY)@u=*1%zc`9o4%6t`LO!_+L+jopYz^p zZ}=SZ)!F^0$G*dYJ1Y&Je*AnT{)G3ZX?s-s_167snCpGw*ypYGk65Np4~cvHAm~%a zTJO`s_tPKzYu#o3VCJ5~+?92b_x=lg4SuuNqb&BR+|L%_nAot&-owc$o3HGvw5)8e zp7Ze0r&Ihj@okT%XVsUpKIPuouKkJix_)^5vFcC1x^CT{>>fVpZ1{@&%1?a!I}ZMw zI@SNi{;r(;LBEfMrapfD>-=5joq?L~PxyXf`~BqQ)r$YCul5&u<;BNVdhI*TtG8}p z&7s}drw(r1wf%w2_I;oD(yvd_-KT%>YxdUnvC%ty-#wUEbL{f#tMVJaTJ7A!_dVNk z$2ysW$5lmr);5p7{5mz)Jmdb}Mwy4qWk2?DzQ4DmZgcQ`)%68#a`z{E-|zZYVEdD2 zy;HV7v7J}=E&kNp?OFGCZ;W{$`F+Q}&QGlB=2LC==^qMSTz&5Bl;2Opw%uP>bL5=$ zQ^xiul?(n(xU+v}%me0kg~i-Y-|a5Z-?vmI`FB`RtNNbDzrR(~nEyU=m;Z$2xqJH8 zD=OySNtS+Jc%b;n%nx%a#B9>%@t%Km?bp4;w~4Q9tKuu9pR#|F{nj6zcQ5Rx)4mDI zpV(G@OZ*yrXM*~|Z_-82%RaTZ=hVCWe)@LmbBi6#cM>1>ePZOlG2`odds#XAWz|3D zUNJuzoKqXKvp)UlH_lDn%%3t%D@(<{7R$I5wV&O6%5QzOZC^o|`BT+<cVoBxu;YJk zzp}P}=jTexnEJl+CpF*MYfry%$aGt!nN96H-SZvk$BiHF{9r3OZ{ttRz3cb3@7u4w zzVM^#Q{m4aS8w{r{kOPiWAo<q8uuSQbg_&%JD20U#WA(>Ez5WRn)hoTgZqx>zvonI z`k&O@^SkX{ypg{9&ZC#NKe7Gxf${nMki0po=9?$yJ>rVqao9}m<B9J&6$ftqs{MZL zyY-u=6+hSA+n+4=C!nv;^rYpydWGvZj_a0Hmf0}RvpwJP{J`c1l1F~+WBYx>+V#Eh zyTvvBbqe$A7yUV~=XY<;-=O{e!qpbPwtf;Y-#;mM&z~T@!iMOWxvOgWpU0mNmiyT! zssH+WQuW-=Un-^cU;6HL<A~(8g4WzS5Bu()?~s1;XUhEd`~G}R*FW}Fe#-9e!S5#B zu27r*;i3PZKR13Zj=3}ccm1?-{t3!^9teHs4|(@-pV;>9Z=2aQzn>Jgnf$x+)6@J{ zyKnqlZIk%@ebLv1ugNlYF6%b@4X8Z++h@-I;NR6U6Ta_I)|XrM_uu_j=|3Fa*~a{A ztU0!>@JQzW@(I5LPbJIP2FY!hdg|EAEAkuqPrGaK|J*0zo*rOdvE@_8o#U!Ie@Z<4 zI`c~W3HDFZYW(Nfgw=jN^UAt^a(Tru!}rqRvtM2B54Ts;FLaf&cdRTn`|7+$-Q4)8 za%KNo<tyjst*|+;Tm7Ww`_BbMd|$=qOgz6s?ft*#-*0zb$^YOXXCL{~CC}b<o9@@| z7DuiIPkp{;{ehQ(uiE{C<0iZ>aL#*rYWL?A`42kx95$}}Rq}Q2=T-0Roclg7PT!M% z=;qI>`SVuRxyC)5W>Y!s(?6zH?iFg==dJzYV3+Vc<Ll?=8vTVIVs<{Owd2!UvM*30 z{{;UN%S!jSn3ng)Wh?*Kye)t7?ekOSd&%Dy-O`U+u;<8b@l(uk8y>E>zu%+s^!Ke5 z`(}K4bM%z;Cl+h*@OOJ%c2;}dJSg~c$E-a%SM>`&*nev1oqp=x(vtOuIsM|7?K$@E z^wW9oiwg@S-^zb*uv=UE>6z%B|C?TgS19twudGot{~!LXFL3{H&U0E%tKaPPGSgrF zucv%Rt!eGP^~c_cUh(e>wVUK_p;jKZ*k<RXUt;S{v|oMlGrLm$TfF-0dEfXgj-Guz z)!bs;Q_kJ_2WsZ`_O5<)uO<DH?YEP!&9m%x?E0nZce2@B^Zbck^V7QTKJ<Lvu3`US zpUCm+PriT7{`+10ecPt?&vRuS{pEckV!h+Y%db<n@7O<Y&v7xk2S0Y5>b?KsFZccQ zr0Tne?Y0%R$j(U=55I9(_S?rDzt;ZxtMl4!-H*RAANuxYp3PtNKL6gbzX`Sa_a>|N ze$ouEKlJQu%ImkM*3Lf7%vbP_@2Mr<J<aw#=Z}BY-I~A0yV9jjLH=a*r=QneZNIT= z>YP2%zrW?CJh$D$-@oI)*XpUq_pCqSyW;PP(0LQsE0nh1U;d|{`m1lweZO}bi`gx! z_x}?7W~VT{;-KdH*&pBe-OBF|wpU&sK3QEpWZr$(zZ~12Tx*}Q{R#8D!n!?0>X+&+ z6!w4rSy3PU^jP~;@h9v>pYu*x7rmA%YA%2B(S6H)<?Z%b=@tFaF||IGCx3Iv-1q)| zxL<qyiSpGa&-!buPyQ<Y$+psapSr(a!2Lb_zx?#yf9T1+Xa49%-K*>KL-vD{d41O( zMo>LJdw!VRr0+MX7W}%IK83yFd*sfiwQ_z!U&Zf$Q@P#of6m}UULW_<C69m8-vDqT z7oYMSl*kWX<=?-eM&bU$wmZKjeR?<Xm2`#D`wu?z>LP2^L+{%L_I+S{UwOY}v-|4% zHLiar?m47e`6=}2-{h<PAAIuOPL)5w{>IMl@1N{b$uc#u^D5@|e^y_SU*r7Kr%vJh ziRw=eJ6~=8;V^H`lE1BYEF-_oTk@|%IHo@MXXE#&J1RE)y10FZyWE3$cjTtNpTBBf zr}!s1Y5(APwb8Zm)%Pa`?@4&P{nz=MlGFXK*Zq$D>ibEG|H(_uQ^(U+$tORS-1OJ> z+kDmcANj=ZhtGTXfqm1_-(@?h`o5j@-08b-!uyl#pH>F!Km4rt>6i99_4D5R^Wgi? zQheu6#LmONweHx*|F(V>rg_|=u5-@gZ%2!IdG91mPd{$_;O1wa3O*a|c_011)bjFu zJoNpheds=Q^TIDhwddvfpV;c1JUu^if1Rp5zubwx^NZ*IX*l~obpOn$?+bo$S4QoZ zKfXTbzHR7FpS;IiHOJ0weAQp!ANMclw{qnN?VDA-zmBbc%E15Qz;CNLd;I?XF@L51 zM04HA^8KOruDz<RP?`VHB~Jdz_WKL!zF1oxIrl#G@q&8WD2v+3zm&~Rvfr5yUVowP zyVzH5n~CrLt*B9p-|#u?>ur0@{(^7mHy_n<o|F2zcfZE=ld^Xv$rt?R`>Jj;IlTKD z|C5LDso&?mnq5CRdCzga_pb~8<$dkmzuAA@!#<lI?Vld*ef9f?L)`)H%Fq5^_lEub z5nt4Fw|??xJ8ALv;q`~?e#(7+vbAv8<$v#6em}mV|ItZqj^ECYj!%!hOqDOUIQmm^ z%KM7=uG5dVzMmgh>G1ARW6i<G?N{e-ym<2K%q{tKE`8_D{N7M1W_ehdukwDw?*1(M z)V#VNy}~P}b@Tite6RS~Vzah(p7Hsf=Z)z{iyz+nsN-AvzN5J2!SANcTIO}j>urBo z{O!5--r)Uyh4&j;lV$i%72m0gL+$PRKhF8Jk4gT=zP9(TPuwn*Kd%1jZ}qc#+n+F> z+pihD|N8m8_L1K<G&|3kx5Vb?U-KtI(L0WQygv2&j>mhM<vz;kR~#`r-?{vJ@iWPf zHXqJZ=-Ft`dnx$qZ>hyG(f#t@-~6dluU+4JH~0ysbp3<xEy<r=$=otO$sIHC{kfGj z-)--5pF8^fPt3Q%FUdDIOo_f@Jz@G2*`2=k9yHq61^s^MU!rg6HLqUTeTPM8*}1iM z9?om6{v^2j33J?u&!02)|6BS_^!e1c_NOJ^)v3QfxBSlIdF}j9xWAoDfAcTy_t&3W z_aE=oW&a-%_pox}V{VQ6h5AR%#ZSHMzS%$TQOBO+$L?Q|*IV(gVD9Gm-`>BL^;@U; zTs%mwNdKtm&icfsf;+q2KXE?a_wKBCVExXCHjiHYDyj{9YWUr2k9xn%Q_jkUv(g#& zcg*-CHd}o9-Dr*Y6YaB4*jAp}D<*9oIxp7gw`65gZPD{dr_|rXdF9Eisg+jmv`KuP z_({&6f8uKI?EO_OF%MomEUJ)vdTsF&*?aauX0cC?CO>Jlsn~L7vULCS=sWgd=k!+p z)tM~(#@_K;UtnFuq&E+~b$;rZy!?c6*?gVr(=Y$~VzTqd*WjlwKAk!(eu9y2zJ7Fg zK;FIxvkxDfDi2ux-($6B^_1&pPG3J|J1<Vz|Hk2C@?YOi{<-m#Zp?+vh0U@y3F7B1 z_AA{#Z*x@g{_}Xxo$l|})ivLfH!{Dcx!j_rJ?7D`w?*Cjy?c&Z*Bp3ydrEZurSsN% zL%(fkFTatle?XA0uuVDUp+vdGL1n*>O~yH&Z9e?|@Uca>&c1i~{dm8)hfnwZs(hra zt$)J#&DK+IS8IH~uRq&D@qPH@^zhLA$L?KyBB;OPNv-j={>y)-RenmW?BDHYmmnNn zf6adWi;Aaw>t5{Kaac}nW54*FxY+yI#_J1Dd{@05uur+a;9KFndf%svJ6oea36`s` zuzxVq=KEIr$-l2pnmcd4y!(!w+dj!1zdlhsCqDd}-O9h5@9p&8fBd4kbJx6IiudCa zeqTOy__cQbiE;~-_zz9JbAP=I-%`J$F{d{0-HGIPrWOkR`77$2<Q~ttvu|S2ckf-# z|4fgWuM=JI-L&$E=6AL^6Q`SBt8<(8c-o!)ou6L3-nD)A%02e3-wLcwai801{<v+= z-kIOHKS}vN33T|Ed$&7ZPuhN>bIgB-ZTSoLJek+&4(fDP=lI?_pSSWa-}CL0_Qq@Z zfBcuesXqIu>GznNe=$E9&7TP0n;5?R;=i8Pw@-b1zcoJTv3Ze*R{l=$g!y-N&HdDO z=YVEq``i1k;_9ovp5A{-_uD^}^zwjv#rg+1cb2<9W!~AG{Yj9${@UJ`es_$|E&RWm zvG4!FJ-ar4vi;5#GqJrq&~DQE5A$jc-n1`0zhm{U_RTM9+dcHoE0jMG{w`%RVR?CQ zy;}dqLbtcK%fs&_JeS*4toKyx-FdbC9|!g_>OXmEyLJDB=QpgKe*fD$rN5#)-_mA& z5x;!j6!DxtuKz6!lfP>`&0SMFdCxJ!$`bic#jEA-ho95eX}__vA?LpT&ZD-%?oX<7 zCV$_da{k@=+W#4MPs=N8pFSm6#%j}lho^ksV`3)AZ}7HzIB!qYgm06(U&hTnarx;J zq36>lE@s#MzC-*$-<_(CqWO0Yuz%tUU-#}Sf9U;PtL{Ad)>ZV);HmXH)e5!ma_ejq z$~P3NzU{9#a9MdrdG6C{o7mWOaw~0~#5KOn*5D6*D)^JH{Rwy6hL0IvFH2|r|DbVm z*StFukKf5IaF}Q3_P536nB~sD5l`QJEj?d3Cx(4fYq|L}_c=xR2P7+hR=iDrVwrdH zuewHm-lFQ=KKJg2eJiN)t~_Y@J?+kf?fzHl9OE8$+EfYsTw9|5FaPF_Zkb2FzHR!O z@>KP^kIkg_g|7FKU-R8MKX1`rzUTf^_f~8AfBd&@(|;3i8o1{RO9MN<rN`LE_Q@~! zD<u7W;^K4-NE)bG21^6KU&Z~``Fgtjr0zE%%j#{P=2dh0o!I+aL;i$p%;bKHiVg34 z&p*AF{KU3TUNgKE8vo~mq46KNs`?oB6?+>`JDYetd3{*)>xY1%|0B!W+xkKG5}qI3 z^qJ?W*t_{ku;};R0*e0XRp0&w{p=|JuiSn<_}#<9o1d^sbN~O@em7k^KYkS?_P-c? zdcHz_f6O^=%OmHwQ-6z|(tS76Lg{|;>^;X-LGw+&^)K!HQ~&f^{Zo;4{mFm5b{@7B z7k|S3W|IF7_4RQ}|2}!TD|~;{yg2pg7WG?YWLMvL9M@o7^xE`PZ4tBl3F{cy)zyEq zuWrAmwS7lz^PIhYKl`dV=bhYpU7P=e?3+D-zm?@agcQHOd+K{d){|Gfr<~u3-l*IC z=|k@+<xjGFC&l+9JU;ts`SHyEAJlGUJ?*o37T3)D>5J|w^(ThsPQKl)RepbY^|{+m zel6bAT7LYrvfobg2R(aow#=XSe241y`|E68mE?cttNgEd^YBacC#=u!%r11kw=eiN zYh|zcrx&qL)L+E?$-DVuk<6oCn>YQHLyr97Tj%es{>%4#?VDQP%C7J6)Bb+fT)*>q z(r1^a>~|*kSGdRRjsI=D&R*g8;&0wLb5z`qYeM4w*8*7FPcB{mf5NY){7=NH?@!(Q zc<T2%-UUwYN>8yDv0s0p%;$G;@5{q?e$U)<{A2%<SIVcp-_b5`m8%N@jqFr^VokTd zX8ZW@EBQKIc{{b~`zKg`>a6CKJ8{{6h5h8?H!MPbf8#tQ{pQQ2&+1Rb-tm9x;J+jN z!6~jT=r?y|-`@L~_y2>A0I<_-{~z$~;o<lvtkU&Q?f-Ast&wlP7#jCCO~dW~&6zX* z;hg1v-+vdd{vVcCABU3r+1~zcd-ptk!tKo`HTll#{EiQKS8)C)>rckrPlVr{T&<sJ zZ`u9sYW%e2%1?!!KcBEzcxv?>?gIC`TGyTac@LN?+gBIAiu)h>buIS^-MsV4>DAEO zzi$~h_s4;A|Mi{EHSRxt*;MqE_o?D{uAF#}%8E&!I%?XTKe6iH__6HQ%hWCP7LnWZ z6w99&ewUJ&u>5|Iy<&f%Uta&IyWX$nea_vvZ&A*}yM~`Sg5U94DC_TE{I|#ExbDvX zu}?2PEy*wM+OvPxn}=UceQGLxcXo$j{{H2EoAw+NuKZH_Y4wY^Kg>6G%#(R^>wmrO zHUAgaOJt6JT)OnWQSQvETAP>3?mI4f{iJNuK7lI&Q}P20P8<$cI8h;3K#Zl9Kd@P$ zJwQi8;!5I`g$oso1=KirTO>*%|NTu{wt3Anf8T}IKmT~}vvU8s**RZdX;us0xfng? zVs_5HHNSs^-wn60RJUHg!hOeGz1`2R7Jpk-@n|Lgjs@RuEKV0M*?;7xt7V1ncTKan zsn4fh@&1-}W9jVaSG9LFDp$3xo%!w6(tFFTp9op6Km9TPm1(si-^+8NU)%B?&$;*T zru41X_37_@cOE_HXSsXTy-nK>NZ$SLymxW+j-~w;_m<i|-gS?^(I#f{<?T<p?rjc# z&?d)QwSNiwjf&{+*Lc4&-L5}Xwj%G*FWH@knX7r_UcQXqCI6DMtnBr@y({k}zR$l| zAAa}XVY$j9$GGm>+uU6p_iyoUPTS**wg2>M=ig&rJV$0iZO(r6=<Qd0zo}LD<!^Ld zU+C2zUh@9k^|+#FJ^s^z-}q0xlP#USS2OMcyUo(?JC>!Fm&(gsiu*VJPQAi%3(qG% zZA;ttO0IjcY~!>4|Cy%eJ!p)3_@?&lRsI)ye@={f^vT&WZt1&?;*V7CMy|D6eEUY+ zi+zWA-^r)E5B@6lUH<e<=T~;s+&Q(2-mMRuf7$lUWp|sT<VD{v2Hxe*PW^1Wa}oO+ z(F(VIxof{C$USJuvt49oyU4bB?woqf**AX2yel{o*PLASYsI_dswVGUyW?vAwSL>f zTNHhQuW#QZ_c<H3A7R~F<MW-ns;~Ojoh9{a_dY1RsgWxG{Qik+ZeOSD<$U)-_uLCp zv;9|V|Fpc*o|7|auKX3{J9X;2{Z~DI<NNVR^;bsmFLKpi-e}&{FZ{PfX3mA$uHNKN zcY^e9&Q6@3XSX=+BCpIv?Ht+baevp`TfY2>khS{LAC_Nd?G<P*N#9)MyuHxx{f9U1 zZ@-@3T<(@vc;UoNv9HtivYvbKkNcJE-NU-IM?Pl0mDGRrw;)U|$MUb|x)-+9ta&dk zhV6~N#GJEb*Y<5I#c$MkZmSP8FZ{c?M&4pl>Wi1~6DM-#a^85Hvh&~Rtb>lHlw7WD zV3%0%CbfS}AUp4D7e`(tfr5qxj#Pdvfs_Lc9if8F9d5FwN?9ROv=#-r_wG2#x>7@` z^oj<@#IOGQexIwnSM~RN>D<qM>c0QolmELkP2!x-iJY3u*a|Fx1=EX^;!?&BoZ z{%y|pH}JnW9KT3Dw}0P~+13Z%x?kXb`PKPD+r4V3{_u;v_ZRx}&UwGN|D|4ay8Ac& z<okCy<^HhdUH<C+@YcB(?0eSxm){UC>HRxJZlk>Zv7Z;`7sPMiwpsho?rt2X{M)X$ z=e2CMDe;$izZw6U@;AkN&i8+PzaJ^qd{ePm#NK~!?%j+0mGfQC-{Ak|V4rk<@%1;x zzn&D{F~2MPyZQM;P1{;7yL;SwPyV*4I$F2GysCSz-}U*&eomTqIsNyCeWurAfBX!) zkiPnW`tL>CE8{(`hq>nWU$B1TyX)+}h2~%G{k!q~`oW)7_CFGID~{cJTFZGi>AieI zZU0_x{u|lsi_EVbtNrEpp~Cq`LmuA))w|DYEo;x%SxG!U{)V~gR9y*2Rl4n+^jRO0 zf`3eSm(P>`g7N+87o5MpJhhPD)c?}>+qs2rOz%9GV|$;=y#3`zv4Xb+Uj*O3zU=*t zwf0b*mwZm==f}zgc8l5F54Bq_{QmN2*@ugPFOu)2U-*4{@%opXza{Uc*l#S@x9Ir# zhTXd#*SNfY%TylMY4@~NtoBIV2dyuXzvb?x&%d}ew(x!l=eNazHcR=xH}&_s**~q7 zyPIrZWBO~(UcdgE(@V1R@0eHfzPDzHyKtBJfv|M#!QW25TK3lR{F=1aQ~!qfmyeA* z)|bnCd#(KN>&6c+0xFKx9s4a*b?%<M+;7q9>G!O8o^KVtpkDHKYr)^EFWA4l)PA74 z_gSsw-bDGi9iMrBH`vvvp6i$SqI$PR_KqFn-wAQILFuDy|No1<$rqNdKmOD1_l$R& z%Keh+b-vx5{P5t(g4ye=3*PdgrH}oB&)wG_u01&W!ON8e^Yiv|+7(@Vz32Rb_BYwP z4%WHN-!y+t)8~2JzaN<&PES9mTvcFo$5Qm~gzu4Gdj3Apec}G5`pc|ZxA}7#e=l2} zSYKdgvvhg-;p58}n^(?vUw?!DyhHxR>o0#<TEt%}{&nVYt@vX77n`{sOg6rFdyoE- z?0on9`K|X7^DpIo<K4UP`?RF{`~~~!v}+0^ZIa)A+Sih|@%#B>`;OmR%Km0+@dfGW zkL~`(RMhC!IGEq()PHIB{`AY_Zxj1JCx2tKO|`e;-}_nbPWn84{k#_bm;ZJ@<h6ZJ zD{7lKe;@C2=Wm9!$L{TH`1^Io`C98e<=S_a9XCI?mHVRmp7s9vH^skj{?@E5Fh19> zf9PkAT+hS9{ENT8Jj!CXOQQD7Z=S0E%rCO54%NAt-}Kiz__Iqco~zux?X%!s@xyaZ zUs%59{G$FF{Ce*5H@?4E`%N--H}iMj^#|&{WL9{|*D=}c6Z$*ldu2)gUjv8vo3Fn# z{5>g8bw_@g==<H$aTo5MKcxQNA^yX@33iG3`-N*y-diI6M*7!};vMVDO~1Wff8cfb z!NvNAjK3M*n|`7A+dtDA>u>D-a&LM;+-|X2r|Zr;{`r1k{`DoU!gGCPykGte_v;U9 z4%;o@-{1Fnart8Xm(RWySi9RVx&HmIbk+OFfB)Rg_x0MvwtcSsW_UOC{hb5<7~kwR zKh!LLICs}S<{jVUzA)drzTEl^_pW1gUi~-vmFLK>Jy3hl_rn+Qg86y&9P)R2P>YWz zdoAAo75RR2`+;=+gUVGOw0C^A`{H~r{i5-==ID$4H@?3x{oP~t)AZf!ee%DX-5+Y& z&OLZs_>0>6&o4xOKV-iU{ibx+zv?;qFD+}oIo{hYRO>kZucX~ifvVZxTT9kD<=<~Z zB#*q~`~FLuyDs0x|Bv(hjoo|n7e>GN+n;bh`TZs4Z&5w>Rus;!W4Ec}vRg3Q|A6<d z^S_IJ&8YnnI7fan{|n9E7w5j1t6n(&r(wm$Qwb0M&zHOOH~pgdmxk}5Us`Ib#Lu<A zN&eNd_jBR5^AGL53xBv5Rbew*Zav?1A(RqiP2=as{*P+h`0ZHb`7Zqa^62P?i_0$_ z-*bM!ckzX=5+t(kv#9Mqo;!CXo-0@7Gw=8u`9<^n<`>G}n$Ishf8+ZLyWc(Qayx!6 z)BLtr_km{Z4$hiCm0yDIJ-=xC?eOl4&)<|*o!Pe}diJAzH^nRNmwjk@m&ZE)PV>G8 zwTiWe?)_7O7a`YntpA?+=6d(TuhSn)WITW4d_}y|`J2BbziEHd+q+%)Tz0(U{0m># zANsoY0{@H4ci|;SE$?&bZ;Z7TM}A)1`@(zI&(a;W48Iij-ebRaq5AyC@AkVk>i_y8 zZE-(c{&MWMbG2{WZ<H_p@E~A^?{)sR?E5bE4{B?79p1Mf`_1w%9e;mBTi9E%d}sZB z_;UDx+Fi9QHD7YSSiLuXq4;}N-iG-Xm%mZxf3T-q<z4rF=J_{2bjay6THklIe^M)E zn{0mqo<uCAzWeTP|J%sy|MF$whmYSc&far=arm2ddq@fL5nO`gu)ePr{?%kxZCmrn z`HR_m?w7YbU%ZuHSbk%6$?RI^{1<zfe*b%E5&vJpuEH2zgw!`d>lgk-=6*+OfB8TB zc=H3}T^r%JOSSi=Uq1e3@%)E>X4E?P-|VmJyJyM$edhiKaq$D~CHLE5>EoC89R8cf zzerXuPpOxCS6t89za#&0yZA!?-Op<!_bd>fekgmFpS(?fz21-M3$DKuekb{*$8O2= zFM@j)X74}t`SOhKFXiUPJDT70e_7RVynN>M>j#;4dG@~y?5OjaAIm-eV*8i4{KKvF z`kd<=*I({l{ZK7-`R$VK?-nKf?=Cs-a`%5>XS=-rrKs(K@0QMfi$C9g@OATx<Xwla zAOE9U|K}$E%V)hms)C+<^Zn2y=l(&m_E2PD+8o~Z+Pml3y?a;B(I3CL|K&~H9ru6B z{%YIny#D5E{>}SEe>3m;XjgIX=kJFHd4B8FeyRS^5_h>;_>Fr10{M$~?GM!M`siMf zFZyTCg%{`83+vq8Z`OYy`1|DInm@NU$X_b{_H6!z=WnE|-XFf<|G%fs<-1me&-MRI z`Y%;$3#`u_uXnY7@VWcNVTrw;&A&)hcfZ?sJ#3MDy~yv{sxx(7{x|yn_x+Wu{lR~) zUj3YT$=v?%i|*wM{r`8?HrM)mzxmtr*8|}j<u5s_-^RZP{>Hj@QTu<l_39S+_H6Q> zKKktXsrlva@r(U8%-<Y;Q~d2=(VO%yQ}+IspL70(wEuzZUp;v>Y|kgFUz}aDhqLyB z<(ItXdrA4_=i+|rSN;B6^Y2T;-z~-89xvZ8|6;rU;p{5c@^{B-KN`PK-t}3>X8G&$ z2XpQAGv3|9{`{}re>R&%yW`uJfB6zu;rRYy_O8FCUz$TdzBc-&RpYUKKhyS?YIm1f z?>WA>|D|26ll*1lDhK_SihCEjm-H4NvV5fTv&!Pj9J^<)g>Cz<>!-<IIR5?d>y1_V zQ9u4_zI?ZI`J3OX7tFV7_}f-{)GjrC^Y^f$`##FPdA<5zY?Z73?&tp7i{2bxoot^h zf06myIjI+i-+%sj+4$RD)5>|ZZtGwE>%Jguf1q+PfBy^PD!=(_TK8>d`u*<K2hI8O zeXrNI#8n&qno~Rf-3I-aagBfH$Zg~od-$j2Z-#7z<NB9>=Rc_VCiJalPfOk(k-hu% zeoflzU4E0F{l(w;$??nmZ%qF(qjrnj`^C|(53}z-@be(s2TA$$?()-K6jvR!TU`C- zFmGYqpX@jNFAaY`^uDP6M*CNfTz*U3Z{Bm&(ucF{<~hH&ZHc>n!Tb&T7s20?^6bt$ z_y2yAUH@?9{J$B(6;A748dq)7tjcctedp{0#@O33^Dd@;xtI0hd61*N{K20m#csUc z%>T04enI&*m-Y5s+h2(Np4WFTZT>~)UHe6UY1VErtvPVq_{C!T$Nv;R|J(n-?C#2k zcD6s&Z5EmTZ&+T^9d|*!-aY>E>Zu<NzN(0IE1A2l@cfIaUlZP4aQ|X>cZvTCG26wr zzf5{}LAvVfb?0Qe#lLH6C*^+lweyYomy5zbs_x`otbUWb>wMg8zP~#T*3F-{VSd@U zx;?hv(!V_9D|ox#BK{(CRnCI*FV6LSn6>Ub%lDsu*uH$qyc2%u_?zNi4<x@_JXiDQ z`2)tgN%m1a_mbi-Ggocm{?+sD9oO~w$7^rp6|~1)Q2v$DVfWxO_shqj*P?&aUbrV) zd*a@b<!^phFE}4|xbE=2r1_iH->9xmijSB5{VT4ZJ?_%-FB$n?c<<g~w~JwZ&i?z5 zvfX~U+HGpT=GZ09zqnO=A-|i&`t_{eUz$}X+kY|pEor;N|C_u0(%tL_lI`aCevj|@ zy_NZ*`kUW#H|xK6X?0`%rSvz)*&qJt`THSZ$2Xxj;U%-@$u-$MeSGvwM(i8$GwITY zvVTpmi(vk5!)fMVzeN1?Vc)7_#bSGx-2T$`?t-b``6HjDFUnS(d%k?X%-+*=4(4%f z$Ms*z{oZps-QH5<`Cs3S@|V=#oIQNu_#5dePk(-Q`x`vZkGp@^_wMKA_UHxja-DT& z;+9vxdA;uXM*GFb->ADktT}XV+XLgO|DpxC+H>?@Fv{n9$>%oP)u?`R{+7J!{JkpC zUkTQ4`d@JVo;7ci|Fneti*~yo{`$7?UWKX6qsN&gmmTaE``-}%V)*-qsfGNzmcJeI zHf_)OBKiBC;fGoCF80nXw6hSaJ#+l?%WHZs+>bBxzajmlq1L&->~Njy`5VWNKmIdi zZ!y!Jh5T{c@_dE+mMpK?Gw0p=zI_RHciH1Evwt}_>&Mp@?|SPz{J%HN{?hYYr^<8t zOWwN+v*%pwtk|bq<>3G0j}H6Z({(QI<r|K_-^;i6_`bCKP4hQx=LhvcHh+)n|IAqZ zSEa&D|D~y|o#fv8w)MXb9Qk`r`a=KB=#uWe-`u{OTX^IBW#(_eRVV8HsJ-!j=`4Ql zf^^k^<EvlX68ux;f3WU^-Ll(n{+cf???1H9oBw9^@<shO#J|ju+s9ga{!ry_lXss# z`t17WRkwHf;`tl$Z&<%6{&r93P5PIPz4dJ8H=Em3IL^QL+x>A(k=m~r{pJg$*E_zS z*J_uTe>wKsujq@vk0;bS%-?YSrQz=c&2QenUgZ3E5HaWdTxS2D6{f!*etcp4P4aik zyN&YmyFWj^o^*fl@i(RNwTJdCIsf8f<%_Mth4(Dw?Vdi4{BrU21^#<&cIo#Q|9-<= zRUq_j`InZzKbYqD-{il~xBi7_ti9y(%iI^mYxc~@+b6iUT=3VVz0UHR&5yls7XK|} zn;L(?_}jyyH`c#=rdse=WX}6|uK6E7N`1Sw^2PEu+TS#76XavsK3~kd@%^P__2Z&1 z!QYIloae_h)>T-Y+Z}!=+isrkcH1ve4f_)BFK>V2{p*R&o9ACNe?QQgbN*)kJ;wKY zMSq*tx}3jv@}KLETBU{k)05)U=gns~e<^i$X|>(2hIw<j`(M<a<6M4FvN&oE=knt( z_j8}uU$Xnll=J6zNY$Q-Tg<O_<nj3zlE0hsHukSSSebt2xi|lf=<A<8-u&+LW6HdX z(p3erzu*15JlVV8|BJgXiodbd9^1DpeEP?qm$!O9ocy{lZnsRHe)H`w|9C%4h`YdT zcW=tQ-9pb_zboZ@*lbrP_u`A<-Tl-0-RH+Ke}Bnb<x;-hZT_Xp<p;T|`t24^uaOho zyYPC++S8WqbM|iiP$OG4-)<TI3*lXE=U+VRt=Q}JYlhvU*U9_X_ns?ut}0>PCpUTL z@46k{{`sx!U*v3;-G1+Ezx4R_1L<FW?fr1?){kF4yZrj|-0YV~+n6tkE}8u9qHdMP z_LrP@7d|hU9(U2UYX0VjuM<nEzsy<hFZJ;2=bOjBymGGiC;0iI?1w3G$38U0)v?4~ z=B;{vw4(OdncrVHYaPzNklMSX+Wg^9(~|ae_uGzN&VSZ#f1dZn$Kw}fpLy+nD0Y`8 z|BJvTyJhF?82w+er60>I^s}@*H^=yi&d-`j>y}Tisk>PH@elVmM%!OJa{JTX)k)e# zv|Km-D^%n0{$lQ~zph{GZ1=ItU3{&7Ab6Lj{)>xuU!;GTbbj$7^Dnd3m0CXU*u0&u z{_o}AUkqy<=3g+aa@(GF@OAJdcaR_6*Z%qVeCMpab^7!6-+an{0PL8H+b<sfa`W#8 z&03H77n}1JzTe9dAOF#Jhu`&=&btm4EAMhOe<^o&QG3bkc^71>{K{X7-d+6N(s-X8 zo9#mDn%Y0nAAkLP6I=DGw#HWQ^MmvcQ{w)J#9b(@^8GIFVjm&C{`?p94~n%8+h682 z-dn!hzK#8h;d|bf!c{KkUkF!u_P^w{U6?&b^LdBy;}VPB?~lJqUN)^ddOh83{wDnw zf8!tiJiqIA9s7IU4^=As2TQ9yNAK|5{=&@m{kw(XCDZRc|6;*=?j+0k`-|F3CZ9k5 zkD>n0&DmdGzW-RG^sL$baPY3*>J^Uu@~zju$nD)Ox9^_eXHcu<g7Gdl{oQ~0AN@4_ z(sq9R!_TufU9Z$z+W)`nd9u;@|D5G7rEC{e|96nTym|lO;9VD=&JlY)<?`b*md@us zwtx6DIj?`-r=Ps}&hZ<rzdU^W!w=KX3mW;aJ-5%F*TVnO>h7}N{tL=qrhfnNrS08i z({qN;J2pT1T=+uu?&Hth_C3$#H}t>wWnA%RM&;gPzqsrF8!VmuC9*uP^vhn}4`0uQ zHNXC%cy|f=>P6)*k7|8rSa(^u>csJx7lf;P&cEc`yJ-0ryYyq31%8&g=jL2~T#|SE zwQyN=N&EWq50w9WOuxT)dG_PiD`&DxA7YpObiAJV$Aop4b9e2xtD0{Y%P)74{mYbh zd>6#Ol*In%iThL;@}({A@>ad)GKJsbx}LwjfJimxe^yQT(i8XbD`(Q4I;-<POFpMo z{8RgU(5ztkyF6b07cav;%(%DM|K+y6I=BAqE#J)!T@TM^y#7+H*2BCa&t>}ywY!U> zzf8!xEWFG4{7b#Pi>klaJ%7Qs$Bympg8rYsu9VFG+Y|SuVZC~i+<QL%mtO_qF8EgY z_Ln)^-<Yv~UU@73OXpp_{AG^sFLxjP&|vp$uVU>bTRz*x{x4K*mzjTQSy#Ahj`F#l z%MX3*x~}K@%)juPZQlH9^<SFRv-93G*Bz^=Vc4_$;nx%2+h3RT=3UzR_rmSFcJB*c zh~8cLeRuI3w&mXpZR}UyUc9@ej`eQ3{nGP%#~!<%X>UIqTvZ^kXMuS8q3m6L@AvY= zzn@V#-)<rQ3(45U)4zPsv-#g<w=BE;!N)WGi?3JadCZqNI<Imo%ezbNUmE20an<_h z$8)0Q>zp4oLRGH%KmJ_ufACZMP4ceX#~)wo*)!VRX!*a#QqFG4?2_4XvhDYlPTzBU zv3be-b%lMF?sMXro<F}JTXo|3@_M1#19cAO@$JX^cmE0bG3DJpNxQ|%mmjE}{pj`U zi>15XE;4`larOhfy>-eq3ub@mko#1q_N7Jca&eW@`d!am-xsINVS7I1@}o1Bw&y0T zcfaxVi(&ly7r}o%rpuSqvF)2TtFlI}W`kt?pV}W2@-F38mFQK?x3gxKyV(9^irk#u zeGA0B9~xJkd>(jFy2|(Zt~td=Zr+wTe|_Qim&v~x>=tH!>B*~=TJP^EfAP26y!d>} zs=3dzzP)RG;rr|`!@En(+7B7`?qHI;T>5LuyZF93xA}2w?{gd1=Q~_~`EKcp_LAo3 zZYAA$muz>r^1n2*U1<Gf&bv$6yWHOwr`6Q*R5{K6@b#m8^Y!!T?=QVBfB4h=%yRQX z!M_^fN}lIA#9zLg`r+WTKVN<1FTH(z@%EP=cMImn#k2FjNWLe1N&QR5yo>5zCdplv zu5!9=5qwTC{n*O_srg4=8!yW)d7NdlUov*~0q&}AaX+3ETi8GSIU#QI_TVp;)syq? zG=1jXyWsn~WB;C<e)0PJ;ko(;jlVUoKO|f=@!((m1p7VW?=G!ff3Uau!uNO0*<W5> ze`x&c$LtSHcC!wt#~=CmtFFSse?RN?{Vn%?^W9k@&41u`yqo>$hrGXk?Eaux{ha;7 zly$Z8cIOKA{ZPEK*j@X<;q?o)bM5eZ|6d~R;&T2&-c=ujEB=Je>G!H|k^jf@uBNyD zyu5$+yN&X9y7#4kS|7%{z82px|7-PaIX|e3lm78T`bGMl^Nam&@V|GC-+28c>+eZ+ z|8(EY_T3o&pEK^lV)qA=^EW-ORkTgdujj5kd~eD3e+{2`Z5Mvu*IWDC^UK%2HvjW0 zj_q6ic)l`c?GK5n|BP?G-`ri&zxSK$m*(Re*Vl{7*|E!C=w1Fmwf4a8qFtx$P8G%_ z%U@7`<9^>c->&!fnfHe`n|`>@$oKM`)`zxrpZ9giZS=2i_{{cu#=OntVGF*8AFX}I z|M05P4qyJ?1#jJ7wC`E(4=Uo7zXewvuKSZbr~mq)T1Up~W-Y(J<i!4Xq5i`6UiyXX zZ>D#X>=#Qj&zW!2@tM{3pXQxgBDF5-&6=$3o%282b+60ssryj;h3|LV^Ebv-{)hj4 z5x?PI-nP7?n*GO*=`UjMJ-_Ju?Xb|^<8jOVZ%&WRf3WZ7`VIECnd2@r$3C1KzxaD) zyvO+)xA!~Rr{!PD{>EFoN&4Mu+m^ov|9$wi{X<E9#WA}FwVZpC-v8(Otyn#M-TUUx zw+_E3-euQ3Ti@M&!Fj%x>0dg&KP`Ey@a5wB!n#9t3vR!0?_ZGr;+gz|lZF=dOQPKm zOIMxwtyOiv?yvIs&HXPWtDgscdAGXoe$MZ$V*ln8ckBqi`1ACl>F-SLr+-<;UpDu> zbJg|R)n~r*?S1{V_E~lFy{}*EKG!<G|Mk-GbFJU|UoW11{@Zfz>pQ{Ed)?pv`sl15 zS5aH@Cp~&^gWZGIQ~wn1^M4r||1Wpn{ENHy{l8ITzo7i@i<Lj`Eh(?vb86Af=hH9j z-WO91lC9hDux9<m-S=+Q?A!h_RzAo6e*2eo=gVflU;gF)Yxn<`FYn%Gm9lUB%h>g@ zruSceS;rq|3s&Jb=l$|8>(<}>xo=^4?a#iSb#Cu}-ShoiJOAF-!};gGD_33LZ9n6^ zZPj&m{&U}jtFHUko8Eu^W!?I8kT0tC&U(*Vb^Z4LIqzkwuCx1}`L4M4_2u;EzkBX| z-F)@>%-=s_FYd0}kg@Oji`e`;gZt56)_pgh`TqEqb^Y6Cy%(;!E`F>g{?hJ!=5yZv z{<2Q~o%Q|x7qRc<Z0=WoS=Zk;<GuQqb@TORzAvu2e*O5_@4S0of1L~t!*8>nSGV8$ zdT{3FT95a?uFQXLTy_1t-mLf9RoD5~&3SKHb$#~rGvCGbzWyuy?6=|G*N>y0{cgGU z^=SLE-;?fr-D~~)x82^??ek~7cmJ}k+}<8+-KL*)PVaxctOZBQFKe*({<?qO>-fIv z_w&zto!|d@upMmO7yi$+Zts8HjDP;S>)zMq`t#qV_P##*{~XvpxqbQ--+%v}aqsKL zZit=U5IcLHf$f|P3DVo=zRT@>y?E~P-<*42FRwoH-E!~i*MFb=ZoBvO*Xie=WU|fl zzBMS8j6t#FA8!pxPxJRC?pyyN*4`o)9H4r0-|JRg|D8V@9H9C$!2ue6_Pgrd*VWh0 zey^>%&cFC)oy+^G?|;Fm>%}*S7h}O*tom7V|HAIL{ptJ6U&Q9u=k6<i5i4Jly^sH8 z?EJd?edk}q+G{@p`>+UX+oxiwUGewD_P(yITjzAW|7EQF=VF0fb_>gE51!Y3@e35L z>CfiJgVN2_54AkIKZBA^_Ia>Bzdi%|^H$CL%e(j8E83U;B6j_IgZt87)@?7J3r;%K z=fO#b8Ip7kLo5zI3l7@k=hfZ!zW#d+j>_cnef}?E<L4OOpZ;at`?=QlzrT#N-&3$p z{^IU?du#T6e-SJH@wZ>OeZAV3b@Suw>+P18*VcXpd-eZ=n*0m9?>+nO`%dou!t&Y) zXXb-Fn+Nvn?@KlD7kAfv==~YDxP0%)_m^+m+IQdkYPWxV9>ll5AC%QPz5ms8fBUxA z-c{GX%gwB>1BLN_BXFwy^m|9ycTl?hQ5(J+l$130`)6GTWtHm(>X+aD3QE1Dkks1- zPEHAzf7&f6uif>vX8y(9b=w|;t>5q)oQq}7f>V<-*vpTVpMz8H#m}{#?|<Fngk;Xi z&wmT<eSJ6hd3FE2uNNc1#mME)&wkIj_x11hhv)z9mjBPV_x0b~U?V2)e)fCHy|0_k zpZhMl_cimsy@B6<DDHh7{Lj|^dis}j^FO{%-L-E~`QESFe;(id^8fYE?<048HrxC9 z_@C;D>-b;9&j0Y<aF^ZE^4gR8%U}GG-23|X>xbtPch@<*|0Vc8X8&v7s_Vz2&&~IL z@&Eeg^DlRQ=G^<5``^y0>!3J#Yh7OtN)^pu2dlfE`EL04f7L(BlJ7qZ_r6ZAKP129 z(|Y^r%l4o7<9=TM;>r4cf62rC&Z>v;51yqJykB3CT)g9}`Huwd9UF!2UHDz!etw%v z{KaC~dH<RJW&~G!^WU+}aL<Z|=XQR$xBtO6%MXfot)$;wI=i&s`TZN`&0|v)IbKfg zzL5Uqg;T}VpKUkh+qHfEsQ6*lySIIRKNWtN`~APO`NjShFBJ>=@5%6(&p%LCrg>-i z^63YXtBMTwEI6KA@lWNP`tbwORk??I+5UFCyU=`nf&4%5zdv#droWrd-~ZxX<p<5X zUmxBKw#b)dTmRzX!h*M%U!I<RC>Fc?_4x(w>uhqWzCRYcaCrOU8ZUmm2KDog_7tk@ zS@PTc!0+=5&-1nSzp(xFpwe!?_Qly>9&zpPKd;|@{L9PK51#AyGjw*&m$$4HTaf?a zS5n1Ru5XX`KD@Q+LzeyySNTiFzkHnc;_cN9_jgOlU6@^dD7fk`-;bWSSXTY;<3AHv z-mhmo|Gl;U1@kWV@^6m$>pE+{Gwks9|JHu|OO<_vqx{9m;t%)K$^M$OuJuEm{ND#r zKRWL1XS0jpuKoV{?9%Voj=i>zlHPkVK3>k&hU2;Vmq!j2e%D`o?5_OB_Jy@}3(vji zmbwbt8V~b(yyn*&=kF7HcVV{h1I4>b(!YGD_;S&^;ND(NyQS8GdzRgP-}w4<(*0e6 z>v$hreEmRdZ^?t?U4H&A?sdLs{#vlFT*zi=^!oPmFAkc0IQZ+v`#p<(t1rH8<}m-G zUANsWvA;9!&E0N(r0)A;=?lB<{>t;mcl~{LJ>2cRZ0CIbk8UrzH-D&Lja~fR%<cWW z&N`3xa_iSG<bUU2|7uSBes}pJFVz2E{;hxb=ZCc~lB>@BZMrx2eQwj=bKbkEb<TY^ zfBO01<Nklg_x>*|Hhv?1{NWz|>n{xV{{E=(;_mhNIp>Z?%db!OlwZ&OKELsv`~mlp z{Ma7_r++?weW~~U!=ElC{}q2snfF)B?qZ?cJ&x~Bznt`b$ZPxP{q<vEH~7sR*5~uS z|1bCbW=S<0|BK1(4>fC#Jr~bw{(RZDAl~hK9NYRAE7`7ZJ6gBNux5koo@!g)s;}%e z^Zey6CI9*%_~r52!hOHLPPnkUetu_}!~Fl8zd>!~%X8f?zGnOO*#3}o)mGJc>o+R@ zx+zxB9+$_*|MF<shXnOE-=*(NzohPeApOf1`40!r-6;Rhwf@E5+`{?WBx>`ecev(n zYwCZwmG67`L%aHYN4`7^-m!l{|9|fHZ@d0#&d>MkpYL}6K5y;O&&pNbA3y)wKim1u z^Yf4P_{(2N-u0PhKL6g``Zr~tH{bpEb;_5I0{8AS@7*t5<MO?%mH)fT{4b!ci(J3> zMfWe7wiSGTQ}pNbe?Rtfj@?b}dlwelK0J2s2LJbl*S{}>H{bq}6k6eM{e|Y;Sk~Gd z`se(=H>ZEeVOjs;<-UU4mcJ?TKN9SBY*)LpWO??1+N#Y~Hp^yz`S{Pm-kPJ<NuR%E z`j<xx75^FDJpX;Tn*Gq)tq+{5uC(v|^2zl>$GXeus~`R~;!8iea&4cD4gY0Z_F|{? z=UUyrXfCf+{Pe44-s0ur2V|>GSnlch-ec%nRl!-~^4+5O{fBwKEBowzo7pT$pVKU_ zuzp|n%FBP>A2i){RPw*X&kEKwulIjB=3VeU_wf9L@AXZYmlm@>RNi&`<^I&St@D3e z)11M$x5Bu_$KT?3x{|*CVV;*qC2jouEt`ucoIiJ{=f%^YdCSx1JiI+2{CSe!i_M=a z=Gi^na{R<=ZiCpWD#bes+wb0cy63sjnR}u$zNOCKt!}RT;`ih*gYmNK;Rn^f?76+0 zud>i|nP>mH*8Uf9+sl=U{#TumtgT?)v*i2z!+WC**v%8!zC23%F=JjywY<x`dtECp z|K*HX9DVnm`-I!u6a8K`-+m~$_tm#|PI31eUtYRw{gBW0%{RMNn_nzx?=R2zrfw+x zjji~#_KDT{NmgH;oVIc2-?cYifq#G7%?oSSAI{!YCtveR{>;h!hTOaUS=cN$pYt>L zM6bG`_pX2O^Ok>~*XDjcnQ#8Vo|j)Yf8exz{^k0C-ygd|4VbI;Nr3|;TUr155uTT> z^Pj)eOy8jQ>1MZ~a@9`0^Oud!6*xb+%24byf9?6tUo_Ld7(MywZJ1oO)$sh~V!w3m zCuh}W$l2cJd3R}XUcU6b#mV2cA1&E-?9IjYIg@24_HR4x^WrSuhZ%7t)w>n-%a3h& zF*){u+TG_@zPZ`mlfAs)clDvtU1w)TonUuQ@O$}p@dL@dkIwYDt=lVPyqtg92b;am z=E!x&)bpk}UhjKYS#>ybe#adPxywtJtAi7XHD~3sgli?AzkcXiH<#yotj}v+P(&+( z{j^+B-~S-b%cCp75xsfBdB5X5FP0wv{H4d(M!fQC*cr~+GPCoSm(RJ#dLmoicv<-K z&o;Kt=fw2vskBaWTCe}8vg)8@eB+*S%`~rky~mYRCui;vuCzaJ=EdIf4>q;OX11MR z4^Q@c`8T_AUR>d??MnK2$9P`+)cs&+`%tEyqw;6nnF(<<4WJMeP@aFcP4eRD+m-X? z6}FWtu77u6&V}^yPd2ruBx|`U{}!H^5vOY7f8J7kzVp2rDdUCL=RXA5e!qFo_sTO< z?%g^2`O75ZpIo2*O*b&E(tlj}tLJiz?ECQCsu^ar-)z3={+hA*naro!n|n<Q{2u>o z+k3EP58p4v`^#l7ZQVM7{mYcg6`V!=zm<2L{i}HQsgL=ie{3^?ckQ*aSsXs+-LVtN z?|y%o@LR6-P-O1Ozh@1kt9EGaS@znh=1^pj{X+kp@|U^&YTf5(Z$B(^Y5DC#yt@uZ z7V!Pp_eFB=!#dCR?^`~flbgZ3&;RaX^W6&NF9p-<{hx?^H~m!*e$Uz5@@w*h>F&vV zFE6VWG`}klo6{V=c>YD{chkT8+xTMc^<+N3BVS&e<t&&kSNP4}DX%boF@OB&>KAJ1 zC0gHO&&;r^5w3AMZ+UjR0{@Qu%eL>LzZ9CEKT=o0rhfNx1;6dnHTS#rl#4wNIwQF^ zG2h_(IY;|t{V&hmJW*S9#`5#T>tANY&FS8=DEs-rx!V(~O8WEW_TO3RKIiD|iRWJ! z|N6r8M0Iby;vK1EtCDuRT^u`o`7M@DSI~dKYP(mW(l6h_eY)~?y{Aj0ryum)b$(`C zXN}kVOOLfDq<`rut}*?j_}{v7!n)X2n+40~95X#(`>y|m+4uI6?X#~Z-{0RiIdA{$ z?-$hPJmo#1UFCXyy5jtc?B<6ae^0U!O!G3o&+Pv~b$KoKr;guxwP$ME<%+(&Klbm< z<{46Vy&p2~Iw^Ut{mu^YVyE@{`1)T2pZjy|;Gy~I74MJgyuADTt>_myd;jyhd8_~O zC-l9%`~3FLFEcJbdVWZCd-DB-<@43kFEjhio_~AVz0TU{_iFFWcILN~ty>p$?0os> z7k8g;-1(&=_`l7&xpi#C9`Eh+&n~c@bCLPR>iwOPmv^7PKI=lUU;F&zs~<jZv8nq0 zGhEO1k<7>9#cJ9G`(5AL<)2#edybm@b<KaA-*T(IR|bgHp2_@JJbhAp!u^+a?JJ*D zRvoackf=O$KK;_myU#T<OS(7zQ-1%`Htl`jnRlPNrM|gM|6H86>iEXz7j~aNzVW5w z_U}614lOs3uKHeSVjBDW`Pr7$Yqx*+eDg)3-;2A?_q%-2Ouu_PZXa7(zV4ZKpTnfS zv3*XE{c`Ts48gs>ZKh0^S2$~SlK#CJ-!JVx|9iuWWIylYahoKUIls5-KfH{6&bP7~ z-rEnAyuAB7)#A&9%QrczZ+@F0xA(UV_jI|!UAq(WPn}=?;pN@uz7=0Mm)8k=YyNA{ z{^i|g5uRO#Gd~n_9ctcazo>kExxtd%bDZneIh06z^R4<`$)ddLxaFhof9FTs*#6?~ zbJiIp^Do=5Re!uV!))*Gx__WB*(+AfxxaqD^ZR}G_xbW$yq&yZ{=ANtmv+Bze}BQ* z@A%QUGNWbg@At*;_3pR0dwGMoE$ijw<@f#XE%vwAyLrR(`F)nl%J09wyZrbZw*CB- z6^!59?|xU^FJQN1_ne!lCl+@b7FT`$du;iaS;Zd&_t@+I7g*-!Z_jc4h1l~cn~#52 z6#LTk+j8&2EkbuLKmIKwTUDxFG+VB~Y>x7~@AfC3e>J@4EMLSn-`(!fZz<(n|0JI% z+CG-K*L&yrKF{(U_KT|TKKbq|Sugnf*q3*|m;d-OqxhTXo2y@D-K({q+O@7$wEEoM z8FF_Qm*0;+3d&998|)XKe{uJFwC2mdzc-|R{JqYir2Ss)f6>`;1%B_3?`(>@u>1Y- zNtdP13GUbL{+eqixA*ry9ksj9eTv`sz6`GV{x`~~>S*SN-`jfjwHGT_eg7NXRx;iA z+4n-vmzsNj*XeQYeRAc;F}KI=JMJ$izklC#`RzFun{RZ#G~D~UPG7j{T;$)mzw3|Y zym%P@z%=$hJ94>I{F+y+?tkvK16y8hKKoFt_Dp0k-%q=%_sniwuGp8DZy+D};`QN5 z`Mowgd6#O>bzOe&+ei9W!}IK2|G4I??>k&#neF$t;t6;Bo&V4O`&@UKvB!XEkLvpC zM*nw}H%k8rea)2q<Mm$FXYr+p^7T1e4s1Qd`R{A3V)@7U26`LX{?Ey1{5juAx1i&H zPeP;bpVgO{KF6;Xvp8x$N&LoP{_jk${{-EBeqTLZ-(bJ0cm6M?&$nlO=@P$j??dbV z&xihR`F+k?yrA)JZ_D1^*2lgrhkaWYpKUoln|pUOM|3n7e`#W__QQME4oyA8dcXLJ z-T4Xc?`Pe-<M&Ov_3~TQ?_~nlcpnBm%y2)sc5X|xHFrAK?{3xe<$~|t>dn!#xe@#+ z=3&G8tvUOrUA(s`SbNTgzx{9fPJegXwx%~_e}KiCd+&cZ<-XIm?mhI9aZ)uq*K2mp z>0IvY-g&Z0cZ^$&TmMe?&Xduy39cxb@#NdXLw}W9mUH#@PpPSxQn0ij$MfADr-~0= z4`Uua2%XeFjpI6(y1VzgeNhpQf6urr-|h79!Zg{>5)a)Teu+4__i;<<KJm?aH-}hQ zIhN_{+rRnl%a3~}>!x%4?t3F$W1qI$IJn|d)y<7R<xZ9@uix|}xb=Acgqk0254|4# z@SSA6?!yBSkVR)F_4|pg6ZN~Nw6l8FhO%7+3kxdRo-9+pqcLZm=FakI8~%lX!eix= z$(vgr7q?6<ZVisNu=cO0+E?(;?BOG(ho9aa+RWX$y1V7|#)9IF1@D6%{!2a7e7be3 zcFR}o)?SB-im4x7$R2uT-MY4%^L9A5^>vQj*SV?}Zm6I8;m6%W2frSAX!P&}+ryK+ zhdx%fO!lzY>uIq&@<#po4Taqwd>)?st+G8|^xgjWid7#flzx6-J~Z{vM|Rb6I~AK9 zz82vYKQ=sBe7iNfb#lMQyJLrL$sTGw^w3?^{Jlt?h@ZXM&hqIS{uL&!{o8(o`~T%W zr-%POwN@ya+pF8`i?;Z?s9-AR>+f9F-#Ojy3!Re}cvtIRvBl}e|BVHo7JPW~{LroS zEpP90eU}%Sr)BeR?vumqt=g@RXFfUizLmY7<2e_5{e+q??hm6LJ_$T|*Pb)GUQADf zPy6KA{T$|8%j>7!`8|8XzMvf;7Qc2sxn|!|{a@sq$hYj1z4e^uMdtm9F8Xcw@ZaM@ z!L7&tPptXTQn0k(&ypv8$~HgN7pyDzFy+bO<se_BtCY_dy*5u+PlRv&^gB<glWxb$ z^&Zl^Uw!MD-2LgdHl6#vVOxxr%*VZpa`QgcF4g`1W8URy#;vvcwr@VmyN`d%)0u~a z_r-5HoBMCOHuv`rwV!qUf6n_gt$5%4eNUU-AI;zS<oBKEc^_>*=!VzS*PJ%JZ+*Y$ z@b{(L?>w%)5&iD--?zH_KklE}y5?i;J>Aze{1vC!?#ti%G~vB$e&v$&pAP*$YCqj) zU;6H|YuBo7|9S77S^1BB@2A~;o8o>uZbyK{9mk@}0(l~S<x}q5_Kdh6xg*3PN@wTR z?t=dJ8}sHzFZ(d%{n5P7bKYOh+xeh+N3`D0e=nw`*Ss$|EqXuvZqeEAG28Ea_<Kh8 z`;U9ir~R&pFFDP;@A;0@-uLc#k<Y8AMw{*WZn*!z;pV5=k{|cS=agr)N(Yx!wR5F& z{ciWnlhFg^vz8~{CLTH$c!=5CBHW^;^~vcIPr1)uu3!2@e8TNJl~bRnPriL8M(O9n zCuZh-(`pQNo@HM@t;V@%wz=28o=NB9lz-Q&Z2!Ge_a|4;Z1v+F@8q=C$g0O^$jIsM ztZXV+T<|OE<X+*{QuiGp7FBbfTzlPGt<IUw^;_LLZ;xZevJX#sC*95$dbb-C&C5OP zYBm=vEvQ-b<Xm(M`*P0bT<pue?W~-4gjsx4DL*fA?VOOF2;Y6JojXHz1X|ce7rmEB z{2TKy=;4X!cdYz(l<zB8w&B0o$zJZ3bT0pUdOK?u7W`Lwxbo2BjZY4DgOaAVO1Yk> zT#VWrZJ8LopD(yTNz?1(U5AL>UONITYTBPXvu>4cy<G13E>?F<ymE||Osw`!QLgXn zgwKh5yRW;ma4#ss8J&D9-SXR<%U@J(zx$^|l^9K#`zkw&H-C7r_t4Hm2X!a)-&2p# zo^wZQ=kL12T%{NdnL3A}&&`K=54~(x`JN|y&0Z%)OXjZL&aZ+G<8<a|zPYcs^JCz{ znTIA@t8TYfyR+ZBV%3Kqk0<flE5>Nbs86z<FCtgt1<J}>o-BTPXzQVq=_=*++G}e4 zb_7`XsG8gB-_e+JPj}~c*2KSZ4}%`Q=$;fEFZ8Z9(jxfAc@^`0N;bh2pF&T*UC;Ub zy(lQB?s{@KpCg>>_;=5DKCSHcMb3%x?Nj~vq7D=sw<qo9=g7V<s3*d=Uwh|FZgZ~X z_b1=^y>G)mW>7KL`s5maYqh=5IgxMmIy(b7KsnW==(pp;gZ{1ND%=0d1!-6QGQPR9 z{mwfV`{{T7wSM^cq%8f1_sQ&5dG+nquA0^t*SF*=ZnyroURC~|TZMknZsQ+opX@wz z(0NjS9VpoBbbi`C`F1WtZRgkOhxe2Z%{=r^UPb<2V1@ptL!WCxKE*tI;6JJVpH7VS zoUlpvo#QIz)la<hYjwf<e2`MFlkN55_qz9du=%f8xvt=Y|4H|)1#1gF>7U$N&#kYz z{d;u3=e_C}i{Kmo`=1;WJ~Z`^_Q|(%e@@;cY<B4MiMM^<<4^W3ZxNq-d+xu>lb&;} zSJ-ZS(s<KjJMA^Lpj2(Azw>JJ*H-Bd|3B*~ZZDTw?O_*Ov1!$lZ&`=_UTrOI4WA%B z@43{b$LloL?Dg0YVDZK~Z>{Q{mOUSAu1~93_TkCVNw>ROe!u1bnXGSfe)@^N-Rm}( z3FwLNU7vg>CVoet#a)M@eCNc!OAjqQbkS{6v^4j3lZQTu|5GPP&jtl{j@Hh-GYjgA zAI3ZsebRb8h5P2mZ6a}AdF48>pZ<j%>OS$-Z|Reh*EVgQbLU;lZI8TM?L94b-bvi{ zjMLmR>CU?+w@>@+X#QYSwEMB-Bx!3deYNe@f9I;47mahwE1xts^?0<-o+)?UMeO#t zcR#d3aOd5XQ*3VfmQ9;{dv1Zo&aJyY2o>#4exq2q^n+K??#b^ptslmLgKhivC&%m# zb)S6eS08^86b!fLrl?rot2^X<@@?PN{ZIZfw}?-?J=g2xWaiX#%bj;Q`6u16im2e+ zdDoC{;+~)i)tz@w`rhP>*W1%`=Uqj+SDuX8o*8%Eec_*O6JEi(^R8##1lji<50^eE zJ8tFmX`kwzjyvx{yyoq30z2)))Jf6nh2os@%6n8Ng>$V}*>3&w;wIsJQ5BLq?|zI^ z-kHb^_GmK9qsghqt^F!Aci!FDH+@fi(+8!Z-NBlbpQ3I?`sI}$5?0+V^HB9k+3||G zPr&KJ?_bqTPJf{|x4iN_lU2>{DS+K}U!!u}2d<*sllOR**@S~l^P9Nmf7(OWCuPfn zK0OJ6n6}*`Z>}0R5|(>IBO(2S-wRHC<?Yt@W=@h$=LEZLyVpGJJxzDssd%Rzw~hvz zc5dRH`gKsZJvx1^sA#wFJoTNW`@oT~$M=)h!>Lco)b~4-9oo(fHm!A%v^d1HjZbzS z(mwIluV~|&is>Bss@tuvEuHjTUpUSsuUt#jUvK){r%%e%_c#^3_5%BqLuI{4oM&G7 zr`0LyHSOT^u{XHraV$7W|7Ss?^oi8XkLyH1sclWbr+=le)V3QErR%5PiGq04+ym-S z^HY95<Q}FzDLdY+VxA`y=aX0d%iJ64(f3nj-;05xv}*p7ztOGG1o9o?Q$LmMb_(EN zlb-@jAoeQO4|BoE?R(<M-rKF<T<Q*s(}=P?)-BT~-Ijg5c+&UxB2b^&sac;s`PQ#u z`jczNTc=OBE&Es)nnEg-tRJekPM>&N_I>aq>G@({$DLM%W`*-7`o2ek9rtU_lfNO* ztnhQs8y)rS)(`JaijEfmr?+ZY!0Dgz`=JW<>hDcY+#soUzY;Ws)P$DpS<eZMhP{)% z@7IGS-x_B~^0hm;b|pAcYl5MX`hVJ+mhX^A-L7gbCjfTb{fW@buut1MP7j<<?@!+I zzZRC@*de)ITV;El1~}yGCqYB5UdK975uCN`C*G+Ds4(1l_vL?3Q7ZbRO#SD~Hx>5c z;8>0Kxc7f4G{ps-0;jltUPZ63AM!r&w(q0#N$u9~Nw??z*}h5GP6ce-{>gVLBEZI- zhsDGF(|zANJ_Hr*PX6oo>7P6-THPUu<iE<!uj$|<w*nS&`%n1&2#18+>?g;(9v*#C zw)}rNEXm1ldi*ySoE@@G{{Alnjn;p<;As6Z<H@tfpnA1l<@-E=Yx70)MEj&puKmyT zeIBTWnm_GMg&U}m5qa|M^OoP|x&1}uzK2ymTwVQeYt6&@_SK+DaJARFHEK2yu@>PL z5ze13&H~l1-74k358a9aHI;8onyoLEC*mjW`EIT9n*A=I7Fu{ww!)oge~aK7@$p5; z%%GZ^S9N>5)}8wvphkG|$@W>G)~eCTxxOvzv$>vgvCsCjyA`t|%;Kg~QMq@buehEF z-}Xs&?nHqKe7B<f=)_uUQ0-cMa;<Djbz8x*4f_{AIp%lBxK-WSJ5OKi-FqdQ(26~) zpB%p1qTTv<;gfUk4mI1h6t_0ps>;7rjnSU-MtA4#{tesK7c4BWm~`ikKgi(tqW27m ze;q(A0)>-nn_H{fInud)FMD$AbZa`7Ki||lc0N1mcYFwW_)%~Yf4|5&k-q*(cQ&@L zuNOEc$`_~k^F=5qEQC(pUEI1goLii$ef`8cTR`ojWR>rIqSyL`^+fynrr)u0-0?jM zR7bg-<aGyycIl+s>&4&ASFs7LsM+-7usJA{^QYXYoc!T{=s8h7zlk+p(m+j(kdt?} zb7U{)6z6JR?wvPJ@s7rvs!7%9T(8qXeZu^yccQfJSVvm~->`QtdK~j`<{{zuqTe<T z|NR6t7V{_9R5XG@x$NXz?pAhjP-8Lqq`Nq`IhVb2-v7JH_PCU(P3OArmREjkx~JWq zm>oeD`<#lNdnM`$-0Qh>@1{m&)zmi??**>i*NoA!shs{~`$X}1&#zA6UoW^$q;LJy zJDjasU$>Ym>)ZINp1-GS{e9ByxhKC)vOeA7ueRNKv9wCQNL|~VcT>zy`F)W~)E0Vo zS7nZ-&A!M_r%$|{d#+mbdY<6Do;&YMyyoroFMFrD-FkAgYWY3=8l#<eC4FI<<tNNN z$=;f;yxsaSzo*?U-yaS|yBF6+d~$mDq3q<@ehzc4^o>uxPr7ZbUheU(Cgev-(Qe~i z!Jph7rk{B0_tWs^%sZ+z(mU@;*2jFhsNTY_vfVmLsd8b#56_cl{W;CK(&tb66IWFI zJZ0yTZ|N<+=Yv~+bDkUy*%4&%Z_kr+cMmn|gZgRTJ?wrh0yUFVPu|t%&YoGYu;7R2 z$+PRZ%(<4wPrkD|JmUYZf|Uhdcu%hV-BKM4itO2wr0)yO)3n(cU-bL-p}*{)e)@jp zpC5J~ntG^EZ|7&*f?NOo{M`4X_0U6p74vXVkI#O}o!=8T{7Zfq^l-(5JHI*$-aCR! zT0TkIUU;6S&Ci`rzUjC8-Y+sw%SKOC{+}1fkz1df%Wq-d4@x(3lWM+LgVIgn$-6T* ze5-!w^zeh=$+Pw#qwA;KsSb(wKN;l6^pk77c6=8H8NGgzbUn!ENX?yp%OC!;2RZV; z=Fbgc>qPqgtM2@q|L|ZksLB3#QvW~Y80|SWiaUQV*>H_RoU8r+)H^j^I|40gql(^3 zCjN~C<s!?IYoE7P@4XuTW9p69TldQ?fAZ~o^s&_n@jo_C4+ZrvuBw!WO|RZ!s-iE# zcYVs8nu!IA3vNxX{<AgZctkWPYrxun{-SdGT|PbB1?sCi6s6lfJopRb4z@}CW+LlE z{o=HC?w+_|+s1;01uH!B_W6KvvrAEaaAK`7sF)}|xmLHOIvUiC+x6sF7^s+t_Rh;! zzH>heRQ_0>Y`@JN&UM_{<DJBzX4%%_*5<h?@^3*cW}Rtw?z%?Y4h3~ReT#}U?r6+; zr?+!^`-XkX3sx3vnf~P3y+eCzTZ~)()~cGjfeilbnfG4*j=ck@OX6^{ot-nB>o~jj zI~gS#1CYVfz3r?*c7$12`V@UHNPNoyiWHBNXBW3fw+4F`y%#<7OS{#(b#b_7p040K zS=~9BZ}vwOJvIfIyzR+1!$W_QTR{UnlWQvWfO>vSPtIkxva5rN%)Td!uXA4KQeQv) zj;&{ebtI^R*8Jp|G^hYF_k6eW&@W9;8+o?sc0bW~dtE`rgm2N~5>R1mJYoC(ddqol zc+P>kHs>bQd<lCP^)O}SlXo`{-LeFg>N_XR-dC`=plaTedp{q1vy{%C3~HS-oqW5U z`@6o#yh*pKo4QrxdqD#X-KypKg4gs|w|}4V<lFbZFN|(()DwRft2jsVO}yrJ9_E`X zMf%oHx>MQy;lbQPmOE?h9`05#Uk|D>)=#{%J1l}9<p0+y+wbY#*&PR}GSqe!HiNp6 zy_0Ug7kuXqD$LA1?e;|O2(qYK|KyzRq2}+P!fd;jUDf`AwFO2K@BD4p@XZkvvd)uc zZ|5}US}s5B&hFTV{~ZM@3q-v0?ke8-t+C^8;rvPB|6;%R-IT2J0Tp)MMb94}YWC-N z{-kw&c;}O6>Y$MG_k33y6%o&u_kQNY+s`e3_Pn_<U-VtQU&X2q7E`O;=1-p+_3%mF z$-DX-+229gAb!f7Ka)V+_|%hoeIovMfihU=$+hb_zrPbbC-QB--p-G_pdkOPvVHlX zzxiDLC*Qu7sqy}F@h_<E*sfYGFK}%ibKZN+lidGney@8Iv9sWPHK?QZ{pq}i{3*+W zEaDV*es+F%u)n4F)1mW+<-PO7Koz>b%6B=DYxP`lKPo-qepLQ2zPYhp@6P{LP;I6E zbY7sQ_0~ft*Q=D*>#q6hwnJh2dG%jwpImc3wD&$}bb;SH@63U>wxT=#?s|0pbl>NW z4-fc3`Ac3^-c~DS^6lT3?LFW9jf_~|;@!G<zenC*(L47p|DO#S0@PNO{}&4?dD`zh z{uf-fC2B{2#h>X<p6zdyF8W=w>A1>wd2zFU%AhWF%ad=DAAFnVs0`|8#-DWe2PIek z)3*1FH!Zf;j?t2_Rp0q_Pr>@_$GFcg`EATq@cVP(o~{oCp%1+tR+yfgd-dSk>r2-v zRxT{qvFypS?iT6R%ln?(i#qh{7ARqNt8N$L{C-XFoJia+p_8>mUk??3yEZjOo?|+f zezgCmjyv;suTQ^o$6-fc#m}}$%b$G9`4n{WZg0!h-qvu9m<hKx?^Lrsc)G=XHplvq z9ctUtSNo=_-}AX~Gp6FA*dgYk>TUa%g8aMdN%wXkxk<M-AG<c`yvV#9<)4#sTXT!5 zuif(dbnhD|ruZgFw}H}Y-=y2toZrjE=4si)>HI7Z2l*oW<lMV0?9LBk9wwBZbgu>t z$X0v3d#AeQt!|8l%sb_sJJ%O1F0h((=eB>u{rDXr7FG^LuN@xVa|9WDa8iDC#|Fo| zdYRJTPeBh~Je?$8w_<~9-uYSWp7%87$m#uz?i2WS;%(%2kCR&$w{W-qmw)=Bh3Bg; z0~k~=Zn;}|C;9VVyMN7&Z#Ev6<2e^$Jk9J|v*vNR>NkPS3py4h<(^Wwc1&*TQd5hN zPTO4*UMZ~V*t*g*%j=5RTGemwf1mog%l`Vy_y6PN`YUYDo4zle`{a)L%R856S{onQ zX?sVheElr;b5q|=O)sC&Zg~DqU}eg&eZ6z1JTIR%dH0FUN$+NC%&!h#tNHxNnY>SL zX0WHdxqUx2`&IRcowiRst50$ofBX6^>|WQ-E&ZQPR^BvEzW-(4scOUP--7LO>n%-^ z?>}I8x_HKHcCGn!6Jkt~?;kpNDwuVr^yePuDdA^tZ2y$}^rrLE#52arwfoQ9*j_l} z)1QY$pExSR&d3^n%N5_ctY*m^ORssdpAYoZ{&vsy{i|N|PNMSC#-c+#wR;~=*`A~~ zb&9`T+_$qg%>M>I-8&;&U1NUPBAHnm^9v?@3OsXmzUKV8O(1)nA}e2sfb0#P3bMCo z)~7cwKN&omnXUo0ciE>u=bxsy&J!&@-cx&f|AkqfB8#5oRF<7#HvabZ{DoP+EQ{X7 zR9>nC+q>#h*qNoDr~2=6_;&V&dF{eaac8!6Px0R!!FTqC`3{$#8qc2TPxW7a($)Bz zZp<p1(wTR9D~~-rwL0xh^iHSBZ(fxWpU<qG;-2>A_Kv8^eR}HaC#E00W0-vZ+xjib zYSzx#=?C@i^=#k2Y?kGVZB{C(pSf}U_g0X-_ci9%Onx(aV}8{#kiD~~`tNoH+Z*~* z<5}90nqRp^7kg^Cw`=?V4SRRY<}u&XP~&fF|M*pY^8?wt8*Fce*7^Io>hEFp?u`oG z=YQ^w{`tPjgk$^uvTs@TZ^4}Glau8p38%ez{Wq>s``IP=DgOT!gY5m~4~k#8FuS*c zp!i*|wnn7*^Z8TD?X|?u-q`*@{OQWbBJ*dG{hI4%ZOngK`1Gdwlf*N|)~A$>zvbGj zwD})y`E!bm_Om|8-`oB#Gkv=J=^0~ax~dP$eidu^eU8n`O3TD!`|fd{iZ+}c7h?BQ z6`Zb4gY5mct|rPK<li6dp!f}+;$I)CH)~`5L(``#uRqN@V|*_l&NTV{soAGC*Mj`J z9qiv9%Rl|OT~xFUX75tdr{z!1?1tJapW*x0*7AFP<)z=?_~i$stJ)PcpWHX)AKUk` zf9m(7b-Yvkf4hL~?FZTWUu*uqm7sKWDE(CM{L^=5q}MFmlXYz0)QO+oOb4Z_cui2c z`ndJeAM>J5(a`i<zuffc`=>qT{l7!&<jt+0HA?>e_WZ)EUvrA`^eQi@fIWQ&<mqRD zc9n4;PnS4V>OZ?xb87in{r0ps(mD%jM2d?YpI#Q9)SdPwIwGPnOAwT(mwZ|!`8!N3 zbY85F+%cQt!lx4@f16DUm=_=9r>A<pYiIJYeQ%d;T{dTu@#zzrljUY?%&(7JyHa2M z`LyZkYZX9=D>@Jq$zG?_m#5zEslBYN{r+f7wCm3;p-(UL)Ha_y<!)#m9&A@zx-;Y0 zzE;yI%WFW^YJ$^rWN>AfE-0+GP6egu2=~gmSj(OBpkZws6+BNX{o3^O<2DA#_y4g) z1>X-im#gCct8tD&a{T*tkastoQqR}<o%Uw-Jr|I7mz`Rks|NCJ&N`5Hxu0I%J_Y1m zjw#ExP1<dE{zg>g)t*}I+ZyNnCbt`&|1<H^iJn^N+gj)I)zzJ$L7l(e^r`HVGkwq~ zzVDg+%KG%q*e9O5Pl1Z8B9OIZ!FHA9piurI2TEGsLhN$&K}k9xA5>)h)|fwUI>_1^ z;gwnTAn*Q#6detp-ZX-um>nF&g&RKAIQq#cK|@(S+xKUHrEx4Y3%6(b{w=U9Z?Rda z0Saa7AW$d=o>G_B1$p;hCnyUiPw}@710^jBKTsC-{Q2ea(?ycM#p+hsls18ij!tke zTY6T0O9$Dj4lXJK!{*t$`pwgV+IxA+vYK6UES;hDrf2#7eO|Oq$^Ta?IGA;wuASkX zu07wz8C+!bf$S}w>TeCsN-MPFkKVC~|M`Xc3CLc(ka@d2Kt)Fqxaf#kWb@k_6uq;+ z!8~2-e7u^v{&Z-OB^njHFX&v1DzxZWy>(gK^y2F$CfBKg{JT30<loX$>hby@|L$`H zMQ`t^<)%+SsVZJu{^*@Qy`Ns1gNw>DA@g=cg6tIo+k0n~jr7UGGt%!ZvoT7(f9&n4 z&-<rNE{E1gzssY7_XVH31G9J8wJYzZ6@Nc5`Q23Ev^THsF5e?s{Ic%pWcdlT#@~GH zSL_ilUc0pBmUB_Yv3&>MPwh|2`{(%ci~T835&g%r@>L=ze%--U(xtF@e>dCoR6bh_ zF0%f(R@P0MQ{w}z8v3(+e{x!0o(N6OlcR$FubxxwHSdc#IQL!#rK@>?c0VIQ>8d0g z<lo{`%Wc8AcMmA{es+C&IUbyQD<Ugjy$2PQwqXDMajyI(S}9TdW!0xc*+s8PcS;w3 z<$Zc^_9u;JY4MdGbuIt;?-Vb7oBQ-(&duM`?{w|_(pU69cBg!C?E;(c^XB~6ey4Be zAG^=Xtn+X3Z%LCV{<84XA@`zJ$vd;%{Bu{>{BimAPkDQi-rw+@|22E(HCh(s)hsjp zdH(4W@gntSr#vdZd4nvU`svX1qF2%&%cGwjEdQkO?AiBI-S_sqn^-M3uiKuz_xYv% zop#GV-H9nmnsldcr_J_HXKX$xJUh1M)Mrjh%lUUYcN*>g^oGeq?(>PB<9A{Lvb(rX zzHEIOcV?^WmC4>&zDa>QWs55fpUyn`v{ABOJ<6#v(bID4lsmmUcWwEkpxU35r?+^| zjx}@Q{N5dniH@t>(e!4m#`ZH6XP!=-l70zf)2UORSD!c;`qb~t)RU(+uReKr#^S3} z#ji~W-gl>Wr<H5vhGjNgJ9kd|^ypSmmF!OO;@sG$534p!pBQa8eVNwx>(hT5UN;Yz zcRRo@M`e4m-hYS6XYHR9p1Flp)+Jl+?7Y*tbJNOCZ_+*)Jj*D4+N%5H%CsW$XITqu zZg|PfEY#h)EiCSq+qdN>|IT=AA2jdwG&lbu>!)*PXfOQq<{L;4`&W_jE3>}%73n{_ zb?(&R*p&Qmss81;$A0@*E;pTd_le_~-n&zue-H4_@_%}F#_DQK{%_OV4b8s?#+^C+ zvNqG^+&;<v&HLtGd-83%F#CzOGfuOwQm>wHjVHN}FDy>u#7gHU>m>V^e@lM)aN#EN z*u0}LRz5rHEqdoQN}gX`*mCVjchM)0ox;UWHNLXAXP?!0_RN2Zc>l!9$4}WB%lZGc zc)D}a9E-TT<1znMemcWxQq`7bv;Kw4TFp5s%j?w2lh@5#GjGEh(|ejl+RrYnJoWeT zlYNr?%L{jX`XgEN$p>Wa^HZBerEHar=Y@Y3j|#T&_M5N!J!#$90J(X})z2@z$e(>N z-p)VUD6~?b_;JnCpWIKLw1U!?>#5D+rw`9q>^()iPIGxyk@>S%OHVbQeiC@*?CGiE z>nB_eKecy8cDN@0`e}Q2-Ras{(p&UDyvY99udSzwub=FE{j}`NQ|T$`c~jU8&81F# z-al>f{)yIx;dw#x=4$dM_vMAf?Qr$Gt5u%7&h1q5ZIH3Ir;68WFMmIw+VFbaa+^KD z-`-7%Hk>}|l=^+$-_II%&%69x<NeW?-QkrL^WWUn-=3sryWmcJz`Nry`I_f#Rn+VB zL5ah$@>xH)3bC2~Z~m5L|2E92cA0l&8mNr<8wo1g7N1i8@A~cR<6;YMP+m_ywcJ(@ zRCU=btPv^RytwAqWN>q=K6GA{7pQE@2bblQQI+2$L1k(>xXOH?b>3cGeg5=xNHdT- zD!4B2+#VHZnL2&zvVY~4*C(t0b@rRFasBr$P%|)ms(+m?s4V}x3sjbyPw}q~2Gt=i zKxJx;_s=i&;IeJcS{u`7kiGF>du!V(@9zAuZjSVcncts0+5a^0%-!!(&Fv>-%b!}> z|K!l|qDqbG{}a3aPt~4(s`>nrOX5ZOD&qSlS;wE=ng8@!dC>#aJCX(a+l#*6lKGV3 zx6{Z|?u_p9XH%;=ciPXF(NicFpR$?z^jp`I`oNvrX4pi<oYT>4_da=c>C-DlMX8aM z8|KW3c6_&1gFSQS=1p@VL-Ty6U0!|qrs<P5{omQAwr+iL#i}SZwsOO~IkUCCUz^^{ z`}CY!(X;j<ZSCde6BqkF{m7c|Kl9dWrA=>V?KM-|cKX||88^<|&Q3|P&R*Z!`F6@) zJGE`@|L4D*w>M3#Zg1-P*`059?JZN=w))$znK$xoWM?GZ-hTb!k+QRK-om#h?wzN$ zZSwrjTMahGZohu@$hPlK|NpDH8W%6T-)_tG8%N4+#-$72uB~3{n<M`AtJ%i8o37tE zQdYI@$d5DrIqu(X&A#Dx?(_craoesxII_MP%s=yg|7-7WRdarPow!#`eH-_;U2|^8 z-N-IZvQA%rzVq$0y>9B;E_<u|d;anH+pSg~U#nK{^~?GG#?*SF?2XrDN!FXLzdKS^ z^z+F7FVo+e+I)O{&+Gs1*RIvN{(pX5h&wNQyRzEXKj-_~QrnHPx3a5~b|<WV-}$z0 z?=kgl#ow&v-^ja}U7KXR;rj0*Whv`_cD_wf`?&x7`MBT0``_h$*uOt+%lC%Pc==nu z4;(4GcaK|b+wX661{-B>RUbN1cKx2X+P35T&;CFEZzA3K-`eTf^)E7dnX{gkocq)E zGv>tE$#XuN8y>&U=X++!NA*{_#&LU(&Yt;r|H|kWKj&N)wB28Pd{0C1OS5O(Nq^sd zaXQzlws%8uh56o&&ll#Dth;}>Ch+-#xGKZvjg^JZZ`GceUU2T7zy8ZR=K4?P{Al}m z>BIICuIw|XEw=AV-ly}&cJG|xvxhf--t+XK<qOd{-Nl!*t;(M*3NP|I>$`le^ydrO z*2|wS4KM5yI`#MG6!Yid^CBH8vmKw_Y@M=QJWNh!(Vod6a(b)w?4I%I&ZAS+-Wuoi zSMJ$A<<p@{r`R>09!;IXKRq-q%I{}J<<qC4Q>wK!*Uu=d6VldRKWn0Tcxarlvj5s; zd-B}kVw-=idhzAu$#cG+v(6pWJQH4iZvE1)w#L`Ouch^Uy;-{DUcCQnW#enljiTny zSoL-B*RA{ZF!p|Z$-8x5`mx6^|2VupET8rFLeFvG)S1b(wZd!tb60)MHNH08{PM3y zVo~-6k3U}7meTh%alzM{Yq#!8J@)I6S=9Vl%f5EzZrzu4?AMtxup0BSw0&*GRpPhG z-_2TX5nh(MZ&|UL`}(JM%-6q-x!wEI>fFJ1Uv`~y{#<qL=-!#>b2k6J7(6%n+1HI} z_0Oa&mh&wzFL^h^yyzQ$+CS#!2TP>-_g6^t9-nVDXWpYbhL1l^?@#DE{$4!O=HEQY z{{2-d#Ww%m1e(azf0mHy-ygB4{)LT6`dshh#`kYn77Oj|EIz$wW%0N4sL3|H_s%>m z{rv3Q%FA1qg)S^yw`u=^!gXwEb5{R~JX5&t(B{lJt6#`SJzrH~*E?^aq-`(vjK%8Z zioJ60m85K2y)(Z2n11m^=b0BL<Il<1c4}vQ*>dKEXYAT}|JGj#-Z9P1|C5!JZLV>Z z*L7oe|L<;6{mbiwdyh|^<+*ryvYY?Uv}Z3q<}bV$+?(;`$-4y?FPko2{>l2;i<5Ue z7cb9u^Do$5CG>su@+>$1Z;W4g&b(M@e?rD~ar>3a|0Y*8wP$|eIa^`V>po+#`t@ZO zFV{>t_F2ou?QxXsjKZ~I^67oqr9YNjoATc)`Rs`v)2ZoO)IP4c7IHRXa*bp5tF$jm z;!^rd&xU90Ni$x5sp9Onv%zz+*Bc(sHmjI>EW0e@SoXG$Y{h%hit{g3oUN*rT7UD? zZIigo4|kjF+b3&$F1_-A%=63j{l|X3nOSJJS>E9I&o6DSR?fIM`KOC*t#MV>|HQs| z50}5n?7cGi?<BYL2YQYN|L?M_I<!9Xi_85>6*fnAez0~pfB2`&j6%EDlV|uB@;zTx zXy=|b=X{3TGmH5@D>LVucWFBIGiPR@oiVr3@t<ENnVd^MYGcRWZFKzc``O2zCmKF` z{CNXQ@A3OyE7f=N*xK<w`&bz<?dK009ryn8hq+9uytFS@*f<+kNv$?;_s<KJvb~og zW!ri+^UIR!%L?tJ)8?GNV|Av`Zg1&JQ`y;RbIu>CI#XyjSyJlx=G<dHb$nC%K3m?H zaO`JJo>PCk`0PuMueVAbUz>ZZ#`k$ban+w)2L18k(FXGS^|mcJzP`IbZol5Pg@tz# zs-=$S&Mv%jiSJC|oiBY~W}S;Q?u)+JY1udX=FDS-ztd#4Z{seOG2dw2`?&1;!ooW- z^Ntnnt<99#o*8#}=LY#=8S|a?y^pt@wa9zP@XR9bmxI*twc;5v=H(i_-w)4|d>{Dp z<(ZFiQ}r+Xxc_vY<p13<7D3ru$1~0z=rKK-ymi@+;I)#oHZCh^x|ZU%?D&3vgZ}#Y z0oP`|dl@y^zWLa!d6NA*OQv1xITI0V7j1iT)wL^UBPLtLXU`JP_WdR#l^vBPqg}r9 z+LtpCllME>9^Q3r%KE_bCwk`H;*FZTD=_<2+M1JRuFRSydHmG9Gcwt`wrBeOEBpH8 z%;V#CQtbZSFE_p?uT^e*{I~NnIjQ&jwZ`}4n|{xH{P$q~#UE9sXDe)Gw$FGRG1orR z_g$h?_O7%woau)0|KCrP>|g#zqS!|6f1y<W^7LbmPuiW7=|5f_Wh-i2WpsW8C@;K? zeD*@pZuz`x-mgyX^I4ppAh)0Y|6I$eLvp?IUWiuxvHQbjTPJU}c=<=Q&mVsm%y;Ym zF4jBm(CsfjYJb>P{jtjdsh|DxN9~W=D&Ot-mu>3g|22TP&QQtvBQ<~Q{&4nwZ$Gx& z<evPW^BH^WUD9gaF4CX*_^;w;(cbH&mwQZ?zMt{fN^)i)@09)NeWp7-xp(}plB=pp z`|{*@TA%69pIesYADeaPPgHP3L^fO68cn@Lrbmx%P0Kho>yl7ZuvaE1FFsA(qPCl< z_xV+`$Ca$wS-$zAYc<z+CHvm*c^>+0>#^C3Oht{aExC4eR-2^l%daz%&t@=7J>RfN zvG@3!+$E-8eKTXcSDwv?@s>4SxAfDqGuKPLZC`M`=-tdh-O%kRec77Q7j{fzON;St z%{)`6dv)95k2iu8dyj{iKmK^V{L-v1=b|nfB>N_tul-nleb$K{)0ul`KHil(v+!=( z_ryNaw|}>&-I;%ER-a^c5qq}pd7FRtk2h6)x}0gVexKy|)de%IiS%8W)hL<W<(}#L zF#?j<=3JZNcVX5<$?Q|=S-v|#EpL-+A!jP$rW$WiD_jODb%JfZvnQoz`=0GF-THZp zTIkhTyCkzWi5nlUPB`83{lFvDGauz<{8H`xexOhCedE7W5O?<^x&8JtKJNJxZg_m- zr~Q{^?UT&rx}N3x&~2^cjE&1~T$uI92V(!`Yg6(rgYDm*?fcVj?Z<bEHZDs#mb~uH zYSYf&Tb5-Vo0a9AJ&8XPWdF?enU8JE&sv;sb)WIrM*X(Qx#HVBpXdDJE}rxJ;Nw@C zc8-hHuP(fJ*>LglKPtsvzRX*6F}S`@ZvS~3|Ni^wXC8l_DL$kBetO)J>rVZZf9yUB zeg63S%;_&bYLD5}&97{=yq7MNe<9l9`k82}<@ZwLEUur8wq&n;7H!Rc_O^xjy(GJ{ zmcR8&x1D{#b3ZWsc+a^@yI=Y6XOxtkeW6pg;M_vVxlj48S{g0h{BfdHvHa@G(wAPW z`9J+wv46eSVq+urbN|xMT)b)ecxSj>df)MxKbzdrpKD9a-MK!q<lC9X;(yZ5UVPcN z^x{pE#hX9K7MCo09&_RE_0M%r-pPL6cJArU8TrrO_%ABV{hqY$+*zBF$}+jC>gSD> z?9YGgIa6M8PT%2i#JwhyzN;pWBU)Q$JdQZo`gEJ*aV_0LGRM8tGi6q1AKRGaZE$?! zChtoY*ADa~U!9)Rm)z=oTG_Zybm@%85kFHiWVAQx^d^^vEht=bsmr8qYFy{Bjl0rh zR!>UfOAlLCxMrW^@l|UN$sFejTUw}-&ntDj>x@O%z4X3h)nyl6<R6WRnQYlNHLCmA zMyoWL)qBi}Wwd86gy`~3?Mr5Tec9rg!Lws*XA0NcX*212n(Oj7!q>P@H1CYe^|I?d z+1C3xKOfkcer#*(%_)}a-kwP_S@(9I<n=PkfaTjod(W-1Ebz-YD>K*E*oyo13S-M& zxAZNty~k&AW|nL_(^y<q{p`h?*%u>kH(JW2Z&2>_%MY*mV`|#Jf0^a8$Ln9_efg0s zRa{sOV#|H`k)0)1@B2N)?%%9uFF#(tV)Jj-yVEs)Oz-x~)%zyry*Xd=$JDk#uHKh_ z$?^DL<GxU<7R$b=zYKemOV3z@{S0_!G0i^q-OR!@uM*D`u6gs&q|emgal~Gw&vWN+ zyI*>7Mt1F<bhmq!Zk6vM*7AFuvQAr*|98sIbLS3nM$NbMtUTTG_2S7>#;I%l=dZFc zO0NA|^7N(g7Q3ItPfLxjJwKn;SN!_yg2KGnX>)ELvfMbY_~|yu=i5r;is#%enR;yJ z+gXKqx5W*P7xU|f_<u`!nrkc<{WR!VMb5ue6S?T~J;%fL+FUDcwJ~_S@#^}NzT;wX zu8%kNo~g)L8*h00{nky_Fa5B+bfD+H^40apefO1HuV4OQ8&sm)dtX^Jf7$f{xf!+3 zf9alCZqdH|Qnpq9nQTjU!OvUge%^lZhi%ZKGO7LUSu;OYFF7;!%*W~_j+2jlcVD_Y zvnJly<Z;b4xrDywGioLrE6$nyQN~;MSw-K=_6(cjr{rIJEUuYx?E494<9+$MwufYn zXPsa4@t>HT+vC4$v-#!}_ZuJoefvbu_w6q@pH<YKF3;GrpLhPEi;@4Nd;Q*LS{1CB zaq*@7VVSwM##XD(8@Z?dVwIXZQF87~@vApY790PcWF@SB`9;pmi!-ZTL29_yuiBjN zmj2bWG%Y^-`GKBur=nl^{F&^Qepbdp{eD{CxoMK;_gX~HU0HweMGjwv&G$FeCRVqf z9kBdiUR=U==Ea)*=Vi{@{%LSa|DP;1_o!m;xrLSmdbNjS=C1sI;l-O77bE}a7ni8* zUvM$fe6g`@lAMM4nHN0!L!O`LIrl=ll<oe?&B<=*@2X2dWkWUh&i_?%a?9=6d(X|2 z?B8r+UTkx2qU7AEl{47S-P>bgrEF~VnX^>w+2V^gBPO^l-!IU6Zkc7lIh`{Q|8^T3 ze|$zQv!qOX`Nf&>O>XJ;Wh}&}rSzRU5@cc(omL_?J+03#-%@IBsIk@L)5iRBveQb^ z!UI6w{?+yB%$h~#rb*5{xaw8T?2D0e)||VNWnyKWW^?Y4NGac$7dmTKf=aHhTdzp2 zTMKg4)*h?i;LH-UGmXVnwa;F>nNetWT6)Fh`xD&Kf47^QOP{gy;!RWbb31!yE-p@S zOW(p-Z1erkG83!z=nF4&@;w({=6-QtW0KqQ{i4Ms-_E>PlXq5T?#tZF61TLHv~9uZ zmwV3DtT=b%8`$N~%EHpm_nbSV_X?DA?}pC3Ime1Utt9MQNP3dK)Lhfz2XpSswzP^) zv-$qW?v>Be%o4Nj%Rk=P%zoiT&TQuA4;-GokeP8Y(!RrTUUh{*@40{dnI&TUOD|fQ zu%BD{^327VRy}T@g8I|LGZ$ytbh)M9?K$`5;u+@WZIW{z`d_g$T5N3PHuvDiS8rxq zocVjD)$X*CY5oDr>y;o86%q!D&r_POzW6P@C^_@vozKfx8W&pio;!9v)24jC)8fsU zd~=NVC-j}0Cpq`(<{6I*)6Oruc++;eTlzm6skybq4`Nmrxu-v>k(#S(Y}GAp;J&<^ zv-jL8$+;)vjUdsK{R-rP{ULK_YG1u+wAgru*W6rVtM2gC#-YnE-ZXq%D7G#b6p@#{ zzLJ@BF>=4-+=sodWM*EB-0eAc<xCSR>1PKlYmJLb%FbBKe;R$|<$bTkn`^CmKTH0b z=9Ye4#^U+Agg#KLUVJ~}@y_S(mt3^mGutiwr<~N>dwWYBL>Ml<yuaVlsyeMC?0tIQ zxi8M(#Av)f928G~<gd*9q1+2fJv+C7)QJ6Cb8esH+^g<aXa4O5N7TH4^hM5#H>dH< zne1=mo_@OLdEB4XR>A%kU*y<1Ki-)>f9b`WX6)x2r(1%QUz?xY_gv1-fAQx3LcQl6 zoi?#*e|BK!m-1&Xe7<Isl%08@^S{~BYV!KaFV@VyIJ2&~^1&U0#l|(kbCr#)7Vooa zz6pt^>EL*}AGrL#9weT=?6Y!DD+#j;SpHqH_uPq|=kxx1%<b&YEHV4%{CMZ@|DZ~h z{oG9dnTt24yQP0MepWH(=K0K$vi(ag&ivm6$xr*z`pz9O2d7H0{i%I^`F*V)<N3i^ zsu&tq&y)JjHA>F?>UrkkOwSdYQ{B=H=3CuPD-nAho}R@hHCNWyio1J7ae`aACL~X} zUX|2a1`7SHORvm~n&p;$SjJ*`@EK6N?wme7aQS|n-gDo$OwOh6Q0hIm&a&X#+9NVx zznT==eE)OG#HxLAX34bh#TQ?$^<(c7HMaU|Is@#5tDv~L1&OQID>i4krPsxls$E-r z5tM|sH-h8JEF}GY&$(A_ug?5)n9FQzr9FFv@kY(wbF(Z9{PK>;%+)oXS6x_Kx@_Bm zi#P9d9V<Sc=eu}wF5jHy+!>2OeyEiyw)y_S-Nfp*V(+;}-ym_dg}Jz7S=o|{Hw_nW z-XmOGqE>8T^*XI&S$Q&~1S}5+<(LfqQnueKKqa8w`g7|f=RU2y`trT|;?3#D9_z?1 z0R_m^=BqF3X6*L1;h#HE^1N+*hn2AXvWqt@dX5!;=U;yDrV0DGnaU8qZapE>Z(Oa? zd+wcoM#;1Og%@v{v!82Cp1Ih#PzIbfj|vr+RH<Kjv1ZoAnKqr34`PfL8~-*2m2GS0 zuQ_)n^Ht8wi;{EJo!cfk_o(renO2iQMZ)n&3vW=Uc&D!}&TvbwZL>OD3@Q&cm+i9( z7QgT!X9n~0FRkFHs+p!Aw7lN1_gq=;v7bEp(Bkj(3~=#RVhM^WQ4_27@XIfBu4k51 zUBA$ne6+{!fB2b;H;or>uF@?oS(d-#qU6krGc&<a_3!#CpFeGG>8E?n-O+vZX6D7n z9iek;jjdKswA#FVB`D~hmcR13;|a-D<%W=Km9xsY)V%lHvs#mR)!*u*=H?bZkg+)+ z1M<Ubexu{X{Lv;>zv~xVjQpbvsjU8XT3QA3Uj|oJbuE@wlj|2kDywd2w(<cdwc}SM z|Ac~)Zn6K$&Dn10Kl5LGnZNAfP2<Of)8++(V)NAJS6|{5K*~S;RmLBMde6D_A1nU; z-w{&&tv7H772>Z}pZRF9dHux~Z}xdEHom_c5>MM_EH?h=4=Mlhjop`j*MpRQjGqte zOmj>BW($s||Iu@2_Fn-d-X9U*^3S<+ncYHAJ}DHlgOq>I!R6n7r@0S3K<>`Izry&d zZtuA^%L2dp<1%wWBO5=fOMl%{()(yrvhDezLc7DBCRV$j9k4VvFD~gj^P=ZjV&C(5 z^QXH#FQ}86`;;}Kq>B3zII8LupS=J@L+lJotIORNU-X=LaYkp|xn+`bf2v-Y88HbG zRYu^biU<Qo)#;VSyZ3;Kw6IIBeAf6tqH47PB&uRU=dL_yVl_MaLdCnt`EKdAWh~f( zp_$6}S;f1DQ6^TuUtfBmv(9~SaR%QUZ^>sbe0-NeGL>C%iCVqG;>~$(>A%_`HC195 z$j6I&uS({uJJ%;Ucc<=^o2H95?^$Z~x4|v_e9!U7S>RG;s{G2!x2L(KryMIjpTGQ^ zi^t;48<l&XzkBZji70DhNJQy@B5L=g7jNGAEjHfI-g|DJWr5zClQMHV_hyuAJM%*4 z-C3Er_v%cnR;QJyeNUIOxPIov8My`EvXA-dOiLF~ZPqNmdULK@`p1CMWy?T`?4t#@ zD=02?WFckW;wzGJkoLsmD>Jn&LNe4xXojj^<@cXOYVO<l7cJ&LJPC=W@H9w8rFjNa z&t7^VGtY7H<{GtLzxU4}6%{O<>CaefT+0V4=g*zl`6_4D#hLd0b33)bWx>wwE1*nQ zu+i#wT1l9GVEVV|;0ktU%-l}xjFM|-8jC-9J$sQe^W&ZF@Ri1;roHE$tp_##wwi#O ze>?gh71jFmzUOlH92Rf>pDi`_==}>XWM(lxzvO%7;>=l|i$Mh&XaLaXo*N{d%APeA zAMQE#VLPa1mb|<0+=7+hc-nmx6i+q%R)4EOeY}b}OWCi2`iGZ-mVXxjdEoiH|9h>3 z>la+SSux{S@pt)!kdn^~(sKGY(^4+|TcFh3yT>z1p2<UVl=_Ut#upcYO8U(|REkTg z{4aw`zM3{@$+rfSEU)c;b!N{BP|bbN8{F=ziJZG~KQx}!uL2b^FHKAN&c0Z)f8Dtc zyrq3-Uaa{WJa^@GXv5D8+M=jmdAYvbE&aEx)N|uM=8#Hi?|Dci#Sdyx*e|(gDRb$C zPQBCO%fI<$EyB*c`11cWq}@8-$UVKvWwEi*V&l(Ct!Af{Jlh|X{wNz<7!==M4XTR| zdhhzbYyJM+-_O2SbMBx_zp=G?FDRW&t(@_=Q0>{$i;>3c=T5Q|gOlaC<>#*aF|nG> zeeuN^Js(JA<qFAHwkKu!jbo;`rPp&x%{{7`S;BX=u{cxn*$W?CUvPX`9+jDU@@Zzt zwX-jL)*rAb$eVFda@`70Rdmto6;D_wsFipp1>9U;zs#?`RBEoRv0QqlFF0h@EcMIJ z1@$-1+wSkP5`KN@MUKzXk9Yol%`7oH+gO~&3@JbEPOy|qFH@G9dsnCT+@E76R==Me z*tvnTxJ1otDLB4%NEVk=F+vK!wKLeynHpPdj$RFlj9TeZP~-S?&pCyV^xHk>9({Y| z^VVVU=Hz3Kb>1ujg~-y_tCl8<H_r=B-!U81+PU^EC_O7*YA$c_gE{xwEv>B6=A18z zoBMB{iPdfP3l{SqHbSyhxd5c}<4fy%K2QI`3mG}D#hY#Bx-Bo4fW#JmI;8ok49Zp} zpj3J8jQ<r-ud2SsO8EFCaIfn3N^qOPF96)8=zMi%-V%_bE^40nSYG}&IP=flyYnRL z%ePla75}+=_svU_fA8}C`bgE6o7*=ZyYK&Q=Ev`oS3fs6e&7GxJnzTv)%nl-_<i#0 z=NaqY%7ncvo#XzjbnfEMGuJ<#vv0;Zi|=)w?;p)se{r{!y57>_b8k<-xI1UDcIF@1 z$7e!K{`D1J?%AI#6Svwl*7(|!b;*6E|JG;zkv_ak@_(HEXX&*k?<_LaHNKXTe|6TI z{H@D!kIj0(xAx;K6S?B6J;x{Sh|WHxEA_lS<=D@Dxum|&^Z(oSexCn>x%cyZqsM>5 z|DTX~ZvRzX>iPcUV?X)-ow0cwGt2d{P0yK%KTnU(cpQ^Df64Lx-){CCm;V!*9dv%x z@<ccPU8_xBif>gjO78tX>DrI~7iKM!%r;t|arQ&0RR8inY`w>gjUF48-w*SzGwYo< zPcqx<z438N`B{bMq~#5cTb{4=cs!?C{-VWmU*mI?50jr+JU^+}TYS&#@tkz~)Om&9 z_AmJOV|JR&zcU?{a?#JF*Pg8PPWHXtV|vt5y!8K9n=d?PBZ6mj|NLQd#{2R6|IdX@ z{{5>z-(%X!x-~7~*sMoRuRL|!{7WmZ_I#iD=FyptcE$#eYnSa~Dc*CwXWF8Vc9Y|# z9s4Ra^Z4}xMQ1)%{F%CCS*Bb(bLQHUKG$YVlg!?<I@7nT>)MvH5yER$njYL0b=ff4 zcgMVIJZ1})@7l9NvG@7BTOG%W-_Q7X=d^u7-}8H?FIBv|mu51r`f5+Is#$v9^LaPC zva{0Gc;>A&J(;_8S>CZ(SIVL$-wMo@N?YUkeu?SJIoDj$)_g3#ELE?+HgncSwaweF zrF^>#w)}Ut@3(}tnX@;lX=L~s#AaKit>NUqJnMtU+LyC7s+CW<#?!xa`K~=BGQI1= zcey-%ebw~w>rl&imiyxV3TM>p6;i+aqw4Azi?B^+Wa8KV$~1|Ke|b4`PnlHOnv?U+ z%Dgw$HNKbLWjy0?ty(Ex@AsK~lJAY57SDWKd(6K7*l(ZnJ>O?$O|`t2zNh;|PxA91 zspGu*iwo~elsw+6m)`gI+4=>AcaBUo>AP+8c*oJzGam0)xjIv3`!{W=<GObo{7c`> zF8r5mZgl)7zm&<j{}*~b&)?l?d9MCdSk<cHyFK#^lKSRNl(fB=d*<Tg9nEh3&-1Ek z<lZej{`{bg9shSD`T6a?Uwo|GF<)*z`{y4vHV0~I<m8qdfBx{h$vNkJzK?g<8uvy2 zH7=Gh|E<ycnD2~5-e3JQg?9?XdLQ?lvB>)(Q7mKrSEctc-@Ju|cOGpv>6`uPl11L} zp2ySXC-*)6b^fwN-ua%#VetulkJI9p6yAAuKT~G=CoS8nH>-P(U0<Df?E2~tR>d`Y zC*@!GQ8o3K$-cOoH@}2DpC)O0wBFF&|COwit*mj?pYJmkr~hEIy}I*@V(+{!?j}{c z(!PY)rS;AGr*2ZU==_V7=l(r60gbYU>`&;M_ol<m|F5=`ZDqdU_xOKjcFn7-u|0FJ zrpES+Xa9Qk&p)bWbpQNOHNyqUnGNFf%f<6^Uw-^LQLw7UR^{c#uL*MT{M|<K@%-Hv zAHP0mvrkSe-EN=Uv<1hnAHHPL7aiAltk6A8#yo1GW#8=h&SQnbnNr7dXBOUhbm~mu zohw=<eYXuC?>PACOyQj)w@mt?O&{;LSvuqK4rb%N==kZDebVdPAMa2$?vqZP@p#A2 zl_q_+?@l;YC>>d~s<<k-s&<XxW2==phh(mMrUgq~_slJ>s+pVPx_Ehd-2I)qOpCvy zY+rcM*kG~xooSX;ldLbiSUK~0IbT^yA9xCK?u^C9Z%=jePcN6UeJJ<k*Ewb5sztUl z7pw0V@11we&ZO!T`z45<_>%1Q$?+{ae%-lIE}s9{$FBt(pFe*6kX%(`JLCBE!w=6K zzkb;N1tc(z8^}YH=zRY8wLr#ppWK<puNNMvsj-#u?_b|8oGG)tPOJCvGQY)zclsoc z>&`zXbNuW11%-F!NgiK2@1)G}v;XECD`ZcT*<N5)EOXs{{;@)3<G$JZ8!Y=~@0f6` zFgi_Udxc=JjCrYH@8hyF7I{B~o>{#65LET2X8n?n>1r=mXZ-o;mclpZ@9LS4&ztW` z`(x>|^qfq6+N7Ora{q6hD>eDIw)}6E)c<SNdm8VZt4ulee(NIXnTLO}$y%w``R2dB zWAy$(%pcy*vUC4NXMjhlwYD1_-)JP*`>*cI*4r~4M;v@>(r0S&IHLEy(eaI3<rgi& z7I{97&^7K0-80*=Z|W}hcQXpteA;`aQ0Kp$)bUp{3)h@uK2u(F&UVGT2Z~Q+jr*?t zYX0<~KWctuMCIw8uYt3Fo;x?wKD+jp{wZbSYuDw2=hel$o-7L*ehvS>%I4>kYt{bR z>t}7;_h(Jf`qf_#Kc6yve)znana4__%^&ab{XZAfhkCq=xB5)s-M%wB@)p+{950pL z18JU{gPZ4nmRbF^1C3A2U3wGLE{yy+-D+=nMu}UR&AC6jU-|H4l$70DUMM@wZb6~! zGW#WkvSnv2womP!ad>8bW=wmn@w&seCRnaJ{6JXhdgA+FspmUCNcJALH()<^^!&`n zAJswi!tJy<lP`5x%GE!TmYQp7Y!!Uph=0!Ov=X-aD?z>5$JM22&!g_B{xMh1HMTnJ zd4~CUpXA)7J7+#FT=sk+XfC2q?0NX}3q9vPF@c<TGa_K_&ekh0b$u3Z-lt((w?DSW zEgclEM`teHoPF%^8XZs*ZL^B)KDi(htK!oaUc8yf{QS?RGZ$a#x-SL|LnKx{d*QP@ zGbUNqc-_WNr_L1i{#<=w$GR%R@bJ{WY#r;F$4t!(9!srWe@y0jL>gD`@%u)PKeA^V z@z1%PX7le+)R~Vzg0nAI{E;=5t5;kMYGN#13GVpC$IpGp26AKM?}b*uk<fO}3{bnr z=G-T>S6|GRUc7m)=~yxU_9c+<$p%YMGs!L5@Obh0eBZ^!TXlQa$KLK)ADe0Y?8mL8 z&QkHa^Uh3tJM(e&^qY;xuCL2Kc75G8<>H#Re_}Ij{w<U2x1XIXXR-ZE#h=!@Gai3b zFJJ!g2gs4{<j#Z_`KjKizmr>D{$9`E*^O_DGmi0z1=)4o{I}{yg>RwYT2FQGg2Sop zHQAEyUw?d9QN1JnoX$bh>Rl6KC*3>UTaa0mZ?1Lv((fICF@5KD2S&}icfI!y*O$6H z7VS&FcRzgWx%)QbyLmp&^U4?O?!PqQx$Kj7b3>1PIrjIPWfJ>tjV<Q|v@O!#d_K%q z=yxl<{qK#+47)2kv*#<C-QAfvU%YHa@mV|VHwDip)%x8KJZJg4XTzf6g!%ksONxs_ zzsr|fpSs&U`S_Q<vc)E?$F8s2ziiIo{E)kE)!)S(H`jPq*0bAPy62_rYd6OGQ+C`g zd^b<3zWDL4IlG@dp1b-7+tIsquii2KGdO?Oui*Q{pDxeU{w18h>sRz$Nw2K@_sreP zKeB&#SGH;Q_cN)_rT!V*yX#l@U7)_>Mc(p9_CK6m%B|1djed4{uJ?`)ci-}qe=mHv z`}WLt_ssv_*(tZ<|BmRRcZ(|ocih&$W7{a+xO?u?ce$T$o=e@Ma3{BIclxs4mvbK< zJ5aN(m{~us-1_|8&lQEcqp#kby}R$_-1lyV?(q-Ys?2{mnO8mQo|FCK(T>|k-^o2< zD&Ow?K|bceyKmm*`7^W6sm8o{SGIEZ?;SVpzBMX;KU=?i-Qz<g+qLi5wsYUVyRPIr zi(XCn?o)SfKU+Qb^l!z|-#e?>^qI<cAG^!`EZ)-mLsP-_?7Ms0y3gHRSNvT-eD3bp z{{Iga6>pcmvp03$#hoqOXYWeAi#yi(EN_3=cP>4X^54C?!wVPgyzTog?r`g~Jmb52 z`?$~E%`5xPre{*V`{-Ten2dL2t9P3hF5DUY<ee^vH+%PXkdC+S?i~b~Q~F&Gr1bFJ z>KzyEye)rsPd+|lUitFR4C1qP-+lVdIVR)XwUY0GAT`qe4<3D*_kBn8BBq`7``*3t zjEQ+yHh=f>g2J7*|Gt}d?C8@x{vEsfyHDShEBVf&r&pf6vsz4i`fl4N?-=#;%75?O z-M(YTowxk&<{duzG_StoyA4Qm@@{dE0f*nk9Xa|muYX51r}*^UTkr0**|+1)@jF)G zAj!q=;*Nr}6n>Y|(<`6-^qpl)z`M57TY9=r+<m~Kr&Qjo9K-RBP5hWR)7(R?5Asgz zHViKe+#&sG0b}L8<ac&i4)eb6*lk|exZ}3)ySO8)kMbhsA7g!#SD^n)N>8lZS?*C% zp}EE9TQlO0_cs3Ye17cpng6fc{_+0OG@q1zslIq|a^0<+m(u_BNZ;K4BK@w!tim-f z=AYS}CvtDu{9<L(*>(4N*ZpLD{%Ze>{V&`;@co@6t^E1)xvlla|94CO?SC;(dhYp` z^*biYUR=M!&}9EBnc9;w{<}Yj_Aa0MYu2&L?`C}rS$*H&{cgb;@5e8D&s0>MeLv&z zOVRzyKTf%HPv$YN&C+?2{)^4nKbOxvZ26Kg?40ZV=QoTVubif4SbzWK{Mr8w?9Bgn zVW%B`@tnRpd%he$*U4gAk~iDFQ1ATlKlbNl{(Sl0>bm$s`_ep>m*?j!cFmmg=vmSi z@pEgBX3lx^O~}NrFx^vX`HtNpy_auA&pMX*c6r8}QwNPq{GJv>N-ZziB4cY;t9`+u zwo^27&b}vJCVr7xzK^FY4PRC`>rZILoMmTLnfL{l8QI#IW?$Tq7i~EGTG+ew%HNaM ztv@ZZeCtNJ-pf*{FIewn_$?JLS-jP5?*!?$yf0eszR2--eEP!Oj$_joerJ}7Z~Moe zStH37DHY%L@R-Ryp2fZ|K2A?GmWppX^2}r(&q>{k8p&PJmwp(1VFD=*Gdbs(7A+M& z>4IIfXl2U$X0GLLjr|_JQom)MI$yWTCUZWwRb2l3i=5TpDrXm*w6iYWbIk5}(Vk;= zn}z($o*#;P{C$hxnW?*{roW%qZs`79OaG4g@^{mB8-~jV$k}N0C*^IM@?C!7>s-t4 z>6XUca!2k|_*DKYwEWp}r*r4e@TW(YKlyV1$tmrkZ=sbE#ou|KUNwKZK!5rDY1M|; z)3o*XYX4^5DZlyqTF{!-_fwufpYf$W{{E9WMe5I<-8t3$`NYf0r$_!2SwH*4bm}wr z>65Nc{LV~W^6AZ@PX^E437uN)ed=J)Cyi&%E}iPuw2bllHf?&e;q<V8ck4C2C+DqS zvu97&oXAkQBX?%3vDrUs&ex}jXD*67Z8d#*C925$*|S}zx?i7s*>k6Rr&(y_j(u<P zRlX;$`|nWsuDwV}dwX(T&MKSzGw0lid3Pk{rc-5^x8>HYpmplKpAu%-bnX19{B&yo z-}dQyWuJtdSt>jAxAn=VbLQOil{<3hMr>srzva&5Hg}@?zD?w=wA{KLq;dYIUnQ31 zGv-*t$ca6D;jyz|ij0hMe^Q>@>OB>6=IEW=JL9&xhW>nwbiYZ#sh}nJB2SlIPW{ib zQ@(ilqCKCuEvu)^v2%ZSG{!o-@@37<{SNPr$LtTNe5YhtxY6dv(mN|o{`7wOBo(yY z`spd|@RKW7Kg~OHb=Ri{Qk5db@2#G0ef{(U+s)m9c}HWiG|t~wQBOZLcgE%InxJ*i zv6Vl|i=O#a3KW0OdwR9{$%8n{zYTY~cYa&&>C*eu|NKSv&%PZ#b-Mq=%x!aib%R#g zdsn{Xy!rmb-x=2an(P1gp0m?kz8kdaz4+8={ZliiKXE*BciyL0_D>#&-mH&(cRZ%v zx$>#5<!}E=iQ*sYit-h<C*|!~Z}Y!#&Yu9eS{?KDqL0Fs-&fB0;gfe<rgw_?zA3@+ zC+}?sEkrLr^||<r>~GsicV-6XozoS6KJoJBQ#)H<KE0cN)4Ti9kFwR<SbFof&+@+f zqipqVo!<QIZQ2)plxgoY>doJ7G&!?o_tn_>$KKywEq&r(Tam7IyY%UMY9ND`o%(zB z$&qO$`?h}GzAU_$uij<-yBMCArgK(XU)oo^h1>S%&dt($mwis~m%1;e_Q_<Q?w9Y& zKdw!v5a`Wk?QXyDBW#1!++*9DE()6L6MZA&`Z)UFK|_;$qCPVIkE0#`yGY&VYE!@b zBWy#3R&PG*>hudg!VFe{bQPQR=CiUtzckxQU2nn1+=caqz4_dSrF!$ZyQg3Nv28<@ zXm39E;_Qr?=o>rx?%k>^P~O{H{79{8*5-Qdzsk=)m`UAt6MMg4d-1w^uI=Y8+J5<V zZtm}wvUAvVtK6R-k$*XN&R_QzbLSp*e;GTcyZ_>Dt8nX*bCy+I_s;J8%(Hj*=LeQm z{Lhbgf0=i#uK(U?%bKb8ZtwiTwzt3dtFG01nX}8jm%iKM{r<Vl5A(hIiytZfVo3il z{LA6_hu~id&mW0@Ie6~s`WH>bUvz6bijU7u|8wjA#?J|QzXF~g+4H3&y}s_3!Se#e zUj@&TCfOa@xyvH9q;J}y{*re(&hk%fBIeoMvYfcA{?VKDUh<D+*7?c5j+x^m|2!sk zww|T?>x;*&%dai3e`Rx{!|tHvM#I`goA*oBPAcA^`q%jRqibJIp1Uf4G4;8|692+? zZ#?F|vboV}ch+*Fb?vUr`^9V9if1f8U!wQU|NotxRRXbveS8b+AKsbiH~*=PMU&la z%R&>|!#C}x*&VmEnP+!>XN6MjyyCAZyI#r6^_u_wj%D+{1C;>_^-KKDEYL6dc7Cyb z8K3?F{X)GspZ}M3MlH@hck$_$rRV0ZesOvZ`}GUct<~2pUtjP|`peXF4}HIco;w=- zV)fkB+b@<rf5G=l>iN0YFYD*9f4^|uN?mTj`r>c$i`JL({r9;4Af~2e-^HE3H0~Dk z$t|vbd*|ha{e^NiuJ@nJshBqJ<r{nd`)_3Sx!ivg^XB4qtMB)geBAH5b)U>W)$0FK zq7A3t3!7K%?zcyKd$Jz?l=OR(*$vC>1LFP$@YzlJ>;I(g%-8=K>uc1~?WeaJmhTUV z`|HKG546K%e_-67u)Onz@Bja`TVk_(&P;E=bIRpO>&~pUnLVN1(EYRKdR>in?vwWp zeNxag7n}OI`{cn%pt3dSRQBl;4>xVvKIcx?&MkY2>RBykPO~-)4-2~&>$`599((qQ z#WQB_`}9b}GA8=lwTYmbE%e=bbx;Yse$Sr9IX449MWRRLKlY-s<VuO+EuEiUJuBMK zYSU%8z4y}*lby1~TjqXp(pbK2`rf&qvUKj$=fy=u<~xOpi~XM--TUOry`oBc%gy_3 zI(Al1`1I;vQNV=1&QI&ke0_OJ+x^7NMK(P<%eQ>G<^SY^gk|s~c0=>N@HpE*KEFxs zhTl)0dcFL_&fuqSBtIEEd*SnRYy63ak(<i5&9V3Q3w!E!=3?t9Zt;^VyPqDpTk_;z z`NGfczs#N=RQ~ezT<87^`%AycFMnUaH-Aa}^O!%<wabgYM*h-!{-Sc%%R7~w`)*fW zSp2@wZ;#vk*KhWF-hVFhZ}PtTJAdf^VtoEXH+WY59!sl<o6EJ9|CdOA?RoBl<nOP~ zErY*BzRUc*r|kcXpT}(_f8Y6f@%)b1?dOBe-%4Nd{akX~z8S`;^V9EbI<J5J{(+j3 z$#3)Lo7&Y(xNZ7-&HF{Qc_k<9q^+{&|C}>x%Yh$-OP)XeBL3`*&bgUe&qsa>dr)@R z&f4<B&b#sLoA)xN-?IzekuYC6X3Kdtxwl8-*S|YH(`tsX?cB@ulZ=aX(!a5sJ78yR zzUBP!vp3TpJbPQ57aU#`d&@5R+Ww1GZ;X>Rf3w`sSo<!!LciWNeg6GhwR3M*{*DPc zZ|A)w%Q$&H^Q}#hKlHEj=UjWU*&_Jc&Dke=#bxH7-*xtYUG;|K&0A#aYg0A{J}En8 zH+fwd&vxN&GmEFrzx7Ml(p$Zl&+nnmjps+do_kXEmC5hCUHjV1`P$Pqp8vV(*7GXW zx5ay+zRiqjtd+a|pz>Y(rt_D)Za$AU&1w5)85TP`^*4{!dAnk*P3QYh-FWW$)o%Oo z%=y2kY&f5_>gJhqdoTQ`*_taR{^Z+*wA#YF<<lR$(K$Ucy03VPQF^MVUp-g)<utp) zZ}**DRjcQ3bb8NL*7Oak&mXwYS(<AZY+Y=Y(^g%yJA3mU`|W#~EtG%%nSN&Bop-V) zBR8DS^}Lb3_DW9L=|5k$oqcqEr|p)=?dP>T=O5owY?yw|E;*%yb-VVrp9=p<@8sP& zbN>j~j&*LA?A#Zp&hHew@jQ_yDkgr@`Ar@-pZlADJR=15499u9&x)JQ=byOo-1JLa za{NKNa>c~?Qv){7+<M+J<F?MN=b}8h*WPYsyY%fQlWhLOk6$OvZZ0;_PggzoQvcA+ zEi>c0Zr9GfD!OBC%*4&6GmRs9i#Ld+XXc)}!ZwF@_CvKh?{4YjAI;6rKBw{IOwRe6 zx2JBN@Yv_g;mFN1Z98t~&OUm02gob)Md#VIRX%4qH|KB9`->~@th;gk`M2|r$}Xq< ztI7MG8<u^?FYeUMU9*f=6!Yah(7AbjlHbkq^?5gV-afY|&z<%*-JtrL@pR?iKTk^j zt~|Nd{bu-v`PFka%nyy(Jag-O<2&0Tx6Bu}*%G;Z{@2;t=D)pN*<Ne;TXOGW{++QK z=dXW#`}~pITW4;c-}d(QnsZxE{P^YR_bBJ=c}blEmJw4nZ;?pPl04UT*5K^hb!RvI z<q18XF1Pmd%*g)D+Xd4fvd`%}Yng4f`SOmt+am8wyzM;u>)oAm?=^4Ute>7|e=e|b zbCSLM<?Z{w+}SeIzHk4%?U7sOYtOWqS^1jh+ykF;2YJq2vYey&`)4=r?1xX!>^3|7 z%~)V>_DuJ0pSg{{edekb{M_{S&cT+yYYxmhyXNnl{oBqi`nzY}!n3>nuGzcgZ_eIx z_is$!Jb$8jRpV{Z-#^Rt{q@<k?ChSuIXm~AUG+C+$CAG?JEr~h*?ypI-t7n6-=@Av z-?;S6^W~;FZJ$++U-!SBR;id*&0Xx~U63~I$s66{krDHZH)^GyO)K-w+ny`7yr?>3 z^Sq~JRmtbhO`Bu+TQYocE-1|WpT0lzcG=lKad+O`UL$wl?UTkiYn?5dU(RV>Y~k%* zyzI?$dDEO}>r&=znSb3lr=YsTczL>g^zvfy?VG=C&a(MkY4SIH27lZGnOpJ4@^7B+ zTDd>>=kqzHzkh1Yv=o<}|NYmVIscmGG^bj$YZvu}J^iw*K<(PoH#w(n@>*2eKYH{2 zfaQ&;#XHoWXT<xRuVZsdvf4h`y{N4ISmcKJ+JPJDgDp2iZmvH)eM9~F@QwAW&3|1^ zdi*?aQ+>C2#gyBuzqgm|Ro^jpbG`TVpVHfze{V0+{k^?#@AMq|-{l3Izqe<b&W`+D zo}N3K_qTcMzQ5Az-d(?ApZ{O%^yYf|(5*9P)_?r?IOnF$js1yIH`d(TZ|`+;zq!=U znD~wLryt+mzd!TVncMppzr4NX*8WD%Tl*^|-yQmU`rCWYW9;SsHUFy_ru|Yox9;pI zpS<+EXK!x5smp!6?_tlrr)z4`KUbgIbNv13PsIgk&z`I~d-Ue~BbGDTi)TELIeY%4 z?va@hEyg=F(z6QBeVH`p-=#S-Q!O^1E@aa#Xwxoy7WVAS`csh+y_<KlrQdvh#^+(q znxm5I&c0lGI5Mi=c<RG9w|LARd^?bq?3|}wf8yq*$WukT_j9I~2A@kXKKD#)&QD#- z$&Bf#*?#rX=k}ewv+a)D&78dBZx5cE^EKAuaBS|}vl_?W{>huudDk-9O#64C^=A9@ z`)O|(PV;ZRllHbCkKMh1FW0Q=&N<nWFJ+I;w7s{j{@6|Xv)k$q-rN#t(S7^mX3Oa3 z#bWvod}2@B+!(p5=l09fmb=Zg!wYWjpSC%DbCvA&&DV>+<v&_;_6bkkGnrd!?jL;n zp?r?=?2B{noV$DerR{;6TOuna-0p0*j5h1;FR;G)?2OI1oBy=a-$kDL$316pxW(dd z%g^fz)#9G#+|=23@a>h^b7W_4E#E22W6^FV{l3szrl>E+ZGM6Ee|f+6-shH`JrsV& zuP*mz%%9?khg8lzxicqs_R`87bLUOpoV|I{gR&V<WN!9|JuRy<^vjn7Dc^L*Pw)86 zsF|C0aHVI3p6fgN=+?CL$ve+}+I8pK^~Y~+u1VW5ckU_6TP?=7rWo&+OiwC4H|^{r zu{(abCvR?yygTpqO<4<ev)9%|)^|FKQ;cuTy!|uQBDnHg-`P+5?tJ?WGU46%w{IBd zFwcJZa@#+Tb7wYgd&lCp{>)8_W@C%lo8zCZi8(ZLU(@DV-t>oVe(%%H9eX?Ht6F-6 z`STNLCH=YEbM79Vvo&(g!Q?s4vwM&4l(jo+xk=LY@Y_F|=iECz$9Hz?{$lH!k8}1O zjI5b#eD(R88#?>af9}`a9$7d4_CNMHwzIGD@0|O$WAhiu^q<=29#o(Ea_3L6ZhhUk zGfH!As#-LsS_o?wxveg03$|c?T~ucF<W2sm$lE=}H|7*)Y~IMay*Rq4iZT6in&OkP z!t`^O-fhd1KX>cjoR>_?>m|~kX`FjgIOkyJoQIlob{boLPA?W)HD_wKrSbHFZ@Jg% zPQLvTI_KZkZR-xs+&j~F$6Vt*-Nxne>HieZeL6hnpEAgCX4{SZL29k;@Xg!u$MW2* z&(roFu-tO9V&d(c(=GN+x14RponK^q5gh+V-`=vGbF<u%-Rw1U-gf&ZI(0{8{+h8l zx%h|X_Sf?Z+vXSd<t*F3@vGVC`$f0^_Z1tk|DUtLOg-H|{P~5nWTEZF#l=;h3(RuG zo;@wAVg$ue)17bPkKWu|qj%=*8>KnSv%jir|Lk2*<y~Z!D>m&>SyAS>ZD)hJZof>m z*lm`rU0}Ui>G^@QTGhPv%ySdZW~Aml4}a*h=G4u|xtq7JrQdq~=GGdYhh=HL=k}ew z6?KR2+Osc4b0(hs6OyNX4dj8m18=Xi&5@n`w0Fnd8!g6nrhrmR&+WTwElx)l|GHi9 zEvM>svGt~z_Zx3_%350QE|^yK)aSXyZZqxOh1Q#`)9<I{^5?Nv7gbfBJCRlwpQru} zRA{|B|Mm(a$W-Q?b8TBT=WqU&oX6f?#FuNftk}9-VtKhm`sHQyD%+*qi<k91T{G1} zSiK<3?~%;S`QNAeJ^gmz-=6&+iu0DAfA;2v&iM!1>iN>or+tmdOF#cu=Ej=vopbk0 z*qrr$zhi~w_I5Mr>4nzimdndo(=Vqz^vY9T|0w77mvvi9&A6}M-1S;_|IEz=-A}g{ zZOfgel(#(p@ta#~?wosj?e3ht>=vu}i_)U!Fwef}zGH6HoXxqLzp!k-EPL)l=DCGu zj~U-NXL~xbZldvDq4eu%PgC>U*B3pDd;BHtdCsjje<$Cby4})vv*m9y;s4vdnsL7` zw*JYO{!KVKfAcr_Jof)jb^0tO$AfI$_o(b|^|=F&=bz@!HGB4;EM4yR=l_+KmpRX^ zJA2XTPM*%0nb9qmy^DT%7nS9PO?y<9mU-^ar#bhyKsiZtXKd`(|65C*{|`G;D0BRH z-J9gMH`KMJ>nuK$dr+lN#Wb?$P4elplO{gel5nBul-ahJmW}c)8|5QsZJN7A+1q@Q z^P>e4UVJy}D)!b_*~Q(j{LlXRF#B7*i0O&pyLPEp-Mx5+TlnUUe!l7jmxYaIzF)id z%kK+e@j4c_POq?kE7!GD`GQu0R<rWUQvxOJiykKFSobY&ewZ=8p>(EoX!XmvZnKvs zzrK0v^aq(+l8w2~7gsW>UD`h(Prmn@>Wq^aJh9U4|0=eqC1}lNl#OkDcQqrXSvS=u z)u4CV^5l<hCQdy|%Y|d-HGX>=F+I^bcCYl_>I-|sg>P0&;FEWHo_HpuZrZm?^-bI6 z9XYi5*6A0Pw`%3QH(#uh43^yE6#i_HHGl7Q!)danRx|p)Sw~FY8B+bS)@3&LhSG)K zjNUAm>w04w%h~k?6YJ*Y#kZX+pK<a<^{#C)e?>F?_N>fVw`6hXi+_E`vT`DuSMvN@ z_O^b*4zvH+J*5WI?(Hh6blzfqXcv$9vejx;(F=B}s%^U1$@lq1PW#L=?-%X;qI>IA zPix+-(;4Nrat~g*m+`iFCC|5|+IuhDmG5OXm?m5Ma)JCU_Qcp{Y#I7{4`&oBY~#BW z*XDllTtbd?+cU!%9{(eD?d>?%IpgFDm0e|4zvQCQ6SQV4zjzT-a{Gcrr|x2dsRv!R zyiG3Dk(!>Qv-k9iPcB>ZkMH7HzhL$C1?HS*PtG`Tti)=M*xc6!lYh?MI{lySmQro8 zcNgN^$}hc(jp(|ti$7TMj(@nE<MyUwizQC?slR-nxg~kc={wxFyx+UtT9fp8^{vw% zOmEF>&dp!&Q%&tseRp2H_}uRXlP~@*$z}W{zxXTXvQ0ZX`t01lbDM}IpT0ih)C1{T zaa~8*r0f%P_R7Efq@R%<G5z8Cl2X&E`UN+SxS0K0c)0%JPk!T>@%{g+JHK3CzLs~x z2F^62TT{x+4_^w)$n9Opvu&aF?Zvy9rL2>5tgS8|T$k~_t#syX=jxYlJ!jwDc=cLb z+wY5h{5jSFXTyA!+@GCiFEQ8IVDd!g>uihaEu!2Lv}QNIe34PozQ9l<y6<oZ+wsfa z3Uuc69MhEWejl={M(tL5Vr*Ob<*O54%x!=6bH<4_|90`k#!|*J^)vPV7H%<>;||Z# zU))f({?H|nEz6R$nvG(2%H5T|utPBUW}&w1owjd0Yfj(sdAqdSJojGnTK)|E!|a*Q z6?3Y2&K@_M_)llo-^`ME2YuYmU)rkmOMjuMbnwkN&hDJMiZ9M+*UeAXYL?19fBX@9 zMoq`YFG0KhXx^Hi7~97E@>SB8eJ;hEYMVAr;oI+;E}3TZfAY6W@qW?T8$QhbW)$VQ zd-aAd>QVKHTFs^<M<49px?4<Y*Fv$=eY_R?MH%@Wr895O>06q9>6zG#U2DG?y>YRw z%(=~ZcCO*XeR=&`%P;QJPBVJtc7504{o+y8$y&2*U#w8ywfDje`RHE>TFv{m+~x27 zd-1~AwGma!75cB|O{-Ojo&Hc^mz9XE_}Ro6Cyo_ZUFp?c?vZX(KkM72>ua@6Ki*r= z_svMkarg72mrPsqj)br+e$n;LX=idqvd%FhX?OR>7w0ax>$2DX((jbL{s$wff-{57 zXA8abe|(qAaOzUYk~%fBQs<KuE$7Z&vOBh<>z{YV*=yyJdwg!MfAC+zL?Kp@9enm1 zLj_~*joJ?)rLqS;1`CK+TGa)_o;du;B-Wuo!I0am>On($z+9oSX2ID(n=>A)GF`*C z?XmM5u`Np;v~hMT%3QkfDdjcK1*r!)ONyFqP4u!pdU%@6n#qU0HJIJ~{g|iz%kRf= z`@erLdsn{y@84(7e*ZnP`}5BKwU*TzY8a+A&yYFvh4)NfQU%Z6#}a$mk7er2Iq0$F z+@+(%H-uhm&W&~Wu9P*mYWw}^%M(_zhgln3XRi%>@4fu5fwanXUiVa%XU7vVZH_Ja z?7GW_ad!NnYbiVPq|}TJ)+U)r7jIv<DEZ&*h#kUPcN+@EPF{DXdF{~*9oOHAI<`F< zU)VTJuWwoTLU;M<(lh^goG;eQ37dalS;qQh-q{9X(yw3KstU2;aj7+)o1dUDD>|ii z_nPi!d{K8heJ|c~ogQ}};)~O+Uqa97+V`z^zxeZSLy@!0B`*bzExNqq^!jA2s`i(! z1kdbkym&ifZ}ZXejjFefzr4iR<N91>?c9SdCFd_qEuK-=aj$Ys+<_Ri*E{>Z{jdLO zbSAcM--_}@pXVBioYj62q~GJJ?mxXcS*uF6WGnx(Ur81WXB$`W9a@&Lx+K%!*dpVW zb$y5Kh+h!@da<OAr)^Q>qN^KcWW4Y@a!TclOTJO;+@_t<7sRv8FP`GzaY<I2JHK^d zMqO`M`srUWCi^vCf8L;Z%PRJl*s(>$*0F!}o{25ef7Sis&s~YGWuB|QC;VY9nU+wg zxopw)o^^H&JLMPY&+5PQD*1-UTlvdN=O^e`X}|d7<#T<(Z<Vl^Ge;hXZ~15P?0TZc ztk;=W4=>BeU*LT{;g`;?*&8-V8gIO~AUissl8^b~4KMNfUf+w~US2ZmaZR_5z1O(% z#oHy^`yHRVhLkPdE52FaZ0!sg2irr}9M|mYx~Qll@AkNVMt-8kEceXs64U<otbB2_ zq=f10<Q4M{Eqk%YJ$t#K;9cXAr#fj#k7Z)L11sgdpKnyXrhg%-?U!qa-601PyJd6F z)!v#Pe@k>mN1nLVn>M#{oi7JGe5^CJ^N0OPQd{-+N!7(&v-G|lPZ6-vn#P{2RptER zRlpfu=lCn_m%j=|NOf*}(X*&|<BI;ne@rF1I^C>=H`;IpZw#3B+O^ndt>3{4t!v8{ zmMN<(ie9LF*-%*8{Nh&0jPQ*W#@mkk(%oXseY<{(x7;RPuiZWR<*B87zdjopT>NME z%6m!f6}h7&qGs0?{qxrO!!_G_<39Gk%n^1P=l8e$65R5y<!7+OTxaXULCaU)dT;)! zwTyA=!5KX+*+N>EFaEWvDo;4<P_M}LebHWttEm!p9aXZ$+83*3PTL=^ki8`xSj)$4 z7uEPB?#%n+FGRQ8cX=zJ#<@&B;hR5?z0>;Q?cZuMN?iA=v(*~tB-Ap9wI_wRB%6dC zTU@7m%sk0R`10(F`$Ru)Uue!R{rO^i!$BpU_lHj$dS;Yk+wrmJ%vy(hQ?qM}_RBT* zO5`1UQJM4ZKv=^~xi9f<e@lD%6aT49JHOy3W7U7R(xC6({;u(J+pV<j=%vjoau46) zmU!d(oxyE=LK#bUe)3!Wi2u@Tnrh-3J{X4W`jWAqe>J<=ucX&mA#IDyn0hz9$dZWd zziFaV$C|x)<3C=WcL$#M>D=j_`upOhjT>d6wF4uYZ(YvFaVgh!yKPu4*sY(ad+3)U z&%HxWVs+*mIF-5OUt@25;_FTG-u_-x;;P$!Ed7FP$Hjs(Zi$69*VY~VCBm~TIq0Yp zulDB)zxd3=l3rJ@&~bgMXd>raF6yS2_Il$E$?WsVwc>2M4R5x$+9y0Uh;rX3nEYBq z>v@26|1sAR>+f0j=dX}XlZ|-OzV%>)ev$#(WX^~keA>YmXLa^Q-k8;V>g@_$hr=%A zhHm@`-#Em=6W(&Jv2Q(SJR|4eA+t3s8Gps3^^;$RhTL;`D{Jzv>)n0BD*4Sk-ImYz zwrX#@C*16xcuAi7@CGBHwS_lkbgVVf61}po>)6^C=Z<FBrIjasv@?0{{x$JSUDwU5 zlKD>A%(rH5*eAN$ZW=Ss_tT&EyFV6~WpsXH%Dmudm3>uno}12m9$_?H^F)l=jya!K zY86ZFx4!$PciEYEotgV@9FACDFE{t%i}>cynVYYqoLh8y&d~{$-I~Q>-p_Qt$yDyG z{8aV%Vr;`fr8OsQR3n|9r_6h_W>L4%{SNbs=gdM<-#ysx7M{X4Ve;p?f?1`(=iX=a z{atro_%!ndOCes~P|Nk(mR&xl8!@l@?CyZ+#qm+geJ$Hn^DnYm%7;9Wc~F0%>W}=H z)BASo{LT*kcJW^N&HI|0=3h;@pLOEjmA!G_>Nol4)O*ByN~nCpY<%%oO8kx1ztdJO zD4qJ|@UG;&>Q<E{))!uP_xdl6Kd?Z)?XRI!hp%X~vw>XO)$<Fjgf3r{=yOhIdNp}N ziR`mw3B{|vsP2FL|M#|y-S_R)ua#Z;#~0#KYb~2|cu&TUl0B@Z7c(!MO#AaB{lB=P H>S;CrydB!x literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..73352fe --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 2897816578824132792 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..f2d62e72d5a70531ba902d39775d78bb80539594 GIT binary patch literal 633 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=5a0Fn(Y|y}1_lO0CI$vA1_lOZ28IPW z`RSR-46F<gz{tSBz{0@5V8CF=5XBGy7F1?n0Ld>XPEO28EQ-&}D*<sC7#SEE{{R19 z57J`Dz`!8Dz_0)$$iU370IUxr0mEPc1_p+I|NsA=`~Uxc3#f+7ypq)P)FQBf*!0Om zHU5X{^MblMIK(C1$KT!4nSqIc0gRa$7#Ki)Qx8>VP>)b&P&ZI#P&ZU(P>)h)P!CsU zQ1?-1Q1?)0P}fxlyV4uUq)^I?Qh)}KpJPa9kfRUSIUsk#LdOy6S-7L)!(5$1{DZ&> z85tIUeGdvLHU<W;+d!H@7#3QwP`8G}7ndZKq=NN<{0)jV5Ds_r42chR^bPQFWe5)O z4{~J)2=aG!4G#A7bC37&_YYw34-E+j4PgK)boFzADF#`p0kVmKfguP=mqO`fQ2HK} T)&MDHU|=YQ(vzX|6(|h=${cL0 literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..8cb09bc3a5c3ced69924defceb1a1962d9a0db4e GIT binary patch literal 7552 zcmcCuU|{$o$-tn+z`*c8ih-d)mVqHHF{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{Gc+FnSnuofq~%;GXsMl z0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKM zfSG|of`Nfy4>JRUBm)D(PG$xMDFz0Ht;`Gz(hLj?8<`mxWEdD2)-p3N$TBc6tYl_j zkYiwASjx=6AkV<Su#lO7L4kpRVJ<TRgCYY1!%Sud1|<dthN;X949W})3=^3d7*rS- z7<!o*7*rV;7&@657}OXT7+RSb7}OaU7#f)w7&I6d7;2dr7&I9e7%G_|bwepL1A{gL z14AJ*1A`6&14Aw|1A{ID14AY=1A`s|14Ak^1A{&T14AM+1A_qr14Aq`1A`$014AS; z1A`F*14Ae?1A{RG14AG)1A_?z1A{L!1A{381A`|s1A`d@1A{9w1A{pO1A`+o0|Tf| zvSnsquw-Chuw-Unuwr0fFlA<7ux4OjFl1(6uwh_e&}C*|uw`Ii&}3#{uw!6gP-SLd zuxDUkP-JFcaA06ykY#3IaAaU$kYr|HaAII!5M^dyaAsg&5M*XxaA9Cz;ALiDaAja% z;ACcCaARO#U}a`ta0k^v%nS@33=9l^nHU&685kITGBGfCF)%QEWny6PW?*3W$i%?l z!@$7smWhGEmw|!dB@+XK9|Hr!Qzixme+CAIhfE9%0SpWbcbOO%0vQ+>ZZa`21Tio$ zTxDWl2xeelxX8r75W>K~aF&UIA(VlE;Up6SLl^@C!%-#%hHwT3hJ#ED3=s?r411Xv z7$QOO&&0qG1&V(r28L)*{4+5y#DL<TiGd-Ofq`Kq69YpW0|UcSCI*IhQ2aA7FeHHD zpNWAX5fuMS3=B!2_-A5ZNCw3}69YpEDE^rk7*av;&&0rx28w?s28MJ{{4+5yWPswI ziGd*#6#q;N3|R~e45dsA4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4dbihm{sh5}Ih zGchm}g5sZvfuRT#|4a-F#i00SVqho%#Xl1RLn$c!nHU(#K=IGSz)%i~e<lWo3I+xS zTP6mEN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HU1_lNWCI*I9P+7vnz|h9P!0?ZefuS7~ z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1| z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~ z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1} z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^o|1vT#>;mOqMh1r6 zp#00oz_14tkBkfqdqMe^k%3_!DE~4tFzg5AUq%Lo1EBm1%1fa51cf~)|1vNz90uiI zP+1Ggzo0w^%D)T@497t63W_UG{sooEp!^HUo1py5z`$?{lz$l*7*2!Y7ZkUk{0qe= z85kJOLCrc3wetcfo}p?%ez*k6zYGivmqGa#R2P8qFVsv>7+(YBUj_z->!AD#sxv_O z7iu>s4cr37J1DJz@-L`v0p(w)8$oIG9w`4ZFfiN)#Xl%*g5n?Q7f>F01d4x99R-Si z1_p*Fp!kRS8I;GLf#RQmf#EqQ{uvk;UV!2s8aALZ=oKjb85kH|gW?}l2ZG`s8it@U z@*ODtL3srf{|pQaA3*UB4Qo&t{s|QS3=9mPLGcgDTcG%d#tEp7`38!A1_p-jp!f&X z!Jznu#vP~*`~`}C1_p-Tp!f&%S3vO(jbl(9{SOrX3=9mQcEEoq$jdKDWhhT9%FE14 zXGkq7$}eI_OU%qEEdmvKP<NpBYe0NZ+W^#$0o9?P<^ibA1@S?3H>l48s`EkWpw|2^ zN=;0O&&^LsWhgJoEJ=kh6AKDb^Ps{YLl_`+A4ngR3#LHkfy6-OgX&z6AgC?}@nQDC z?8z@k&5O^^W+*O6EGaFHhxjNjF*g;;1UUi91Q`RaTR~=nIZy(`hxrdwM}vex_JZsK z`4i+GP}>Eh4rVVX{y=<?8jyMh28M?J|Nn!ET5$glR40SvK^P>j^SUL{-t*mmC=FJ} z!0^Etr2kJn14CLdLqRb^UNJ*yF+*-KLvd;{LvCgsLk6gh0O<$S;UKd>ZUON@`k;1# zoKz1YKo}|xZtH;fAhjS2Y7>F@FnLhh3B-Q^G89xFfYQnT|NkAFK&>@MTMQ)6aB3FF zE8sR8m@lyO3Me0d;v39Au>RUaGf0~d%x5qR)H}t)0AeF!P+I_G6|7$iauYI!s>SdJ zs11NiA2hrhV17b257bt`rVpeRqz{BaY-BwkHmD5&(g#WpAU+I()PgWb9|(ikAURMQ z1DAS`JV-4lo?z-hZ46S>gR&(l>OpN5Qq+UmHl(NrwcSBs0~*%=`45I+;f5Z+Ab*3x z0E9toP@5m5AJnIS=>^e6ndzW$i_|nwBZvXgCjhAf$-yv4KPdf!Xi$CxiG%t*AU-tx z{0H?RK>E<#0a6Qc19Dt|#9$aa7yudsfR4|A2LeC?1E7Hf(BOhDs22cfi-Y?|FpW?e zRNjKz0V+2@LZH3`hz}|+L2d^1O+euc>cfEC2XZIK9FRXiVF~Ixfx-mjZV-m~2b7LM z>Ogux=E5+@Y!DmWPoTCeNIl35kUTnuxf5L+lny}ph_wgQ#s#UzW)HHPK;;)Mbs#^0 z!URO4y9d-J#-$IW7UWKB`asDCmp+hsT>3z5Ze036_JQO;`ax`D4C=Fi;tymlvN*C@ z<S+;I?Wmz1l%`<zA)5~pL*}nxg7o8wb>CVN)vqH#Ju!I<Qh_rt%!iIYfcyi)Ab-K~ zJE*S>5(nisP*{WV8z@Xb`3;n&K=}>iXHb3v#T_WWfx;b>-$3~cl;1#U7lc9P!t&b! zsJ$S)AoF1uWCx55QV-)VB%mJD_5w8sVCol<s2<ek2DulPdyw-Q$bF!8Cn@Sd^)g63 zC>%igkukE_pgue?>azGD<3zaJ3o;)${BWrU#U)5B2qUXU=9edCmc$n)<`(3nG8C8O z7o{>36y+zU78hscrN`&w=NB;KmzETimN0-7f?A$X#SD<K8BkgRnFqrlH-pj&D6VXv zf}r*wh!2_v0J#a&ZUUt*P&*W)9+qy1N!uVJL1SW|@iGt_8N>VtO3xs1P<jU03rf!* zdqL?LWG^T^gX{&RXOLS#=^2!+LFpM5KcKjTxeGM51hNmL2V^b`gUkl8k>eP|2aOql z)Pu|b@zF8No#^7AF(i;aV(kI77x1YEnU8E2XiSG1>Oo-%G8=@E%}3^g!W=YKw1I_z z;Rg=`Lk2Gc!wp^r1_eF_1_wR{h6#KO3<3NM3?2Lo3<vlb7=G|GFlY!cFhmG2Fmwno zFzgUuV0a<Gz#t*Wz+fQAzz`wGz|bJbz_3G*fk8rufuTc)fnkRb1H%U)1_lLT1_lpd z28IS<28JEN3=AKH85lG~7#Jc%7#KQ47#L27FfjZOVPMb@WngF!WnlOr%D|u@#=sCE z#=y`a#=x*cjDg{W7z2ZVI0J))I0Hk5I0M52aR!D62?mA}5)2GKBp4VBBpDbIBpDbc zNHQ=S0CgN07#I>D&3v$5Kz^SA<%9eP;$J}HbAS>W0|NsnOhED$P(CP}K>Q3S9~5>V z{tOr&l;A;Q2T(pJ{6O+QpnOnRg7_Msh9#(v3$@n)jh_MKgTfP}{sfc{bMFjL!;%3K zzF>JYK6sEBG`<8CHvnZ|P@f#ikAU()@dMI70gZnGjSn8IMzYTWlp&G$8EE_&X#5*c zJ}B-%_Hlp)y+Msrs67@?J}6Cq<;6fGQusspF!yFa`JglcQaJ<4hsmEn<Ntv2L1_r2 zUI8@74hkQrxCfLEi_Z!){tZw;!~jWWApIQBbOlOxAie{X4@!R^egTvZlV5<wzktRE E0K03&B>(^b literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..1f9e234cdd53e5ed0f3f664eca46d7db9aa40fed GIT binary patch literal 23272 zcma#Z%*o8FP>2Z5%vFeRadKBMFl6}pXkWSm0|UbkK?a71NCt)%Q4n0k$iQID#K6GK zfB=jzrlW;8hz-IFjuzq^3=9lRAUUWw7nBV$7pxyD?hO?O>GNS=U|@ub`$E|u>Hq)# z{|A`~QsV~|2eJK;*a1lFKqwno?<gA*hO`a`P`rTbfW;S>PiA@u0vQBN55Y+65F~ae z5<3iu9gf6~Kw^WK=xzhK5tcqdY+BfhoX#Ubj)3|r3W*(!#EwB?gP5><5ewo#^~NEw zLGFgBiANF#<w2Nu0+Kj0G>?GvB_fHlK*d4%Hwj6c6)Fx=pM@mOh9sViB+iZ`o`WO~ zG8g8aT&OrmEDy>Cna>H;3lc9w5(mW*Om7`joS%V#p&rTxxv>w*2AMM%i9H30Jr#*P z4T(J+i9G{}JrjvN3yD1&i9H92Jr{{R4~ab=iM;@cy%34L2#LKIiM<4gy%dSP42iuQ ziM;}ey%LGN3W>cMiM<Aiy%veR4vD=UiM;`dy%CAM35mTKiM<7hy%mYQ4T-%SiM<1f zy%UMO3yHlOiM<Djy%&kS4~e}WiG2WxeGrL#2#I|diG2i#eH4j(42gXliG2czeG-X% z3W<FhiG2o%eHMv*4vBppiG2ZyeG!R$35k6fiG2l$eHDp)4T*gniG2f!eG`d&3yFOj ziG2r&eHV#+4~cyriTwbH{Sb-$2#Ng|iTwnL{S=A)42k_5iTwhJ{St}&3W@z1iTwtN z{T7M+4vGC9iTweI{Sk@%35op~iTwqM{S}G*4T=37iTwkK{S%4(3yJ+3iTwwO{TGS- z4~hLBiOm2iAffdLBNCeliOr0}W<g@JBC*+!*z8Db4kR`w5}ON&&5gw7L1ObFvH6hL z{77s8B(@+DTL_6QjKmf}Vv8cN#gN$G1}rp8B#^`<k=Rm5Y-uF63=&%wi7ki3mPcYM zAh8vpY*5{+1Z9KjJ~bq^9unIOiEW3(c0*$OA+f`d*l|egG$eK&61xnEU5CVOLt^(K zv8N%i=OM9|LD?W`9f*L2?Rq5k1|;@IB=#mG_GTpZ79{poB=$BW_I4!p4kY$YB=#;O z_HHEh9whc&B=$Ze_I@Px0VMW8B=#XB_F*LU5hV6eB=#{R_HiWk2_*JOB=#vJ_Gu*c z86@^uB=$KZ_IV`s1tj)GB=#jF_GKjY6(sgmB=$8V_H`uo4J7tWB=#*N_H88g9VGT$ zB=$Wd_I)Jw10?oCB=#dD_G2XW6D0OiB=$2T_H!im3ncbSB=##L_G={e8zlByC>zv9 zeFtTOsJ|crniu{dvHv5n!JTKQ8b(kf9?E7yVlyMLS&-PQNNhGFHailV1BuOv#O6X` zb0e{Nkl4IPY(6A5KN4F2i7kl47D8eRBe6x0*rG^mF(kG)5?ca^Es4aILSjoJv1O3h zvPf(>B(^*fTLFo!h{RSxVk;xDRgl=KNNhDEwmK491BtDP#MVM$Ya_9Bkl4CNY&|F& zRA1;r*`Q=(4rPNV3lITKKUQ$Ig*d1=0K%Yp2SkH##|n^13=E762zgMyO2fiJ{7*dt zgTYFe{2x7#dJqQn^*}TTH>^U)gWLhaxa2`j0byM7pgt-H<B|ut8-#JmgWL_mxa2{7 zR}jV}4-$uAkl#QU;Xg$9fXo2-10;U~8a@mR&-6ij5C)H5xjh1hmx3m!cMB4IrVk1m z5XL1BG82Sx$%DcMgmKA(!UlwK$%DcMgmKA(`~||e<Y8fhOCID)5Jr~&po8E4$nqe+ zgD@`rAisk!E_smOK^T`j$nPMGOCIER5XL2s&Hu>m2l*X@k@X)i!0&%#d63^h7?*yK z-$59cJjm}Lj7uKmcM!%U5Ar(*<C4ebe`NQA{0_p%`X`v+_dl{c$nPMGOFzi(AdE{M z<aZFpB@gmD2;-6m`5lCD$z$_Bvim`P2VrFW2^RSMk1P-JI|$>_5Ar(*<B|vY9fWbo zgZvJ{xa2{82Vq?D*!+*|evscm7+Jr84SxS4%Y*z5!npK<{0_pn<UxK1VO;Vczk@I? zd63^h7?(UY|0BB}<aZE8*3aO8-~Y(+Aisk!F8v_CgD@_6kl#TVmpsVtAdE{M<aZFp zC6CSj$nFRE9fXnfUvS3ne`I-(-$59cevscm7?(WA?;wmz9^`ir#w8E(I|$>F$L4>S z`;psE(hLj?u=bP3Hdy-z(SG1zU|_hQKN;LUIItK~o|l1v!NC9`U$6vIo{xcnp}__s zudozT9@PI3u!YE9fXOo=><5`I42mcQ1_l8v_6smDFc{cD^fxSnn9soQ3+!HyHc<FD zD2RjGI}6+)@r&$#Q6&FIY=Y=VmKQ^kXV{D>4{E5w!fyo@c?l%_0b4NjOCrhtz#=b& zB)?!QrhZV^fag>g7#KJ<V#<pk>ED1w9uz(etM`Dz%YX$ld_eL7YasF#At2jO+utDh z1yK18cI^5M)<X0*uws{=unZzUffKvDgV!st`(|)smlptKBnAeC1-vl%y<qjQ`0oHU zWEmJ3R`4U_p+XEG_rv5j2qNS`c^h5-4q=2mC~u?79}q>zL!E?T{t0n}JScCY>%Sn0 zkO$>$bom?72zgNcMwfpe3zJ9WACUhJ^g+Vog*<lofPRSl2Sx1i51{ftl(EYfOn~TT zP=m?u1rG_LyYB`+s5AkkpS|F&At(+&?t_ItvOFk!L2-af9u&SHHQ3}Cp#4Lbyg*+1 zmlYKZ3?51l`$6)^;SZDlkcyB8g+I)F*yKUs4|5;7JR<`%JQx^I;y>accy$2-NFHQ1 zdiZp_K*)p4Mwj359w86%8@l|9ZwPr%_@K*6{6oki`yUqmGi)IK2e}XAe{}sPoDuRM z|D((Q@Pf&sray&AknngAhDaaS+<!q0G>ZyJAK1)q(1w}6R}WOufx-|u{*mQDHi5zr zmpsTOkQ;ExLqZBQ{%*7*><6*X?MIde)m!NHBg=#8MRfaN@}Tqyia(h74Q>erps-%R z2C@~zvJeNwAIyAg@}T&GnU75#WC{p_{11~~u}TOe!~n7%WH$)ok_Xuh!r0_N=?CO~ zkYONsSo&HJ4hdC|JjiY6@&+*od5|0kBkMnqfRG2d4PD-WQxGHs&R-z6fjG$eFYv<T zQPbyz$&mCZ(FRI2p!A0-pD+a?f1wY%{0FFf$8_xS4O1cd9Ts4h7nlZ-|FHtQ`~s-_ zhK<<e4W>i%XY9f*e*h}4a2UIMzzm4~3&*g_KY+?7oW?F+FcYHx!+GrT3=1Ig4Og(s zPk_pQc#K_MVIf5SiZ|HhH$deRzG9bmSOn28@ejNF1*rTA9#E-(CH@yf^e2d7m;V5j zmyp9Q->?L#-xRyNz*30(2WRZ^3!w51LD=ODmO=CjBx0980F^(Gf?YmfIYj@7OziRx zpz;^;u*(;$fat$bj9s2#B}9Hf1$OxfP<e+s?D7h$Ao?FPW0&6mm2c?8F7L1!qF<pO zyZi;H{DCRh<rCIG^e4>1F8={4&#(Zye8XCZ{sl|1%L}Z7$UCgYF24XOKVv6$d4u&3 z{TBPN%O8NsZ`g`mK41ex|B92?<sU%h6E0zwFW3mtFL4{YJi{ia{BP{?0-(yAfq~({ zf9&!Kn<4rSu)@lJ)be=)RQ?1Pc6o;_5d9bUvCCh8%HI&dE}yU!qW^&;cKHub`4w{5 z<r}s^^n0jamlxO$k$<6yU48*nzC$0oyul8L{tQ#>@&};uH(apG2keCCXYj->{{Sk_ z;fGzmU>8KcKrnWBhFK8#6RFtcCqU&dWMP+Am<`c?BOkl`2B`dl672F0b0GR(RAQIE z0G0nxhh08lE=2#2X6*7Gpz;iz*yS7MLG&M(hFxA@K1BY+Z0zz6jzQ!vEWj>ba2z6k zV<~odh7%C^2dl8lPk_q5SdU#^;Uq-=hb`FUH$dfo?8Gkba0;S-#w6_W7ohSJ4r7;3 zI1SP7aR$5m2dMmwTM&6r`xVso1GO<h?LSak5Y+z*U`Mo{LGmDReDa_+CO&zP8TjPU z?LQ!i-+pv?bo<fe(d|c<N4H;qfc@z5==P(_quY-zk8Xd50Dk|Y%cI+mE{|?Mx;(o5 zKal$WAie1Ek1mgHKe{}~4CMGnmq#}rdHeyS7u|gZI{3o}T^`+i=<*;tkllwak8Xd4 zDSrFW<<ad&mq)iBT^`+jgAn}oqsznW2d(V}<!^L(nEklqVfMr18-lUhk4qliesull z_QT{Ek;b<{Hfn)7^9&3O1%Dt7AzbnYaLDVz^#8@KA0|HohrA(FzsEo9`eE_~FnQ?s z8q9wUZy@FKieiv&F#AseZz1vuW!U8xK;>stV3#*|2hlH3ja~i#RKBAYyL`ZVh<=6! z?D7ww@*BFb%NKlr=-23j%A>_!7Bu`6{$me6n7ju8`2rmB)iCoJp#4`g`(g4PIOOY~ z`hO6RS75|$K1{y{OddV{ze2)CVgWRK(Bu`qLF8Ag!!CaSDj%^4ySxEtl81qT;lpn1 z@(e#9=HJ*4lZWtO=@T~oBya*D56Zjf@($+_@}ROCUB2KNLLO9hpvy0~i;%}Qet9Aj zArBg#L)Y(+gOG<t8Or$ajy!}sw(&KCLWDdv|Lxcg8Q%kqzhU#A!7hY6$Za4Dsy|@i zw_*=M9-IFa_9Ns$dO;Xj|Ai;`-N*0-A&=F4pAqud>`(ZGkjEB26Bt3GvY_&5uO7DW zJ-~sG#}+>y_!08h;#WZ&ArJC92qXJHKn@{~Eq)qQ5%Splzd;8fkInxNj1ltK{4ZdQ zkjLhK2PcF)HvboRBIL38e?b639^`irM)v=OaD+TI|1-oR<gxkRAPpgp&Ho9x2zhM& zpHPC3$L9Y7)d+cP{{PT~kjLhKg)W3V$nPMG?Eip?2zhM&Z<v9Q$L9YH^AYmc{QqDX zLLQs{1=b<tvH9O&D?%Qd{|ojY<gxjG!C{0v$nPMG?Eed=5c1gk&u|GLkInxEHxcsK z{GaduA&<@f6P_dFvHAbNJA^zo|9|+3kjLhKg}(@Skl#TV+5Z77pjjVC`Gd{>4crKM zZ2sRMgpkMP{|Ax?d2Id{P(;XM^S^@zLLQs{3-l54*!;i13?UElI|w8D|AH+-9-IFe zToLlv{BPickjLi#gkXd`HvdnELdawD|A9n=JU0J-$Uw+r^S?p?LLTII5JvWYKsiDl zoBtc?5c1gkzo8W&kInxNdJyv1{4X#CA&<@f4zm&R*!*9x2qBNn{|i<k<UxK1VPyYb z*np77=6{AA2zhM&H`tGm$L9ZpV+eU{{-1CbA&<@f2d*IGvHAbQZG=2F|0_I3$b<Y2 z!pQy)c!iM1=KqF|2zhM&-|zz=kInxN{v+hE`Cot?wAu<%{$TUJ10O;joBs<$5%Spl zzd!~d5Ar(*Bm4h?GD04k{~5Fq^4R=uV1$sz=KlmsggiF?PjEoUWApz3cZ57P|9|j9 z$Yb-rLKs3G<aZE8_J2SuLLQs{8&VMR*!;gC8zGO){||}~^4R<@P=%1k=6{DqggiF? z7jz)xvH5>NKSCblcMwMQ|AlD?d2Ie?n1_(Z=6{2w2zhM&PgsMH$L9YDn-TKZ{C{8< zLLQs{KO98JWAnelNrXJe?;woq|9}e!d2Ie~xQ>v==Kl@%5c1gk|KKS?9-IFK-Xi3& z`QPCSLLQs{3w|TyvH5=i6QrXK>R*EV4#LR(zrcx*$L4<qL4-Uu{~Jgk<gxibK^`HG z&HoeB5c1gke?S)@kInxdOc3(e{I6hxkO%o4gpvIp;Ea&R=KlsSggiF?ZwN%lWAp!m z2!uQ~{|h7_<gxkRAsr!)&Hn{?2zhM&Ur-8@N1dO2a0D_QGou%~e8EwOyuoDb@(j@V zFNx{c<sZoI1F!eoF$cSRfgD7B#v<(U4Dt~91<SF^Pk_p=Sc6?&K>?z_U?X<<4N!TG zdhGHJiV*!f4q}(T0F{4m6uW$a5=8%sQ`qG{K;=6wV3%)DhUlMg6}!BE3PgU!E$s3O zpz;a#vCA8%Li9U4#V&sUDnH`~cKHA`h<=NI*ySHU<!>-!U*A=r4$;5i3wHes8W8yj z(%AJ+fXdHMz%H+#3DLhm6}$WfsQd~o?D7s;5d9nUvCCh8%4djUmru}!=r6FvF8={4 zU*UvZzCj0~zrh{5ynrr5zQYH*`~s-_gh1@_26_<vGs3XTAArg)h{i4-pbyc%A_2Sn z1E~ClRP6Ev1`z!_variD7((PdEV0W^fXY`?V3$`gg6Iz@!7jf6D$h}iUEaYMqQ9aC zyZi;HJjZP8@(Cso{Rb9fm;V5juULa!zQGitpJO+6c>yzs{EU;><rhHZHEv*+H!z3j zfA9di`~j%^jF;Hu11uo=13qDwe*l%|_>En@z!IW=1}AKM8nu36u!6{INMM(r0F~dN zhFxC48lvCB6ubNes62xmc6kRIi2faJ*yS%k<qQ0=%Wt?0sXsL$u**AKfynPj!!CaT zD(_K>T|VI|ME{Lu?D8L=@)?t0@(}gt?VlGj5b}_fUa0G<6K*2pVJkV1+fNf7Amkw< z{iym6JV(ewM&eNAHGUxEAw6YO`H24rd2H>o4mQ~S7s&nvbpP$(MaW}opS=)4$YX1t zNys4Nv9-?{bP)2`+GiV#5%SpDXAi6p@{pNIRR0S&A><)5fvEBoK@fTL^);~l4+@JQ z;RllkwP8W=hf5w5#`xr6;fqT@D2ze+i$HsODi|0F7Gk#_mpsgVT=Fpcaml0GAF&$0 z{pj-O_M^+A+m9}fZa?z+eUM&s`3YO_yANF+-F@itAUlx5A6*{Z{s)`!+m9}fZa=y_ zy8SSD<o$af_bmv8l&>=mLhMJEcL;;X3mm~N{{SlAaSXeBLpVe~!%6J&3K0<biqqKT z4?yKVoW(Am5DC$raRIwLLli{*!6m3X+WLS<XkqySHh+&pUV#ac>(SSr#6b05ARzyP zfV=`T#C%x!fSx`AR8h+pSos0Vf9Ue)=>uIJJ$<0dquakg1;731^62)X%cI+mE{|@% zhc|xv(dE(YN0&#pA6*{Z{uy5Q?MIhKw;x>|-F}!nBLgJ8!sKD=3ueSa(g#Q$WH!3I zMjk>Qls3@iZxkZrL4HG*Ur>gS$5#I~)F9-s)xQ@WLs~5$_hGAl6Fwp2vDLo<-yrg^ z^bd0%tbRk@-vyEbVNm%6lSf`Z2nrt%#wO3mxDUSn5|nje>&s?9*N=hBMaCd=(D|mw z0-!TbvG7f>h#?Ds%r!$6z+nz7E@5E?YnveRjfpVFoCq}*M5qC|8(A4PJ}mtpyAh-Z PnQsIVK*HF}0Z9V@EDDm{ literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..01e809d --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=117 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 +OBJECT_NAME_COLUMN_WIDTH=52 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..c89452d57011ba59bd71a21f0f947afbba5952b9 GIT binary patch literal 245872 zcmb<-^>JfjWMqH=W(GS35HBGFBH{p{7(VP^hO!tK92hJZco`fR<QQZb*cccXSQtQJ z5NVit7=42YA`YWDAY2BJX&`+PAO-^i13E1ORR^O%ZUPB`XplY-8yhxog^0pv1_1~k zq>mNE3;+=f3=C-WURQ`iVKlNnuzd?4@(gJ7oJA1xU^KEmP}nqhLp<0JjY>xdLG&d+ zX_!8cEg*dpp!z02^}%QbkOBq<1{e(sPmmiy*aBh=13Ik$v5o;oqw9-+>O-epp!#4m z$PSQD@Y9kM5F6bd7$0U2jE3140M$2V5yT_tv<x4}bOr_(4YC6y68N+v1r#nIHZd3) z?F>Os`*6iW1JnW-4N4~<^YwEwlgv!?b5eA3GV@9+bSo^(bj?ikiu3i1K+@ne53&Q4 zrriBP8JHRv4uFh;=?Aez7#P6m93(H5mo9MCkaI21=d$nv$8R*1AN`aIQUfvrqz9x1 zWH2ZiARz<dF))DG-V6-jJPGlCBUl0?24Y-HJ(mljapB`k3=FU&hOFitJ9cp<9OBcN zv8(@q!~9?l?CO<pm@f-T)5s2lvh7&0n*$0EZ2nq~!~C5r*v&b?hFyFG4u8quQ16B# zd_Zvv%L7m^fhm0)>KEYf?-d;4zZtQ+|1b{ma2(;FgCqRqaD;;u4s*J3*gFYFyxhPc z?uf(QDjeZ<o&kF}bl@;&4i5D-INXzmL);CAIn_AArwm6t`r}Af=W+P=2M+TyaJa`D zhx@1Du$L8wJC$+RYl$P?OK_O4heP}d4)Gow<;hYU?qS6t{t<`2&f-v?gd_afaJW+m zhda}7*qe<*{dFAaHXMie5ghSbiX&bo<FGddhdFC-n6n2*dMm);PH`OJDTKrPhd9*V z!J)pEgMmQ_T*9Ie@tz^R@hPcAsp*-;C8<RrzRo%Md8r|ZNja$u@$u=o`FZihC5c5P z@$n2`rC1eaW@pBi<>aTwr>B<07Zl|u$0z6KmBbg96qP2I#21tlF@R;0^Ye;J;)^nh z!D>>Ai{n!(Qj<$dQsWKc(-MnI;`8$3i&E1;RAPEM1K8Azl$`jq%$(Htvc#fHkgGr{ zz)pq8gKRC$ODw94Ps~e!SW}#tTac4VydB9!sfi`2@hPdrndy08Q;U-`Qd3Hi%qS?z z%rD9;sf^Dp%_+$&$Vmk&1A7XtwxlRAuQ)NeBoh>5ndy0nIS@~kfxJ+bm{Xb>Uy_-d z8gBsB3-dWBv{H+UQ;Wf(V718^sma;#MTvRosqy(~@tJuksTJ}01rP-w|0d_>B`21| z7bhp?Bo;x0L3U*3r52TBCg#K!rDmljmmnkxit-B*(?Nbjaw9|<WL$A-Nqk90QEFm} zXG(lpeo=gBUQuFRN`5X_2{d$)auSP6Qd8nniZaVmi$GDEnwMUZ0agMIhm_RBVo(5r z;s+s`nOBydof@B3ng<RTghX0qUS@FyERrDNAWx*0rGiopB>V~zixP8FOHv`81i7=g zEWV_&AQh4%3KB~);`0)7A+b?hl3Nm=pO=%F2jPQVRi2nx0!kV2AkBHH6`(|!Sc0U0 zK-fT>lbo27la!d84R$8Tq@v{bk|I!0C+C;uK|>l8l*zdT@nwlQ@qWQU{$;5}If>9% zL--8EsFcdQ#N14fS%{<qN&;Ye!0soQy0By!kVA4)b3tx_q&2u9@Wd9MSOQ6mRjEb! zVB65u#KV$2SQg@zk|J=N$0z3(RDy*w^D;pRF0%>|!|@;wf+fLvQc`nLOORwi=^;50 zlt&<HKmh}{8Yy+ggOXBWPJD4remPiWab|954k!sDWz&q*#DaK)8^G$owqi9gDJMTU zJ2NjGRFZ&|7NzFoC&Lp1A(wy)sN&QTP&zP(FV3t=H3XXiwh(J7!ctg3axOw2R)<2p z4ziGdN@OpAQaIRc44@Pg4-QLEwu=WRVNk4qWRVPn#%6LZG>w9iO;TnFICkR=;wunJ zA?0g6A{QnXl@-UQl;##-%ZFg=L8S#KCzPhbViGkkgF_8kv_Q)ZC{>gSE%`z2!5Th! z`FZhhpF;u*?AF}GoSgh*3osjOK33fj4d8?opOON}AYj#?{1WOCkeZU7iZ%ZhWfp@{ z4@e$t1*jaTD9+4H$t;QoCnQk8pP3e4l$x7ZP>`AeRs=Q~7OG&8;?%t2%#zG1q%x>9 zr64{xu_QSoGY{f-xWl0t7E-SfjMAjiG|w~xcX*Npg&?R#2AL0cHrPcOiFqkGsi49> zIW@j0H77N(I2Bw>LW}pJ)Z+Y{QbaZdnFMzf*d16ZI!IB(kXf9W>r|8p3dZ!*lJJzI z@S;pm5gs3(lbMtZZB67RX67-($0t`L#;0ZGCFX#_15#!&fT)5>hMdf#qLj>dXui)c ziqB3h%1h1BE6zufN`lyfB9#mpjWA<y_wjUcjyKXXG=VdX5lkaJQwII~f)f4A+;si0 z%(BFkd?N!R6FoyHM<2?|$xPDE$*V9k(aYD@(*vQBf?|k>etL4UuBD!_o`HT+W*$f? z2Q)SUYF{xj&;v4I*uo4KVS%$?E&+|0fW}Lh87^=zz=qWrrc4C&bXXW}LB+O!`OFMY zq5M**Ois{f%LnK{6>Pi?%4Ki}1^JhOp#wS|2NP$2igP4@Ms64wVB#>o0-E@QNQgNa zXyOl|AmRpS;uoSJ;udJ)6JjCa4rt;G5fE_?G;sr{cmSGs15`W$P5c5>JONGI0P6k> zbaAMA3edz8`XTXAfhPU{D&Bx5UN8Zoz5`91VIo9)0=jrH#J@Ap#5X|27odp?ltR?6 zKod`Zif=#@-vAZgfhNvS1~KOVns@+I`~;f#0;u=}H1Q8m@f&F337ruCK0p(9=z@s9 zKofre75{)H-p~zE{{u}tp$8()kcgUY8G0e&9BASXpyC2(;thQe^$KX>0+OJSF9rq; zba5$&xB;5@1gLroG;slGh<XP!aRaD19%$kTQ1JjXaRaD15oqE9G7$3<(8Lp<;u&b- z2cY5wXyOX85OXTf#2cXE4QS#IpyC~9;tp~Ub0(mPFMx{AKoe(>hp1nGCSCyb?+P?= z1_g-v4QS#4Q1KmT;v1mi2hhX?6d~rEKoc*3ieEqzPk_4f2AcQ<sQL$J;u{Ph=6^sF zFK~c}|3DLW2!@C=fCg+B5asZLB@l5AH1U8#5OD!C@eS;d^ellUuD}TqS3nbAzylH2 zKofUhgNPfTi5Eb{EzrfG_Bx=6CqT{jKob`bfS4bEChh<gk3bXu02NO_6JH<*F((5} zoIw~OUVtX<02Qx56BiJHsBb_MpCASi??4l0kbsC!Kob|Jg^15U6HkDOFF+GN02N<> zCSFhjF=q#w_=iMjxs4`%AqgUW0!_Ri86tiGO`IVGBK`nPd;(Pb1)8`)Dn$JUH1Pva z@gHd70cjBR49TeF^8=_j2by?6Iz+tynm7Y!Ko~T?iY7h*Dz1Pgo{$MKM*~gVAPXXH zfF`~m2O@5PCSCxYm2yB6cgTaN_dpXD$cKmrpou?#ibtS{ZzzDMPe2oID1?Y-pou#a zLBtEt#mgb$6=>oD6%g?TH1P{i@eVZc33U+l6VSvJIw9gS(8M1=#TTH7FX)1(Ux6l` z&<zpafF`cc0}<bWCjI~_egI8;K`%u82{iG9K8W}QG;xJ~i1-aO@dr@x2Wa98CP37` zKod`x2oe8)Cay3EBK`wS`~g&)Aq6%4FPIEb&w(bMFa;tmfF`am6(TNyCjI~_u7D=K zU>Zcd2AX)nbcnbCnz+FXh`0rs_y?%C1Dg1TnGp3JXyOI4AmRaN;s&!J;t^=#AE4q1 zXyO|{6I%=n3>j$R1#=<h6rhP4%!7zmpoxEgiZ`H%Z<r5J-+?AxumB=H0ZrUsAw+xz zn)nB(_yRQX4bb%zE6~IX7DLS0fF^FR1R}lzP5c8?`~aHxhNTeoC(y(TmO;cXpotqS zhlt-m6aN4ee}E>wVFg6}3pDY9l@Rd{XyOK|AmTsJ#6LjA8B$Tx|Ay5N^&Dv81#2MU z0%+m}Ya!wiXyPBB;tFWu8`eS8YoLi2tcQpjpotr7fQVb5iGP5KJD`bg*a%VYfhJzC z2_hbVCT_49A|8Pz{sAhUfF{0S3q*Yen)rvU5b*+Z@of<C3N-Nt+acl&XyO-kK*T%H z#3$^8h)+Nh57-3}pMfSWup1)208RV?RD1=R_yo{I8v_Hw1~l=2y%2MDpot6YgNPqM z6TbizKY=EmZ~&tI0-CtOL5TPbH1P*e@ds$)3l2flzd#dDI1Ca0fF`bR1S0+eP5c2= zoFNT0{VzBQQO|)Uo^T8zE`TPka2z5ofhPU{Dz1PgzTgBzy#|_i!bym@0lN4ph`0rs zc*ALkxC5H_f-?|t4>a)yXCdMNXyOabLBu1_#1lXZ9vB!H641m0E<n_0pot4ygoqcQ ziC=(<SD=YcxCBw(fF>Sr86w_+CN6LVB0d34`~p;b2AcSUs}S`I(8L3-LBv;}i3?nZ zh;Kj>e*hKVfhNA-21NY<H1UL+5b+ae;tIDQ;up}wA3()#pouTI4N?C9O+4WaMEnJs zxWZkC_y;uc2T<`JXyOa*LDVy(qo)6a`w(#sG;xIo5OD!C@dr?G2{iEq4<YIm(8LoS zLBuuC#1$Sx#0}8IA3((|(8L!!fv9&t6Hj;w5%)k7S9k^y4?q)t02Plw6JPKgqCNpl zJmCdIJOfQ!;Uz@808RV>RJ;OBe8DS-`UW)dgx3)94m5FvHxTg&XyOl`;xo|17rce2 zUw|f_@D3ur0!>`uJw$v1n)m~#_zpDj1s@>l51@%V{Dg>~Koe*91rfi1CVl`aegjRs z;WtG612l1mKM?U3=;D7N;vdk&6aGQOf1rs6{D+7$WT2-138004pz$3v@c`%=W&t#D z0Vc>ej|7_d1*o_Jn)n1}h<Xh)@e3>vaRW5*39Jxt3pDWnHi)<bnz#TvMBD>S`~p-w z08M-X2Sj}Yns@*wL_7gaT!0HAo`EKQ0V-aACO&~1qP_x6Jb(ux-hd`9zzY%YKoh?J z6`z16K7kLSeg>L&06#>00h+jg07QHRn)n5%_y#ob34##yJJ7@fKnsc(7#I$qi3<or z)So~TzW^1#fF?dc1fu>1ns|UHMEn7oxPTZ$`~{l$1*rH3H1P@I5cNOM!~-NC;tZLn z>A!&$GOopeCN97W5f?xczW^1NKog(92T`wpCLX{K5!XN$hmP+Vpot5JLeyKJiC=(< zJD`adNJ7+mpotquLBs>l#6LjABhbV*NJG>opotgAK*Tf9#0_L2;st2pAE4qDXyO|{ z3tt%+7#h&T3*;f@bfAeFKo{gsKokD}6`z47zCjUU&H^;?0wsv}3NWr+9&H1Q8m z@f~R58&n|b51@$`s6xa~potr(LBubhiGP5K-#`=Jpbk<008P9=10wzcP24~eBK`qQ z`~y_{2b%Z>Er@!CEY$R0pbZh{Kod96frtyBiGP5KOQ4Bw(1oa1Koc*}gNSROi5uuc z#0}8IKS0GT(8M<wK-4>+i5D0`#68f&4U8b-0cheMpyCl|;v0-1>J!k!3rry58EE1L zrV#N0H1Q8m@d`BY4Q3Ga4QS#8<`D4?G;sq9i1-9F@efe(8EE1gEFtO_pote)LBv;} zi5pl$#5bUce}IbbKoj3!15tkfO}xMsB7Oo*+`tYZegRGV162G5n)n8Li24U;;sp*6 z@fT>~2A~D)3=9k((8NDL#eblQZ*YQ`!;p=Z{+%J>9BASOE)a16H1Q8maS1f>4bc5g z3TWa5ZV+=c(8LYgA>syT;vb;m7HHxdJRs^F(8LQoA>tlr;s#z2@c=aO4^Z(4H1Q4I z5cLUY;srhs@eDL^17C=E0h;&+sCWgM_y#|S`UW)d0)L2j2b#D+07QHOn)nB(_zX1h z4S^8#3(&+1f*|56(8LXbA>td*#6LjAcc6)H2!W_SfF@oL3K2hnCT<W05x;;Y{sAg} z15JDbXdyZS1H%I}@q!45IWN$}4I&}pAJD`<K*fKciEoI4sAtGQOaIXjaSk+bgBXao z0Gjv*sJH~0_=Z@BdIdD`f;fn{2Aa4*JVe|8P5c8?+yYH}Ljpv-1Dbe2B1GH+P23;} zA|8My{sAf;fhN8o8KOP`O}rolBA$UJZjcHQFF+Ij02Qx56W@>qQQv?jUXTtE??4kb z$bg7XKokD}6`z47z9AE$egT?zK^8=O1)8`)Hbi^_n)nB(_zpDj4LK0?2hhX|av|a; z(8LY$AmSI$#6LjAZ=i{9$cLzZfF@o5+W5f0!0-Z1+@KJm{sWr$2dMZDH1Q2Z5cLeX zXz9NgBF=#(ZcqXd7eEvL02P-&6W>q@QLlg|UQh-R*FY0DD2Ip}poxEgid&$GZ>WH% zcR&*_sDy}npotq)LBs>l#6LjABhbV*R72D!pouHgLBuoA#2-M#3(&+D)I-!)pou3m zK*Sr+#1$GL;vHz>51`@`(8L!sLDbJc6HjP{h%Z1BS7?EVuRs%j02SYWCcdB*qJ9UO zctRUQ`~aG`LOVqK1e*8*sQ3jm@dcm_bPNm(H{v1b5VQvsw#E@OLh}GgTo5Dx#V?S= zC7@y;>I0HEY!53){0EY_El2>0C7|nkK)qpYs2GTPfh3N+zj6a~9R)}|H%K8A-#`)v z?aPG<Gn|Np_=_F7#}+1j0ZAMZVi1`dNa7Hk5b*~{;-G!K5K#t(7f9luy}~f@4@lym zFolW#KoSSJ2`0`E2eAz##t9c>VBkO!=Ry(}KoaLc5|=;{=S31%KoW;;!3N7}Ac^yX zMIeL$k~nA&Geneu!2(Gfd4H}0lDIHTF#`jG2a>obl6U};xEPXn1d_Nol6V4=xFnKz z29mfGl6V1<xHOV@1(G=I>;aH;1ClssuQEssggcPL<v;>ZJON2u9x4W+W*~_xf&`#= z0g^cK{<RfI;>u99AZi1WxC%%BigzH1t3t&<)Bz-MHIM)lpFk2<hl+uy3rOM`AOR@8 zfh4X86$4QZki@k>0#N({NgTAt7%I&00ZCjJCdk0R@B>L)4@sN>T0Vf%zdn*U2a>n} zlDGhpxFM3b1d=#pCqG0|0ZH5#A_OKiki<>EA`rp=N!%171STzz#Ld7W5W)dT+#DhV zCOweEEx;lWA^=I;5+Vd9Bap<cz#<SL0ZH5%A_OKgki>1kA`qegN!$)11STtx#O=W% z5TXG|9Co$`NTvfx+z})I#S@UkouFbMY6g<HGe`i67a)nl&YA#8tw0io9_;}VX4rrv z4%&ka7Gz*x*nuSO4if~810#uhAc>zq68A(Bzknp}g(N=891Vfd5Eu=C(GVC7fzc2c z4S~@R7!84;90H&D<$ifIzv1xcW<ANz%;3>_poHoF1&`(<9FPT@|4k?HGBf;Fox;n^ zz%TE>@Lv_g2X7vE`QZQm|Nm9Hc$pb8K*KFBFM#<?AU<fw<mCY{zY4?$4PLz50Ol8g z_@E(@mkYrBED#?wH1cu+n4bjVgN8(2Hh}q2AU>$u_p$)Y4+8N)Lr^ahz<e(dA2cNL zG62kX0`WmZAuk=kd@B$iG!*pG0L(W6@j*i(FBQOiEf60x6!KC4%vS>OK|>%f8Nhrg z5Fa%3@$$nzkbi|he9(}`%LiaS7l;oU`g(Z*%x41eK|>!e4}kf<c$gV7KtmocH-Pz{ zKzz^;)XN26{wok4H1zRu0+{~@#0L#|ylepTZ-Mxrp^ldYVE!c#A2g))G6Bp#1>%E- zJYEKX`G-J!&``%q2QYsZhz}a#cxeFUZvyc_LmMv@!2DGpK4?her2v?}2*d{sWxQkn z^JjthpdpNxAO3>;KMBMK4Y|F10Oogr_@JSTmlweNCJ-Mqgz@qKm|q3rgN80%ZUFO( zKzz`U#mfa?ein!i8mf3X0nASV@j*irFB`!8C=eesH1V<k%nt(bK|>NR6To~g5Fa!Y z@iG9+cLMQ2Ll7?=z<etZA2f9M(g4gi0`WmZ5ib?Md@T?kGz9Td0L)hc@j*imFB!mm zDG(nt<nZ#tACUirKzz_p!^;O?J{O1&8e({P0nBFt@j*iiFAsqEzqpwhGC)HLFE@bs zpFn)jP{PXvVE!u*A2fvUasrtD2*d{s9lUG+^KXIppdo{o1z`Rq5Fa#D@G=3+KLz50 zh6r8;fcb|&e9+LqO9wE27l;oU5_o9<=5GS=K|=vA6~O#eAU<fw^`!uqzX-$!4GFwt z0P|;o_@JSHmmhwE{67iA2Mqzdd;sQmf%u@V|H}(tK1h8UbQcf<1E_p4eCyeHG0vm& zkw^2B4*?;rh6fB!di2`1IWRH&7cJ&yX84k($1mRkE=E0iZ5<_<89aJftw5CJj}lRj zZdNmHNYTXk{{g>z14!XvDDBZ}D+p59Ysv+pH2-;Ye(*T>&feqT4`vU>V;&d(m56wB zv;O6R7{KxWK^nij3j+g8KgfLzwr4@dkCtkOdNkYK;$miC@aTM6!sF3x`;3d3;f3b^ z|Nmd4{Qv*|80%6AW(LOC!~F6n`d^m+|NkHA9>;LUFvn2GkYJC_%g3A|SemN>7)$MZ zx~m<!t3A4leY%4~nt%Q8{K4;XtoawCW9K&)ewXi--(C3qKDcy#eDU`8|Nk!9#U3vF z>x)Bt8UMWmZL{*}EspT$b@uS+=J4ot;PB}5PVne-&ggbd=yZ<h_Ris7e~^E@cZ%hS z`V`O3|DKJ<JN!i$CO`oHHs=VRUX=qr-Ps5ibbH6VX#V~Ge>X^bO6MW%U=RNF!6A<P z+d@Pcd>Q{Z@oy7R>IjaoJW(Rl9h}2>q0>2rfBhl;^`|UP)U9>wVvBZ+ag240bBsTH z%*l~~f18s-SBu3>e-VZbC&$AOi=pH(Cr3u8{3C4gp`ZW@_Go+q+ArnNdD*k`pHFX< zgW+4lZ@WO1icjbN7aG6+|F>Z*4fg1aQ3>$qb`I$Fj_6|H=x9*^B{PrS5S0L*&hIZK z{{R2qhPhM=q>RJx8%Sr|@Bjb17&=^3JV2^AK&rAqs+b)3ryPCFWcar8g-7ENkk!#3 z-^3oC0CElm@u&NX8u9m<i1<7FlPdA&al9>n3v^rt1A|BJKG5cBkH%vbTnr3`mkdw7 z<oosiKQtntRIo?q=Z5{)LG^WspvQhtIRvUZ4Zpp3^!@*TpYG-hObiT;-3*=04BQ}w z150N!3pdE{Y7LLZ!!MvFdvxB5e)-`0|Nm&_fyyzcd6OXKaWI37Vd!k$05@+7#JmKL z#=|qXAua`*Hv!W;P&o)S&k16l1I)Yx9+1Hx_oeWF+_xLFbHbzXum=y4k+$E_0szgu zpmG&z{-bYT|5w1w7vP1NFTx8pAGDI!qw(+$Wb^NSLo*+o{z8HSJUTyncK+W7$^ssp z_g}Pv9N)Wn2Rj3UNAvCnpyrTcS34*gN{U(zc<up}*C2P4@Obvts4yFTdlCHY|9_9( z-6xnpcAEyeF)<ikdZG9I|9?kV^znH%A7KIIljkqgK#Cg=gAVWY=rvUVD+XEn59FuL z@1W)&D9gt=#=kuM^Z$Po8@V7xJpPJo-%VGrefz#4*#~wSqv5v~J3va|wygsz1=%(M zSvAOYOc0|xzW)FJiC=&<!j*}^r<axI|9?opKnsZPKAj(tUAXcGQkbHJC#YQtvdR?X zvEI!V9N_Rw05vCJ;px(HphVPTKWI=H6rvu@$C(Vjy<ma38FZenN3SUp+|75s{{QcT z8bToZK_LV)p#&O22fzIP@6mV|YQ%OIPzZtCGV?1^An|(sKLYXfq%Z&f`}DHrf$Em< z1K^Z^!^1m}5-FO0LG5mk9j+i}_HNz*@^5$Z0}$1Epv33@19)l>_1N#jz`y|YGK=B2 z7lIJ?7J%x*UQ>R!dtZVahU(>Q-~a#j+ym1OHxugd6Q98zhZ=Ou8Rqc?U$A<7=4VKB z7dnHZ8x&=)<FS|yPEVk?$v`nb4sN~!viYF�&{p3#bJG5DR|(!=Iiak@F~8{s*<= zK|uy8|2~29zXu~I+cR`FAAsloBar-G!N|bi(Rg?TFH-q(_Y+cD1r@QN_ygGis>t_& zTA?7*_kp@gplGc7j1*$v(Ea`*>(l@L9^K71SQ!|)Jp!6*7&#b9tUS7RKVSvre9>?x zCI-WAJ3$4>i})}9|F<3}arEfk4N~sWYibFSy#$eE{PzF<Yj%%bRuv~EhHj4Lhm0QG zlR>6*a&<efG(TYU=<Wt{9a-Qp%<b7*2g=e<KY|@0z=q}s2{y1JE;%9`aqBbO5g_Fr zy{210vQS6Ve1$q<z9YgBAXAVW0p_AP0+bFCAdc`rcSHch5xyX6pdk^8a0E!XN3W?q zNEYgd?_Z#fkV0|<$P^?;fVpUn0F}G9KY&A`0o@TD5J#MFK!n7FPw<ccDfj3#T?LYb zI-&^Xh^Y>UkN}y2<Onbq%@M4I-(G}49I*r45eFcS@CI1}4GC|ABS6YMdQEjfvQS67 z{R|BW2_#2=OhIx4n2Y8JHp6c(F1`nc#0PXo{D3&(m^~sSj(voO1W36@ujvYqEYuO8 z{0&Z+lkE{90Wt;25nwKwBiIeUz3_oJLW3PGVH$vH(q2(VkTuYda6~u)q}-#|R2d`- zb;RRO(2(Fmas<c}Bu9X`NRHt1Y(55ViGF`^<Q+IL642d|0ddDpJ49gY`~VLOkaCY+ z)7c<ds5_!z?r5_^1O~_yBzJ(hjw~LHhb=fkotgXK*6mAyPyhde+uPs<Zh&j&amUVc z9-W^(I{!L$p7rfrVp|~0z~IyQ+@<r-i_2hF@V7KEfO7EO7t1*qUc`d}vv+UC1`dW7 z!4UQZA&><&DjuEpTsl9wbpCwt3Y7Lb4}13R(d_^m_spmB*Nc#kpwdxC#i#Q<LaF_G zQ04jDqw^j}i6KZyZ>z;Kb_NtxGeOz9@hHS5gl<@s5AKJ=zAXL#E=eK&3kgQ{T;~a& z-X-h{MBsk={vI5{NghzYw7>iRzj^NlP+?vo;nBO7K?LL}n1>`G9`fmgIkW>L09Dm^ zRN^^11KdlVoiCsbrP!AbKm7j><G?#bxZSrx6z;xoi2K5t_wE1<41wLmA&Ts#R#517 z9!9w73rL`MFIZLMQHB>d+~kYyCfx4XAr5!X@ps_x3Txhb0yGc>c8`QOvU|RQk~gw@ zQXuXDt7<$d@E(VI_P$38Bi!z}Aq{trImA7n_!HR3!SLev8&Lec01a}1-DV+;?6ydB zx19h9^zH?#YCNj&4u{(m(cK2BpK-hIfehSz)8E3v>jP+@4eTZd8Duvdef$5v2Xc6s zK->gY)p+y)uK4SHM|60dkcGR49qyhRpn*QHdo*N`-D8UGo+TiG-o0Q|jYki>#1Te+ z-xBSf4RUbzl)r(6&jHXtBiKCxa>(vk`UWk0L?G?~t7<&D;1v${<Pz_m8S-%VJbw*y z&kE2$C)hndP~0Pm?w%HqK<{3#s>Y)YuW`8N?i-@Rr$GVko^ZH(CV&Q7!R~n=j~qU& zuhGKi3rL`MFIZLM(S$cR+~Z5UdomQ^?m7Mn7CsfAfnKnCPADL|=j$sp_oP7F16I{| z)Zr}-_w0R*BYa#s4?A|A^X&ZY(fQY>cln8D><rEOZ#-jXU?`FI=-msdB*3j!7tN21 zH+?$)xpaPd;qdzZf6vb2E}EbJgGxr1&Tl@QzdCQe$awkxfAbH<5;>?w!;`LtCn2(q zoi|=cLVV}w(YyBr8z;kypD+LaH#`Zh20;dZlq>^zukk3zR#(FV9-Z$Yx;t;e%J-Kc zFVQ>#Dl8^Um;fs;(EPLFImkadp2PeDszfmSQ}7DAe`dTu_y?pB%|D(m!LbGMPl75Z z!wXGJ|6F?s>XCt~E*$=8d4bPApaISRm(HV|r#(BrgNN*vPj~_H(SjEsAIW<5?gLdp zU>|ATWPIt<`LFZViv=&i{&DPl>!^9x@&8eu&gbBo-=*{AizCk=eo};L1^Wps>)QF^ zMcE6Gm-t(*GBPl<-Y!*jH9X)7jv}zZoiAUQJ_9KLjehLo;OAs`VG0VO-;Cw@t#3=T z9e03wKaOD6_;fyp>0w0Z5fJ2Lc)<wP!&Gk2{FkXn+i?e|<LS5)L?UTA^Av88gfJ(= zi!&g1|7HehVg_jfb+{dOf=DDy(-E2!L^&B=Ob2UX0cm0ZX##cc96>kKfEr&g=OrOD zX^3+&yhs9TVg+ep1!)2e!8z^(kw`Y_A~YFDax%Qo1#4mhX<`Fu0?n&A?gWuYntnWi z`^iF@li|gWXaD~<|7HhiVh3pgk2dWDkw}^jBQ!b4ax%O){0y3F;E`7N{QrN?#$%v_ z;A(ilr}H~l#-;NmTFXuH+5i8jLh#lS&iqsG5|r8+UV>5^s5}5wnBe$DE)NVq4!}|# zL_CG0HBfl~(g;p#kQ@!l?3|Ev0m?rf@|+AWUOt8T454Hi$jOju8b|(dd5SOpgao6N z2LZ1@{z-TR^AD)P#qdwUGjRUFDBn7sAp8T;h~^(lNSXlo=LZ)j!wX4F{~UV?E)PJO zarmd?2}%Ajcn$K8!)us-KovHIe<nP|?w=ix5&i*bMDtH3B;|qp^MQkt;f3cDjQIKX z1neJ>W*q)m@|Yz52)qILN8t_3KcEU9!#@X}VE509M+pCbG@|)u=3{X71Nn!6my_W| z<zo#0s6qS#(u~7DS00h%pATUFFuaBN2h?D|@Xv?G*!?4c<R6emH2<7@1ouyXA}7O( zm5(s|6ZRM!KOoIG{KG<;e=fWQ#m@t{e?Scm4F4$L@Q=qsMErm>qWS0NL%4q;lsOq* z+<b`PpSDL}|9~{(@Q=wulHzB>JCJ`4fNp66w|79D6b%0aJi;D76%P>p0ck|@k0!)F zrr-(`QKlVw2=)U=0}ekFJizn=j`q!h_aOIgcn?copbj90`zJia?*1M35$*?RM00=U z18{txyPpZ-evk$n?%!}9)BTQNkhzw9pc!}2su9o-@%I;^cR|B7&Kcd_1r0VF%%x(U z|Bpdre&6~3|5)<^P!0du$+Nc(H1PQR4ruP9TEp-gXx8k7#a+-8Nr|Ip^D*#<^Y<6W zKnfspW8K}Lt1v;+mtaFYdUu0PJojik+yNSA@4N@83FBUVz6Yxn<B+Pu32^s<7S$u% zn+maD1``8AcQ@#YO8#vu93IU-SoqsP2l{#R@*3+fF?b}iG<q<e`2WDOw+=K`sSnWw zI=ZpD8+33X$gljZprbB5n~#C#hQ7bx0(;nlg@J+bCFqbi&)zy-!*4G>-v)(HGw7JD z?rzW_Qy{&iWuDE)_#gpw={6{!K>C<pb}%q7cs3ssd0pt)TPJS#?ZsAzZWNoDO8q^X zk4e0i_iR2U2Q~v17VWqH|MzS@#`Rj-v-ubsSn5SF+<1o9I-bqP1R%mOaABs`qMpsi zq#(ksaA6kMq*&u&0~T->24+j+-T(h5fX3cE_Ja=Dg#_*kZiq_}A=_ZX!C1<9toZ{A z0|WDGb_j#XqjxuSe(wgv)aaLn2=hRP^FqzreG43JnC8u3g_;LqfXstT#vX0}jpKu+ zOCR2WyAQPf3Tj?97W0@u?sH&+ng?Ql%!AH9Xn=-aLFP?Fm<Kxa7iyj~7W2UVeE~BM z!~mJM0WzO)0cM^f!aUGn!BF!~-^BDU*nJb&q3#1QK<2%Gm{$QB5e2#L<88Qqvl$o| zpypL$F^?JKU(j40Gblbl43K%ClUF<%4=aEsyFlhGM3@IUv>0lhHWu?(K<0ra$-w4; z7$EaNJKH@P51)XU7YH&BQvQMaL$00296Qf~yR5%`dY3DxFfcUlHvp}4DpBz0-QA$f zzyK`*U%D`U1ov5Az390EDFZvdx@f)ycZpm&-+{WVFJElD1uh0l!##R!mufIE>;o+d zdy#zyUi*O5Id;By!2!y~jfX)N`1G=BX)-Z5?gTA(^XR+}vBd>3K?)r$U3vpnx<D6l z#6k-h-2S-%_RkBre=Jn6`DYI(t9BlD!Q!8XHzEFUgH}8+=X-*3SmR-kc|N_Yhc!UX z2lcw}IRDvo9L|UKw_)*t6deb2K+$mlwD1cw5U^W82kLxHXl!)8dh!1jI5J#2zdCBZ zg?3Out^{>Zl_9R@Zv`FX+WMBi1$4k^^It~(_AEvQ2G`ba{4I%~sXxrV<}1*`o+;Ns zl@@=CEgm%ouKoYt(ae^|$*`iJgvX<IcSSBI!;4qf|Nn2;y`g}Ufswxjboy(<?ut?{ z2XsVf!|sS`FbA|;vtjp(KyHvS(BYFWK_@su$~Jf$qx74>?)q~L;x42A|Np~eRaBt; zJg^F_YybZ{b{=u*SX>p)$>8|yNCAHfsDta#yZb{NC&LS`>;M0|bS!4i<79w2+5Gzd z|1al&>a*V61_hi9FVw((fH=F=^FOGz-2J13li>v~SOVgOR$GX~jVext7hkS{d=2(a zDJUp10=XGp+`sn!fAe3a@+8ORe@sO&Si0z77i)n1RAK`UwOmF926(7df;kW?TUx;! zG;1or)-accIyV1fF7kH-Uww_zsRvtg@G2<iO7!6-hk*@)nw$jYpqVTOHkqY7z_Ixs zOOco34vd~Z*yMDG$y(6J1qT2)RPJ5H5-KOJf<lFrzg-uUwwnL3@;51iSUW&t11KW| zV8gk<hV!?84%l-<QG*)qMpt0*zUeU+G~PkU&!cy@#$zsq7eQCS@oxW#3l#4gK5{X< zu)q5M|4Yz8;vUd=*8{s38t?7@5b-VwmVg9RYc)gy?884-;6CJU$^Q5M|H~qXEI7H` z1It3S&4WmQwH*aZfJ2+Vr4OWS>OZ*ie7aRs8g{?<!o|P{4$6!#TnsNJUjc<0TY0Wy z^FOwtbVtzSCj-jR2spIvTn0z7J1%*i%b+}K_lXOZXTbqs25}Cmjf!9!*~_gRoBy#F znL2_N^DsCfkE?)f%!1e`is9mim!Ty;xPkx;i}7CrHQ5h?iZY*G)|twvC1)qdIMf2* z<=RW&PyuCDXzl<7PKhEk4VUOblU#`<tSo7j`2GL?YiC%D##{!)4>(3kFMISta<MyD z5F9O~$31#?gQLU<EC`8`*2}-ZaSzVLl9xdt&&c0){MY~gFLnNa=k1`wrP#`EPw)sZ zhY_g!mH;iREs^u=-J4;ES$>1kA7}u$^Rwn_@DMOKq&jcDNCR2<gOR_roq>U&^=)U2 z3JZTL=p=Jkkp~_W1cld&*B3z%&ffyMW*a8^7`Z%@x(Ln}t{qE%|Amx?CC;9`dkg+@ zGQ6<6^#8wW$I^;k0S3?By)SwM7+z>Ygp5lBK|(8v1sPsQT>Ah2wJd7P9ENIh?N|y{ z+IgotMui1jzkp8c2iGs46|z{yGQrL^0Xw@S1($rvh5!FM4?A|ub^gl9@a=E`e+%f~ zT+iOUJHBu-yl4aYsbj9TCD@S*ECd-|6hnkw%mNEV%o1dHk#O<<e~7D?_}f9}CxeP% z{wB}~)VPY`xfdXz2|D#2mztC3p-$_519n<TtY`0DhBurHFWz7H|KG7=uD3YY4F|*o z8D89h2u1XOg-&z}GQ2o>0p={WGTWD+^F<sXUUBT03vxcp$!)&>|Nnn21U1mHV=l-L zh$I_-JLnuPP^rw{1UlgiRx%@vl!Al#?0JZPK)0ZH_U@e_&%^NI<V8?=X5nuK9la0J z2rAf-Q_U2x#*!Lb@<+}=13`HKBoG`x#?J@U|L4Jh@cI|n#|gg#8D3n62x*uKfzs3r z6Cs8dN6y0nfwfHGC8&+!*fAHR$FXBBNFBtVto-eu;@J^gNkEbl_DbUEIgmd=$qBo> zD9pLp)4|T=ZvmZC?b*Bc!8A^W7v>Q68GiyhdBsOTh8HRjp&4pmCv&I?F}x6jIvG>| z^EbJ&F)-jNWW&L(;co%mVSr0b^I2#BZterSs3g&|cdtetX#D9MH~_0(f?f0Bg&@O= zX%Ha}39xG_#Dy4MG@pY7AbXkPOVBCEjvaGBK5*=q3vx9m0KqP84*UQA|I2Bt3=EDP zb3ukWcFYAC1X9ramz}>Ibdvz6i05yDBnZ&JIc6~ra&PMaP=dfNzxfQ*KfhZc{xJa+ zGOeKTr?X)H9DV@ykHrH)h8M>mLKU1~|GeN3VtBFn?En9-`5Zgug48*7%mvAToCr!* zpj0b-9+Yai_}f7T06I4R<KS-s9j)jHS|Z5cxD(VnLQcO|&VUjGe+%fEB2d8c@-V!( za1LYy2Q1-(7g6p6k;ocngEfNu36gQdkUxDI=FeMPpn|Ccl<*5~aWTC3cn0iG?g+4_ z8p4GbUfhESc}xNe?U*RU@Z$6tSiEtTDZB)oKJVBu7o^9rV=hP?$j{AxIr-Z`7dALH z|KsFuf+Qo*mLbQTAQIWRZ%%{!SyJn`10;hfF9UO~^CgIL9YJO2MJ|RHP7t>R`-9zf z!cU0dg+4@RM>AMRqgjaIg$&fWj?MqLiWOh_gH{W7%mrz3?3fEu2y!n-lE29qB)0<; z5tz~83UOwQBM$k}Q_xWDJ_&IqC{!6vaxuJ^eHt99v)#aM5^xh@c+mk7(kKNB%_tFK zcu{&97OLE33NJxt{X2Hd1?d45C#6CRAXhg3<%TDAZdhUm^*bTGPvlUYb_yJ-HI6&5 z%O5)lb?)S?5a)stJI7Woh8J&6ft~x<7VNeNTOo!QHz7hZ(!fF-X+jJyj-7%gc91$y zhK0y!fKsUzG{l?#^1w?!9{wgs=?B^e=C~6?B0Kl_Nw9NM9Cv_ZFy+Nz&ecA{4N9}{ z%5=eLZiW|@5cfr|0q4&Ps|6Wes6m7{Zh(a<t_w1}5IzM9VP1G;$_uMZc^McO9Cw09 zWM>6{oyFe*sZ2q#m}+WHKtr<lFxY7&pvv^cA#R2ji%x<=a`9rY8zdGBGQ8-A2vwW} z3%xiY$nc`(B+OZSWtJ~DfZWkB7vvRCDR)B<8u7gRh{_aXAgFvf36tb2uW@Yt$5&M5 zxC69}&T%J*MD|C=32^X+;ga8R9O{RvNf19MdiL)9Fp-<##k~_?KfKrlc5uWlL53Hn zPk`3tId;qi34)R(cys~W@B>Zefzpp><55s+(WjTSPXg3L232W3o$o;tt)NOBwITMh z>o{_Q6!&<DgbAoYssY-`1s>n2ForfrU0@>$E}gGltT_c9QouGIa`6OYJj4O)V#u%$ zXgCLyen35GWAJie2SyGC_$ZEN=M6O1t~rKlBm~l;#_j$KVD~?OyWhYBoBQ9K#OZ!P z9PY0?32y6y%=2hGOu+qbj*{#C4pUJ0&j9V51Bd?$tnSyr?f$^y*u#J439$P?<`H(k z4%zN^Fax<i05tCacK-rXY~i1C0%!P7Jcix<KaYdm4>FIi`*V(v8~#7Q?&kpQOa!|> z!VH`H*Br;`{)<PkyWbMxevo+{ph0^4>Hjdf?%!Yz3jYIe_Y0U~bN`!TINdLZ!~K<@ zEZMsoWFBGnzd1y%`!g&+?ymstzygQ=39Rnd!R`LQBiO@#Cn!nx?gp7h*!?<WyI;W) z<bDItjxVtL8!WJef6h^y;Xm;(cK81TCF$PXAoB>jKj$F1;eP|{{uglfTUcUq|C%E> z-GA{AcK2IC+z&Djw8M=RfBHW_uKOogfx>?QXonm){6AoI|C_@&-7kp4{gt3B*}EHL z9%1*t*-x(fJ*+|Qj{xn!1G|5P6}Ir#!R`LQgV@7=Cn!nx?gp7h*!?<WyPv@Z<bDCr zPHeFI6RfehKj#q6@Sk`9yZe8Fl63EGka>jNpR<qL@ZSM;{|UJJC2X*{f6YOh?!UMn zyZbF6?gyFY(Rg?QC;s%mmt6N3*n+~p!Ipu60UZ7pu)6=v0i5m^#Nqx*P?qf74Kk0g z``_##*ZmrHAop8<c7B50-(ia_{B>}<KX4!R@ZSka(!IMu<`H(k4%zO10CxWexceRK zu(>~HKhE%<xEH(oe}a;9?{1KJgx#OBo80i9VGj!b6`+$5z~TP`tNYjN!|DEud$7CT z65@W4d7%313urscKNs+5K9=_XE^^%;-~e)e0_Y?Mu=_XIV+;Q`dvUs75QqCKL0PhQ zH^@A~?tinBT=#Q0g4{0wI(Y)@{tO3f?$^QX{=nVX!+$3zN%!ssnMc_DI%K>50NDK( z;O<v&#OD5-JvhUE;x35$LmPI_NQTXANhEVIyx6`II#Yz`R6YTv^WNPcOFSA63;e>H zsKR&Pj1=7c$qFY>d~|@;C4uAP23G&f*^Sdb2X{jJgWez3gg6~!9$}}?*^blc;0`fv z_Zv8a-0uK7loag#2~ODJ<IXOe?q|f|{!CC7?cEJBkFfjiY$MnGFTn2q0e8QLGdB0j z;C8>`4(#E-5|qSycZ19$?0y-t-M_#E6#g4PhrfcupTPy2`(t+E4FAUM*xmmUl$v{Y zgUkbMzyFIj>Bnp(H~b@9LGI509a0N+{|>C~pR)s}`wwoz?tV>(`$6UrcK@6$<hozL z4di|W(5VGr_ZPTg3;#RYak`%ohx;=@S+aLG$UMUCzq6TK_n!c}{|4Os8gAI!FN53t zj$5&Z|4LAj?%fSCkFfh?$acR%04V$o0$}aY27heskJ*MZ{2RAmcmGRJlJ4CNG7q#I z?mPbUzlq%NzX5jt3%L6&0<gJ%&Q_f6Ke!pY`!yl%2bo9M{c|>w>;4IWpzvQ12n+uY zSlxeT3r_bl;&6W^C`<P42AN0L{dYEy>wb?QkozNoVD4WLh%NkOaJ%1e6ZY_52};tv zyFum=cE1eS?q>)FxnCd{=Kh2rZ0?WQj5GWjH)41HOHh*T-3>Ai)Si>V+n<bCPj2|{ z0K5ML-2D>4*xWy76HfOZ+<@Kvnh^Jc%mel3rSZCd&N_14Ul0Nc|Ar7)_+P;4{yQ6S zx}On;`!hjVvUfMgJi_k3vzA=<YlMQ_ZxIS}e@6(m@Rz~ue#iCL!+#|xN%!ssndi}X zxPb?6_{)&({s&<9e}KE+ArzbYV>aLn|HgIL-TxAlq<eRR%p>gnm^I{v|BNtD_^$|q zh5rw%?w_+Br~41C#qNGhi2Fh25qAHa)#SQAAROfWgm9SqH-upe|2yk&x}On;`!hjV zvUfMgJi_k3vx;2zb3}mLFA)KAe?~Yq_sigRzvCM0;lC1;q<eRR%=2hGT)>Y%{gdtf z17P=GfV*EI0-O6|*5VBR#?{!}{}Pm>dv}A(BkcZ|mE?whMI<QvJ0fA>e*>%g=d8i$ z{)4NqyI&LHevo;D-9Kjqx$ZZJ0=eHI3g-R^k=Vlj&T5?QXT;(DOi-5W-3>C2u>0>U zC)fQi!0!J6cfUszHuuZmcE96F?BTx>l%#uigUs`2JbXg{fBGle{R^T&;lCjo7XA#; z*xVnp3TOB?uE6g8m!KrwyBlO4VfV)@BRBjbVnFWCh=I9(2UhpbS&7sA2bW`azb3@} zAoD=;*+O{3f6h{J-7gRea=$_>%>4y1*uwwL3Y_j|#Nqx-P?qf74Kk0g`|m6v*ZmAx zAomM^wqAkz?+KaM+%JRM{f^79hyO}YlJ4CNG7ofor6}I;mm%BzJHYNg0e8Pd7B=_C zEXNuCjZ3k+|0O6%_wELnN7(%_i^&cDf^1OuH-NUPfy4g-R`<_YhSU8Amtc3lCdB<9 z^9Z|t&LVQ%uaN_CzXfP(9N7IG+1SGW&QhH2XT;(DOi-5W-3>Aiw0%wjZ~D2jkX-ja z0K5MK-2DzY*xWCJ+x?D<A>r@i(Yw1qormGYhs7Af=yO5IyLUIpY>&pn8XxeEr3hg0 z3-0mf7rCJD|B(wTe>`%rxj$wJ&hT$s1aUw5`1wmvlJ4CNGLNvEV-}Db{vYx{?q|q{ zxj!HeoBQW1#_9fp3$eRj6XJf5d4%0RXFj>^|B(-JKSu$~{So=t+<#{gPWLn7aDOH! zOZM&tnMc_Dcjl4neuhGj`vnSN?oTMd=6)I6?sr@O34c)fUl9RH{|hkFKPXA}?gp6+ z+OqxwfBMJb7u@NeqX^`Fi6WT$GYYY}KV~7$@Nb+CaX&`-2PNs=-5~P_yE$eqx#2HR z4069hG0go1McCXwX8}(4ADoBX{hAQ>gUloB{yB5Vb-zRj$o(26F!xs!V{`wV`8eIr zh{OGvpe)(D8)P0~_urXKtouEh-$=N09!1)6y<MRc<OPFLkQd}ZTdqqGUH~6L1zM3S z1@S>=jtUQS%k_82G->C{7j|>Od%Hjja!XVMd^({rFUscp|L@rRhp|-8vGZ6*v-d9W z7F`36-rWawax%Pdp99)ex0&fMCul2V#UW0H7bbK5|9>s!(R@S#bVL|r*Y#nS4zQYr z-6zg*GBEmdm#7GUHzazT<79ZjGzYY^F5)sLbeH0dOPmZZ-p+<(L8wDO%Y&srxvF<} zLkW0!aK&#}7VP#>;eo6VK(g{>&n)EC%&_y6!26>ifd@Wl{GU(nevL9v;8~P`1JAQ} zUq>l&;DJxLeD&fkD0_4s?#xkPfd<}xm(F({owqt)zF-6eQs)s+;6Vjn*v*E9Te)xN zAIFA$5Z?)T_U;4u&aw0O3!d5k|GRYlaO`Mn<ALm<^z7aDgPV)t#iv;iuRn)a0P?#0 z-2eZfF7s?W2J$+@S=~M=EWVu|(3VC|oQWkVA(cNaorl5u!y)?%4az~@cPIyWU)HmC z?}Rd__cdRF518wG_2R)CaM}m$9z@$;$OiIf=P{7ipM#g4zi^oa^7%i;(q!MxXD;0- zDlCp2txlpaFYetT!o~1{AL_;LU_GGa>b4*&8jpf3_vvM2f5pfEJAn+gT>T-MFK^Gl z;!E&UH<-ZbUyBNme?2N-{+&^d;olFlarsva<U17qhRnp`-`}!u{}#w{F}#qU35imK ze|<q#G#&+6?$gUE{*thNUr#62zi8(%I8=f>98d}JFz7sn1r<;aqpa8eGYjlzZ0q$E zXF|$nQ2qEI5w!ma>K#xD_38WtN};v0AZxEHz?pN#S6JqB>HG-En;eMZ;N^#Dm|j7O zFEsaiRDs+dQ3Z4Vib`zmx54fH#2FCxqt}loLHVS2H^@BD_&k0$+hB1sIH5ra-0lyk z2Dv|>8s`2DRoL8LGZSa{FPx6u{hSc@gUloB{+g+nVGeaVn8NM;h#HXlGiqS&-%*Xt z{d;EMbpOL?*xm05%E7(6LFN&5|DGx2x<8>7<o<$MnEMaZU~~VU={Vi52z5Uw{h#0l zrT=M=?2MfLLAkScH^^*{#={x^@TdRDn0~<>{uy;3_gB=x+<&4LoBM5WyFYO%_V7Om zO47Z%LFN&5vkewEgA)#v!0rBmdXW1Y>S6A`P>0R^HPdj0|H3KQ-OmYeKgc}7?ys4I z8Rk%@gDKqZuV?_dzXNpp5_o*zMm;w7@0p6z{SPN&cfThnOZM&tnMc_DdnOX=ek|?7 zhDMMVCV;l~gHBc3{h$Hi1$g`L*A%c1u(l87CSz|OhD`$1e(?5Tbv(R%2tFc3eG<5R z$boc3ipV7F?L&|n_{j_<Dgq@ru%j6&phq+Cw}7GmdOQQT`3OE$;qXLAW<+W!egLJ_ zUa&7c8V`T?56g_$TZ%~&z*QIcyq2<wptdOFl!p%3DG!NYLC7f&4X{%le87T`QyyAC z7xaNI!vZHIFo8Qgbu@t@V+QDad2o7q(TIo)#Q8`$lfkiptv;SO5t5$3<xw*a!wZK= zkRU)RkKTfkd+%<L*`TX8nDCB0CG=yaE!^&(&<t|_f@WBL{?LTY{c9%SbpOQ(5ci|k z$CeN`gUloB<~4noZUzTDl)&x&87(09uK?|X1&9BSW^C?%GZClz1#!5)5|l-IcZ19$ z?EW{s<hp-BE6DvDT4CYO(2C9dI=I~**pEH@cS14($UMUC*CE^eE80Nr-_ZthKSvuj z_vcK&8U7RdAnpgHe+xNK`tQd~|DYt@yBlOSXzdz1{`B9285g+I&xUr8`wxIlQ~{?S zfp%=}U(=7%{TF*7?#D>~5I2L&Bkbli-I#8MCVeo4+x<H_K<+;QI+_sdeu)lj?tjyV z)BS=t++PXGlD)e@<`H)Pn=W$Qf1nfO{tKP3^rO&;&HXyK-5=P4J^Xiql63EGka>jN zuS2%`PjrFYf1?ZLevK|{?$7DP8U7QyA?^pI{|^&E>Awdv{ezNp?{1LUpt%z+{OP|F zGcItap9|d}_dn={x!<50oBP-F;B^1RE{OXv(m%w_AoB>jc})kVo52YmO5k??jUJHu zU-ZD-Z_$Iz{cpN)x?d27`zt|NvUfMgJi_jO(@w7YAM}FU{{eJ55;*@l^kQ?r4sQ1c zc480zouDM$yBlO4VfX70?|!uLwikUM_x}K$$5SHj*}Ko958-~smym(27r7v};23Y4 z*a2^h!p7UqwL{yX(DAkvM?s^Bpz$`1qnr$&@wPebu<^F-x46M)mU;H>)40XW@S>?5 zk$XHkZ-GWL)^~!(+dwY!Y&`aYmjiOq%`5EVZHjGJq7o(j9qBv^9&Y^W)4TseKgjzG zpc_2F=XnM6L%k0=J>j88=e^EXFY-Ydvh%P@CusOg^Nr*GBR-w~!NZL%oey731bG%R z2n7**alQ@Y{eO)7t)NofxAUK4N9*ks;I=rAXYbyC6`Tw&W`oo;>;;F6XXpJ=kOU}j zUNk`@EKY%f3?#baBqzg*oHj_PK!&VbJ0HB5)d32Wy&(5`HXa293iu!iNSM4p8=d2A z#S$jatO=%YhR=@)pzz_C2+E$I^UfkBV1|!BDD&eCpF)s_P{L<@D`xmi-UtsLj*XlQ zFRDRm8uo&N0Tw>skVt|^fQPQZp#~nc_Gv{ApQ?6n_<-C?IDFnV6CFNS`g07EKw%^> z2^2=4@&1H~&@gh*d<pN*X@T6+c^qqh&JW}#$dEP4cz;_9D4^i|IWM&F{_qxXe~uYx zyx*k-dw&k32K#uwPz$J!1sM?sop1>n5r6Tw85*3BDG5*})B<Iz-rXR-fi6(xg;inL zdvSA`aE|w*jVEqc01CVVpd*;U<)^>`MBpL%UvFA*m;Wu0^3x4G?2T}6B`D1|9tN4` z)5{us88)Vi=R8-jCS1t?C4ZotubZ(D<o=3<pvVV}=bpgoejVKI4{V0GAHDwF*#hne zgUlnmUO}f3m&?J~8~Hp#NcoRAA9xYS{RWFb?gu5_hJ}dmhbP|L7I5$*&9^{1x1BFv zOl$&`|By)sNa(*f*9c0t{}@Z-V6zS#&D)^mJm}m`P&q%V5hIBm2j#-v-5?iuG#*Y6 zhb1wW&WD(z)>aMpe2hE37Tf{(cf%c!e?jrZa0lUEM0%@f274G=e}7>k_W0t2xE^F4 zD86(MuE#RwUQ>_H^(gaWX!#}LF39~EcVX_|fz|zcnsB=RVFPyedx8>w?{1KJp!rcb zyzbvqN3Qz??t$E|a1ZAGg1gwl|4$=M_bcLXe<vsl^zH_kN7(&;YRPr~39$Qbz}>HL z51adKaJxUT9((wo1SRO+-5~P_yWfUv_cz=Jh5v;6u<(C?)%`UMIKzKo9d`F~Lfj8B z&!h2hg(BYcQ&U53_**;xx!(hH)IYesm~kIl`0uI5>Hdec*xm05%96diLFN&5|DI}c z-TwjXeujsz@DF%^&HaDsaJpX+hx<E0S+aLG$UMUC|5HV-`&T>!h5rt?`#BzBbH5F4 z_b1k15C4;(B;C6kWFBGn+mP*kiN_%KYdnU9f5jtg?ysrE8U72avAdrW;(m~Mp!ow8 z{OP}v-0;5ucK-vo`wbprbN`+iobG>E1#y39!|seL0?<_+FD?r(ykM__RLRh~9n|VG z0p-))-5^T{dun+FPEX;kk2{`#;$y}WSbV&|>Yq2&IQ=6C^$&XcsS=b$dv}A(Bkc4y z<v5)VZb0F7zr$0I`vacB+`r%nw)oJ&?f$?@NPM8Xe<vu3_wELn2WoJu;Z09EWV`<d z*!>*OVD682ip~8wRXD?cVg+{h{{$uR-rXSc2)jS0jNI_w@C+3G2jK1(c!tgWYbtTN z|6)0I_gg~T4>FIi``46`>;8=AAoo{1hlT$MtnPnPfz$nhINV<e%8k9dLFN&5|C<tW z-OunE<bHwIF!v|C!WRBIxZNLEhCTduf|7LaZjgDP{UVz9(?8kn4|or9f5Lm1`!~G9 z=Kh>=oZ&yQ6ubL>f|7LaZjgC|-JerTZunb#2f5$lJIwtvzF~9!nlha3zgU9Z{gx2- zgUloB{xwD9x_`wFko$N1fVrRJ2R8S=DaGl2K^*R{1ZBzI-5~P_yZ=ogx$aN+337kI zPni1;V0FI^ZubWkV-NqGpd{V98)P2g{-h4s?w9xla=*qenENY!VhjJA5}e^bu?V~S ze}a;9?{1KJgx#N0KyLV70K5MI-2Dc>u(^LtF;4eiEX3}9ONjeH<`H)PntXEI-|-t1 z{xg2V!v6(U_rEE^>3%^R?ym%8$==-{^FZef=;19t-{g_&euqCG_XqrexqrcLY~ini z+x>wB*u#G(C`tG32AN0L{W@g3{|DIp9DiZ%kNAVl{W*m=!+&BvcK81TCF$PXAoD=$ z>Gbi2e@-sB;lJT8DEtq=-7oMLoBP)k;B^1RJnZhbgt#AM9%1*d$syPM8UH};ulNTG z{}Wi<|0W-&`vq~hzY>%sdv}A(BkcY++2p!k;Xlaz2B2$<z~d1O|FDI>4sQ1c=3)>3 zouDM$yBlO4XgtUWZ~D<8+x<7d?tcMyzr}xS?$61?8U7P<u)F^!C`tG32AN0L{W)3W zhW`WxMuz773m6!o=VyMv>i#vkINg6S8@u~0A?^p6N7(&qGRbwn2P4S+5sWbRuV7%r zazEdj9GvbK#Nqx*P?qf74Kk0g``=`c>wX3%koyIgVD3*~#O8h--0ly|!XExRK}ouI zH^@BDc#tXn^iQ_?cYxi00`7hZCT#A{$;KJ}6Em^9|0gI(_wELnN7(&2>Ewog0W&E4 z8<=6?e*vre*JR;z|HTaK?ze=vA7mb3_peDK*ZmqSAop9az}(-#j4k}%Wa4zcAP)Ce zg0f`qZjgC|-Tx+)T=zc!yZ-~+{SGYH+^>V%{ekJ&!+$3zN%!ssnFkubvcRAI$#(w? zR#5n_V1<SM53KIb$-o)@6VtG}|0gI(_wELnN7(&2DddKK02|2t32ZR;Z(zk1{%g{4 zy8mJ-cK2IC+z&F3u>02}lk0vCc98oe*kSI^V8iDAH)%NCFNnkam7pxyyBlO4VfVjD zBG>%~!0x{QcfSHVHuvk`c7I?B_VC{cO47Z%LFRch9*(fapZ>{qe+369{5wFmCWG5= zH?X=tClzP-PfW({{-2;E-MbrP9%1+AB$6Bc2Am-GJ8;6%&jb!^;lCyYr~5A^VRyeJ z#Qh-i2)lnx0=e#g0e1fnxcfagvAO?EGEVmk;&6W@C`<P42AN0L{cqw)aQ_kLe$9VA zz3Ufnfr5Vn7by7UJbU*sa6z39UOxt2lJ!C#=J<!2FaIC(>3k2~XW8ka!tx>{5fb<r zt#3<fVfQ@3_gKDo8V|mrC>bX68gXCH!xs|qpoJGlT|3tO0^b06w4lVmvv(i(21uI( z&<&95%D^{3?gQTdshI#>8icg>@33nJL`~=2=D&>PMXs$+N^()}ZbUdH0^%57T=E@p zko%J6Il=CBTnf5xy?5UZ(A|!!K(6hW_Y8cI<38|3j??2o7q>C-w@(Az@6`N<iN6W7 z3>5W(Muh!0;=qBCgG-(RW`7s-0!M35e1I-+)PvX^2EMIvANaOLX|UbQWy&u>D>oe> z4r~6)#NSp7x}K5Uv11-cdGlZ9@_fhUKg>m$sQ2$8oaG2{mM1Rx!dTE{QElfQ!xp&h zb9l_j@M2cn|No8+`yNyaf~Md+d-o+&3o^WDi30@*OL?(l^B<O?T-0kC5q6%91qX#M zF8RMP(5O&?T;s^!0*#6ppsO6^K~{InQv=`IxDR}9BM;bKR(Mpf!lD9nNfqq!UWEO= z5c_jbFYZOi*TU@2g51kk0*VUoos5gYp)qe7_yWd#;0qWh#De_HR;K(Cw93#C;;`nw ztVmG-Qr`TR4IC_NpkM)AhzYwT7h&zT7+A1imwy%w4VF!i%NgOp0=}S;1L8C`@NJCy zz_&4ejR8509Ud&~uwX&HED>S98N~h^)H`(%@@X*pe?c!(1O-b0=rYBg7*HaaR|dX0 zaUb~R#7eN;9Ee~6ISh2OBO--@lsEt700#>PC|Hp1HbhuEKN=P+*yYbhL4)NN<nBXw zut4rVj0QW+4Sd<*KJaCS_o6|;!U+!+PFS!YUsi~)UmjwA4(erw2zh^){Z7!!3_-!N z19X{TDa7t=;F}Bgfp0EM0^7}n2o{jTKsPHQf(4|!`7akZShzsJf_!-)!rG1~Sg>H1 z-w_E7mM+NUh45ejUtoAE3LGqI;M)rKfp0539t8>(Zg{Y8!-56*wn2pb91#0+P;Vnd z$Xmedp9H;)5ELvNpxX%JAa+j!UoW^1eD$C=*lr#~uz(x}x*8D?EFk60e|f;c!UGBx z<XZ(1))q#>f(5($!U$-vXu)nBgqAJfTL%wEf`f$(e8u2C@D+m_B0<5z3lA1vSg`Pd zihbzyf(ZLRMu6?laomAjUJ+)05%g+7P_Tfn7IcT$T?W2Ka3A;{K@+gud}Ycn!F5c> zJdneh|MDW0Eg<F1fBDKQ9Gm~}6&0c028eK06vSCUxa7OSp}|s(xGiuW__n|e5#S(s z2EHwDANaPwxe<`t0_TAQLF-l_O#+v07ZsKl2ckeLm%%Lw&&FdN9N>m8=-!Qon1@T4 zghSTZ;$EM?ApmNiNC<!$D4_L@8T`-&3d(r{Js>+fk7HZ!xG@6KL;>w@De&iEc##l^ zu}p+F3cOYoWHxB8jx5;8xb6yR2*Ye^;dZ}(Ajtg+f-v_N2w-#nnMj=N!;j$*_oJ_` zh>Qfg8Dt(|H=hZ`bTfEi2$aC>ehDFv`!$4M?ynHU=6)XB?l;8Y{+SVA_k+wM?0z1y z-LD`Fa=(Ev%>4~Q*xc_EfiwII!?1_{&2X^$LFN&5zfTC!;SX8g4Bp@YK2Jsj<bDeg zko!UVTRMah?q`JUZ|McO1;_rDjiI1s_7PAnh3#*77Xn(}{D-j=y1xaodLFdD1+;n| zw7+Ff2yA~#JKA|Ni$V~&2fDxId>A;xgIwm>c<h5b{{1bE!B~O<k^T_-6Tm_6-=}xG zfhZ^l97Lf(uz!LGmLTW?<w}$w0Bzxh9I3Mo<X^P?2_Bs>DjXi2w_ZF9h6aYdW9Ko) zj`j|ShYdV?_k$16*%%D!ifnfl<zn#c-48x+W?nF~D}r=H&|%jOuo}aY9^Ex60-)1& zx*;}z)}gHl{r}&y@i@rkKE15d7Be!y4y5@H*`fs6_kw!N(MyjYNZAO=qKNPbfTR!j z`gaR4P~doofdj{*clQiY<iLSkdHNz3WIcHE2JE~c=o&fD`gf53A^TgPf-lYmfr12e z{rfNIAvB;<{XmD%%nE{}15hYKPi;94O5_k1fHum@BT7+h>)!*hBm<CF!5HCRM0$XE zdAox+$jbrZFfT6<!{X(t5O9vhx?g1;$bV?*!Kd@ri)(=(fB#`Dbp)SJbpg`larWrl z4es(R4+QU5*`&q=I-g2Ijf>&M6o}A-F9IMThA#pPFB${?|9>ru8ke9Wt3cXZIyQrp zHtYs>n-C{gf%{G#ffylL3d$?JyFngA2~q5uR-XIA6AU8!0?^jqdq{u+FG2zoc%b@p zg*YPc5c>ygg2ADOtv*c*gp`M%`jkPGhv9_)G$lY&4=B0s4FZ>qAhSIh4?j>sqy#K` z2@L!(Qvz=H2S|e4pCAcy{{{(c?ym{L>HdWQ5ci|kr<@QsgUloB<{CdtH-i%{l)&x& z2q}>JGo)bd-yw<3{d)p&y8od+cK3UNGF0zwka>jNzsHwc_a{h$++QFKbN>M;Z0`RP zfYbeoINaYE08TR?^9Z~Dj}Ni#$5MV|$bh_1Ap`OPXv@$EX@nQxTZXJ4KEPUj#QDLi zRFwU0(|l3NkMn5dN4hV#{Md|CeuO}T`jN^HM_=sa2S}Ss$7YaH?BxfCFGl%c3d&lI zhe00n>1EZQ3#)eEd&ocq32IUG@}l?u|H%8T(8`YjSy13L$bte7RDN8LK?EM6{P^RC zJN|tk=@(RfOi&PJcyR&hZlv;~7L>hucZ1CKXgnOCfw%nl;DwnMaOb}YIgtB1<Y4Z< zA&brZHn`oN=mT*-diik@l%;xigUloBW*aPSh87)Q3b*?k<U#JAAP;l@137H&ukpnh z{tLaayPp%{evo;D-CyI08RlSzLkZmO?@$1_e})3g{V(LPxqpujPWM0b!tQ=gP?6BP z8)P0~_wVr_*ZmU|LGE9m2y_1j1#IsB<Bik(ia6Zg=?yObK<0tYH`m6S=Kr{p>;4%^ zAos6Og1P^PA~yHi;C6qaC-(3^2};tvyFum=cE1hT?q8q`a{mTpnEM%&vAMs-3upK* z^uX?ZPKf(K<`H&(jT^b)zd{A%{v9eX_j9OVbN?PsobG?<j@|v9pe)(D8)P0~_wR8f z*Zmy&Aook?!`z>tht2(eJaD>S5$b+W`QPCND*xRf^%ipZ56YgsyFq4y`qqYc^TG!g zOuyhRe*_Fb?pH8?xxYXkoBM5WyFbwld-$IOCF$PXAoB>j*#?W7p+zT{!tH(uLy-G5 z3}NoCFu>;i8h4!Gzt9!C`#B-*2bo9M{WZ>*VGedUl)&wN1tXCA4UAy!Z!pB>{ylCu z-T%-9yZb#sS+aLG$UMUC-{VBC`!$R~?zb?8xxd2*oBRK`;&i_v4)=G0vSjaWka?iK zo-y7u|HqMB_Zygi-0xrlbN>WmZ0@(g?fyh(?BRbBl%#uigUloBejBpgZ(#~@zlSNz z{WDCkxxdB*XZSC4!tQ=fi2Fh25q5u#1G(YvU<Pu3fEmpF3rw-Oe~&Xx_dj&R?tV{D zmh9aPGLNwP_t=x`eh+hy`y<R@?q6Ys&HaCzaJpX+>V8o9Z}CQm;l%|<%<><UJ$rY9 z%m(!Z&G6=h4|bS-!Cn3YSb*H0U;%Ug26Jrgx54fHL<j8Qe-f0Wdv}A(BkX1yEN+Gt zonQ*L`y(tt?$5A<xqpWRHuu*!;tc<V_SoIe32{HjJi_j;vBeB?u*0DQZucixf!tqU z1#|xaOKk4n<ABrs5ACqK-xHK2dv}A(BkcY?HsrcL!y4rN3Tv4APgr4d{~vpt?pMU& z{!UPq?A;AA&!h411xvhX{*N`e?k}(bxxc{%=Kc%T*xYY}+x>~Q*u(!MC`tG32AN0L z{WfH~zrq&e{tjE1`)}A_bAOE;&hTGogWdg{5ch-3BkcYfD{{lX!4Bm933f2|Kd{B- z{ynxh-T%-UyZb#sS+aLG$UMUC-(yLx`yCuW?hkN)xqpE@HuwLr!RdZQ9PaM~Wy#*% zAoDyL4@-Q89kYw|%=bSQ<htL(5#;^|N0|FpIAC+X4Q}@*T44|Wlb|HsyBlO4sQ!f? z6O7gUHe|bhfg8yE8{A;-XK=&j{u*nX;lI!lyZbpI?gyC%DnCW=mOnM-_`^S-+pol@ z+byH>)WKi!2VY4up6a}Q@IULp=gc0*SyUJp7#Li;c`O<qJz!v9(7fo<dFt}3P7xKy z?ivf0&L5XwxNx3te#F=*qH_6t^8?0C7ZsMyHWx+)hUVvto%=vZ&!e|a!=v$-1|tK5 zXXgXMONJ+3+%)_DKjJX7{-J^We_;0iU}Ru`+W!E_{zzo|gFQMw?*pwp^XR<)f*%xE zy}NHPFfc^FykrTQr13c37QqAx29QzvW-x&6IXLFP#J~XZ%FBD^AT?00fT>`Q<~IT! zou9k?N<2`5_1eLItOuXLgSFen02Zp3-*?)mbh{X^Tz&xxHyagbP<nJv2Ax*s(OUzW z0Dbo20Vpl@ZWdq$*}jtz<d)9kFS^YB|L=BD;pl7zNp!oY@N_ndFoT?1tpPgE5$aZt z&U-K7K?XD*5r~F`{)7o&|AQ8>f&Fg=9@zrLTlC9I79hX*bhm+m!?By8v+V#g1A}9? z150Py5wQ8N;8+26km1Rf|4l$zz~KNR5aD6t)9q$}l+2*v;n>Y$;MiSazyb>mkIOGS zF28Gjz}WnZ(c|DV1rN?+9-SpB93H)!J;2sZKVZYb01lKFa%TVkw;U)j1o<BnXw4PO z3=E(k0CQ@<%E6(K0CgfL6f{A;goOgw|DZ*7VE>zf{SR8A?a^z>+rr3Tc<IF}6R;pC zP&|4!|6l<JK1j!l2OxubcXP0UlFMR9Qt0kG0!nq=Z95nl7?6Am%~UW?c8geayI8PX zes%dp^CL!(Yo}?jF)(zt>9B!fZeIn+kj7&IY#`eVPrfWQg@$Z*p9vEKgGYCp1`~<q z-vFC`2V(vUi1`O#=8GcCUji|I2C3$Eu!G#+!wz;oD9?B_9xGsnx_>JyWpwv}(`I)Y z2Q!K8x8MMoZ^HpL{{+a9jmH!?pyr1n%x?k3dUqQrC6E;UFTm!%ftYUrGNkd?1(^9? zjp5<{1tito_JUOR&)@{Pe-0<u{h%!4(Ri$Z6YBn{2=h}|!0{WwLSp!MaDmMC;R2g4 z0WzfVm;o2md{cz^S3pwTZ6`=I{|DIoKM?afK!!9PdjK>4su47NsG8pmK(X9A-N6Bt z-}Q{p@;fM<!Sj0zSUEVq!%ApSez!CNSAmdH51ijYt5ZSwUEUC!wJ(55(q2>925^4= zWdIh06at$Wzy-%nW(Ed^7au?d_3j20v(Ya%LqfE>uLqQsy4xB^&F==F2xv0_1!OOz zs%$(4iZ*b?(rO3|&+a}@b>`9C_JLIMAArq&0x^FB$dQf5K#>kMUln0~4k*;R+Y(64 z?-N)->1_%tIK3%=3~4-8!3s@pM-AZazXOu$Zo5FL`3~Un$^}whO#m6vcnnlVg594A zGau1^^=N#P0j}l0d365X2U;NP)A{{{jsE}tp3VC}?qlF@kp`7copV$gKnp^TwN-#> zcGqsd63_0k442Lm2Y<*Pd?D?^c%t(-tPSL%;sL7tyG87pA3Si>Jm}JS^75<B5ETzl zomAk_S)&r+((Pi$;&}N*=LJwX?xN!1(s=;F@^S3$TLapf(7g{-k;5!tJmJ`R$noGW zR>y;nm_3fWs3?G}aOyS@Z+`GV^Wf!ooh2#?AfpqUx?RLsI(<|!F2Csf*!+;O(?><2 z^B{zw;@Z6qbeNTA_c~Aw?b7-3;7|F3FQr`=UpjW4aXk2k)$!mHu<;TQ<7-qInjb!J z)I96b`SSAn&Kebo&Y#T>89h4JsDO?ScIwVi1=%G5l78Fy5@L&tYxg|R{JTr%O_=dF z9Xrp14LWUj-SOamR>y<SnLWGbffjy(JR<<HO~l%z^Cmc`1Pre?KVtOgoTCCdd&Q|c z#+s!wL`A@b^C(EgYtFmPj~P2-R74EFa~=h?e`-`Xnx8U)=JQL~I6>~@02vF31{W2M z<_C<NFG@L%yQp}8nm|6CTU0=eQ;-^PIDP|3fR=Velz<e0<}VpMdfiz(I$Km;fFh&U z3C#WgX6LA|c=pD#fEL6mxOA7OsJONsC=qvU{Z=aE*!+OerPD=)!?F1p<I97f9CX}8 z1ss?j-7YEx-6rgy)BuVt36Jg`u;JY-j4qu$DrXoN7(jCHSd)OonheOD;2`qo4pB+) z>71ehTH)i^Z6nkTif5321wdg1ic^p7IVvD$cFPD~ehrSSP9GJ4&YO^^5dj$mD#{pq zx_iJ;=+XJgxm$+^5`j6OM&lF}P_#I8i*Q|j1&dOS&WjKOct8edfTGK%dkQ#m+`D<i zT{>?%UViD)>7$}y_!Z<YkV~ApO$1#G&pI|gWCY7_9s<SPJI+td&lo#PRCEmga^8YO zngOUk5TasI!p3>EGe^Y$WCu9RS}&CfcDtw;G(TVjM-0f@3?Nx>Xnb=~kzjP`h6Eir z_CRg|sR0E7$O#_ZYrviXYX<p>q1#7ApxZ@7r1P8$=P^(dvGb4%=cmpP6`m4l&TAk6 z$L|+hIgdFiUi*HL^Ms?~F_+E~6&_H1@%=)piwXyS3#hLGazg+p6hJXj(9I$ai8&XQ z0FduNNv2yw5R#z-KvJ(DJ`4fr16?P_0MeJ>*v$fo!55t_Dit2xTOctfA_mb}aT%(u z2BggaV%-#QtT}eGh=8IDq|u=}M3e!d!vUtl1*F3QrUR6R9Knvfc^Ra`qFY25qQL^D z!N#K(<oHe&6;LbLz@yhk#lol8Ma99V*GHvd9}5Em!!8hEc;LlZo&W!vYg9}ae7Ygo zsFW8RM?d)a+dwN9JV4D@(2)lW9-S^K8lboUm7Siw`@l6yZ;6V=%l*)t0nU9eb3D7J zfojBVu5Krm&ST%NrL}%6;Rm@(0cOT)$<_lfc8M@ZN(EFDg7Wlnu#Me5Dhi+++4%wD zKcDXxJCA?Au6UjkS`>PK0tTG69KIcAEMW(kA@N$U^#H^;8BlITF;1e}MMb9dWQlO= zfl_`*9?)oh!1(ewr~z=?MFpG^yNg)5tvJ6MUTXaR|33pmsZZ-SkQB(E5}V^LDxd|v z47)(w*M^;kzTav+!0&ni<PvaB^6cIQ@;4~pHNai87q_(j|9^S--~a#JAu5P0+j;H# zHRTc&0f%qLz%CC_;dm|BdI{w65EY)|E-Ik*2g7mDX#ot~IVv38Au2qb?>NtOmZ)fS zUgtdCS)-y;BF6a-#0MpA#UJ0Va=uc$(CMP0)9s?715V%?C3&8ZmPt1U#JdLF4lIz+ zG(n0(1H(%%m^J?Y_vm)k@Mt|yBH+>O-~eK<A9s)d)sc>nx{}eOmv!$C(11l7s6zE< zJOZk55jXrD_U&B<>U8^b=BOn2_U;3<VfX(?;b7Rcf`Ng-r}N*7x9b1@g9>6$g@YpF z(^;cZ@Zy|0$nYbe&;+L@xa&dAe#qbafFE1~x~Ql)f@^J*aSLdN5~LXtktjXv8c<mQ z>tffa2tYzX1YSe8foo{QC<u~i;4&7qzh0xlf#|S<DlG8e2aiW@j*0{*zj1)_o4`I$ z*zE!lh6g-4-@l001h2mabsa#}dxl4EiHd^ZB}nUXk_K2(0n}gvH7g7+fzyvi=erl> z8vp-8M`JvCMJ?GG7(m8rxPq37zxU~U=F|D{#b!|a9d}VN02LIT-P3k}x+%RiDh7t% z3{OIKQocB^@&CV1=kpitYXASEg#L?AHBh`91%(~BD1;_spWYOe0B~8c6I5V;^m1$b z|KFUV62RY_q7uT7KN`Tr9D2YxAP1ZSBpO_Zi3V^Hh;Et%vS}6&(`<ZtYg8&g(Eus- zkfXt;^Z$z*>i_>kL*1u4M<oNi*Y(*8L5MIYXG1EW&VMids=-6vr?*8Vfsug$6zbh6 zpsM@1N9Q|_UeT4TptSw*g&`<yA8&!=Tu|cz772U6LP+u84pQ0q{>6S3<aqGtE>S6X zaaskG1&(_hZ&3mDOCYfc?p<_yFm^kzHbb&O4_LOdMdb>pDT^mFw5S{bnZdxofEsjr zz~YF|gYZEC*rIX*Y$mkniZf%hsO-UEGDsZRWDp->@&Qmu+q*_30#s-8_JB<Q1uV#o z$k7DKEry^p*}DboQCM_!zJHOW3XVNcG=X9-!l&~+D31iIqQq1HG^RSA`*i;E=w)RA zXRAY?oYJZK|34^r!IcbZJb{Fe;%UArD8KxFA+C%WPs+;hc-jID256@7=-s0N650jY z)&|ZuFRrQl|KGd>EXv=!L}dp90|VZy)1m_EHh_#pk2H`ta?pbKkf7Z_WTY(t8H*)3 zfW(na2Js;#g9>kt-ZkL%wnuM|3P=VNX&}4NBdtaS96O-?vQKvkC~rOY=-mSL`HKz8 zU^#HiK_YFQGD@U@#@N8wD+iRlKwIKGdRe_dUB6Cn%$-+;#2jce8RQFiF}FqqB!q}L zQ1W@C42irbMNog<Ma96QlSKtoGI$`jfI$8L#kx=De~-?WKD|BQy!v9R^8f#i-F=Y$ z6?g;`X)Fm|HMFSA0XYLpV1mSv0~5rD1SY7OaqR8`^{G6&+d%DD>?TjaVKPV@*<=tO zVlsGex_6BVXvQ2AO&}SN_ds@|N7Gd$a8!V!37kb<2t!0bkpnLMKzZuFB1-gtmSTaT zr}I6iEe@)}Aq5~PeSk8Sff6Koz+Emx#`5XiqXH5_L=UJCb_OZ#eE(v%Jh<8eH75-( z`E>q!S@az`bO0Vg29MW(w#|aZYx3nmQMntGOgwr`bMwICHC_-w@Q7*eX3)T<;iaAY zpz#_9aLn&+U;>TLGAn_NMHw#udBL;un@e{eXc)$)yG?@y+;4;RbWb{Vo_0L=o7M5) zV`gxV_oQ>Tjf8V|hy)9$Bk=z6E63)aa&@MTz0Mqt-6jk>L5&s{&6Ag(asG4cb>?yG zmSMR3nDcVyjphf;oi{In`qZrl_+3tPetj+8d9C>wbLT~f;5UAkbDjS>FL(Y0t8ISF z2vT>0^K_}`#dn5Zo1ZdrzAoW{H49(ogY8v-*vo>j_W|c|h`lTfmmhE*0*y$(tpMBm z@8UbKVDlre1s4qeK{{|8oTtG3CN@aF2|Aw6d7=3OXi|s6vp1UMxQhy?Kx1(1p7sYc z_IVK!N{kmf&ma8H>e8$77nEX~Yg9NGe7o0uU}j+8ZvkCi0BTc!+hZ=B4;;HoSQ!pJ zXVv`GdGRu+<1FCOe1gTXJBO9w^4rcF6_Ms&GId&xy`WBaw+k!8W11H)Kj8e(>7pXw z*nEV?x!Xk*<T+5^N2EDLMF7+g5#a~f0&ajicbhPSA_ru;hEul)GbEBaLsWF?G_V91 z$Y2e}=EFSB-7FH9A8^82&>Em9b5YS~PEpZe=5JvJl|m?;A&ZxyAhFIEl>(p65)}uq z_i|W4V=S7dIxk*+3+gddfcyt)@Pc|xuH7N5433vyHUE-v>Ac+eu1*E&(-MSFpK-ne z3Gz60$FMS7e(b_|*{Ab(^JD(b8{aP|egL-!4Zp46ciaaG>{1?A!*8v(9r>pobLsrp z{E^YM^Mm8}3y#|vU0M&8=DN0ibL5|T92BN7n^3~^DAXnwR))(D961lUa9(YG%HRB# zpMS~$$8U%D`KKOKIOxc6yz{gR$LA6`!*9)h`5pMD9OK`1n19<zSB~$loFBel<2<K$ z%ti4SXpfYGXXg)}?hus%NB(Wczh7(pz~ABts^~%eCy;wV!zL9@-6k6F*zaxukHtB4 zvuIp?(fmuM(?vxDlmL8GI2^lcc;N})Ip_Dz8dw4dQDwONi1Scqh>A$Ji;6(EkBUg= zq2@>Ypiw1IQFyBP5u@R^=7*rpf(U2;hu`%RsN?V1-L?TVKjab6T*Ju0z~7R@!oc9! zy${k<4pHGS{00u-7wR&go#Ff~r#Qj#AWfjAI>+l|lnx)bK?>^WS%72(AbMZR{Qr+; zQHd>*B^EGC4oO2SNkz590^06>ktF;7|4S|Kbb*VC#Y+WF1_tMD9dO!y>)dU_boo7~ z5a|5Z`MM5T5XeA+3se9-=6nq)2uv72g%PNiS<2n~n9&i`S!XCUcWnMCUjx;KQhpqT zXakoY$2s3OKWF4`b!BE?X#URV*!drn%fP)MXiVyWViJ^bIXvJoX`*rY9VjMCRCqu! ziJ6r_IhO-DD}%BiPjiY22PiA^@WXSkbGHZ+B=^F6h~m^^NVyhL)HQ<#qcmWJ8z|3v z^zyo9FoLHG!JPpO<eKosIcf0lhYbUG*u$dv2Qz=0K4^>>C8rBGcK3mbJkRcFpkfU% zjN5q(Hm3_6!|k>)f(+q;hVc}-bBtKP#hOa<PnkN^UN??z8KxbeIzsbA=fTU5Ie&M? zs3<fa<LMSLy8MU}G_0)BoT8!t3I-K^M@X&Y*}V@`D<N5W^x$9CgHM^k7ImBGcZcY+ zTz+@?6{seX?RDkomSIP><6iSoo^Bic%g;G~K}vpb7$qQuQHn<|uVgwTj50tS%LJ4# zS|tSzqZ~*WWq`xT3^Xc_5=I`~J}Mr@J}Lr+2fiI-1P7;&v5ShxchI1?OY0B*7SO?; z!~`UGKnEotCEx)mgC`&*Py$k>IYmVR6p%8s4afkbfDG~I<-LCIXgKnA=7P3VAz zr8r(Du!1Jf!Sg-7-F@IFJqWEq527|RG*38p%SbwRhe)z?9=!be@~h?_a-G*Y@7BpX z_Ih(TcI$A0+Zmb%FF)nH>)2b&<JfItc=;LUHHUA<m`b^upE5b_12yAHZJK|`*FZIy zz%@PN{EVdO6S&;wymb*YJjve*8k%VS#OT<0&9~Q?1vIef(A@<}`##;1z-b>bKynq5 z4B<tBW4DP`^P>lv7r_-fhhuk)7R%+=oiQprph#2i4dnn0a_<5se^C7hDbhKbkAmE< zb@>_Rz0ML9lwv@J8{~iIZV?V}7{L6G>J>=MEeUV#Tzn0gPS61R2-J$Y04fK3dRc8# zAW>rh8W}(>2abt@(>O{w@DMbBf|AAsK&75TcLyjuJh~@<!vi{J#CU?HWdYP;P%G7= zdj~l2AS|Y=Jh=D{Qfelkgjb4BFDq*@B)l>};e}czOcMi#7fP7`p65deF9K!42XNBk zZz*8}<qX0l>`*BfU?CcS5~3kKy{x+vAt4$83ef<RQr1Nj9HKFhQZ@oy%7Tt+M;(AS zKyO(XBDE|GU@eObVMxn@8#Eo-y${xWF?hM~JE;HUqGIs!61aPY)*RXf7KAp3M0X`I zGQdm}gPOP#q5@)~>5L>shL^tI|Nlp66@lCOpjMFps8z%PX%$@+{{R2w)*om}!E<^b zr5+%q3J|5MKuXvBL{kbL)&wav04bG#D6I!6efbaMDOT<zMh5UGH*`>-lM6Iv{D9H3 zyARa9>vUu>JmArI1k~k28n7npa%qqq%zx0FgVp69g#Q13$?+H5&jfc~Cm`Ri=h65E zlsh~-zj${3-3L0U2{fEKM*uWGy+;MKkb!}}C7%N{mbgX*)R%WW)}pcjG<fXT?W0oS z*<GT7yh`u#!QZS0AA{$26F_Zimu?<8aPbSByi4%t+@b>NnY(nm$bsf{3mh-MaOpe= znFmO4flWxJICl4dN1Hsm_o#pdCy<6CkcxP4TesUpA8ZA<gtzeM+yfaB>RbbE#JhCI z=(BY8fDLiH{2Df4ZQ%l&u(SbL1sPIVqXL@JL$d0^!5^##AAr3Bj%we|M=qewBG^91 z%kMxlcLpw<-<zL<C#=Ck0HBGs?hsa%&Kebi&K?!ek$#t7!6r9NT)X$EfJRwdI)6gy zSr^8ij-6+~{rK~)hUZ{2<euHTK%+3AdJNowbn0eNcj^2Io?O##G(6V)fDz<V(BOwt zcaAy>XdcW3H2?YY@>|YVkV#J+*X~szjG(cD7qB%1FC04$f|m>&bv*c!)$!m%W}nXM zzTHirNo$Z@;L^;wn}q{5^(O(b%egy;17wE;!gLu&!*4E}w?Na-pkPpd%s;A>a5!>a z0}Fu611ExR7ZnB2{P+d%{5WW|ngQf?&;S=m4LB0Nxu_&Cf(CFvT~bhQtpYrw3K}(H z08KoD7#^U?zq18AY~#^e%Hr7@#p2mp1DY#$WC2Z+Tex(`sMxr+egpMwL1S;A)CQUi z0?nP@2F;y6V+1)(!m;@=<4a*sM;SIZ0qQA$(j{ne6>L}ME04~*-7<{bJ}L#5K@A22 zkeeY>zmSomZX5Q??>qN^r>H^WBcL$}gU%nIzMhMU3CL&Qm;;#%3f^uJrfx_w1g}5P z=(b_I{2tW!1?kg(>C*w}1IGervKEw}9J^VJx}oU^)Jc)>?K}ip9RUhQmu?qR2GAl8 z=;{jzcm@K`=!04{44^;-B@@SP6CF?r0u4bZ7~TMR6y%$38BN0vkjhp8B>mcjy9Mke zN6s6NHj4_#N#LTz88qMC`4XJbJV1*CK-0b;gFs>J)GZ?jGsy##d|ty%@G-pL$O#P? z2iO7zmlAdt&I6q;Dh?p?!P(2T^#FJ_9yI>~j((84!L#w;ko@MNV!#Ma8$R6-H+ppM z0WVYl&Des%1LRjw0s?CWISMozuK}8Q1kJ{O>nu@`0L{kV>I_klfzHNDIDWt8%K6z* z@iJ(_(oylSOJ|IV3}{LgJXtBh-?9`sSOA_k^5~udF26vr3Q8y4ETEo#0XSbefT9dE zrq~UeUq_uS&j6K(AOpb3!9|n-mL5RSngP-bN~>_q8L*l29MHS~=!$NberU1~LL`e8 z6_7l1(jCHq=$@hi8gK=La0E;@C{Q7@`;g)!qFY29l4v6!dO}oUKpMbPZqDGfBQL@J z1Ft*)g{o7x2zYg<i%I|}qCt)H&JdLlP?y31G`_?EVr76vwn25H2e@4U>Ul)?^!9)q z3SQg13$(!5@W6}vJm5x#52TUd(fmW6zYVlM8?}+4;M2WC1yp4CbVDj4&)z*Mpm9}D z&8zV8C^VA5g$YclXZI8pQ0)eq?LYYaVk;M9vflz`1Zc7!#s<xCyQqMs(m~P60H5r) z=q^#Qflc<OpilNEfC37fb`g{P28hXi6HxI2>h-{lGw60vF+rW|2bGO4&w{3)j=QLU z6FPjdzxn_F(opbBKPcE4N?eb-sOW&qgUs|>p)?f0xgFv4Enu&MB0vGsE_u%N|NqN< zkSTveF$10Q*8mO1fEFu+s7N5D{1J;4AXEM^DiYlxDl(n-z*GJT&?$cv=#;+#C<iJ2 z_<ou57I?~ErQ1bC1)Pf%N{T$YTfhziw}v`>R6HOJp-vYSALN+yFue4Fj{`JV<%(<4 zzXja>0L}Vmd<V^TwSb!`9*svpQH|7!^6lNC0$QsHT8jlL6g+#ks7zpCVA$^v44wOb z@r4~U!Q2AQ(IDvz(7M6S5|xVm9gZ+H7r<)3lhEMA1@j31HV*!64xl!SMW>634YWDr zg3=s<&&j|d7H#rh12Jb(qXJs=0a_>lYL9>>u|O7~HGPoG1J@C#^KdmP63FIBKr*!q z$UI2<rUkuygJd2!^`M)lfNUNpop!pYsCe|osDPL6D1h>`1Zeq=#y-%T%`OlDT2pZU z1vlsa|GvF@R6q;UK^YV@eh6Bf?$i0-rxVhmdtnZW=H5Lj2H-%iQ7JIIv=h{@1g(yh z=77)fc=U?i6@#oT@Bq*AeD?qyApP(~I0tBA$3+EPE`zc<XdNjiy*nW0bY2vJ6m`CT z@rw2Te?+8$`gj#So!?%3W(6exaO8qZXHb}fk`ezl4@UlN4y>S6j-4(lIfP;tT)3i# zUIcRJML=RB1{52FV;5ZZqMH|hY+eAwybzFigkrY^yy^>7{-YYX2P}>-6~YG@+@kUY zR3P=v0T<Vxc{|XGKTv!JfZ{s>J-($unWVQwCByL2PG~&82xkM&=7FNrr@IC;AMqa) zwGM3Xs0Gaff~MG9L8spB0XNqnb9Zk<Kpl>UFG|=zQQV>enwSSU9}&xYz+y-d-3e0K z`ThkX3ra+HetW^s0*&Jq@Jc<1IgrALf13vr|27A<&K|H-XA5{875>PEtk(pYf*zRQ zMS1WIGkd^GFCl^X20RN6>(R7;J0nQl40twd0WTWGW;SRsDRM-C_z<%}kxyJA0CjG# znGF(0HXFo;n0*JD2v&e<*WMm*@d1i7knNzl9OPs4q#yvwQoUQi0SZeE-CMw31}%Md zWI?0~(CqfT7y2wH31R_sjYKyjLHK|az4Pd0y&=TF-~pO(fA}I66r;ymR6xrqKpsL) z79cUCWKjfC3d;YC$jJh<sHNh?H%55E0IzEU4<o=61~dOQ2lh_L%zI~x3J-WZ2;Akx zQN|;d#-Jw*(2^PC00!|P0Ss9uLrlT|t$qRd72Rx*II`IwKE!O$a$n*S259{YHnTzE z$Yz815VJumV?282sLX&S43G>cVSsE0B@B=c(G$jZCeV<`7VuIASi<Q1{=$F>o*+O| z`~{#gLWT(?Nlbtw3E$3tu+$)WN&r$q1c3}a-l77UXa{)#krd{D#SlpWG*zDhQr-Ff z#hw5E{)5`64xlzFX!S(``sxc%G6Gw66XYFG`SZe@3AFm62i$!J)f1rpIrdBpT1f+P z40>FF#F4`j#D|0@Xe|;bu0Y*&klCOf0(P@O3u>^L4H8E-8^nj04O;aBimMK2T!Caj zaRss+d9)7{K*5Zlbq{+~K<oYBtDFA4=wW~eM?m(Ofm)!TXewoZN0UddC}_PZ$Xw7$ zQ)orqJqO&h^60$h)A{hlG6qO#UI5Y$&TLauK*QBOy<1d3Vu-i`6%;!_sypw$F!=ZH zKdglaT8r`R<?XL1gIN=x`vpPk06>1Y{}&XwyFn?!qu2DV4|qMsE{Gtgw(;oQ3|c2@ zcxk6RXg$UTa9Y^C1H2xim;r1wj`bKhEDQ`j-E9e@>oLIV*a@u10L=?@;$M#enll(( zkD)TU9s^V~4W9KFp!vpb^z|5^lFFkOX+6gDU;qBM9)PdM0BHhsb%<Jz5&iogXgvl< z56mL)dJLE)@bwr*P)nfeF+dtXmVo+Gpi=b3rQiQX*JDs)J;qiy$SfF^^%!=){(-im zpv=Q!UylJQ@;tkzfr>Sxr4ck<j{&NcJiGUSY9%B~DVu+V3<#sI$LMs0gb|kY7*apM zVT3aGO2K*zf&mE~{y<vTLiu_O+65%~dJGR2NI>FRj{$OtZ+9O!N{7mN3{aH$bWZ}O z{UN*_W33Y;YOt)wF#85h<0$0-?)4a;@bKuK01gktvItt11<>^vpv2?Ry#t(h5EfHb z9>7))V6Mj~b%cZ$mh~8HU%}ypQYKKk9s?ArcuUx!QZT?m6mva>wgV(Yv8>0~@);bW zM6AaEH5A}23($HDSjz&w9^=MGNb?1{9s{HS)Uv>`9s?u=GmF1v8@T7T4`QCEmLp<4 zMjO;b=z0v0HjiFY4o9NbW4M0$N9=kGDUed))?>W?`0xKq=z5H52gG^|kPnd7V}QBj zuE*#G*+JZTj0BKUeCshleSh%!|9LK;JzU-UELcHv_%~p4_%|Fo4>%tD!RmPM0cg3U zqvm1vZV`6p?htks@Gj|Bpw+3rW$Wx6dmTU<0NB9`^ISA<UVi4ndCjrc0ki{v9kv62 zz4PY5mrS7b7xyoM7S@8+rk&t-`SDs2G&jxOc@Zjm9Yxlq^IGR+-`)fk(8M=8Vq*a3 z?^5~B4+mc`8Gg9<&hS|CGe*wOCA_dLuP^;UgJ{jaW$P3nZeu~X4Ybr7;x-m`*s?kH zgRhuiD;Z%2KRI{XfYxq+PbYHjHnF+<&awHoY-foIOPxBVp`1TDSyYgW1ug1jaXk2n zsapm#JH-N81j63QqjK?e=P_`ObTvHL{D2*FWRxSn%SX`c9(YF1xtj%SD`=)x!@1kW z2DHxkw=8JCD`@?`2WSB#KRleDavtr>QPDuM_XlWVr`ttEquWPCr}KF8bN0>}6%7}| z%Z7(RgEZ%xpEEW;WbXt?x~NDv^1J-(tWlAH%)bY4UIXu_4Jl#c{M;F%62M)e67c;R zq=_bR+(ktOG#=#GJ&gx+_QeHAGGV;XdGO#*)?OXZ#3Dm;jfw<l{{sUn0|S4{BhaYs zaTgWvBtz#5$o7Yatl<3tpw$5ZpzQ&U-8HNX-~$;#ntw^usrR~aIAU&o2mmjXbkSwF z{D2eW#}NK)E-C??E-C>aMo6cN3V1_0cy%^%7;-pwi`YQYDQJfWEDTK$VfYNPdET-4 z0B8>cC`o|!K!CQ%b3h`9qd7%|hn>HLAGC}EG-m^v90qR)_jsuYI@aTzV|R=x1870= zq0Wn!UxU_yfTngmIzNE?2U;Qmnn!o-_5p2wc-j0*!lm<E=lwbbuup4PA<Nb^FJ6Am zdB4|{2OLVE9CXg3Ge;%Dr*nx4Xd)f7$s)snf7>z8c5?7MtKnORmhX<cKyd=v32~|Q zfFu9ZLoS^c!8;+YIDWt4xQ)@J^<im=YwIP@CJ9jRs(N&ns6_O-p@;F|UN;_au!FWj z9CYD4&A;t*<4@3{W&SCL9lss?&p-8m!VO1`d!2_}I4+kc8oq_dI`B_9%D?S2|F#3J z9M@bquYAACc~tSNi{ex8_BTiVZO6V}ZGFMt0$OkYO61^L6Sk%SX)lBXNDLHAu)Pox zoh~Xe9^E-A5q0XlzSy=yNPv?>h%Rh51ZXXVM7NKM4F5LJnpg0wBxEl{<3o@~I(<}R zI$2a8yCGOWgP#Xj7#I-s4d|*C&?+$S>|W<1*y2CQ*O2P#9Uo{n#2yupCXdc%FE{Zq zFrZ9}gIBJArUk*v`2xUk^y2nwaQ_>m31(A?m1p-puqC}ADjqOvHobya(+;*~A4mhJ zmh^z_hX{J}@BeF7&{(nucxKzPdm6|Lq<RI!ed)@_z~J6(0xClsFTVo?Dk$+db<0>> zehn(W>g*t8mkFXkeCERW%@JH!+pvSuAZUdUd*@Hc&Mfe@sjsgUJvtw}Jj2Vt04|1+ z_j0*(e(SvN()ksvtNA&2U)2xJ^QDroja%<a_>QxHj*({o$L8x&ursih%f}$j0GG>$ zvDtL-9at1r4Y(M70~JmtDx9Y~V^mnc=9jQ?-s?0`>1I)B{>*52as?y5%jr%Y(Af&0 zE!^NWKHxOi&7!gbeDr{eio|Q-4U8=ZO2MZvAXXxPv$<z)iwdZA1m$ATI5udmA5=|( z#6iU%XcbBXhyyC|K%9*35*2VN>^#Bwfxkr!w2T_I+yWFGppwC<+Xl3A1hh*+10)7X z_mG_<5}@-$u$6+KQcnY%<RPUXsD{x26@D6^C3zr*4yf!0WirfC5VUp*k`P2JK}VOo z0!0Gp1tRDg7SLWJ_RbI$j?Pofk3bBb<_FBp51Bh5dzm_WR9ZmAelKKIq)#vF5mQJr z(*rS21D<|&1m_S?pfY4Jg}%7>;@^Ld<|6{lH7Y&~psmdr;B6Eh%|F=r+jMvs7*Ln# z1o(9C0q-ORbw(n(IXt^V8IVRLkh(vRrGdy>Qxf1iDpHz%O5oa>l7O<KA_Ww}37sw~ z2_QyFr;AF8V>iENw-|Oy!6&1jY~!eaTUyinQx=z{6)2Y0bi1fjbo;2(zyiGj7U(tb zKnKmTboPL^7=v~xgSbAutnMa|KzG0&=rPaXf$joX7UcjA@8_U%&XDRl$V@UQZ54p( zn{Ei-*hM8^1!JjrcMEt^w6Tjy$O=aA+Dp(Z3j=5?T=OH)!bS<ji=dM$x=U1KI!jbk zTECU(gGw?5&X0<q0e%(5i?3xs0xF>FT%w}T{E!hAz2KD4$$?nm!`}j0lSDAq!AodR zBESM30XBGI-2x>7Y(S9>T6SXrV%T(o*Wcidb@1YE6iWr*mWtqsbpaGhMUc1kfpVAt zEY?M!vF@T`(CMRM0y=NQz^9ir$p{qLd%y=5;E(T|r_lJ`13sjQp;Vw7a^3+r)~A9_ z|3is&&`MRzni8}F9$r)SLe?q5>akakA@$gPcBJaE7qS-nWgR<cuLHYpHyfzY2s*Bd z@t|YpDcE6P%?}@Fo^bEx5peDf5n$;&=y>@hXzPn?owH-F7pUdS0dDz%w*I(qo^|Xk z0_~kK0PXz&t&C>x{0QknwEpLJIp6vIwYo<yWX07>A<*HB*P5Tfdl{{u9mg)6XFIRC zbe`}0-29lm`59yDZGM-}oaagf558qG1a(n3|AC9B(wycWvY<V9AUBx6-SEtj^COZQ zK7n>Lqg!z9;5(+y>&?&ELA{Ir{4UpB49|hmxQPmQ$1zI@E9bRN6P4yqjD{z_v8XWe zyPWF8QdxaFh;(RFcL=nCImda8zr~P+fx)-eiv_gXB%+(sw>uX3+)em`59qou$8H^C z@S1Y)#ykzj?iynjaNAo4lqJ-A!#EtfWrPvClpb?}RwQdQ9|MK7F=#I(sCwex=A)tk zIw1?h&;fNOKnq7fOW#0+gLAhDQzz&cw=Lj>HqPB5jNn-8oC2;H>U1HIX#)>P&{inO z8Vsc1yw<q|th~DiY)UtT*9oq3rhtV&T}jY_c*9Geh=t1gsBrMR{OYVx;Q=`bw5ko_ zBpZ;E-a}V}Id_{dUVhj7L$-4ZxZr|0NeAwvr<{K~*MOIjI5r;w^$P^Ro3J6}9JKW7 z?g4A=JO;5IWY@tLOrTyCDAt>wfje4IHK1z41+)tnw6kcl9w@uksDRh2CV-YXaUgQ7 z;ei+CkHCX3h;^#${B584Fe*TTMId;+8cJ#8056SPK=F-ZPo@J(Y2*Sb`5ZvYr$G$R ziEu8C-Rz)>4?bYrc@DA>6r6v%WsE?!fcCEW^t!QJehbPx(!G8h-8NjX?E9SacW)g} zw~f{1=bV2*2eW~C_Molg%}*IyPx8CG?95Rq0o68;Qd~!@^B_3ES~zyw2wZ*-in$sU zn>uBXsWNa=A&C`SfU_7u`-wK)E-Dt?J}Ne_8q@+BN2efNe$Yl~P<I$qv@7ttT<Z)` zQSs?z<<JF1(H<30Z3<ddtN@Co0+d*q_~76FX2?+|kYW_17F>)L@uJ671t>9s%2H5b z1l8&An$tz423l=$ABPlHpxHK%a?lDFkn(R(;S!JnkRV7wH)siFiHc138gQWxJvgrO zmEteZF7VFN;QqTvi3X@r72v$Z`H%CZ;zvbLD_KPG;%o3pWFnA#6at`Z1gc&|zzb*v z_**1F{oHOB6}*iq@KR)yv}FK_b#N@1;7MBsC~3<Cl%xzgT~rJ}43kb56%!hzEyziN z@Z_}yyzH<WlCa>3st%k?krUKikaNL_={UH9bMP$_Xv@nQ@RGZO@0nm7p3Xfgprv;{ zy{y-?ASnmDW1$yvEFx%S&j$tu29)#@cn_R@{(#~bTn&SCfYVPbH){H6Q30)b!mNgi z86j0HsN?6+I|Zx?Rt+cL0ae2#HlS)6(wE!<k%t_s^@91{zyHvF<P;T<0f>%d4}=dL zp#%*QBCU0H?UwiLW<xy=6S@9&)I9CnEd!~*K^=|Pkg*s3zRRGMiM>vsS{yRq0U9R+ z@8ER;)h(cX`qx0K-x0Mqxa;};<t7G{el{dGfC3G4&=4q3fx5{S;EMW1?wx=CU;3bL z$pa5RfjZ^^Af?cA>6}1HcYH?K*am6U!psI0=pYRV5VHkA8h(8NEiHpY$jd$d{{P3U z^gHi%UhBLM_6m5Q4>ZpCf%9Cc@WHoC7vDqL72m-|mga+cVW5TuC|KZ|`)^);z<JoQ z7Zfbua|pnj`w<NbaIoAs_<{*+^~KkaZI<8oU9K4(294v%Aet7JJ7vghTAbj#;M@6? zza@|v*17<f2cRk^!=u{(sn2s5u@MhEfZ44h2O0^3l-C;FHF8Mp3)Nm{j&2q<MDyYS zCwQE*`7lp6iyXAX#^0wkVFINp(BL6xWRDS&x?mkyj9>)ykvWiRaPY_;=%@vD@R%N` zn1!`kKx@N5Y*37IfO;4V;7%%NftdKMf1u;5K(fruOTeuXW{+OoH)^1qUZaB9uLZ3{ z2KQ@yJ3nMGhQ9cA6WZzqb#0M)wM#M1S_ts%d;tpNh;9xLmEqAXfE3nr>(t_~luDi2 z<`k6*Pz2PlgCYP_ZGy5KC;~uiPy|$<M!>Wi;0OT8f+7I4&JYv<$*PbD!0h9qM?mQf zcm$wybN$f{a)1;7poRx#H`llG9a%kHB~TrV+|vcuzABK~7u3^5?cDl;RwzMgU;HTm z(lkOI))+b+T+qQqpcEj&4hm~<Tf(Qe1hm<sL`48K?q^;52Rd-kfVnwE#RL@VrOKdK z$I;nEjrf<`A3-Z=*?qhDko%j+hgxAdZ9=w_$kQemOIe$rGM4H$|Bxei&IISJ=0}YD zt^DBL8t7;VAC-u1PS6Px89v=YNGovRn~ovZk02i_VWNUKRe}Z7#!|z2s)UUSc$Clt zcBljk|27_#P97CdXAQKAhy@hp;K6F=ZWGWM6QI)619r}YY-fmy4`_x9bk>Fq{MZao zAFW2k1A68R=)4I~S?bg6q5|r8`oLP(9-!_IWY8Tl&Ex}~q5-$7LFa?)Py|I@jfw`S zJsp7D9`fuw@xtyZxZ|S(8Hv&WH-_>sIzAGhd_k~)1doBDG*CI<4OAXb;Nj@}aDZBT z@B?XhK<!cv@F7auKv#>nsPNz$^&`;Z!a3@P*5m4SQE}+@QE`EVDrlQ3sOJkl)(O;G zap{DVRG<#a6mT!pqn9^Efsw(dm(^DR62u9hRwt+pgB;2)ZeIomaS9}e6Tm@ig4SPw z4CI1R38cV>@QqzmB%p(RpaEWE7Zn-kU>|4!Gy`%`{>??Df)U(b0TtQc{z?nDE7c7d zrv&+nu`@)apwmaCr1d0!3p*nN1Luim@ColFpd{p?Qt<K<YQqmYjfmcktGe{>|I3db zP}*@WDqx3$lvaSM69;f}>xDl^Y0)PXrI4l^sQv*pCqeCOkOl*Y204(1mM<t8a5&@h z#ee@_+M}NE0Er`**`TNZnGJ1D9s+5Y^z;A!=1<_DIPRiS0#e%TqEga%@cTt&AC&^o zun)H*_%s_=!~d^&Tn+z&C;5)McK&ne{NTd*gTI9vG{HbjeF5EfhqRDQp*zM1az>2` zJVWRpozMgt1j#`<p$W8`Sp}3K6gpj06hI6W@HRcrhD*>Pka))6u?>vEEJqBCVp@(E z7zJ65IWP)JE6Bx-NGD`C6Ou7N8&f=bd7WiJIbsiZhX5#3fI890nF3Vw9KHbVje$1I zL3;C`o&`8}xT6h)yQo+YRRDo&HIxF#03P0m9x|qv5j|v(m$CGaK{H06)+K1pqZWD2 z1KJb^H@~}0<hw)USs*84$=1n&THZQHCu2S3d<SZE+sMOC#sUq1c5VUh(*uoF9^iMm z&^ZO%OZMpH{V5GDT7F4G0ut0y1qCEVpSJcqI25t;X>~v+xuFa#gY(*Pq)l#+tZ3|_ zVzGj;RH_?ta<8$Aip>hfQqb53Xb%{)ECDec6_2^L{x9Kn<^1QUc+64p_-kfY!~ZUw zKfYgZY5h=wly8`kvJ2=!6C$Fo1$+bvI6op<SbM;N&`6vEKKvAvZ?r)to1w=eWa~J* zF1gh?1+1Qb8^q*J2nFJT&L{1J9HmOrm<62|fE2T!8Cj2BUUex*lxi@7cE0w2kGw$7 z8_UmvV->s~96VA1QVh-;?Pwhs$hJbF!WVRm07m$N1mWQeI!^#k_=41;gfB=vC_q6H zosgpmKwOY;XA9(X0;H0P>Ny9rOA9G{LFeRq^z!bPfP^pT1PoA?0jWX{U-vWM@CBXL z0x5eyioxL<jnO{=ZJF$bY_B$kg!T$XP+`DOD%{<o0@_At+@b>7sR=%L4keEqcT_wE z&LfWBue-MX<8J}oO-xi=fldv=h%1mFv_-WBeE3ZBPYKX*86elxf%63VX@7IT_dUSl z>{aI!us%>Efeh<x0n3B9J)o+;1uW}`QOx07U<xYc2ps?jE#^RT`$&<+0_t@2@=A$A zA`7%h6BJn>RcMj*vQ7%LH48HJ?AXoj+xgD7+n0eB-3umA_kyX^khtyzco>MkHFmgn zFfP6T*ZE-Y!UpfrIvK&DplAelGF(*9IvFQl+&lqp0i$#>j*WIQR2;B{EY^<3XJJrl zfcJ?oB$yLGdoe++TjZ?rqUbm{m{B?!9n|h<xEg)~_ccIe4nv91aY!!%+DHHm6Lt51 z`yJrU6S%|SqEZ6dN(CC#<~#^WNiHfSkbXyjW9PA#pfxfmi@LyTg+Lt%36IWakX`}D zv48(xR(wS16+pU3pfVEFjR1`lf|~l!)8DQi{rCUnTGW1riwb!B24ph$_+04GDQiGV z=YB;q8`M;SnGIrt%!YPRszDmIenZm$+BOf<0AhpApa-3)2JwJ9NKZI=Uj<aN!1RFF zAU&X}6rx8Mq^B9PyW(p2&8720^9ROO7nOn%ZpZ<<u7=-UGrM+vcj^4$0$KzmOi3RF z=@eO<OLfprkp*>4sMAM*S&rzVpjT=!Du_M`=m=ZPJ_@#cg|(kDTL6@yVEq(GM+P}h zd3K(BA$<hgR>0CviJ@XY1?Fu;KLyj<h<*yl+obeUD67E1lPb`j3b+;kO|T&KRebru zrIQ~&BrqX;70AIP$btFd;vsNIV(F{c(x9($+_m)^sIT(fQ4!V-17#dgU*!j`Yy-L@ zl&JO@C~JeVE>dq3+E;-@<Qj0_1KyTKkIOmWeho^y4AdzBHN-$Blh{|GPV9nuD=4uG z?XQ&cLZTJa1p(DNAa%&m3d$qj4}fD9OMhhwE&404Fa~ur@Psj_EJX=pka|!UgCt1o zuTUq9L46UFFoyP7xOpI94C;n}!Wg6uJ&Y&s2Zu41K1&M4eHNs=0_)d*109~%`klYU z64X*BJlqB8N?_y(P&Z=;_E}&>9;iQp5@FDOOA;3(!XW*Y7VznHXc6}E7%#p0Ei9mZ z3rndHas3v^G93Qa#Npm?!M^SYx$EN7%Q}Mt6q7Y78lXi-C|wud&Vw)H_JG^eC|#Eu zpqrhL2G(3uB#5XpTvUeD{0R22#q7NJ^s<_<gPRBH?2v#?03A7o(Rtar3mniWotGJ) zMl4c5Lz?^)%#sX9=f!*HzyB{4Na(ysfiy%C)p>cp<KO?69VB#K_JK4kA+7V$1=8b1 zTIVGUq^F9^&dVWCyc4c*2ws6PG&?WYa~5Xz#iy6`4l^iALAo!XT!lW(0xH2`wu74u zknRglH)Jta^AGm2Jk;GC7B4}4XhJ>lx^4gdzvTOk*7*hL1l9aldg8ty4W8(ynPcsV zD{cGtpQwTq+dL1XNtmND82e8L-!Xw!phKp5Ahj=Y56Y*Pm757%MDj2};uF+^0>vlB zY)}7IaAZPyP@u>JsRTu)2y$dXrgdKHAVnrb2o^_ZBN{8W`~%-l2%6&oDd~o&CShI( zsr^WFUn`BcTn?GG0Xqw0UI-HDL#VF>PYIw-6lzKU^|pL^SveUXNdVN_0wn>ELga=e zC`)&42B!i@Zwr(PK#H-X0?;_rYkibd01^U)B`BwY*q|XNP>&Nb<g{QDQ8^GY(*rUF zrTYu&Rl%G3psv=C=$gT!1k~q3jS^7j%%_(%?;isLD7-+OGf<R(6rxATw~gQ^fppG5 zQ36toB}zcUd}vVu5(0%K$O;e}6eXabghUDN#()1wnSDaai5NY(cU14mQE}D@=S(bR zJ#TpQf_ieO(F^Lz`Sh|*{{x9$P*)BVy?(JQ_I9KE1}LK#Xy(F;-xiry^Lau;+q zCi<%J5=+pEaL|Hp(28-83fPKq!*!q)<NPgbmq3SNL-#pD@1K2n^de;4Gf2bBBNx$X zT#zU%(SX>XL<8z-LlVu6b^rdqEcp*IwFSI;8om+Q9N`vGufGiN`&O2%g*c`9B1jX= zDW>Xw85mw#gI&TZ4P(P@8iCL#=PBL*ZDi>D?AiHeA1`Q2YUlkII%_}+L3hsptrO&L zso(^i?YRWJh2mKA2asU5cZo-La0X)0tz+kL$AkY_9S=Td1}$*{<pq#e-MVG0zzZ!L zFTZx_gq~j93E2wg*6m^ix(Nid_z8UL$W71;Ll5|bW9YpJJ>dE1?ru;+$fJ8Rr~`s+ z4WNq(c=M`Dw}@Ud=x!;;%dcEILsY<*o7@0hxY6yS2eJti=-`V_E`n_GQAvQ`bdu8D z4Vn%1=xzq(W+XeVAN<b>_7ikLQ@4o)*b4BS3jv*<njbNO_B@{e<x=RjDVOdX3zp6h zm4Hsj&BczF-@1S{?=W`ysDyNPgNhqOxOE-}2ak*4J*Y+9&7h(R)L#OxJ?s{-0AEkx zVR#K}6=c8?WaR-y28Qk$uuUGWoJTu*z}tK;zjx&P+x(odvqr_orF%2z{8E?B50En` zTo^w%b{+s<H*ySiV2MZfWY8oJ$UboU&Z(P)$))on_<jKk=(Q$OR6xgcI(3IIfvy~} zxcthI^D1Oru8m9g>>f}6cOsvLas+I}Vc69v9^I2cN05T705AM=>Sj>_txgB6X?K8I z0qQb3b%!W{tZ+cG!o|h#nk(m7P+P1-CBm`!DWgkgj!F#ZvI@|G%n0Pv2I_t^KVWp> zd;wkw14@LTNiL60&;jYkp{e8>q$Xtaf!_82x~l?In}YAC09{(a06G!<0cg`muR9AU zq`{{xcdk*n0E*<^S{BdVLKctSY!=_%02bfg92FLq?i!VZZWoml*Vg|Yom*5qz&UD< ziUSh^Ly0Kp5)H@Z=Zr3$H7cOH$6scHq+#cMfbO3FEusQ#JqK9|y2fJx$fC|Q;M07& zbp)ZabKp%w0Y05;R4kZ4gH&r&Kn`>44iROz3|b@r3S?M13xHj413vBpoRUBmfieTg zB9Kp9ASOfd#9L6HdUT73gH37yM=9tYTF}J+Fr$1xF$hj1AfrGj-m%*T<T%h_x!~QA z7TqFZmtS@Mgyb#@m_{3rMsU=7cHRPAs{u;7F5M!=-H<d3&8`lH;2VQMM;LdPm@>E+ zUUzJM#0bjB4wv6?Pf-E+kMk2GA-I5y1RwGax?%*BJRQ4DG(ZU#k|;oN3(6llnjo>a zhTkFDB4AhXfG^YlFJ1@f2c<p8bu2f*`MLlUMxa3U>^#-2qY2Yp07|v++k8q~4BvB4 zQ2~V&B)?@q@<dJv8|U@T8kG!?6Ty3GS}&CfcKfJgG(UuFPYnPk%Hu97;CT7wq7s0S z7=1ghg3}`?Z!&b3Kvxfca^VDRT(jsr&w0GFM#aXbdx{D;t$?oJU}Rt@k$}iMe!uR* z`9tx?_iLOV6;FV6V|Tl#*nGdx>Y`!+J)q^diwe~Hkir;LlY^2U=>8PQWH7i0C;&wl zD4D@;K`DUUNdn$@2tK4AlpG)_s|B1#AZCCP7T65%O)8+Q05=2mCKXV}22@vojDe&o z7I><9(Fy6+fSdu!RZt@!f)JNX0bfxMDh5DxDa;^H66<CWhNiH~Aag*{pv(fA&V~$p z!wdkeqX8KJ?-F-Iiaf_|76DND0qF+GId+Q(L3D$7Fx{YKzM!~<ET)BCaRRx}<t8`) zD!_RZq|rsC0-`HKr3SR$p#XFgh5(3_0b+50c4C8q19S{FXwDma<X8o0asgx^Xsr#% z6Z_a07#Mbe2*U#}43~q);1EZU+4HyYg31q2q6RgKz(?>ncy!087=SJ#0Sz~J^zH_o zxD1*fcX&A&q!=XY(LMPCh}~PGk^o*zyAzaWUp!d+?|-+CN<w#tN($%*Dn{rkvF{f_ zN9-t`;{;cxkZKzg4B#a1@a-5Q<Z_n)n5C~JTMxk4kjq^{KxH5(FB}KEv)e}{p!H;l zc(;p6Nb7-8en=?-TGag#bb=X@^E{B8=eP{i4~DK2=yp-@fvyVn0IdqP1O=_dYiFeH z6!d-yaCmrhZ-)68y7oDE>A(Lkul@twj0bTe`UNy4DgoV~YCK290+gpZUvYlw3{i3D zJkEK(vqr_GL=2n*9l$y7=l83eFTnZFrQ1ct1)TpJO7c9qn?bgMw-t7R_BS}NK+;AG zQoKYMUV1TY5$I4H7ZvOmuRvO2pleqWzJbooZw9s2JQ|OHnsZ1y5j}cm7l7)UZqVKK zy(=z&E?m*bgI=Zry1u<((ZBzoS`L(7_an=AcD{R&43-4n#{q6)frfevJh~e|9za~U z0y^&_1uWI=Q39qtx*d?Op+nkV4=(di4`Q!T@j#rEUZVou9O0tk12(9;1Jd>bxxTvr ztpSK+EVy1mH`W5#SWwjqDhi>-LYjLJW6|1tNXCM400X+Q4#>uW(r2fOii=Ngj!FP% zI>G^TD~APW;|9`>H^T!yo$p_?E{1f~4SagR$3cQJU;_N;eZ);HYeAt7iLf0rKob|8 zkY*qBLKdIScQ3jY{ri6$viHTKSM-e_Xj!U@N`$N7Nzcx^pbOeSt!j_X`=I+fx_wj( zUhD*!i755K9-m+UGQ1ab)yp@-laAmL<HZG#!p`?EJQn=>k4O(bou5JX_JCR{pnF=p z7ySG0*?A0<-=S3i*g$ByK)tI465s?92Bbg+mENeKy$8J55fR`JJ}AGmfY&`j3@0j) zKxQJaSph0sk*xsnAy$CPc2G=ocMz9gz?A^17iv^05Qf*NRDeu&0hRx2z*~($X$G^1 z2VLC*zrW}G3-5)XGxSmKb7^1j?>{U>bVCw|5BPG97r6`Ii33!3Twr2g0AB#`-ly}M zM=$F>eg@FZ9iSaa1}_#a0F|FDDxjO0Kw$$8P>*hC(%1tQM_dH(V$*_u|3RBQwdNtG z4$$QT7W1G<qD2LCKLnCluv7uN+65#HszgAQ4LEEGrVa4c8PMJdl>3_YfNzvQge`;* z3f>l#8o1#^rVa2>JXov%i6dJ9;zO(`L0Cad+GtS$9qtAS7S!ai2P}?k1xOvliX4y? zy=zoXfJ*S*9<WMKjDj2iY7KzG1$o%Rqx1a>h54YQvIQIo(6R;8#Q_zapxYP%=OI!} z=YQYMzo0t6W*$m{IRH&C-Fv{x0>PI=ee>uQZ3Qh%1eYe+^B}2412h-_8Z-dKBT}jX zi6f<&nt6~^^JO+ls_BNDck|-!Y<SWEU5Wt;BWN;&B^}u1FCgj878OvR6Rd(zebb@> z?$Ke1SCBYzpo933Ku-Y$I><l7)jf#YkkI25bV~`c6(ByuiWr0y#3dbYmjR0}K;p<& zfcOwAKs|rZL06!AG(aO{AQ@270XYJcbU^+^PdZQMK#~q9lwnDy^ZpCDIY>$7Jt)2K z%t1*np!;XR1<ZeN0V4`NnE{+moIxfYZ&3j?H<6+hUb=w9k<v-X97sAjGZQ(TfUX3D zR6OrqT$%|=D#yW*4{wKffNp-{>FiOF0G%`0q9OyzF5nm>Sh9dSSXcrUB#s=gAU-5u zeGqX+Ow*)A1$1m3$nWUM10;@Y1&9x^!UbUkamfR8S{^nlK;p<&fcOwAY(Q4@u2ES5 z3aZ{76_5-ld4L=NN**Bpq9>1IvmnU>6v{}+<Nr)}@&FYyps^H4!{f!%nJCF*0jyZr z0xed)`*i;E=w;R8M5>PjL6#hEQ4zpVvVg>qQjF3pP>T8gV%Bt&6w~?c#fs^mlyVd; zrEv0ZbKnKtA_Yk)JRt8Amr_7$p0R~7NE|tgL3~IUn}EU?Y&em15NJvWn-w5&WGg^? zh!vpeB(M*OYoD}$H!fkb0yJfXYz2r9u>v&51u96u-HTqx)+bO(0XYJcQb7JiPbqU| zKvD_^69WS@rGO5q1Jyx3o&R5)osLK`;3n#e-P2K0$^>Xi>HO}~`Oc$Pln1mR5nP~r znhvRW7;q#HkT_EEV4eX=9`9dNPen-{(257tl5Ly{>i!%C2O^?C;o{%sz}MNM@`I6q zp|eHh4?HFb7AT-OBy0f;5=RbT5FZl2paEg9^~BaUpaEiRR)EBjtpM>MR)8kOpjHr9 zpn#@Iuvq~TN45gQhgbocW&tIW4p5Hj?E&8^21+I%M}U$E$iL{xq;wi2nSeqWmP|VT zzgRaFo;*OQ22`Lx8@5xXq9l_BXfo+u11(VAdvx9d-A@VX)_{}CVNmQIZ&3mDS3&*( z2Le(%1tgA?T&{qWciw;DGZ`hhbbbR57rY3a3@TENK|ApL+dR1Ww>j{4_JHrb?QBtb z0~&G!&5Sr>?fgPk9D<yIUKN1GKahhJ#D@ecXub<J|IrM}KS*;E@O~=f@?~rWgO(Z~ z8w}z@3<fPnz%}WCWH4wo12%&};>ZSr_z;637gerNsemQ~kPIjxfUE{31d!j*6GF}u zNJ0SJ9}G<hpsS=o{(Z4vG9ooVx;K53QBnbDcmv$}fOKy}?=vwlcy?a*=)C#j$Ye-q z5dkkPCPTWsYruEZB4QrYaK1hnR91X{;Xe`N7#9`r;G6<zNDkCD0*%i}fH<J0Er=rk z;(*#OAP#us4pcRPM(#jIw1DOw_JI};?g9~@?*Dg>&X=HY03|taI506VyeOXh?>}Tp z$OAI*gFSlTi#*W!KOk}BSOD=Mu>d+|tGgTA2<&bKRp!_Y1}!JR7QG;GWP?F`h{2%p zqq`f@0B;5j$zwMdG^B#fV30Vn!5}`wV9=&aPyvzwO*bGJP<Vl?289>MZ|Ld9WD+=S zfNnU2r58|{o;wjN42pD+FsJ|lwOYd_qNJMyXz9@jo^}8Ye}ONu{RK)m-Jmo8y3rD3 z2DpDS8I*89F&hA?)8NA;puX@tkmAnwFU0ym2?sp13b`21>J9kzI_Q0Pd?0f=@4q<T z2UZ4}wD9OPJ$n~aMZQ=C5d>ubkKWCo^lEr%r#<LCyaf}$%71|G!%Lp{@Bf4e6Hw-F zL9q+DpI{C6KD>Pk!1v)H&&ZA5PcV8v0b~XY`F?^D76yh+y!R7iu#DbMFnT{h#vs3+ z0Cc4jY_SY~izH~~zI%@fsJ!+-+)q&11z9WuyPp80iTL{oth+%|F>N4CFq^>l6M!v2 zzMnt{Y7O*$0+0sKC_nsuf=%5R_Y;83KpZRC2jY(2Pe6nF2|%?YXrc?W3mSAk0jQb; zT}c2c20?8&@cjg!5)Z@~y`KPlfFr0u2AU1=>19>93R-f9?HotY6fP)G!S@pwc7T?S zjowdijHerZJR14;6D+?B33SY}8&Lv%Pa8bYQ4Ve#y`SI_CurOC(72!A&_z&W<2bSr zCBDzJK;xUl`w2kR5v=~=Z-L!U0IG37%UnTY?6767Ud@o|5_UfUNGE8$DoD-f{RE@; z6EMIo0~opY69}IJWmnwy6HIReugn^~p8&Qv3v!HnXO0Tp?kC7N1BxQZEH-GJ1(y2> zKGuVG34l()ft)G^S~vqfRc!Qr0^FBA5j@posNYXub_$YmK%>^6>2uKNBWM&7G@6Y( z3i)DVEjayvhSMSG2c!d>e!#Qf;5AjCx*OE<04?nV?KA;Zrif}7c0U2E8V0F?Rl|p? zLDewiegcp#P!$Z8zXaJdl3a^)KLN-9L`Sj*+ym|$iuV(ottR?@f<+*uq})$X2+}Zm zKY_}~yPu%E5_~*9s5=Wf9v`%{4|Gtv&oNL=$JVa}js1iBwb1(s!YZMy?$P@RIzv=y zNWP!oTRAuaKou+~0zg$RC;|>2fkXgi9~V6Wu9m|i0HvEddOyLybZ|+ypWs(1+WiDq z4}oGGM`sr`;z#c%xCgpVdl21EAaM{BdElc%ebA2%J@H~*3Ap2fa{kWf{RAN@;H?74 z$FO6byyMZ!yJtUWEkEnp{g5EWem{YAF*t}(PTm>P_Y>SIB>H}W^&q9B+)q#k(m>q( z1i>Jsq})%S1kx~iKS5`V3gYZf^h4frkk0-D9oCO|_9tis2y({2JUGXrmv`|VP>z5c zoCE5Lfp)SXcf~;W6DSvedt;!5xscu%XuJ~K8ymf!pqDptH@Ikt+6@UvP)`*UkQja1 zyLsSH#L}l7y`KPlMkwe!O3<nz63_gk#?2Gpg}INw_s4@ybA_zV<!#>yiBj02T#zdC zyuqFej#bc-VMyKpDF)|_(fbK5G(UhIc@J8zige^Xc;##_ulx>3_<~lkg0c)q6?*tC z&jyDtXgMz=d_juA;TuiyS)WLEc)-qT`agO<0r&(@q{sp-mi6f6t=a~OEYRX)P-LO6 zIQ8s2F?v72_s#*m01ew|-^ho2di3&c-U5n7a3=%H{REa7;1)1SCu8(}f)En!CkWmQ zY7OwZY=#6g_WKDgrGbMPrK2%C?kC7d{YTpU1m++O#NAK815!%L{RFpD{{4SR;{626 zL3&8MpP(3|XY_soj&AhB-Kls#!SD5;3<c|_V85TBIvLznz|v0{y`KQIOum<Q?K*Jj zv~C?FFd=;vEcX)_CV@i|OJ8O5egaDRDwLhz2Rn)#)DJ;9iXGZtxxNMxt)MOlXp;v> z9cp{}<cshGaLi)quZ-SL0P3&6!Wh&SK?!4MpCx-WB#c4b5KtI{)S-v*=QwZ}W9hSu z-cP_l?K{<A5eDjyphOt7-*RXrB*Gy57RYWvv<Mr$pMZ0KJ1*Ey`bO@$`1G>=SPqIw zT=x^yMT6VaC|#G)`w2o+9I%Hi<}8U%FYB~r;O0U5GDtvUzn?%f3LMZVotNQpKf&q< z67MId0%;)begc1xQc~_GkOOHT@qU6=;s5@>B=LTNZ6H0P_Y;uQdBL8uFsE63dRc82 zgR&H)`vO||hCa;#I?Q}e7`WL0>ArxDR)$Wqkas`9y-*VGCs+&8K-~QVO`(t@*CM(( zKzsi)Jh}yt4&Oa?@E_~JXUw2u%!c3n1lbG0MP$xGNPL2NP@wq4nC*EV0**{b4+<2S zAeEqVvMIWsfHMTL^&Yfv61)){a%Sh~{R9jQRKBVgep))H6NP$OI;gkh)61GUACd$> zy)94@04YRnSc0<j%OG$nfb_ONsQ{!HODX_u$45I1A0z~dV$fmuAU0@`Drj~LvP6|3 zh^QP0Ih7e?%!s(3;M`nDlz=*CpeRA_oPnYwED#(ekj@z>N<fOSL<#7m1hgmt34y{A z6eS=wC`v#_Qb3|4H(>OBg1@W>pE85bUhg*1?+(#tx%}?(tLC3XU5*XUaiE?YYK{YS z<$QWszt4t5FQ_XAie8XH^c+|24~|~Y!8t_TPXJo~4O=x1xt{>E;2X4Y3v_k`Y{mFQ zU(kwi#Qg*yU7*+psiEk80t-K+`w2j%!rcPCp8(<((N%L0_Y<%|odUa`0Hn#I*R*{O z;(mf^7#ngg0gObPZxP_qdDyY@yl3Y(&(6Odz3UbDSQ$Jzzjt2iJmu1P(6jT!iwR!; z{x|<%EGhHtU3!3zmBF!juK+(Q14D^4II+8E{$#xA(Fr;m#-;Pu3nM@95k4-OzyBZh z>3r_e`Ol~GQ|HYWQ9fW(N)0?4kM4ND%>X*C736-ylW<jzoi{w1k8mK))_u9u7rY)7 zN$4=d2Oh`U1Xw}&50nb_fe-a)JobYHbkc|6$(QH6!H0N2l!D1%kLEW5-F;6$L!aGk zpbU$2stWW9g2qRnvQ_h<OE-&!OLvR~i{s@Nj+b9MHa}+c=$-~T1f*Na#qe-<5Q_`v zt<LM;uRHQjJ;-@k@ptoMM*b-W4F7lD<h<y>KlQka!U-4tZ6{niPdRe@DzS8Ie!%G1 z{F%{}^RSEJ{nksJH7Xn~ojxi&CF-rWOO+k@w;gifJnyP_6D;VWcti0=r;7^5YfiYr zZWk4f&b9-r3=G{aDm<NSM_9q$2A_@7cx(kLs2Sp-!eMyH@Z<|7PjHY4L_<Rg?EMKI z-EE-LSh_hn`wG|?7`h!;I@?M>ljgm38Xk?uBG@1y=eQ$<fq~(r9Y**$hC7Bih6D$A zfUdFl2Rh%B0d&@7D%i5!0-(cG`CB>}7#NN<XMi}+6P&s|SiWCu<tT~r>D>)Fas_lY znV=V_x^m#~XgyHEe%t|kNcxLC9-vfn#N#+PPCa@jgAU|GK0WC8i<#d4{u_P+`Oyb- ztmcCk6<+`Tzn1XmZU!9~^o@bB)Xf88%L_kG&|v~@xQ=xOxe#=$bHIP_vCbgZ!_r-x zWBlQ74lInNR!A<62l=ua&Ba`3E*4Fl&cN`}^Z)<<ApQI;1^@s5M>0bin;FO4QGG3X zb_N5(OV<DY|AX@<DA7tlLtFrqay#$8`0Ngf-rb;1HUodlZ6;8Ne}IH|Hz*vs9a%aL zeZQnE2+o_1yZ(b5bcm6^6|||v)$n%f0Z0C+2O#HC`E>6F=Y$gnf5;zvA<cNA^U%Rx ztOp-4J9gWMtAaDbK}WDTdqEp)E<ZK=#=rPH=%{c8@T&b{OGo7#aTbI?iH1jaGdP_I zbbGKgKV<xVsq@753yK$3FqUwFH|iLke9hE(g7buH$KlRX-!HWuDrN0F=h%7DrSqf< z=grO!E}S<?BsmYdbl&=Y$%XTl;vvWH7hO2dDPH@2&9U>7OY6lFDQF}gX8~Uw>CtQY zW-0^2%l)8}!JyZe;5fz$mcP4247zI!ST4W1{J!%a=+G9>wc#5XT@5d_UMSW2c8HOG z%E8ue{8JAo9OO9I`mIFVfq&|;Z-*Ei`KKJ<IOwSG!O`G=EC03&{M!z?bYA;@4IDy_ zJ3t}bdW^qyF9QRE;xAXjliv<8f`ev1C{34?;5vm0t@P>EvFHx5V7dGje2%?GcQYv5 zj5W9eSU9gjLl?{g-Gy-B`vt`ph9{dJF!H-z?7Z6il@SyS43;lSSvoIvUgtay3jJN6 zxNH4U%Ies8vh!5uMHkMCE}bV_I4_ilaUOK!{N$*3==&u{&Wo;!7ahM}bnQIh(t41; zr4e*wC-^EAkM7B!wi9Nj4w^!`S&X_vj94zexcsX50VC)RmE$fdpybNn(cKMpJY%Pe ziU4;Y=*kw65;o35ogpd$=&@L$A^^(Tjyw1nz-KAHh;qZ5he2r`l!yQQ0q0>*e(-2M zA`$Hv=NKP*c!E!N8|XMi$8LtsHU)MD21js-rvf_VuoqTcaIixPy_e^m(W{FIj$z=k zZl54D+ts*$&xnE?-f3Dj8Ke_*5LO689CS=D_(ZA~&JY36*;5b!BZ$Bc(7kTp$}R5Y ze>V*K!1>)V6ja2b)yEEk==Cv!Gq@%N*T)Y8!S%6$5YGB|5hxrxk73lur(D3Mfa~KI z&mr}3nd`s*c<W<PH&E$=Tt!1`8Qktq5J7jpgA>?hu=^QA!R`+b#p(V>uAnj!)BRjX z?w5EEasM_K0`3oWCE5KA;^^*ga74IYK?3al0tuY%SHj_bFGwtc!{6f@#Qoo#3AleM zC^3OkQH*0OG^v9tc--N?KnmUc2OJRYcaR3Ve}Xhl_b0hv5C1MmEP~x%@fYHLGeYja z>Wm}&J&w1n0M%j);D!w-J9#u7o4^dpW`-wU{&PgnFah0tps_KJ?l#a7hPc~u-69s< zE*31E*Dt@n`~p-?E<RPn*Lt9Y%L970|7+dOgUufqTYo}?KxO4?sn!GhQxA5ssC2rh zu$1s{9OU1262$D}QR!w;>1>N&0XM{0I@@AE=V12k1Lby)#$ygFpmPx)fXcBK)%O4X zM?f>jgb5P@h-`b(#JwDlh9gU78xN%62yHKaKyvSCI~4bV+Iyhphk|G4caQEiP%+-^ z!2+tXl?A>XVl3eXjp%{;UJS1z4G*-Q<ez%Lv%4R3@?v)&3#d|5HU!^L!U?W@3{Sr1 zG(6dQ2^6ZQI<JH8*5T+p$9b%?M1`kBg7Z75rQ3P@`*qIair>Fq;=HK%6VyI-?Dn_u z?RGans(O$Y_&Ro*NH}(fNU%UUmNIqnz0Mqt-8Kw66c`v7G*5IMy!@Q=U-Mxe$8H;m z%g;G~H9uo-e#G8cq9V{4q9Wqa8>7PE(_5k<0lH5@U>^$u1Hu@kg8%5f(sIf9!x zKAqpQ7=vHzbO5Jafo>NS3CGTM33hNIka6s6mtkjM@a)|WI#buP@i+sxS^;bK>HO#0 z`Qb&rEm)@lxN?G|321xVqq`4OO?3-)d$Je{aC@+Dp6Z--f}Me(v+oSJuBuD$Xgsz7 zVd6_=2XIyh_UWDmYG^?XaO}2n1ocb0IUN;$c001T8Xj=$JnYDMxkSX3^QL3B6z64b zM#USBiiaH)558u0HN5@(x?{H!3+M6X=lso&_`w&idUUseTaX-zKf4`RI4^)2Vw~4K zx~G9fqgc456n`t;P`v5bd7$%@3+E}9&I6$4nkXlzk><*I+EMZF_lu64CtMY8fUG#s zIjw?&fx)G-t%d`XtHB+O1P)M^1_w^`%W!+JUqA(zPj?&W5(RL5t-%SauXRA@R`>3M z76$^HAiahsU&`CS^?~$)Fz)j2fGkG&XN@TT66C<;-v&9{<)1x{^3N7*3b_3HVa)^X z&zjj0D8H}Tfl~rze-^j<A1I)^p8?7J4T@m*Ur@y9{zZ1!-G9mk;eHKgi2KWI3AkUB zboVo;pu69}3T!jD{$HR1cK-(zobG>Qi{1TPNbZmDg1CR14FUIuLUJNz_&bJyN;_C* zO9)gAb>4r`W(A6_-Jt6t82DTG89|*b(7jg-kp2_qWrpx`ec|`o8^C%U;QQM+5GS+N zsBnNb<+!Nue813oyd;|78N|>=HR{R6H7WwgMhZZT6!GZY4Jw>L2jdC64hG*PiT%W6 zQrwATD2L&t7m=2D8|a{L0yWT2{R219L174Opo2<4)bJLDhPRmIXm}&i1zLE&G^ZfE zahESQ?9lV)0y9MZtgr{?&lC1I^QV&)_WYS;0X79(J{BB@<WEri;_Y8-1t$QK`WHVO z(cS;R6l^oNe3{_{cK-_}obGP|C1Wi8qgCc$Q^4+Da1P@BFbe|Z%U26f0w&4*60Yd( zS3q+A4p*@IIoxo%|Bwat@P7q~MX>uXT!pxQnmGaYo09H+3lDVnCzyak4jleBJizYP z@WknUCLHe9LUKRDU5NXynGtY*sX58vAK{Je{sqPe_y6z)yWhhHr~92i*%C|o$%4cp zIQ$KsK-@1z$o*T*NOpgPAG-S=7$Mv*;SY9yhCfdCH<@8iKdT_I2zGzMYl!>9ObLYl zS5uPRKO+#`{R&9#w+I5ezat2z`wy97cmFF$EP~xX;S<FD(@Y4s-;{Ls?+8J6e}W+* z{3Ala?q3m#)BQ|1+^>b?{sTWD?!RVC!2P8rB!~ZvaCG-CFhID!A_DCG6A?Jw?_`2K z{Iejj2oC=b47}j>u^1uuZ#5>_{Xe46-Ty!z;r<!XVE4a>#_9ehW9;r<1&Kwl`xQ7K z?hi8}5dL3{NOr$O9J>1zkleo`4(xu8c%1G(WQ5)QuOP7qc7Fgr#QoC@3Ao>sboW~% zqPssq4-x)162b1*NW$rUCLHe9LUMnD7{vY83<$Wt)R5%xk4Qmx{{mfv`+uZ>-S3f# z)BR3{*uy^y5{uyQ-yjQdzZfC+Z#5v<{T1ox?th?zaKA(b*!>w9INjf5fZhG8Ah8H` z{{t0>`@{4Jg#TB4lHETe3*G$+Nba}D2D`r_8>jmZ>0@{QD@ZJY-7la6asM<u0`4~@ z-Tgaq(cPb*jR^mUJh1y$<l%Hb6At%lA-Uhd7~=kGx&+)`sz-A8-zY$L{{k(9`zs2; z?mtn8)BR3**uy^y5{uyQFR+5RUyP9Zx9XDY{vXBY?th?(aQ}=Fu=`(>;B<eJE_U~? zg2W=&{R<o+?hn%;5dL3vNOr$OIlB85kleqc9PECM3Y_jgq=Vi4uOP7qcK-zri2J8$ z6L7yN>F&3vLU(_H1|s}#RDs>EQH|66OgP-Hh2(yQ0EqjqX%TRLsW!>sA5n|${srm? z_y4E`yWgV@r~93>v4?*aBo@KpZx9Y~zZfC+Z`C5%{S^)9?th?$aKA(&*!>xeINjf* zh28zDAh8H`e?lC@{b8B}!vCu#$?l)gjP8B~B==jifZgBGg46wnG_kw?6(knH?w^nf zasM<80`4~@-Tgb-(A}S)iU|LRcCh<bwBvL?6At%lA-VrR4#fS})Csu1RD<O3ztM^A z{sk%s_g8d*-G8DBr~92Wu!nyZBo@Kp|DhP-elbGs->Ocs`+xMHyZ?bQ!u>OP!R~+2 zi_`s0>e$`C3KEN8_bXIG+#jY!ApF0ok?ekn3Fz)uKyv?%31IhgOvLH_Lu%OF{|XX| zVD|?!LEJx0m4N$ANq4`+WOVl@C?UfC#$>SjHKyQnKNAl3YazM6p%dc%YbpfXU#d!S z_(x1bcmD!Kg!_L?1H0d2I!^aHsbUZREJ!SZ!+*mBi2KC|xqqt)$?mV1iSGUf3JCX0 z%mTYVV-`;LH>qHE|0+l<g5CdMI>h~9$^^pyt1`*%pD_pB{R&9#x0nldf5%*$?mwiA z-Tkj1u?Tj*z<h}NrzsI|zbWbN-!UKE{R#4j@Q+vkcK?b6INi^L!~I%F?sr%QasM?% z0`4zWB02nTEJAnx0y%{HD;9&@e_}CC_d6+J5C1GkEP}(oU@gS`VuakkRgq-(|5%Fd z{s*!M_s>`ccK?fIINjf*h~53GAh8H`|AH+L_lGGE2>-7NB)eZ?CA#|+klepxCD{EO zt8lvikOFr1zk<Xf*!>rFL)<@2o`CyJNq4`+8g%z3$RNW1#u~8uHP+&EKNAl3YazLx z;V{Jg*W?Jezf_*&@Q+xJ?*0YR2>1V34|c!D2AuA9lE)tYS&&!+hrhuoi2KC|xqqu1 z$?mV%gzo+aQV91;YzDhOV>3?oH_2gl|0+l<g595R5#s(ZSpwnzRhDG;&)ACYeg!1= zTWkZnzhfIt_aBnQ?*3PhSOmL&!VQS~r^yg-zbWbN-?0PT{RxtY@Q>IDcK?c<INi^L z!~I%F?muuJ;{I#W1l(ULLvr}v*p2S~1riANSL^}1|HK}g?st;G9{yR7SOkavhi4G? zixG1FR%w#m|6?Dz`yYrS+&^PK*!?f|<8*(MG<Nr|g2W=&{R;0O?hlh95dL4KNOr%( zA$0dEAi00XA+Y;74&!wHAt~(ce+7v}u=@kPLfk)1l7Rb7Nq4`+QFQkwh#|uN#!;~Q zHICtQKNAl3YazM6;Sa?9*CYtIzf_Xs@Q*lw?*0X$2>1Uu0d~K~Nu2I?lEfbVS&&!+ zhyMm<KFItRA@^^UAldyDr_tU2Km_4_i8EmLXPm+5{w4|R?q3CoMX>uHa6#N3CQcyy zzlxLW{u$@c-LHV;ev9*9_jjDf>Hb6F*xmmM5{qE>3kX5nKTV8)`%OuA|Bj33?oSX# zgnz^(u=`hB!s&h{9PZaba=(Kl#QoPq3An#hjO6gYaRuG|3xp8vueb_!|B0(O-R~rZ zJ^ZsEu?P<T0tJZs#R$27t0>9t|8X7N{SO2Y?w@f3?EV)waJs)q6ubLZL1Gc?{srm~ z_lJoP2>-7lB)ebYHoE&2klerHHrV|fcW}D@kO+47zk<Xf*!>suAnu<gOu+r7q`TkZ z9=iJz1Q6kW;~v=k8uxL!p9zQiwUFG;U<PsjH6a4-FBK*^{39NsyMF;c!u>xUg5B@& z2&em<gt3Qz79<wI;cs9IalaTL_iq&<+5Hty(B1!l58-}^r(pMIJjLn$CL!$ZUj>Op zu=^8SAnp$nBoO{z1xa@QjOXa?S3q*V#S5_eJ6_;){~<x_?tcY|MX>uPcthMjO@M&= zO-XnEj#udJPvAv_f5dCB`&Yci>3$|0?$<(c|A8Qg`>*j6aDS-)$>D$FExP*`@F3h@ z@eb_%6Yp@k-$?*__-FBfO#z4hhe(L~#R$27D?iEZ|M3Cc{SUaoHiOq6&iDv+|BH_} z-QUEI-TkW|u?Tj*LL$WdVSEI_|0^HK?w9z2?tTR%_wV=uc0b2gobErwhu!_JAh8H` ze?SJr{nK~}xZjj?_gj2NcYgvGBK&WB2fJV62Tu1h;c&kelKUI-A@0A%L%{u|yd;Nz z#4mLBFW^MD|Hm(|`#pZ+biWfX_VCYw#3DHSH<Ur#FGk4yTX{%!f5l&P_dno3xL@KQ z*!>y*aJs*V2fO=ML1Gc?{s*-X_lI#42>-9#B)fkG0~^|T6ADP~w_s!goj1|J$cF2@ zi9_7j-Tw*_i(vN)v_jlJjf;T$O-XnE4rX-sC$J;JKY|79{uL}Z-Oq%>{aQ%wcj$q* z{~9L&_m^^!9R4@h(A~d)4dMO@cCh<Tu;X;U6BqXI&w|7vIQ$DHL)<S$$o*S6Np}Aa zPIUJ_U`4op1{c`<FSu~JzljsO`&U6?5$yg2vmovd;~)_JUpYv2zXUJ3`xTJfzk?U- zehxmI?mxtV-Tkj1u?Tkmg@q9JPh%(GepAxjZy|v0{sb08_}>r!yI(^Pr~8?3xL*s& z{R}H1?!U%H!2PA{B!_>5FuMB}FeBXmLm2FS4-uU1cVfpL{#lS%1c$%D28jE`2)TbN z8_Djk5JPwW115y~CB(t*&k)Dy{w6l;?q3CoMX>u5wnN+>#!4Xkzp|3-{uz?!?pHu^ zzl9Xo{T)&`-G7J`yZc{3ViD~A3Hu=KpT<JK{idY5e}@dZ`x6)u;U6IjcK-@lobG4B z;eIV7_a8V4asM@D0`4zmAvyeS$fLV|0RzJQ6$)VYpHRT*ekT^};hzPGMR53kI0JFN z7$Ns>WhUAEKa|kj|KR^$_<2<`l)>(Qp^Vf0P0ZNczX}qIVD~Frfw(`6i9q;&Wg^-A z5^Ct~S3q+A4mGg*In;5w{}2;)_rHR~BG~-_w;}GI#z?^Zrlh;yLKEHn3I7n`e?t@O zehn?0?q|Z`ek~;TH#~y4{~7}U_m?t~9R3kH=<Z+e7vcUNI$-yE=;Cz06C?KU&w|7v zIQ%!fgt%Xfko&hXknH{neRTIf_=9l2gaO$783s7r-^763{i`6c2zLL24-of<{r`*q ze3-BQ|Ne&^rA6fVBr}ZA-LHV;ehXu;`#X$ry8qDszyCe4?7w>jiAAvc1%5!>KkXj@ z_nVUL{vD?1?oarQ2>%E(u=`h-;dDO}4)<#zx!>VG#QoR)5^#U%Ka#`$h6TF&7yLrF zzrqsi{u7os-S6}dd-!KTVi6qv1#J9~`7c85-};wi_y4d)cmIQ*2=~vh0lWW&4Nmtr z{l)J7RghQ&yMF;M#QkA^2!#LFKP0<f!XDlI3P|qXVGnjchXYRcANqsc{jVUg2zLJk z5s3Sz{U+dkQ_|gU;e_t~gdd3Tzu^RSzlJkT_cP&ezZR1F8Dt>tzxIoO`%8b59R3lm z=<Z+e9pU~Tu3-0jxZ!la({JqIp9P6UaQGW2L)<S$$o*S?k?j5o4|MlG_=a%5geTbj z8J;-Z-}DQ+`&U6?5$yg1Er|QWei8`(uRlq4{|s+*_bVW|-@*s%{th3U?mzSsyZc{3 zViD~A35F2&Py0c@{idY5e}^Bs`xCw*!au?v?EV%0INi^L!~I%F?mu7wasRdN1l(Wx zgXHkP5s2>o1z!;EuLuIW|3nZ@_dET-9{yR7SOkav2YZP7#R$27>vxjf|04w5{SQ7P z+&?1}?EV*_INjg$9lQHiL1Gc?eg$`k`@_Bw2>-9&NOr$O1iJebkleo`0_=W{NSy9J z^bNcFUqNCK?EU~hi2J8~CE$Kj(%o+njqd)0Pl)ip5e;^~Mhs5(GvRQ*7LxlLLLu(I z_Jx4^OTUsF{t<EL?qBc`;r<_SVE22(<8;5%SM1@R1&Kv)_-}}TxL=Hr`?r1}+5HuX z=<a{;0pWg$B(VE4l5o1e=?ixEuY$xP*!>TZA?^?ROd$NfekR%dGg8pquYlx!i&U`t zJ5q7F|Ilab?tcY|MX>t?vLWuD_KAS|O-XnEj&yYQC%i|5e?$h@{VOtXx}OP$`?ZkV z?@$DB|Fw?<++X^M<nX_dh3@_Z?-1^<$OgOrL^e+MJAJ|){#lS%1c!e?CB*$=gxtUN zBgyXnk&EvB2X7JXpOFW4|BF1F?r-{t-TkW|u?Tkmf(D5D!#)rQ|F0iNcE3a+y89K7 z+`pp`?0$|SobEsL0lWKOL1Gc?{tN98_fLCI!2PDAyWgS&-Tet~5aEBL1nho|Qk?E* z!r^``B=<A)L)?Gu9Rc^3z9%{SBg)a;zu+~({XfdV?)Rv`>3*m8*uy^y5{uyQH<$)- zzZfC+Z+%Cy`zxx@-T&Yf!u=A}VE1QK<8*)1JM8XX1&Kwl`xE9u+#mLqK=^-sOS1cC z)S|mz0m=Oqbzt{*)Zujhp|{xG{|XX|VE0d00&)MeHw4^oO1k@ZG@!db;UyycBO1Z( zU(txu{Y*IAuZ86P1FIqKzxJAd`%B-D9R4?&(cQn`1;YImEnxSbXu;`zr#INcKMN9z z;PC&j3F3Y+Lhj%Cnq>F?XhV1ZgXakM&u9m`|3y1a_cy)9?*3JfSOmLYVHd>xVXp{; z|JPR}yI-OU-Tewk?%&Y`c0WfqPWK;rh28zHAh8H`f51VA`=`Am;C@rm-EYy0?*4>l zi15GB3wFOoA5Ql(;c&kelKUG@K-_=r1p)V$z9c#PBPO7`f5B6P`+rOTyWe9XPWL;# z#2)@xkXQtV|AzAr_lps7|JD~IyT4*Gy89nILAYOH3fTP_Q*gS!=>>N8uY$xP*!>T# zLEInqoIv=0eNM9bXG}wPzXFo`EvAFr-!UDh`wu<G?*3PhSOmLY;2y;N)1DD<zbWbN z-!T*2{Rxi|;U6&z?EV$AaJrufhx@gV-0$!d;{Iz-3An%X8Oh;)V-C9e7d%3^zhW-f z{U_$)bidOx?BSmUiA8Yu7rcSEUyP9Zw>~A={XgcTyZ^yMg!^YK0K5Oi0-Ww|dWzls zt01umcK?FU5ch{YArSswpOEZ+iN)ydS3q+Aj>Ta2b1cE>{zFf&yZ;p=7Qybn@C)Mp zX^#oG-;{LsTP#C&f5HPq_}^FtcE84QobG4B;eIV7_cJgFfai~{JtE-#(#Ir+f5b|3 z_b<4QaQ}~$VE22h!s&je$JoO^3lfXq@HgOuxL=Hr`?o$K+5Hu3(B1#w9>V<+Yr*c% zSc}vBO^>j<e-$Ja!R}8GfVe;GA%XD!`jBMz&sdM{eg!1=TWkQkzheVV_aAzQ-Tkj1 zu?Tkm1aXM_r#&FxepAxjzhe`+`xEXW!arg&*!?Ru<8(h04)<#zx&MG1#QoRq6L5d& z1Cqo4##VIqFSvtnf5kSi`%i4c>3*jN*uy^y5{uyQ|DXzSzZfC+Z@o{l`+w{}cmIRi z2=~v}33mUBojBd!bRWC>S3zPC?0yAZi2K9t5eWaU_egfX#2$3_D<HXl#~!fzIrido z|Dk)>-Tw*_i(vN$m_Xb=?JfcLo09H+i~Z>CPq>8${~P<k?$<bg)BQ|1+^>b?{swD^ z`>)+0;QrFPB!_>*A$0dIxQTH8k3(ShdmP5;ey6+G!#@iWi{S9z-~@5M7$Ns>y+gA5 zD~_VO|G^D}`z4Nn-Jfv`r~8}kV0Zs2NGyWg|G*RC{;=Bw!vE`SlHEV!1iJebklb%^ z672qtlQ`Xf=r(ruzk<Xf*!=>55cf~JMZo>0q`QB|X>|7|Tt|d|#2K*rSDeA=ekL65 z*Fti?Lj=VA*KQJUf9Wlf!~e!PboVc~hH!tyd9eFWoX6>Yr(4*=KMN9z;P5Yqhqzyi zko&jZB-#BxE~2~t!BvF&XIuii|HUPo?r*w@-TkW|u?Tkmf;5Qx!)_1=|F1VlcE7|` zboVPDxqru1u=_c#;dK9@8`$0d3KEN8_g~0`xPRJp0`4~@-Tf9f(A}SK1rh!?Zh+md zaTBNenQ*vY3(5Tqr4aXDyGFqMrPpzUKj?NB0gukl-F`Mc-EIa*7luKvY;o*nF>vfI zF<^n+*Wz*cg~#Q0pc@UJF?t+)rr^PO%%ihJg~OwFa|a{nKBMUtjvNdgy)`NvFXXQN z{oit+#1M2(E9eH6X3<psQNJoGoDG)fyg+hi5P{Fo3QH^XR<yLh~xvt&odagFQMw z?-PMugTjC1?|+Zp-Jl!3J$g-fl|g!5yt)h)1l=<1(QEoa2_y)<dGZA)y?gZT{=vw= z5dCuTwZH!-OqkH!7Xq@iyA5=0Es}51FPns1k9PUh<rmG57(uR`Cc(_W(Ag#fx~{Ny zANUfP#$ybyi`Yu9fK3OPe*|Ja=w>jI%s&A({|v-@=*><WVCIX$%*S(I$p&_`{bC<3 zBGOyMMt0DCu@f8FaqSnIbQODk*>xFg3OK)b%n$<iH`A^VNN=o=IK;e92)FxZ>_K<G z0h0SI_JZBtu@|TNFI~az{$H06?mut?;{Iiq3Ao=Cl+sAMPjJV6boUor0NV`SZyRv{ z?EV!8aJpXzhx@IN-2dS|#Qo1M5paJiC{vK;{u_tT-M`^H!u=J8!R|kC7^nM#E@Kb> zDo8AX(~rV)i2KzDx&P=Ta2Y^K`uTAb-TfcVA>2RX7})(Uj^T9wq)XV{zY7wJVE1=? zhqyoOB7yK{CEfiJC(+$+faLxiC&BLLIEB;wmo8#=|1U@^g5AI4AH@C3E)a0P>qU~o z-{K6q`wPw@!vDq@u=_R6;&i_d4)<Fjx&H;LFu44AcAkLyTQ898{)qGF?%!|*;r<`z z!S46CfYbd!7qEwa6(knH;V;1palaZN_a8k^vimD8p}YUXX@vVFE`!~laT%xkC!NRc z{#}q*1iRlu1mga*a|FVlm2~&dxQgz610?rbTm!qm;~Gx)Upj}~{l6fw2zGykG{pVO z&Ju9H>p7Ccf5#1U_ZOT(gnz_Mu=`is#OZz^9PYP5a{mKui2I+NA>jVjvn0F!#%*-> zZ#ao?f5jcJ`%m1#>HeUz*u%dH5{uyQ7chpnUyYFakDejf{Xg!ZyZ^%pg!^aQ2fP2p zeVp!}bOyWocR^wi?0yF;i2Kt{69|7+(%mod2;Kb#NbcY92<(22$2i@8=`?ot|ANFK z*!=~L5ce-TMZo>8r%4Wfi>K)BFF1|}{~J%i?$>yR)BQp?+;4^C{u_Z1_dh#H!2PYK zaJV1TpJ>>Bot1%sp+wMQzZNS40}lfOgW<Oqn~wec@6+8J;0n5Al>yY`Z!T~Hu^m{z zoDw%s=Vtc<c@VWZ!VT2VFg*EUHJUc2&Snp=HV>AIFCgrTFPk4Sc6R$f3<z)r8(`qZ zzyQ4i_(eLJ87!U63Q#i+zF>lI4!&dpo1y|SWd+C?jfXj)&hY5G7yVM<*x&zX{?kSH z@6u7Q|0Iz7=i!dzKOc9HyLJaCfT+y|?oj`oM$-mz4p<w+e+upl3{d~6Kn#!o8PIr` z18M-+Wo<{H-J=O;?lVNV&j@1C0~e5+AmOjz0b)bLU&RCDu-ykh#`kXK@PN8c8%-Ni zXY&sv_kq|@_x*vmuLER2<KYL$?t69whx@V+?wfQ39DW7J?(;-;pC{OT8p<GQGlwVC zecfo<K<)#33le@mJmBH?2jW3c57wi1^8=U%z0eF`>1@6LH2|DAKpa@&xB@X^hY|yW zN8{lgP$R%mzzp#sTKI#mAAzO+!(jheAo=eHvj6@-{5J#QzXve?twz(v)Y*IitPSEn z5E~l)S0Dx?fDC9nyaQ?g*l}rxafCnUdJ(Amgdi3%AiK{CDg6I<fdYQFg9?b+{J;wu z{@iHVK<)!;gSyWP9{yJ#25>+O*a0)()FE)V!0lz}Y+eC1037}x4lMlFK#aHm@!|xi z5nwMi9|C(3E&L;S;N_1I#GVt*AU{FU{{?Rl8=C&Fc!NB)+rth-ZQkJx^`ADHHm1(z z6<}=;|AE-h@LvNlKmcSw<KYQV1Hg`Zb`b2i2@}xsXAHu9lMaHzVFI%Ie30Ge19I5z z4<O@vH}CL)y005e8_0cNZBY05z{7tH#DE<T117)>@Io_yrL(yKY5+L=K^$24w?K?& z02$GEI0I?~*o({%FGB9u_3-HY?AiIxW4|#20|V$*b5Qwz;O~ErZfApTZ;LJ#jt&<U z2anE2KAqoRtUUJje@8QD6v?Nz7&KV*06bU)9%FLc(IL#h;M4gXG;o!0=<oksApTAe z0TJ|QKH?GW822&-V*LciaF7c+KYQ#qL0D~m;P3xq%?p?q7?^x|ixYf$cXu#>f)8wk ztKk8k&hI{*|6VX0f+=G*yabkW++pDbwjLz?@E}Z@2`;_j9!wg1$NI~?P-lRy1_xu1 zYntD{!^0fms73oh;n948fq|jB8#KCx8Y+{If<ncEnSsHlck=<z0A1r@1MqMa)MX3W z7-0e9e(>-AT_FBW5P=#n-Unbw58|G1$1ul`-~d<zSs@%Gxc~2e&+ayx01*a{&gKtb zo55p&KD~=?Ky2n<U|;};*MFbRXD==s0BdkTXfV9A6Qsm(M}{05gHI<|)hdW8A1ta? zXmi3;wLnybU{R$Z<p5I^2T>J+MOB8nGfb5QL{$nFRVz%~V5)>5s&cTXdg15^Q}qTC zC?!}_Y54oVR2_q;s==ZvBgP-5Y5_!53l>!?GJ{~MsvxR*u&8=b77A4b+v^_Z82{39 z|KI<g`Q?5EdvyNZxg9h*+AC^$7F1Z6{^Mg{c##Q8XuZ2%OyppAad#hhFjrv`2g8et z`~LoqJ`B?7(fo$Pqu2BTNcC-yismC6U<r_s9=+RNYy%BA@87YEgCUDCi_vi>0|Ntt z;|>rBX6^#9UIc>e*}PyENb&9u_!X;w6gMAH0M*1hz#24`gH7jf+{unG9mIr~{u$)1 z-pv6!!HOl;fED9%$}x~)i0KRv(*y8pm<rO+yLrNPu<0H66=#4HLrgD#n0^4i26K>x z-pvOfZvTN_F&9WN#B>8lP#Ubo>)D%o!EJE?NL)gq%#?+ff#HQcD3CkvzcBpw|35gk zUaW=3c_?URf}``dN9R{i95#SM*`wDqjTe-1Y(dnE{@s87dvw0*y#K-!WJj;<H68|r z7c)Vky|$k~?ApD5p(ZQu{`)^PIEx_wIdypS@@D-1|KFombn0IaWf}seZ0~{eDuT>u zKBBNcg@J+LMe%N!Ns%DCKx3=1hZz{)$rF?$LCI_skA}c#2#kinXb6mkz-S1JhQMeD zjD`RSA;1V8j(}>&`r!a(bsUD!3=9m{F8}@CdFAha&}sp%D}Vn7T>1N-5v&N6fQe0D z0u7%rFgP$n=n5VPy+IU0zmS8_3K|f4g#m<)Foe(w0T4O@G*ZRDz%U^R!mnt7&<iF( z=!CgKU<U}ygU~aUL1>TV5c<M;2;Hy&Lce$ep&b;3!TK!RA@mIaPzRlX!9fT@uMmdN zKSUvPf;faWkb=-Nq#<;N9E8qLfzSqe5PF6_goc?1Q~%*VsO!eS@IVA24|W6t1B1k8 zh`7NQ2;IQ}k%zhCf+~c+;Uh%8;x~kbx$A@ks{99eR6a~UD1Jb=qBt{GFD0``za%L> zEwd;zFS8^*DK#UpOdl*$l$w*D400Qohg)57SxIF<Dgy%p0d>hmWyL9_xdnvO<)-E` zWEN-U=H@cw7K7{u_1hVxnXM)G7#I#QGBC8P{rlgCkAY#!#=rkd_!t<DZ2bG*1jOI; z_kRx`1H+TefB&E0V_+!R^7lUrKLbO`*1!K9_!$_cZ2kK`gr9-o$=1LB3-}orOt$^~ z-vbig_V@n^eg=jw+y4GP!q33qvg7Z60RaXElbwJ6#|SVmOxgAK{|W&HhA+GR{y!qX zz!0+k@Ba@V`2&CdTL>~RTsiRfe~Tak18AJ>f*=FKmxF)*%Lp+rOgZ%Te})hPgUaE* z|F;M+Fl;&e_dkO$1A_}_R9%>X;mVP}|7Qp@Fr*y)`~QhB1H+f2fBzeZFfbfB_V<5{ z2m`~E<A4935Mf|oIraCyjwl1el~aHJSBNq&EIIx6{}E9JhLSUX{|ksQFu0uk`#(mE zfkEWl-~TJb7#N<M`}_Zk7z4wS^MC(4h%+#xT=@IHN1TB{<l^7|2gDf|N-q8V|3jRC z;mD=G|3xGi7(_1r{cj+_z>sqJ?|&Z&28Jz{|NhUAU|?Xm^7ns>1Or3JmB0TNNH8!g zx$^h_9tj49lB<9J%SbXXm|Xk&zebXQ;mhs6|DQ-QFtmU+x=ArGxIF*+e}xnS1IvrQ z|2d=?7>>O7`#(dPfuZH)-~T7185mq%{r#^Y!@$7u`tSb^83u+UumApkA;ZAX0@^4o z%fR6B_V51{vJ4C?@BaShkYivt^6u~d3^@jdmiK@EpO9l<aQX1}zlJ;m1Ix$1|2yOv z7><1W`~QVJ149ewpbZ5E2A9u&|F2MBU|{+3_dkas1H+LofB$DFGB8Z}`uG0{MFxhD zZ-4)5C^0aIeE<8uLy3Xm%J;wjUnns!OaUF#q|Cq&^7HTi70L_@BESCr2aU*I`StgI zh6)42l;3~<pHN|72>J8(zlJIUgUH{%|2tF}7_R*N`~QV11H%;1L4Rrt3?cvj{$HWS zz#zi#?>~n+1H%=DfB!So85pK8{`-GIoq-{Q>EC}14F(1g=70Y?G#D7JF#r4iLW6-} z3h1C%O$LS#)_?z3XfiN}u>Jebp~b*(h3((}3@rwRDeV9LpU`4p2;unmUqhRLL4@<) z{|;>ihAW)^{=d*>V3-0rXk3SZA%y$i{}nn63?e-L{&VOuFkIpJ_di3Ifnf^ozyBw6 z85lzN{{7d`V_*>B|M$N`kAdL||G)n)^cWbXfG(=gXJ7~s{P%x_J_Cb@(7*p21`G@# z!vFr~fM}6_|IZjOFt~{R`>$ijz+fWw?|+XW1H%`wfB)YYGB9ir|M%a=h=HL*;@|%@ zMhpxllK=km7&9<@k^J{R$C!a(i`2jWXN*B>0RR2hF=1dZk@@$($Ap35i0r@rA50h+ zTIBxy4=`n5aFPG_e}gFl1B=4H{{m(V3`Z3H{Vy<MU}#bN_y2+!1A~jwzyAj23=AyF z|Nc)fXJ9y@{O|t<a|VVMm4E*OEEpJERR8_oV8Ot^qW15<fF%RN5w(B+3qZ8`zyB93 z85o{u{QGZU#lXO#_3!@#D+UG^?SKEjfcQH9{)bpIFdWhO_kW8u1H%^GfB!{n7#K?Q z{{1hpVPG)P|M&lj4Fkg${eS;WY#A7~82tM`#g>7g#PHw$FSZN}CPx4MhuASNd@=g> ze~TRh!xrOz|3&N>7)nh3{V%a+U~n=0_y3AL0|SfMzyBr<3=Bui{{5fgz`)RA{_p=6 z2L=Wgi+}$^92po`EdTxA;>f^o#PZ*N5hn(Q7OQ{%OPm-OT&(~7zv9Hez+&_7zlk#g z!x5W*|ED-JFtphI`~St6fx*S@-~SL71_l=UfB(0*Ffbgk|My?Sm4Ttf;otufR|W<b z$AAB?xH2%XIQ{!?;>N&m#OdGvDQ*l5EzbY`e{o}AaB=zfKg6AZfyMRT|1Ite3`bo5 z{TK0IU}$ms_rJu0fx*T7-+$07AB)Go|0bRc3`acv{h#8=z|i9P@BbH11_l?efB!?g z7#LW*|NY<M#lUdH``>>NZw7`IpMU>Lycrl=eE<Ci%_On-{rhj?!@#g4?BD+xJ`4;g z;s5^s@L^z3iTL+F!k2;JNyNYZJA4@!mPG#hFX6|)uq5i={|Y|_h9lAc{@?LqVAvA# z@4t;d1H+ftfBzTwGcat4`}dzAfPtYT{@?$E00st=gn$1J1TZjsN%;3)A&`N=B<bJ( zmOuuEFG>IYKM7=D*pmG3ze^AULrKcN|4V`x7)(<C{bvbgVEB^y?|(`#1H+cIfB%mJ zGcc5-|NE~J!oXmX@$Y|22m`~HjDP>1gfK8{$^7@<C6s}oB<tV*C7}!qCfWb~vxG4) ze98XzKP8NTVN1@x|3|_Y7)o;g{Z|QRU@*!1_rE2af#FNuzyD9d85p+Y|NHL}!N5>b z@bCYU2nGg|!hio+A{iLI6#o0463M`@rRd-PBasXYCB^^#t3)v{n3Vkc-x9^Z@TKJ6 z|0hul3|mV7{db9GU??g3_kT$=1H+fHfB*M@_~rlpUx{X5m{R`l|A%M>h9l+w{<Fj| zFqBmM`>ztiz%Zra-+zY~28JsY|Ne)7#4G>(FNtAbI8yoV|AZI@29>IR|ChutFtk+t z`@bWGf#FHjzyD`q7#Lit|NVau!@#hl`rrRAF$@eWHUIu|#4<3X)cpG|6U)GGq~_m$ z0}#LV-~WJE28J!Q|NiI1GBAkL{rle#%fL`l_wWCdSO$hGb^rdah-F|fssHzX4@kcM z-+!Js28NXWfB!Y&7#Ob1{rA5jj)7sxhJXK0#4#{@+3@fG6OjD=fB#M585mrc{{O!c z&%m%n{{MfK1W@_#|Gz^51H+S~|NkE(Ffc4h{{P=0k%8e#^8f!KAUfs$|B^%o29ebN z|2q;H7+TW)|38w*z;Gn<|9^%g1_qX_|NljjK=JthzfBS-9{>NJlLU&#|Ns9af#UK1 z|CnS@JpTW`Cz*j^N$&su7m^tmLh}Cqf04|<z>@#}KSK%wLrTH_|28QM3`+|B{|`uE zU??g4|34>%fniJG|Njjje$oH`4^kKyOp5>icSvPm_)`4;|AJHohLqC(|Ief{FkC77 z|NloSDBk}6k4R%+2&wr0e@7YvgGlB7{}Sm83|A`u|F1}AV3<<%|No7228NL8|NkvA z7#Kuq{{Nqm!N72(=Kud684L_lYXAR_$Yfv$sr&zbM<xS<Nd5o+5?KrkSL*-&ugGFx zn9}h7|BWmLhLFbp|1Gi^7(|->|DTb~z;LDM|NkG^3=C76|NoE3VPFVp`Tu`M4g-To z>;L}}xeN?fTL1sA$Yo%d()R!Vja&wXkoN!oE%F!`L^}TepOMGFaHZq_{~vh_3{yJ) z|BuLLU<m2@|9?k51A|ES|NjyN3=CJg|NpNjU|^Wi^Z);i0tSYV-v9qC3K<wg`u_i) zQOLk>rSJd$AB7AIQ~Lk^k0@eb2$}Hz|BfOC29b&X|4S4zFkG4V|9?d>1H+U_|Nq}8 zW?%@J{Qtj22?K-3l>h%{lrS({nezYtj}iukDO3Odk0@ne2$}Z(|Bg}y29fFi|4WoH zFkG4b|9?dp1H+UV|Nq}8V_*oG`TxH~IRk^ptpERKlru0~nf3qwk8%cvDYO6okEmc^ z2$}Q$|Bea<29de{|4USY(&hjE6_pGOQ|A5uf1{FtA!Ppl{}xpY3?d8u|DRFCz;I>3 z|NlR#7#OB3{Qp0qnt>r?(f|KDsu>tW7XSY*QNzG+W%2+26*UYDQ<nVyf1`$hA!O<Q z{}#0j3?j?^|DRFIz;I>R|NlQ~85pK4|NlRtj)5U$#sB|1>KGVAR{sAlQP03|W##|> z74-}ZQ&#=|f1{p(A!POc{}v4l3?gg(|DVyoz;I>F|NlQ47#OCk{r^9rk%1v(-T(hP z8W|Ww*8l%6(Zs-TW&QvE6-^8bQ#Snnf1`<kA!OtK{}#;*3?iHU|DVy!z;I>L|NlRl z85pK){{KIsg@GYt%m4p7S{N8aw*LPw(aOMZW$XX{6|D>mQ?~v8f1{OwA!Pgi{}ycw z3?e)J|DVyuz;I>9|NlSQ7#ODP{Qp0qoq-`_*Z==J+8G!`cK`n`(ZRrQW%vL86&(x= zQ}+D-f1`teA!P6W{}!DL3?lpf|DVyxz;I>X|NlQa85pMQ|NlRti-95J!2ka{x)>Nl z4*vfy(apec<>3GS72Tlr^#A`ix)~Tk4*&me(Zj$Xa^(O289ktO^#A`qdKeg{9R2@4 zqL+ao<k<iJJ9-%yM2`ReFVV-qaOL>_{}p`<3{y`0|9_*8fg$AN|Nj>K3=ATt{{NrR z4{BHc|No<(fnmz&|NkQ<FffFi`Tu{%1O^6?v;Y4~Ok`lVa`ylKiir#iQ_lVWe`6v8 zL&*96|1BmlFo<0E|9{3L28Jsa{{R0miGg9t#sB{!CNnUET>Afi$7E2u`u~54DGUr( zF8}{uF@=F)%9a2BZ%koe2)X+Izr|Dr29az3|Ie7pz;NZ-|NlRxGB8ZJ{{Mf(GzNx{ z8~^|Bn8v^$a`XRxiRlasS8o3QUooA5q2$*8|1+jDFdVt{|NoZh3=Ar_|NlQRoq?g{ z_W%EPrZX_O-1-0CW(ETT%iaI~=geSWICA&@|35Pr7+UWA{~t4xfx+ee|NnbtGBB__ z`2SyK76ZeP2mk-q%wk|@dHDbTommVFE|32Ix0%hr!1DP2|2eZ67>+#t|NqZy28Na= z|NqC#VPJ51`v3o)ISdRe&;I|HnajX%<k|oKHFFslmOTIef5uz}2A3EA|8JSg!0_b7 z|Nj?2@-P4Ye>0bXA?4No{~Yre7+hZe|F1HSf#J#P|NkB4F)$o?^Z$PgNc`>p|1I+v z7_Pki|9`_g28NV(|Nq~Z$G~9y;s1Y;`3wxDAO8P0n9sn__u>D4kNFG?j-USjUooG7 zA@kG!|3~J7+S#C9A_D_sRS*MXg#e>84?D*MMu@l!0|P_H;=ljZKuTQL1wfPv0|NtS z1%$!;zyA$b7#JA%1l;%}y!g4xIT{%3rL47#Rg^&LKwE!e7#J8V7XSSZ=}Ch`85k@; z3-}lq7!;QL{r>?Z<isc7#3$jzr{Kh=;mBvu%+|x&%hJc(&%|_(PXjD00an5R(Yt|x zfk9;H-~as}y^b)wj(i&Kd<PhtS^HS}ncJ9{szGKcfXx+f<OA6cviA)G14G7A((MI# zT!WE;L1x+C|6tF7#v2$I7;G3B7%Z0k{T~2zOEVLb7sxUR1_p)*Mh1o)9C9U$3=9jF z{rw+|(B})&2MYTqSmZny7#P+tGB9W?|NGw^Gynk(6i~Q1g8XXG%-RD9wTU1u)ay=s z9Iku@Ow&+>7(ix$mc{6-`1}6_$PKv6N=DTPGAk8T2yB)M69dDA6@UMS<8xOUsz#7m z7g2>6K;brliGktCO3bj)0QsMZfk9){-~S1qbO&*>BcDPu*x$@SETB{*;KauPTJgnj zgNcD5X5HWacR~JxrxPbok}`1QvvA~dXlCzW>xCtl!yq98urxI69Qimv;iSOK!0=_= z-~XV!YYfQdK+`HB!NJq2BRJF@K~|xfl>^!%w*K$`9&|UMnw5{L)0NKvi&;CE85nw~ zWEKkx1H*;&)bV2g3j>472I}~64hsWA29?Zu!NS0>hDv6cure@wppsb)tPBh$8>tgs zpgXZEsAQG|8w10hjeq|i#h+GJ5R+C@*cccbHc@U?0s{jB=-iYeoBsY!1Fil;&W}!@ zY=WMznQfV{Wo%bI2N!JOpw)1o`h}f=;ldWm-3ux|64)6SLbg)JtTpTm3^%A`)(>_D zhL~;CahD4R1H%I<nKglffgxo(b=-A_gMs12_P_r@>yYr2-E)XZ!y23n3^6+>H_HIj z{^4X`xUl2z|D~Y3<-{l8$R`1+$C&$=m?nalpi0b@Pr(Jo2DP_Va56CX?EL#b9$LpD z>3IuN=gOzR6bIu#+b9n>85l}-{r&F`vdfWApqYuu6V}%F!^yy~0*9Og7X!l`9C9XH z3=9Ihv6~sd#lYZ#LoSDlfuRD2Tn85e!x}7dpf=<ZE(V4NyZ`<_1@!~CT?c9}V$JhR z3$bNwTv;AuJ_|PkL%<#^af{vj38a`0T7#Ct&A_l>&)@%BLAzH7msuQ)*er15b720) zj7`Rs&w+sfR5qUBW?<kr^!I-o7igg)tZfW#)L?7#JjP}i$eKD%Y%&ZCps)f>Gn$<F z`+qZWanXg%Bz$o(fro)%3JuNwz{9}sg@)z_@G>xjoTXj(Y~W>J*g`||1^6IyVYG_h z0?^#zIogE}Xsz)T8k%nan)^IYJNHij&8gDR{12eHS{j-k0Gi{yK)dkSAi%(|g@)z} z2tww1X_o#485l|~(k^^JbLdxSXug3E1B1yW+PQxMXzrhe=6?`kVE95q^8<t#7(y=p z{SVrHgVN^2-j<k8YW=uDn1SKQW!i<mfCvMF$`#s~Um(K3&_YA=FNiQOJfWfa2BHiM zE>~$6J`+G|RA^}a2T=wFmTR<ge}EVRLkbPe-yp`oaD;~D3y3o?s9dLA_!NjUFtpIn z{0rg?3{PliK4`6z%MIFv&jip~DH@vp0kk&jChgoGAj!axLPPU6NHQ=Sp`rN#QVa|# zw`dnW1yT$QEi^R$f)oS86B?Rt09u20n|9$dL7IVK2@TEvAkDzQa));A50GJCNTH$m z8$fFwX=uKHECYkeUD}0Dfh+?<3k}V`Aj`n;gofrDfYy-Sqh0t+kYiw2LPPUE$T2Xm z-2eN3KXKz%(@1Tf2goxpq}-=n_-p{J*`=ZR0tyTaDi3Jq{sILChL#7k3;zoW3=B_b z=zar51_qahv<sgJiVO@(Xk<QUjQE2h0|U#WzyD1@^9Yz@(Jp)eDD&b=u%&-Tz5u2S zY!VERG2##<28IWZ{{H_*TpqiM%_w|h#9NdY7#be`{r`kG^LLYKzKAjdgTNEonO~yJ zz_8#6?ef4CWd;U@r?g9>CMpaJ2{bf+iV6e60UDbBMTLPu;Ti41KSY&*q2U?r!hee@ z1H%IvnlGZpz~JzlHtq+NK_zMo3=5wB{oh7m8B~NlV+Vldscxt-Fr0ZoyEwK`XJF8I zNt-YNxqpT_149oD&Htg!!0?8K=0|8SF!;QpUHI?NU|?ADigw{Ip~=9&^O|<%S7<UY z<j~Ok8=4FZXI|4T{4KN?7<AsyE_`NaF);Md(EJ}-3=D5*Xnuq?1B1_7+O>gpXfrUp zdHeUj5cV<@eSPCg>_sK^Wl*5<M?!~zVa+?*m=CH0Ds&haa^BOn9ePKHfx+VgZPs;w z!pKIKfnmi5+U0>cx(o~)AOHS;N?bd452<ndN0)(N#z)$@KSqy%;Rg-P-=oLC5b=q2 z?w8SLVAw%J^K0}$`_^ga{yX{%3>BYgXTFUA1H%m(nm@;Yfx+Sn?cD#zfPrBK4b6`+ zWMKI5g?4kndkh&EGQQF-d}NFm7*5d8{2C($290mDbN?M928IqAnr~yw!0>{G=Fc%^ zVDR`(yYTsA%)qdMhUUkZFfefZpq=~om@qJ8(9nDtQwD|;G&H})lz~CxC+))Ljwu5} z2Mx`)F=JqOK|}NBm@zPT{Gwg>{4rx-SV2ScW6T*CIDXU4{d>$A7&2&RzKjI}!wDLi zUt__*pz()x;d94=fuVzj=G#~@Fub6l`Ex887(D*cE`0u2GBB*5q4_aZ3=ACqXy^Vt zRtyXoG&Envnt|a24b88yW?<0xPrLBBW6i+OK|}LxY#10`(9rxjHVh0N4F71h*Wiy0 z1H%d$njd4!z`(&sJNNIgWnjplk@=u88W}qVh7*kc{?7qzpvIglL?2^1gl+r*w4Y=v zJ2n}}Tp{Sle-^HPG@FlqV8_6a!bQ6{aIj}!I6_177uYi}sBqKH{S2Tpl(_%VWUdyJ zh7ueY7*u%v{g=Q#PKiF>yb4=N0nInNVUvK)Hy?3eV0gguk0#?}AU~)$GB6bI{`+r9 zoFBGhb1KLWQP?D)e&}#yVDRCiT|Ri>$iT3Mk9O(E!-;``ho5%luW({u$f1$>pg87m zW?(qO|L?y&g>jsKCysNR85mXw&@PV8I5RMC2+}SNbX*u1GH7Uij|&6C2^yOJ#)W}F zLx^_a<KxP}&_P4<*SIn;yr7}^JfJJeglQK(Ic^LLD}-s7{@oZDI7DdYejRrPh71~- z-{a1}aDs;Bzj0?^&=93v`1p7*Fm%w+{52j73@>PCK945@gNGRH!Y9X*fnkLh4b#6T z0|SRR?cA^9#lVn3L-TvQ7#L2_(EK-E3=A3)v<n{}Zw7`A8k!Hf2<`<9&F2B#!X`<( z@X7IEU|2yz^UwG&FmOoG&iy*R3=A1GG9PqaT8}RS!wIQ>|0|*M{Y>S293b%}z6=Zo z(x~E~v$FR1GBC`L{`X%Fs=k>i0(7(o1L(Z68@>z-bEN<Mhn-gjI?L^iF9X94>3{#1 zL)E+RDKs-NEdU)r!T~am$B%)*Lk7Ef8h#86J~IFQ8-nyQFff43v+-kKsF3;he=bPO zkxu|(-ZZ#*F@6jTKV<&>_l3$gGcmb?90+nB=uBE2*?<3|L2?WXU^n-G%tI4f06M!C zO>B!F1A~AZs@@ZR3=A=7VxaSGSD=Y~@MB>3f+oh|&%odyk1!A9Hwk|Rh8}s`ezWjr zVBk@}?za$-c?t;gK>p1DnTIA;<Iliw15Ip#KLdk`B0{eZ0|Ubne+GsE#ee^=@iQ=B z*;DM!w}2UW(Kd4t*6|icz6Hz-;4{{~fDVH(#B@8zE&<RPz=r?+r}E*j>i{E)U7vZe zb$A^44lpt>fXoA(DI8+|@BbmtwE>u8NbY<eP~Co#1G{-2pl;s~z`$@N5WCyY1TZjg z1X0KBb3kc4kbyxX;otvDPB_A%0oCmt9DE(jj(iiCJ^31#nX?&@dCyRIdN_R9z|3sS zjI6C3McaE2&y}wMbcG@V1H+y`28N79|Ndvo;&9&tHk7zJkM&#^N4^PckaWQl#K6$8 zA2V!0VWAPkz_4fk;0z1UTC5X63=Dr5|NjSHH;0+d(8nq#VoPPX_8frnuSPHfLk!b@ zl=9Rjn1P{!>Hq&PpfU_z{=Eg4e;_?E!3+!$%>Vxzf#gAFVKOi<6a+Icv@rkwUjQ0s zfSh6I#HWCEhT|R7gApD148Z4Rt_fyf*unDuzdbW@d^_@KxbQi^j=p5pV@A>pIr|di zmKVVc413uB!^>~bIi7!l85mx$|Nox|av$nBRH3kAq(ET{I>+09gEnCcG9Pq4_yii7 z4?1`J0}agwoo61vNt^Hi#U1FJ^bMSdv<GSv><DFGIK%lLUY9d1g|rnego4JqQN=-L zpS}oXVEDuJ|9><h-8q7e7;|Wb9G}U-$mik67vRa~Pz6ek@H1CI_N#<3Fevc+|8EOQ zC(v`nEW#KVe0cu<KM4|poGW%1R=0<QF)%RjBGMWtjx)j-7<71P6GkBOPlPcr^w7|J zjc^8rH#9OIbhc?nIB5L$|NmTQ{5bMSxbPV?GxsquCo#e@BLf2iNbQzz28Idzgw=w= z<v}<D!xaJAg}Vdj41Ph{nZF={fnf>_&1V4J9Y90#6CxQHLWF1+J_kT|9?;Nyg(wCF z5n<Z7zaff&p@fF!KZs&rxI#no9ikZ+OhjlGJ_|s1Qqa(R(4l@`XlQ;y3<E=mDDA@M zKnw%J78;tb0J>{KjCSq^-|<01^B;ij4xyp>4si?&CgQXUp9OIY3{z-mK0`bM!xtKw zpAgT$5F$al@Hqgw(}jlSD<m*5h)B}T{S65W3?(!)A9T0P6&jikx--W_igw|%Ad!J# z3JuK%-R1LzhUO<EF))Nk(=L1tfbJTiq4^5Q3=ASNv~zz0=#C^Bn*Sh~f#C`b&36FZ z%_K{^@L7<;z%Ye|<}-lql%k>e38@SWA#$_}p98533|nYuz5?hjEqU6xzafo*p@fF! zKS*O>xI#no9nu*XOcZDrJ_|s1m(kFCh71OVFElhiA%lS-M3Hvkb0C9(VG9k-R{-4w zr$jsVH)Jv}l+e)p2bl~ES7>OyLly&ri8AfNX94K$JsO(Nkj=pGg@)!QWHT^?sL(Ea z4rDVhY@wm~3ZT0TRcYt`h8zZl5*nKSAcukB3JuM7$Yo$KQKMb>ECAgdNkj7)@)#Jt z(9ryZJO+jkb=rl`fjkC=Ei^P=0dyCq2JPJ6kk7zSLPPT(<TEf_p`rN>1q=)(nzRd_ z1qBQYQ)p;DLm>mh7aE$MP{_a#qD8y#IZ(*Ju!V-^D-<y>h-lN!{S8G73?(!)|3MK0 z!xb8u?@-LZV4_32@L2%5yO)OMGn6nee4(NF2_*~+A-c2+p93YJyXI+Uz5?hjWIfuM z-%!fHP(nlVACxjMT%n=)4rL4sCi=7sp9P@1r)g+DLpcM(7aE$MP|m;*VnDm_IZ)2P zu!V-^D}e6uHl&^V8!8wWN@!^Qg9-+QD>O9Up^|~Y#E5p`vjB8=It|TdsA6FFLPPTt zsu&nTjA<7>2dWqtw$RXg1<+meCbV;ZLp1|K2@TDEP|d(_g@)!k)G#oZn9?qM7Su2> zOrfFq47CglUubB4LM;PBh#Bp|=Rhq3!xkEvuTaOpAYx8C_czorFqF{H{0DUm3|DAq zzC%3&gNX(0!e>D}1H%*=n$OU{!0?5J<|i~TFoamrE_@C&FfeSPq4^4p3=ASxv~zz$ zBLhPT4b6Yh$iQ%ghUPmoF))}|(=L1#G%+wtp`rN<%?u1*XlQ;yGXq124ei3`Kr;iw z78;tb(89nVVoN*sH?%M?l+e)p2Q3T?S7>OyLn{M=i5>02XF)3i!xS2t&(Oxe@P&rv zC$upzgxJ$Ad=9iRFl?cr`3mg}3?dG+bALlS149W7&419&z;K0z<~wvSFqk;fE_@br zFfdG^q4^A*3=CgrWIkvgX+kFhLx|IVoO@&&IvE(QIQ_@EM|Msp0|STif0RA48$jkE ziGlWb9O-0WSl~>%I8f<gU|?{eo%t<Y3=9c0H2+B#1H%Ctn(xxhz@XqtyYN}k&A`w= zL-SdB7#JSV(EOAh1_lQ=+J(=N9tMU5G&EnOmw|!7op$bT>1AL@prQFsdKnlF(9nFB zJ_ZH_588##l0F881{#{r($B!~fJWv^FfcHr^fNFxc>e$Y0I^r{7HF>|NUouufq}*A z|NmJCxhXKYIsFU_9XRB6^fNH<c>n)@7oqPuOy8A$28IjX*v<UV&%hAkgIyob1O^5P zU+nrcCNMC}@x{~!+DGg%fq@~x@BjZAkXs=8h+X&+KzkRNa$#E+LHibSCNMDU@caM2 z8LG|+B&h(|fXY<L2j6oFGIPcR1_l}b|NmQ|YQg&<A!e3=v<W!zae(%<9+<$u(Bl99 z|8?lTNhgpoX#1&|4>Ka|iFN`76Zjm2KNA=j<OBczw*uMW$|umwR05U*?XQ)X$iQG5 z`2W8oNX~;#Ab^hpv{j11U?Ky9UEu%!n?d%0^#p@$%;wW@;xmW`DU@*HQy_^O58g2j z*@Hh}A_K$3p#T3t;l%(pKMCw669xu`H4_;a<_7)$zY^poS3ZF@CPzMrW@Z;Y1tx!x z2MwG+yV@NJ`4l3+K?K?T4N^RcM?+vV1V%$(Gz3ONU^E0qLtyxYfI}$MPf!}vJOhc$ z0-asXz`y|GZ$;x@fbu~{Yk}1Nf%17k91sow5ey8x5Q<^LR3<PB+%9BbU}%VD2J@xC zBm)CfJA)LI-vN~ejRk>(VDex8{m%#SL1%J-XjTxxz`*c=3&LQ7Pz)y^#xp>L8G<<> z65J39+>Qk?ML`4-hH7Kj5DQ^JT+P5>05urY#sw*72N4Vm4B}9l!5+eZiAz8YhPnHN zCPW_U47mNEz6?YLLjL{_@gE~JNd7_jH=q{(hw@?Z@&U@Xfy)1c^0&bhK#ZjtIRP3k z=mwfW<J%2Nhe7EyC|w4n+o1F`D7_3yZ-dgup!78;{R~QfgVJn)5SzuIv>KE)gVJtL zIt)suLFqCm-3FznLFr{sdK;8J2Boh->1R;-8<b`Xg4z$I)u6N)ly-yCVNf~^N|!<D zHYhy}N-u-b+o1F@D18k|KZDZWpfp=B)P5+f2BpoQv>TKTgVJeGx(rIULFs8wdKr}7 z2BnWd>1$B>8I=A8rP)HD_CskkC~XF%-Jmo?74alT0wlko>zEJ;5dob?0%Bc&9(*_< z7Q|y<V2FUw22i>IN?(A|22lM5Q1uD@kR1I0N*7Fk@EImT>0*d^8=$m6DTJQ@r8hun zhBAnF0F(x;w*-lNfbtVMA?7)BLFflix}h7wPw0Wr480Kg0hDg&gYZFSfv|uiBqIw* zLFfrEzBGhy02NPw(gsj*0U3yV0+c=gr4?i$;tf#x0hD%-gNQGH(hTwtegV`x1_cN| z07`Fw(gKPQ@d7BF0M&N^%HLoJkq7w&gbN%Xe1~8N{a^`%4mbp%H?TweufPeR7w|x6 z2Q~;@0HdMm5}@(|0uXrzDE$FSFA#)?GYCUy2PiEd0^v^(gU}2T5L%!XLMK4!15mo4 z1|kmf0|<WrAO6O`a3KlAV_+yqhR_TtP#Q{2fbtDeAp)R%#~@Zf8i-_I0PV8{u?o^b zBm)CzUnz(+Ap=A*FeGF`XoD;Wy&wld7vw@{hdc-^0In4o7(n}0Kq4CoKqLc0Lm`BA zD1y@E5L%!DLSKN=6Y3y*g-!_l07@?a&0#PwFeG$C#1(oV^aCip05rx6lJA3vEA&I? z2T*#!1PDK2B7|0$1fd^5=>?M^{DdhGT45@LegLHxOoQ+frbB3h84&sdl-@8C!Y=?1 z1Truf%!cqkK<N#jLl{Bl2Z9e<WneIv2bG7?8|Fj!1q&dw!9ocA0ZMOJ1mPDfhR_B} zAoK?)y<sVYU$6{98-NdqWnlOKr8lgAh!=ndpBNYnRzdh5p!9~-5PrcL2yL(yLVtkL z8`eSi1?wTS!3GHZ0ZMP!2;moOg3tz=A@m0*y<rQKzZFVvgU}DQL+A@TAoPTt5IO*S z5F`VGz-|ct0+gPx2f`273!w$}LFfxmI^h6>uW%4TKY-E;4ng<{hat4W5eWSNN-sDH z;U^q}&<e*P^aCip-~@!906s8}fg#}(gx_!)LN7Q2p&y)u&<oB%=!EkSI^Y6?7PttZ zFF@%DmmvIr%Me=N3WUA@r6*j4@B^+vXo2ex`T>+)a09|mxCx;ZZb9e=P<p{_2tVNt zgjN6#I5RLjfYJ-@LBtd8Ludu?AU6ZU11P=VAw)dk5rkHF451%D=><<9`~>hN#|#V# z&mjB<P<p|02tVNkgjRS7p&vl$1+O6dgx3&S;SGd-0Hqhah42&JL1=~d5c&a>Uho0J zclZgR8Gb?N15mo*H-zu-2TK2i&<Xz_bijWIJptOo2w;Si{{l=9`T~@mzzpTHK<Ejq z5ITSjLJP1%=nGJK0tbX2zzLxRxFGZeC_RB2!Vlnq&;q;=`T~@mzz5+6@Iz<;0SJ8o zN>31k@B_dXh%+z<2t)W6p!5V02tPm+LJNpN=nGJKf;fa90KV9VfuVsFQm+W`Lg))n zdIBGWAHWZ#q4kx3D1?6jN*72%_y$rC`U8~SAPwOc$UtZVSqS|BN^g*Z@C)Q2w1EPI z{s5&nC_?xJN)XyW8A5-6(i>DD`~p=7ZJ-9BKS1dX>JWZ`281@ygwP+L^ad>mzd##8 z8|Xmj4^Vo8E`(p82cZr0A@m0*y}<y&FEE7A21XG21C-ui4B;1;KxhL~2>k&{Z!m-K z3(O(3fdz#A0HrrrLihz%5Zb^RLVtkL8*Cu_0$T`eU<aW;K<N$k5PpFJgf?)5&>x`m z1}6x=z!^dtxIpL+P<n$agkRtWp$*(2^am)t!2`lC@PyC?UJ&{Nl-}SC;TQNoXaipe z{Q*jE@PqIR{2{bK0EGSkr8fjZ_ys`_+8`K0e}K{(LLmHtPzY@h2BAMd=?&o!enA9; zHi(4KAE5MxC<wnG8bTYyK<E!pdP6LPUl0eO4dNm62PnNE0m3gxgwO^_5c&g@-jEF8 z7o<RFgH#Cp0ZMO3gYXN|A+$jTg#G}fH)KNi1z8Z<AR9t|fYKXsApC+{2yKuDp+7+B z4fzm$K>>s|D1^`-p!9|!2*02hLK~Do=nqhOLn(w`PzIq5$|3XzD7~Qq!Y`<V&<0fy z`U8~SPz~WL)IsP6P<lZ<grCp=p%oe-^aCippb5fHXok=VEfD$vlwQyZ;U}~~XoYqN z{QycY=z#Fuot>=|G(wX~^GZq;42|`S^$c{)O2J&CIwKQ30~1ZCFr*&9MS@C87KV?| z+5({pG>*c^z`{@i?KUbvrGyz67_g}ao%PSez|SxNt$zyA2NFMkCT`5YzyK0wfR2kn zjc4!#kBLb#+{lGk400bx4y25cL6iYDZUqtpVbI(z$Q<}M8%U6WfuRFzjtG1l3@Xe3 zYBpkXKdAYKO&phhLCrcw24RL7P7rs(%m>lf%z-q&gc#;5g2W$49SDP(H;fG8@bNH^ z7zl%!0gMb{4Cv!QKNuJogc$f4VCezcoMZr1#~^W7J-Q!c2<VJ{J_ZH_&}bN1{~y%N z1*wPi1Hor^GcYiyGJ?#9YDS_=!Q%W3uzCe%uNzbxRzE|V#SCFkaag|+cHcuXBLjmV zg9L*D)IB?(1DM%h^+*;%ne)No{0y-23}){Js5q?rgNYx6io@z(nD`~AI4s}6#2<sj zp_U<0%uJwg;Aeo<&oK3%W&$YOVErwae?iqYNF3ImfQ3J(ngokO`#~`EplS&u4y#{a z=7WlTkT|UU0TTxms~~Y$IRg_16<;86SicfxKByQ0iNo5lFmcc@F-SZC+Mk4pFM;|O zR?foA-wG9njgNrxIVhM8K*eGGE0{SKpyIH8I<y<ha33lTt4Cnwe}Ia^+Ho**7?>g9 z4;yy@<y(-wyijpiy9Q>C98?_Eo(12R$H2g#2NQ?3gJJPv2Nj3)hhX;lLB(P137Glu zFmY)61}0tr6^FHxVdjADLI8y`tX%+8KM|@PHr@cOCK*8E?I88A@f~P)nqe(eJ#732 zd}j#*1H(?J_yp)U5BOdT1_p-vP;pp473QAzP;pp)8YaGi4;s$U@>vZO$P5e&|Doz( z<4(|FK?WWckb0;hB+3OW&d&fFhl36mFa$!yVf}oVy@^n9*f<1CyZ|Z=tEXY%)(91c z_3vQnCql(x?Fg9qMNo0rxEd_n)<VT$<0CM0_CUoyK>P2|?jOS$s5oq#)E!zOU4x3l z#{Xd9^B5`)8<&KI!w0B1Y&;OA{x4J<HqHtwC)rs+;mpqfYmdSFB>@&k3V0~f94yYy z0BgU()O&!%p^A{G1h6<i1FU}y3x@)*IFdprvlT4P&j1?_f#svAP;uC}4a}USP;uBe zAI!g-pyIIc1n95`!y%|RY}^i3Zd`<l!}>Wee?5YV!`fFc@y}3kSpO8}9%eRBJqYy- z5+%e24QJ?h7fih(OdQ%@fthav6^D)2z|=cI#bNDxnEGI-xB;}?4iis-io?baVc}2= z6^D&y!OU-li9_4FFmt9r#bM)=(BU42#ZYlr`y8fz3rrkTaWF72z{HQj#G&K$;Pq_` z3=9{b;;`{^SbDw-6^D)I!t&QEs5k?3d>=Y|$M6*@4jadUr5{#y1_mJ}0r>nSENZwx z;tc!@uyHMzdTFpYOcjE528;7E!20(ve}zEB6F_%AFfcHH+H)XXSuk<vxGOB2E1}}B zaV(g4CsZ8PzlNDV3n~s9&x5I71r>*lL&C&&!Nj5C+tA@EhLccn*f<Kzzc-=cu>Ky* z-d8YjXg?Gt{u?R|>vzM%c{m{H95((2bB_#EoFNHPuz~LsVqjo!f{Me&<)Fia3_(zF zSU&}3elk=XHr@dfFM*1~<_W;}d@wLDG=jxJF@cIF;}G9~L;MI>oSy;K&xhH26)FxJ zmxKBDDO4QRKZL3O1{H^mZ^P8HaYDikHqQl}rehF<io@m;VC9tpR2(+m2Q$Y2Dh}&E z!|Zj0io@o+Kv!UaLc|9w4q~C=2(UOm18lq%W_|`#95zk_Grtll4(sp3#Jiy4uz3nt z`7;wL4jYGrnZFV$4jXrYnX?Nj4jVUy4%;!Df{Me&L15}{!^ENU1~BtqL&ag^bujgR zpyIG`K$v<SE=at<=BHryzez&HVdGaYbF`r1u<=HiIaW|{*t{6{{v!qk1~;fUY&;b@ z49pM)7DtT}5U&(0&d&gwkAa!r0uzVM<G{oxL&ah93^4J<P;uC}G)#OmOdRSEnD}9+ zIBcG2D|EreC9pWiWvKWGSe%~$HZB4SpRZ7H*f<nSoQ)e4&ZuU9cuHV#eufXwc^;U1 zj9}u>`4yPB6I2{F&IdC;7%C2%PleSZaZqvCxD-r%K2#hwz74+noPmL%9x4u-&x6&2 zeK2uI2b=*`uFivs!{&2g>1Q=J_IAQ?uzHZsQSm(-;zB&w!%ZJ7&d&fF*Ms@X0V)m~ zzlMcd08|_{KLZ`!XGnmG!^Y`h>I<Oau<>1(`HfI<*f<nSd=gX~HopZkXAx8!HV+3A z-^2szzY8)jEP#w-ut0<3AWS`UUJs`JB2*kU4hggO5m+3m8Hr-$1%(?w18h7Urd|vx z4x1l`wI`Kf;?VJan7zhOaoBhn%p4c6IFf}>W-M5op8+<G2~(d36^G3y!OX9NihqF4 z`@!O+4=N6u?}MqI2Nj2nJHyOh4-<!uXTrn}K*eG6wJ>wegT<kaMxs80#rYXv<LWST zSfKqo*f=#zTofw40J<&!R_|Lw#bM*{F!eq#ap?R2Ogt7U4x4v})q^=uao9Qy=(HO{ zC3rjv$>C7uWT<-B{4>nIi}*lya7r*RK*x)q${E%})x*|Zz|zAWs5oqW3aq|91{H_R zBf`wT1{J?M1(Ke@`x`+0Xs9@B-UjC14`6YaD-g6WKP274)@6Y2#AIM#kcW!H=1pPt z>O;k0^Jy?~d#E^UUKmzh`9sBF^W8A@@i1}ddIVUxmj@Mx&4<9;Uk??Bt-pzfH2WC3 zpyIG~4={6P!o;ETL@;w!LB(O?;V|*tP;uD!K1}=!R2;Sr2)r+bfq~&VKLZ1-&x;66 z28K^i^|1NxHmEz91R&uITYmx@7vhJC!{&Km;Uf<fhpoSXr4t>fIBY%(=1yCvIBb3Z zChi9nhpi`qwcDei;;{K0nED*BII@o*>`t&aKLc!j8|KcLP;uCL7??YkLB(P7fiUy8 zgT*0cB9k}3;`|J-`5u`1mtb*Zl@RtnusA=116uz`L=Y0ruz7r#J5`|KuyqG8aTBOG zY@QHiuPay_Viz(Q4HoBTfX(;A%*lp|!{$$5=G1`2kxhWGCxONJ8DR5+F!hUJ;?Vh8 znD`c`IBY!&%-$nVaoD;bSo*&V6^E@Kf|YNNz~T@$Ad~;W;`|J-^>DEAhf4?&p0M?7 zuy(#ER2(+%1#_nwR2;TW0_ILLm^f^`9kc>=fQrM`UBTKjzEE-4yco><IG8wez6&Ov z4;6>4V}RLP4;6>4Lx73*L&ah9STJ)IfW?tR2Ex7w7UySxt#^Q#^AIZT09`)?U53K& z0V>X51StSu<D6o`knn`9r+}HG1{H^`AApIQ2{SMVGD*Pal_0KRU~qz}hs~eD+#d`T zFMzItgWZ*y02PPL)56pjg2iE`BIt==aefBaIvJRI7DB~g^LsFJHbKQ<>my+54}rxI z=E7Kyz~cN24$$=<&}I<BC#X1Vofym<MiEH3!RE7J_6kA8Ve{iKab>7DZ2bUC+yp8P zTc-vy-x(?nTh|5?4}yxr*1@$w7mg>2K;i{`eQF+9J<OK~x&<uG4_^-m%a4<x;;{9Q zuztjRs5oq02F(2%VB*mEa+vr*s5oq$7|i__q2jRleVF=3P;uD0H<<cQFmdQQGMG4% zC?x!0>m*?22t&nT^Ug4F6{t9DeG<$Z6R0?BejcXY6)Fx}Ujh>kgNnn}&%n${gNnn} z1;ND2pyIIgV=(bHm^gGm2qr!aDh^ve1G9HISR4_5FxFPEI6r({70jHYU~!l#1pOQ= z&d&f_KMSiLzd*&cCPUh9;Qg-*3=GU-kZ^{rI|big%D}+D4;6>47lPR<4;6>4e}akY zL&ah17GdJ{P;uBgU6^}(VB*mA6R>cIg^I)0tHI341&bs631dwMi}N$U*4MzySpgM? ztt*7J4|hPtVe1@V>Q6$&Ve3C(_TGSs!`89E#9u(gVe9E&;y<9`u=S26km8tuLmU#$ zu=Ol3dnKXbu=ScSb2Opiu=QjxaZ8vuG$6yF<~u{hVe864^ZlSO@`H-Q*8RfDfmo<G zY&|P9nKI-+#bN8$q1zo8%An$~b%HSWw86xo>l~rOE)3J4;;?nzQ0Fo%hKj@1=fJ{Y z3sfAo4jX(YIs*g40jM}^9T!afMX)%?hp6~BSe%~$wq73AeqfV;gg<OOEX@64P;uD0 zCYbr^P;uBgN|<_cs5oq08%(_iR2;TG1|}W}6^E?@f{ABA#bN8v4+%m1Q3e%<tsjTA z+nXgo{X4{Z4~S|8hJL7e*!nM+`3s=pu=PPO@r_V%*t%GlzYaphVe4sO;uoRfu=SuY z@rN*R=z4EhJMaTk9Ja3jHV^U_EDm!uf>x4*#0zYlA<Uh|P;uCLIGDH#R9qk&Vg_{i zCqo2O9JW6K7VpVWaoD;im^mddap*cXn0PBx9JVeK=HIDcafqGB<Z`e$KSKa$;SB== z1I#^pq2jRhNig%zLB(O~j$!8CgNnn}C&JXf2a6-y2x0#Li}N$U)}_JJ^Gboj6QTy0 zbOej@Gr-p6!PEzV#gSD)*yUhxeg@e3TbMiBVdBvJC9rUw1Qmy^V}_|;1Qv&wiA-L> zA<ip}-Tf*!#1p{c{0y-5gD`&;g2j<-gs>-r#rYXv>*ZkTmq5h>Knqup=KHon#bN7| zVg5P_7KfOLOg;mP^E1HqbHM7;Pf&5#z7Oa!a)$p<aoGAyn0o|dK;gj809zjl6IX<a z!`27G++zq8hplsljk7sI#bN91VCDot#bN97VB*P8ao9Rwn0N_P9JbCKR<E@{#bN8O zVfE}3s5oq0EX<sxFmdR5U6}Z1XnMXr3DQ0RpXtHCz_1Ob9=g65rv5lg9J&wZ3p5h0 zK*eF}qhaCm1S$^O#{->KWcUCUhiXQm<YXb?4_n6$Ge-|Bj-(LEbOej@Gr;zhz|;po z#bN6sVeU_aio^D|z|<E&#bN70Vd@*9;;?n%F!d9m;;{AZF!6;@ao9c>nD_>$IBXvW zO#FZ>q<(n-T~7%07Q<1fdf0k@nEI<waoBzlnD|qeICNnSO#CZM9J-Df<}WrmNI1jx zkHN;cgrVXWpzG~n=}H+Y4qG1zGsgrf{s6jP17@!aR2;UhA0{3G6^HF(g4JKiP;uD4 zGg!N&04xp*6$IS^7UySxtxtxzXDU=2whkR8z6>f3+s6ZQ&o-zyY<(+C{c)%`Y+n#e z{dK4~Y~LHqoll_Ru=Uz7bG|~wVe6$~;%xGe@Q1DAhKY+q#bN8<Vd5H4aoGMr*!Z3) zR2;S*9j4w5Dh}J{16wZ?1{H^`Q--Nehl<1YTfzKW1{OzzJB&32EY8mW+vfmNzXU1{ z+rI%5-vSkf?MHywdlV`T+jjsHza|fv$C&|Lj|v?|Vt4{o4_ns^Q~wnz4%<%#vzJ8y z63(#wP%v>3s5orj7ED|PCJx>A2+d9mhEQ?X`f-@OPEc{!{#5988wMY!IBcIKES*Gx z#bKd{p!2}u{0y-D9x(Ikq2jRp0x<CjU~z<g7;86JoSy-<?+50u(@=5PK3Q1*;wn@e zw!abP-$zh!*uD#xy`N#?parE!^)-tkB%ERUZD96_K*eGE9AW*S)zE&iAhcf$I~PD1 ztRChY1Z@o#=VyTJ_k)@51rrA?WJPjMG*le6-wvie8!8Ul=LQq6hKj@XYeJV>F?2!2 zVfzwb;V>I24%_DiGiS9Ts659U$J+!|58L+&8~@n{6^HFZfSG?DEROI$jP(>O&d&hb zX9u(QD_9(+3PGzWLE;6ruK{MhIaD0BpSTjL#RV!3+b;@RcM%K~hwZb4)fWj+aoB!p z@EK(c3=G*|aj2n4R5e(fp8>XC0p^|_s5oqYA<SQMpyIIot+4uHHCP<UU?}qh4)K>b z#F><#;RD^b19OisR2;S+8&=*bK*a^1<pXTJu{Km3wr>ySeru>WY~LeH+#4ni%@8p0 zD5yAWpBhX&3n~uVZwyPHl~8fmzATvgyI|sI^9!@V;?TfCqSk}O`59pQW?}a3hl)2q z=U<@PdKs>Q#gPnvGGF5m|BFMMUj-8Wuzi*=d*#96P(zU@Gq5;618l!0OuZXe97!RR z83`8WXMpWvgsIO2i$fJ5QH@}6eg@cnA6R%!0*fOlgfdrw#rYXv`-foacSFTt`zvAg zo`#CU_M_WE&A9;<hjNgp|6p-`2H3d+uznwxDkR)s=MBKbMWN!b{jsq1PO4CGR?q@_ z1_lP`c4P)Es5tDL0a!U;4iabLVPJq&6i_$Wg2Wm48DRT6VgB-kio^C%!o*{t;;{Xq zbD#&J<buVah9XfDafmYnCl{3%>g6-U$0sIb#+M|fGb9(46ql5yrRgOzq@)(5re_wH zq!z`O<i;oG<maUpGsMTI<j1Gy<R>NO#HW<x7Zt}RmR2w%=jRsWq?V+n=ow&F5ucWs zml>Z}RFqg5pPE-vRLPK5l$e_upHiBeTgea~kHm}5%*!l6QCgB;kdsrE%TQ6AnHz7Y zm&_0!?-u0f>l*Ls=Mo>!5FhXE7aH&C0TuLc31WzM_wjdf^ojR(a|?D2i4Spf@^Ou4 zh<AzfbM*CeW+*92%qvbzF3HT#i#IYewm=auHZf&Ltw>ESElG_xF)?Py%+8E2F3By4 z&(F)r%u7X4YiMj{f+ApSVhPu5Xl`K2kXD+P9Fmv>Hl-{lKRrGpF)t-2H9jrBC^<F0 zC^aWFu{bq8F)t-Pr6{v3wJ5$QwKzYg6y!7%gAL71P@QRLZft<6!pIC&g^{VH5yIZ& z+=BQ}mw?oi^wfC2;2{69)S{fk0yGmWQBANw<r<orp{h5uMCF?qqB+UX2-O55Q#4<g zo1>UuWPxgf1#0LTS{j(ZgVzMjE>j~EqtIg2(82)KDaNKoaH9-O3{c%+Xl#yZy0M`t zs_BM?D11XShZ~xjpgG+H&F97#frsWHQ$r;8nIJjV(14-1G^wB{KRrG-J~7!KEz!Uz z&BW3&$<jDAH9jdh$rvOSj~X0?W@vmPb7bSq5DBF?IU_ZtG$%D4IhPb?rspN*#HS?| zm&E7g#}}ohgQhsf#=H{pYY-nVR5=5400b*&2s@@1KEf`vuBO)73vn6Wm7@C<O z+yQ49niwMtFfuns_OP)9Tp4PLGc>m}K!im>QGP*UdSVGmV4(QQ(A3g`A+tC$*QqEI zl(o`ROTtr<!izFXQj1U&8krhG%*{y2i7(15&df`X2W3VSMTTZ*9y3CeMMfrus8MKy z#y3F?Z$o1fRIeGCnxjU6v5^r&e0+LteqMZWNn%k+d_0O{&<X=X6Z8l+N3+ljEz=m9 zqqz_*#tn^8J!EKUgeqW)>Rv+&BUB$5TACZc<I%_%!8Er($}a|H2#yh2J~uaK09Q>Y z9yhdrMir>M#+n4;b4zndG7EChY6AlkBZkb>)YSNbc*{id)HK87r1;{}B($t(ff~m~ zX!+jI7(JNG(aIWQ)L=p98l(Hs2vxnI8Ct1qXkvyMu0|#%L=`cp@o$7y!Wo$vq59O& z2q{N{>n~8<np~6$%3vv}pfZL5Tt|XS9#G90pIVlhSCX8VlarK~oDI%D8L5c{;3h(5 zVoqiiS_GJ)m2yUws0qr@5<RdDkV;up*P`bhOVltjv@k@JF2<0GHa9URCqEgjSTjbe zB#qHS-`pJ4k!GmTZES$bH#Eh_HmD9aG()Qj4GmBu%FqC<>0oSvC=LzH(JD$q^m518 z1mSTL6I8bw8(4y4sW`PHzPK#Dq_QA2J}omRHNGIRBqKgAF&8z$EKrlG1)4ieQG?D1 zP2LDiyE!Blz)7eyFR`dHJ|DFVHnc>GR6{ch3$S~^dO%I2vcw#m%_Bq9m^Cy-Z4(%p zSR$$+OSDKcM{CL$qcxRG(c;hoQScj@7+5gGdxrSNBU<DkzRsZbct~PWPAb>~pa3sQ zjxQ<7Ow5T-&M(a?0Sklug|$Xc%E?d823103iAC|nsU@HcX24Jes-19UKd?Bo*=%TT zfSMnS(L=)mHS&znL(dqkb!lXZRxlc(WduVbGlqCi|M=pPl+3*N(&E$<)KVL*@-Q?v zH9-o4)ROp;jH1-U6wee;<2$}IuP8AuB|jI<3{ylD8iHE?$bL3PFI~)FHh@AcGcUEM z1Qhy3sadJXC8*|FpygR3^t#jxEiIX$hMplt;8+@>hQB4Grvi@flvGe>EFM&Xf;tlM znR#XT$%!B)s;f-U5~ZP;F>=9gZjol1YMhjqm~3ugV3?d3Z)BR3XlZC-5RX=&m>Qs# z0){4Ni36$mYGiH>ipCIMP$LrDyF^LL$l+~i4(d!MmLx)&C-Cas(8L&#J5a;P$kd3T zI5W32C$R*zq%kzIfVAtN)lE`PVsS|-yw_D+Qk0sPUXp>Z!vHly8X2ONO~yv(85}JL zj8WxHQA;{QQ&Xgt3u?JyifWUgF<Rr-$N(*L4K2(N<+qUuLwtO4MIxwK1Fj(*+<iQq zoa2r3jP%gbm^o5fH%Dt3fr1Ox3pO-Cuft5xDiA|UGen(WWNv}#cSAEXNKORx>><@Q zB5i^yca&6Vgd8#^Xu)o1YzXbDfU;b2Mrv|)d{JUvdTM-rT6|_+N@_)XK3b>N+zb-& z@KUg#C_g#1xEO0o4b|aBCa8Qv^b*R%2;wntu1ilXi7!nlz--kUnV=_9sFT3jQc@F( zK}{b}en1HbLsN|Q6Iv-^h?Ypv>@hI~mwzdhd5O81pt=Du>H#X}5osOK1~NuVdlqQ@ zS|g;v5kwv|G)LEGiPk7Jw6s9YA4Zm_HW?eBmMKP{eib-;iZelDOYzWHi-#pj18kj9 z<kG_!EpW_`yUk{)mgXkOh6V<yMxY*aT6}U+l1Z9@S#msTj2l^^=38SEP?;3s>kJ)x zLblM*+!#4Sp${pTSwhnaDCL6Nweg^6Pt1ugNCb_AVRRVLMlg)b&|=>hIyeQ2OGqQD zEU_pP)FJ>SC2-M-lAesw8g^(=YiNd6qZp$17Y&WgQR^JEI5kI2=H{lL;=4FM9x~(w zPK)3e1!a=l#FFHU%)E3|7b1lpdaKt6t@1TAM{9Z-qW2cf&>MS(sBvkC-p?{YH^CCU ziGp5VTA){a=p7DYw04~_dU=LnB5GY=XlMj!)E1}a6=#;9cX*7Do3Ehq2b4?Vlk@XR z;)_d)N|Q_C3rdO*`P{_BfT6r7v7jKe2wVWiBkCX%v<9m&T38sP2c8k4&@ePXAM7$m z>ns^TD*$j(g%`xgnFpE`igWVIQIdoqdJn@8ZIsi{60Ns`*5xxo8!Iw0N2>+VMsN+y z&=R?!5o)qFHbM$Dc-W#f2hb`Gq>ce<{2HO<86%`lrJ;#2YIZX;H$>F)h6bq4GBh@U z)N|l0hA)gkgLnvcqnm=->@ft5AtT3=5qj$cG**DDz|ays{49_H%+LV2)&Mo=<CBVu zq3t^qV@wTED+EIew1_oC3pzuzh&4iMg&?);jm)8qL2!BmwFKkK5_3vZ@fXO32518u zh9>4l3}rc>(H?UHGc!ZuWbkMYq9ugUN<#1Yo1!IVV`E77861bXskxvYsR7o83TiYN znW2x2p>>rFLBrjk(Uzjr98gyPt#J$*y9L{soS#=*5?_>2OnQB5Xa+B;O5#CNH7S`z z@nFk9(=3^3@kOb*prQx0*JfmiNYZF+1|!gDT6ShU*kM=`bY6a5JiH$ZDM28$45*65 zsso}3(sY1zdgF^Tt5T6h{9t{7_~iV8N{D%&fk1-hffExX9hGF}rpBX2k)fF>@=yb0 z&<on~gHlDQsMVpN1^N)IAzBq}1Z`7*djH8qWyPTRv;s(505RYKYcZKvAVx?H&CwbY zhNfuE4MP*Ov1nto`qmh&fn#KfR%I9&pbh^T8lV?wXj$6W0JTwQXo4|lfZoYBGD2!I zV2nwaAS6L`6et7WPEF9X0jh%HQ&Lc4&&a}(0g@kacBjzBI}9z+x^3t^ehaip6I6a9 zmw?6ws1=n3D%a4|oFP6n4=p!gC_)QRwDe<WVu`5CFnaBvz812Jj7-s+jA#RJ#%K|a zGR0;B$zrhHc|3H$7uf<sGqe_^p)p#SV2C!BZDfYlZ!kozY)nkh!wlU6=4hP+Lk#nb zk){%i%+c!{Q?xd<p&42m(-Li*&(aiJqQDCiP=hVC7*yP5<`-p_RH8I~j7?E{BgW`; zBB-y75`dryRKL>PAZRJ?iIzM}(Y%Y+=rc4!OD;x;!r9OSy^m*sG>L{(vX~f~gS`mJ zXwY$$<Xp5~xdB>XVQ6X!?bzciYvCn*St9DNu8}38EsB`rGcrM+qcuVEy&-z1(->{a z(gdT&1{$pZjg+E9lO<Xk3ZvbI9&%{&Wax7e=IGNgrWOqGsVRviiSTBdA;u7pDN^e% zDYFDzdBq#VSD?7m&>X1_f~P^`6a*~<L2X3P3@>Jtgfy9EXpGjjHbq|ifIM{x>BE3i zL~?#!aw6*JsF4AB#Gp-7nu2<gIhjexkcMJ>ZenI0yc{-$MiMC9lqZ6gj^w3)2DIbz zQY%2+Akau4yx<3ogl4CLJ3pAip{7W6iIF){ZHYEPV}xExq4Cigp2lc3t1()kggzBu zXk?5OOG%|^o@oZ|AYUNIlc53jsYb{sFs#almM*Eq;L59@5*}!VNPz}REhs6)$OI`D zL*gvHI5{x~J?p{7AV8rHnR7`*uahj1+O(i$K*bsHP<Nr&XlMazl!MHI43J>WZiW_U z>rjj=kvkQ}(0T^8i~(v_d_fVUghkBV!a~UyQGB4sjin(2D6t{(ow0=_19<uo#qGvu z+0huS|7Q$}Ezmk5G)JWt$0wG=gIkMLsYUsaaud{eEx@|)fI+XgGPfi#i9xTpqzFQ1 zz*w1iC8<RP40?I_C8>Jpd8K+FBT|b>Dv^YeN;7j(bTd<+LXJ+Jx}Y^*VC5N!#Tg8G zDV2G}mAMeQq=*45Q<hp(oSC18!ig_p&?`#KNd#$tvI=ra81z6RybO8;IVF1O`6Uc` zC8-r940@nQXV5Fk2bW-asTt7KUKuGx3~(MqYdm;`7(@q*4IRE@(1U1CDlTTwOU}>D zP0cGoTH;0#6Leo1XzM9tw+;h?1oU`k7!A8G4Q2^QEsPDKl^GZqKzEeE^jkpn!)VZX z*dTQ<3|+1V<1(0`>5qWwhtZ%rh~bLCXK^F*7{KReBij!<zZ*uQ+Yej!4>JX{{qWoW z|IpKj7{KS!g5*Hw(}HN2{m|>$;P=Xb<Ukm-{|Iyk9L)c)d*WcU0?2VtKZ3#z=6~2d zZJ_ITKwgIFhuu2|qha^Vf$RcdkQpEvhC$cyfbMXE>4)9h2BTr?dSUK|se{p=YkEL; z!om2kd*NWT1JojrH$Zm7+z%CI03GuN3R9SV*gbVH8g@?|%zl`DnEyf7@PPC?!3>1n zdk4G!4t7r-NI&RqJaqk_<F`QW0?C7ofUPfu(xCJW7KaibyP!-k1v-`wS-%2QAB;9Y z(;xuW1zM92VSx7aBI^%;o+}KaVeW;fhF-$QfS^IgB_Qihfa-_Qe$e|JVESS9!}y@% zdy(~TfUd`d(a__@VagfMyu$#xh6kh{7JsmF&S3N$xCF!qbo)Wq?jY-jo%ar-W%wZa zU?jTzplfrG_3zjKu@FW-nF!Mfq0z$=bR7=J3Q!&bses)B+29QkL01ps!)Va{8ql4S z5Y-Iu^Y%N4)DPOP2ugb}{jl@vCqVT>uRj5oks$ZM?1hCR=(;A5|6%%J_e5^+g@{0} z&j4u!W0-yz4LSw|SwH;TumFhu8)yjty*vb6hXXPTqM8AIUd#>XeU%_Nu*FaUWEYeP zra;%@fW!>IJOlyLuZ<7_vp{M=3}hM-;~-H4=7@v1NC9-=Is*d(s2&2HWeal;to+~v voqd7O24`tZg6Q9%3Ks%1VD^GJ44^$>44|XeVO%(UV>?7Y^m-n+IJ$lS>tPMl literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..736dc4e --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 37245 +Design successfully loaded +Design Loading Memory Usage: 21704 KB (Peak: 21712 KB) +Design Loading CPU Usage: 30 ms +Simulation completed +Simulation Memory Usage: 103960 KB (Peak: 160972 KB) +Simulation CPU Usage: 110 ms diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..51f0a2f1c4adee5cd25501d58ceba750132325c2 GIT binary patch literal 8568 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HMtU=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}x3+|swAy?4>#zTU>Og|<`wJF>buL@_Wh{D%SuF9rq%FSqReELZ=XehJB0 z{s|rn{Jh*2cqC^ma7^}0%2>77t2fKv(bX$6%d^)rIm^%0yEiFo!Tb#~X3d-nSF#|( z(>K9w0hNuDVqjok0(q0cfsuh>12YpNgEbR#^WudZUdfJL{*GB0D{NHT^SvTYL4?^7 zT(i<Vv*et)3;!QaPz43dS~+Vb7Ee!4SH4xN7cO-0aCJ%WbMVT_c)aXA!{Nn>511Jt zsvO?$TPw$e%s~=|Frfx<H!ogj+t{+Gqi03mvSlh4cvAIFLll6`U|`^P@MmCP5QDlM z<XjMDU_cRO@kvfd0TbvFAjg7T%)sEVkb!{#76{0331VA7<CTHIfr&wYfq{YBA&-H9 zK^AH+duwBFd!ua&looMlXBJ>!aA;u`U|?cmWUycWtCoYQUfi*;W07qOJA}reS{|xe z$jjBwG27EG$=5L>D>KR8)xi}kv>Pn610pmPyNwD^GXy;S6TDpfT{AK~Jra_W99%&H zvycRE=vRd5=U&{^(!H>`p|{<(7tA&Uvt?0iWo9se_)`h0i+AC|jz!JQ4U1d)T5Nlv zJOMBdhfZZQor@Zgb%J>~bgDpga`voP2)3b@vuA}Nn1w@!Dv}PcZ@@ahEF3!2pgP!> zfK~Ofn}BH?s@0*YMVnjN8&-9+_xCg`Z0=apvADgZ%eEIRY6TWGgo;YpVlj!4L4d(w zJ(mE3!v+ws5kzbP5t~8877(!&L~H{Q+d;$*5V4a>fPsP8A&6Ok;lIODRuIX`Ai(e+ zBpARfz~Hc$Re-^P8D#i6kWvQ_$?8y#l$RKo99DyjTEiv4z=$ft=&%-~$x0mRMFvJa zI1^lgFfd>UI5aRYFrcdfXFV_hHW8~Dw6X+^%K-MHD7wM_|1&T!SV<t<2WP_Ehb{oN z8(k3WG%$g1AG#XkA_|$!01kIibmb72!O9s1Mm;za<}!2vu*=W|L8TlBL*fV&w&*J0 z#WtM5-~dVkqUZ`iE@Fh$aSZ>p;DM&74P!#81V&Ju04{gI1X4LnLcxx%70L&ds{9Tv z3=9l<P)pf67B?(jXuB9n8#6F4Fta*LL{h;G&OQrm7q>L5<dzVZmk}_uH5L)DbhrRY zouG=B0YyD)$D-cF3t1(MWQ`3WY8V+9{-dhl@9*txx9#j}S-7yHv3F5Fzr3uhxUHm| zh@qi`psbNRL?07|KDMmPq^!&Ywp}|n?b^8kqKX+q6+hI)&3zqUSMx(%Ei5O2<Z>2} zKIZ@b4PfCf3~E_)tZG@@u&ATI*S4j*aZv+E%mPh}fx+QCD9eH}v%@(M>mrD_1R^ej zh$|rCDwhC*DlC+lnRJ*y7?k*!z|Mvc9N=0GB<jG&$iRTmz|y>SK{J>DN#f8Vj-rK` zNu3FV@o3;h)1b%1%%lfyjo`3>A4LO8^V)SFN3CndV}~TVmh~Vl>+xxk0BO<Cho(q= zaEe^q2dcgMZ5J&B^9^BqBWRjpaJbFDz@T9O)x-<dv}ncR6<xNA7J_+(5FV-q1_lN; zhwGsDxd9?>f{0rn;x>r511^L?nZ)55C~<>HNU01<Bn(X8HV=#oDjYx<+Twu;gIhsh zf`I`k(-%Qq>j18AK*b4611La27}N@awQiBw49pIbkn$u0B>99R3$Z%jN`?;e85kH~ z!OOtta2MoPknM0Wkkt$f4D8@ggck;j!L~3kfc3*dnt{>b9!x)648ML(789t)L5+P- zvlm*ZfwB=)D+3o3xCBO036_Dj^<Ztj7Dz1(ay*7gNIMVK-fIC@<qV7tJq!#CW(fPm zkl0`wz}BJ*LK}^!hB-VCU|_I7SIW$(zzM<*k)Rqso>_o_k<A=oAJ}*#KG;rhnqCM? z)6g`I#0N(a10z<wDCIG<qyv@B;OJ*`sA6DXuz*_631fgQ1qD7R;LruRJ35;?z=d(k zB4jqyesty7V`L4B00RRHBbz1EYM5n6e6S-(@j1F)aJF6u$=1+J4(EXrB?F5+lJ&R} z8Z$UpkyH}zFswSk{RAvs2UH;kaHA3w{^&M?dI=ca1{47YP+OFN(IK9Jfx!vMhal6C z_{95zO$*cp0G0fZGzQ~=O#t<~kkS=$dV{7hP?mB<xI+wy4K@KBOz4871QR%;p&J0o zWFU;3(cBQO09%U02fKuDl7R#Ox;|(l2Hcc!0M+aajBFlgb|LXevI|`wasVT<!GW#i z4Yd{GP8bhtAp-*ghC3PAe4rX&IS`2t)<tZPpzFhEv!S)&96)U!EkCI35a+^pV2c?T z7>F_hQe=Y$96$*a)K@}cgG~ZQHM$^?*$7gIqiY7GD-cFbTLDn7zycD94|WBy0g0{; z)`*3)WgS4RMFvK;AT-;M_$1keu8*i7*9wK&4v97x4{R~0$pmpK)Zt(kGqQz2wZMW0 zi4WFCZ1AA#!)U!j%OY57-T~CI){21I4skGy2eufL&d~Irn+Y}plFlNb27%HU5*ut1 zIGv#jl3b3V8^FxT&k4c~5r_r@XaEzM+QF70@xd-3HZalkA(tn}Y;f7az{nPZ@IBaG zBtA*@qU%EsaCAO60<;pKwlg{`VPIf@@xT^?`j!6~7#%<cBTImrqz;Q17#Px^nqlb= zi4Qh`8+|klI<n>f8q;B5U^0biV6=d-!1_QvVB{v97`iMtpwI=0YS)0v9<16i%5iWf z1+Np45)lIfI7C3h=g5f&i4XP}bZiiHq!7w;01a}1LItLY(E`Q->%|BabXl;s&;>~h z6?E-5LItJ_n-eiZB?B#;Ao0OIgM|us+!Ho_+5q7>fJXg5p#syyXaQq^^<snyx-2+U z&;>~h6%6fY6)$=T53YzI=?A72o3k-PDibZFkoaKVqKx`N$A29_L!zJ%f+=RSfU&@O zFhU4j792w8f+U6zx^^7t2Br*~6EQ<03oSH|_+X!bLIXT94I0sgl`WvLVNhtm6f;`D zSYSOEp@A+74h?ic5<>$+JMrlSrWKpBF+(UDErgKxVBf+=@S!954xmAEQ0TxEGFre` zVD%WGgDwjW9dtnwLkC?uv{3`4z-=2y-hnB^=19!2$UzGWBtF=0;F$>Q^Ai}N4&ZqO z1}0OOA&eF<7T7F|a6*>_hZDLWiQ$B)9Xz*U3u}g9t<fPN2h)np*(f2$z%2!v+hbs0 zgbeN2F)}cK-H(ugc$%}ZrL(u)wt4YF1Pd}V29XENE5hdfK&F9ba^R*RWFV>$W*}JT zrorY0L8gIw?{L!)GQ^t(n<WI92I|i{z)eHQ5N{f6&Jbi8s6pcp0t+{U4DqJH<`O}s zff@n`(-1Pmn+BU-1epe^KoO=PWQaEnHs=U34OH16Ohd>JZyIbq5@Z^vutu1MkRjeQ o*jy#ZG*ID&FbyF?ylJqxOps}yA^>3;LWXG5APSL52hf@e01u@fxc~qF literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..549bdf91ade5a81a6d50a459987f8ee13d0f1ce0 GIT binary patch literal 10248 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HMtU=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_Dk+|svVQA?Nd$33pCN~{MQq8Jz${zHL-8v_G_ms@s!maG5HWLNJ6eqL@1 zJd!gOI3{~0Wvp84)tlw-=<1c3<=N|*oaN{0-J6uPVE%>~vu4hPD_M}?>6_rTfQm** zF)%Q2g1pAyz{tR`ft87o!J3J=dGSIHuVhCrf5)th6*j8v`CbvHAi``3u32fGS#r+Y zh5wHysDc7xt(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR`2h5BRRSxg>t(9X! z<{*hfm{5bbn-?#%ZERW8(X*m&*)o+2JgIu8Aqtpr=_kPwusa~eSu>%<AU7xm+j|!+ z?(1zlTWCA=zay(F6GSc4;nqw{e%Txuo=K@$4u0AF{p=e)Fnu|MVGzIh;+8&(j#Vv- z8y0o+_nPZ`-yGvKZJiRtat1C3e+C8yF=*g}!W)Dc7*K>+e3BDVzy!JkD73*r&cNWX zkb!{#7K^Y12%;?(wRABsI505?FfcH%Ipi@gFvvpfV{dKjZEv(~fzl!l?aTrU3=S>K z0t`${j0_eGVAXOk)r&h8b}X`OVTaH-RLetE^LDiN_cSbAyrRFa#kK{)GlcL&9A;y) zM**r+$jjBwG27EG$=5L>D>KR8)xi}kv>Pn610pmPyIU2ZW(a`QW_WofdxEl%Ljpu# z2V7tSiW`_2Odx($g6bD-ZfS2=1@S_2$D)qK?JZrly<kx*u&5ziR2Ic0V3Qab1Q;Bg zxdj*;TtI{?h;RcD?jXX0TY!Oq*&&EofZ@NxQdSVj${@h-A0!ySEWqHfm{owmff;0m z6G*88h-7uBM@lFROb+%Sqa3&e7#LAy7#$oznykd3K4D<ggEPVTn}GpCz@dSGfdO3= zI9-7Wu!&gJpyhNlE(6$)qUZ+y|Ifg{U?qWYADjtuAG!e8ZgfGg)4&A6edubC3lL;B z13278(Un772FpYYjCyb;%w^~TV3(l_g0e6OL*fV&w&*J0g({rE-~dVkqUZ`iE@Fh$ zIt>3+;en>84r4+JY-TE#h~V;x7G|QBqF`$f1f-baaqwhdV9<nm5V<G@u`Qs*G6Tfp zkV4rZ4wUG*!R3`UR3o%h!&SN&KytheOf{rb!&SOLRqH}kg9{{5%P6QBdQdY2JpB{A zT>M=#GCVyJl9L=<K?1Xo1klSksD6E@e(uFxE!_*78+zMqd%<i&FdMx*g6cAW>f&9v zuwzkkbHn16z82eFC{F;)!=cj<P3NLUWSw9h4xL6&ot!-@7J_Z)<?LBu2xj5XVT_~$ z>>IESFbjtc6Q~aMC16#(>?U9uhiX%(YH&$TO!+Qli)j+LFmQ;6q_{*-Ih+I{l0ifY zh)4wyX&@pUL}Y-7Oc0R;BC<gm9V(HMID<n1NCIM_6fFHRFfclRO}ArYU;r012pO;w z7&seSI(yq~n-?!cupqS&L>|;4fTeGcX<%o<O+&~)R3pqlu+U9|rGJoVVE@8RL&y+s z8Z0M(OaliT+%$v?@utD@1;{jT41~bK4Ix9kX|VhPG7TJ+aMKVn#G3}oLm<<@X##E< zLWX$LVEGDU8aQ#mO+&~KZyGGmflLFZQn+ad8RAWY<wuZd;N%WB4Ix9kX|VhXG7Xe( z9N?xQWQaBmUXL2UDo<WeGk;M_mu*XT<Dv!-57b(Q@E91tl?u4^<q!+XpP*XF0$PB8 z)UJRx>p?t3BOhnYWChhsT+L(+Q%zLOWCK+VX=yKnw6yWJyP-9MEmSAC4uRAsEnqgJ zK0$BiLv`6fbwO(oNPW@*<w5Eb96IgMbb@OTxK1z+hfW8mPI!IN0<TYS=x{{R0j?Lo zI>0O(I-H<7p!G=$v_8S1+8L@ERCOcQ<q04G<hpzVq$Gv*t(Y00q38nD&%DI8m)XQt z7OhtTDr!K34=tc7gWI7ElnUEHL<flI1QA^zq8mi?fQViY(FY>>LBs@*MsQsNOSlXU ztsn`AiBhl>3Cdq!)8QpBLWb!4B?U{dAk)CkgqwztA>K4tiUyem_AkgZPJ}4LByh!u zE+~+kkmcc-jTnQ#5C8`>qeC(S0|UBdhgvLx>=4@+9P~kz3N{r`1q@)5!2}0Ph=D;0 zmdQY#0mmE2GhnwPWFX2Bl`nz?NjwmFP^Avbd?3@n2>@;yLI$E5VFrSQZW=5@f=mOa zB)Dk^8RAWYWm1r7;3Nq*4Ix9kX|N0oG7X%z;ie&Eh&K(Exk09ZGYQ-@gbeYf!7@L{ zG;nT%S562S;!T4U1t8Nv*%n;YaUw(^CV`U-x*$gC#t;CfM@Vu<*9=bX=z^HZ9bE;) zISkm7JG`dVaEBIff*pOm&7FNM3m0}Y_ActTZCnTv5k?XbG=!u}a1rTnn}LBr!vm_B zC(GN_EyK$(!Oy`X1I*b0=FHfEp#@Y&gT^uWGBP}qy}TT=T>V`gJTjo%iC``kZC+5D zpz0ixJy5iPxmdJ$L$z`FW@dnm_Q>G!&D;TIVo~mcq#W!4h;lF!i*jG6a*kB63Xcqq ztzZVGQu9ElQeFjHP?HAQMTYPY9cBg{m^z2;po)RPp_74u0VW2IQDa6120aW>&^QLV zAb3y`OgMmsqQHq0T@9$uz5?nXWHy7tS}p+w25y;PsN3Q8gKR<<L~Am&IBet+fOLuo zsss(hG022qvjtrcI<yHJ1ZZ*C1ha*pO3+9jgG?wkThIk@4IZG5Cpc_|*-fT?(1;_0 zOc*v7p$mdqE6B|k@K6MbfWsD;YtZ$A@-b%4Mip_`07`B$;n>`QE(mUVLYkqFVGStT zVJpl9=sLh90!r}!<2Y;t+Z%z+UUWfd(-qQ?g$;~Ac@EoPcBAWp6&T<w4=-n6#g4-! zu>FzP>_-=b*$-|2!|jLg9Ja&kN7n_jA6!Bq?1yq4HiPX4O+SGOMU)(dE(mW_gN9t7 z!!-^&VD_S`MlJDRg`dL~uzk_k{EIFKvJc!42ipf>IqZblhprlAAGq)X7n$(*-3qoZ z2Ah58g3tziFN}8B1+xoXC8E5A6}%4H!1lyqvj<%e&(IRafRn>+m>VcE1w5kwDNfDf zp>E`d)CBM@Duj>RNoCN1sdcyq@*bo%fQiA=3b;1F5CxUd=z`#i08Aii19UZ@o-%5` z8Np+4FaWuOTP6|e2e=zRwxJ7R)CeY^ehpD7AvHo0He1jIF=_-;m@NcVLTUt14+A6b zp$lT`w8Oga4rVYLNzw(HbYhT6!RAMFL8KBF((#9sxGfImFq_eJ5G-+1vDu3*2rKcx z1H!NY07!}3;$Q)@8(kNy#KT+SreU)mT@YqJxQvC{58*jj!t6)a1+yPo#G-MbB`#={ z07k5%3xY~Oh~E(`2P>Gp=&C^_Ak@!DOlXOlfz7|@f*@PK<t%6r1Qy5EF#FI|gDk;W z;$~vA4_y#i(zd{82OF4O=qhoRxLMfjK^H`Cy?_T_kXtVa4z@6x(e;whddbG-7IZ=I zK+Y1|UI#mvjp!;MGtn4R(?|jiplM=gVaUM1zyxlrgUe`U@HD=|YEZ4A2eP0URA4%Q zNXRrWY+#dt3A};;#s$qrf-rRb08AKMR)Yx!2BcZWT&PDK!1LIk5*4NaRv?4c6@V7F zz-R_eha8Y|CW46h3=9mgZXW|9xakM(7{JA#rXU6+5G+W4j==$B2CVnTzzA-}fz5!6 z5ordjJIKHYZi<1;fQu1n2CQ$$zzELVU^C!iM4AEXC^9gDGc4E)xEPUUz<Q4ij1Hh? z57-R27?Ebcx|9rz;3f^&47eC!GngE9fMWPQDAln^!IqJLMl3*8ssns91t9}56A{4( z7Bs3M3K$rqV9QKErh%$XglPyFh+>2p2o}0&uw^J9(?C@r*fdUrD8wYN|Ir0822U^q zkVaF`HG@Y}&;>C^Q_xjFoP%RDMGCeu2ILt~uMX@Pu-g$b5EBpqf?%Ns4Q!1J$TUz% z0~u&Sh(b&P8;>rC5fT^zNI`?H85}g|f|x;rt^(p5TtNd{umkc8s2L3Q4A|`m8Hfpp S070<Of(D`xnREcH0R#XNbUpz9 literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb new file mode 100644 index 0000000000000000000000000000000000000000..384f6a9cc943ecb3fa30b9ab3db9a45864fcd83a GIT binary patch literal 5633 zcmWg2k!R*+U|7Jwz`)?}q)MKdW6^_s=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4_FMbM|v#aPVhfVE7LO4lxW24B7o4i3Pr{8EFf$9o;;g7c6w}b<F??^m`^} z`MG*8fKraho=F+27JKz(`8&FLWoCKyLL_>VvKGwWFk{xtxeH)Q7G!w(Cb%u2xdm(t z3=AwF&oczWSPmcoMu(G7K1i0cqrIVVVMkBPV)ljo3cL&Z74%^mA)&&+;K0bhuz|th z{l2wwVB=r}ins$ag8%~qvl<fz)NoE|V_^|XTR~YP1_p-&h8tWA|Nk>_qDvYhNpe9Y zxu)%!HFb-_j+vXMAjxvWWOr?zxN8TRtT`W4mf6Tql7Yd2fq^l}fq_AQnZcYNCSz!f zCL;iok+6iwFu?Q(LS<NH&D*>Q)i@!j6vxcX8+JjRh-8~EOghOo%ONS#AqlLzDc*sB z;SUpoxd>F6Q&Ln=R@_!xTpldX2$KK7#9%H4mFJo_dEOL<$x}8!t%tiz97Vx~IrC=B z0Gj|;Ac3l2@{Sp^Q58r+6|jp7iA&nb3Zu$Op~#A$$;!ZF7x%T;!a}+^(t&~D3=@O7 zEV3*twBfR#v;#_T99jOZ4)Ab=OUpww^MIsL!WOPX395t_>T+XSNdaRqRQD^Rs1X&! zrbY!tjfgNdHL56T3}vvXQG=@C14q`b9h-MKOqsZAI;w*-po#>+j+QX8FqRh(L^!+w z7I>Oab^Ks;#uA1SMp#s7p{kM+kv7DlN*k(50PL`tyC%-sv=iYpxRp9kWe}$s8%h|< zSzxnN52_C0&xP}5?m!sU2y?$ailW8X6&au?T7g}WA&R0^*cBN=6$yibOF>rH*w#qG z&;k({aEF<os8qtE(iBCdA|91yC@Ph4t7LR=WME)`6^RTE3)&bNAY!nRkO9hv6@Cm3 z0_rd^Sdj-2gA}`r%CIto;Xi`|Kd8`!NFb9CD`4>kGY%F-Fg`3cV0>7>gZKdq3=FU^ zW?*DvU<4WepV0v%4hvCqaaj1Fi^D<-Ar3MOj2Rgibsa*Gg9s5=j0_HJ3<3-e(IC6Q ziV$H2XE8E3u%jx12P6ZW#mL~mfvN}|vJ7w*BZC7cToE&@K4D;hu^d3PD2&74&;fE4 z$PbJR4qPC8PyuFGeZ#;2V}W(TIH)?gk#)lABL;A!g33y;PPj0Nojk}oVf7UQ1B?aM z3FDyJ$&0KLR-G|0z*u0NFb=9tK4hJ+nvQ`1#scevaTpxxkU|KQPGQbxh9_VK7z?Zu z#$j-%LDDGzx04U1z@d$ifx)2&q=*?-VKOklSYWeY98~uU!p&k}V20JC3=A+9SSO5w zs#6GAC#;HPV1Ti}I$<1Cox;dEVRbJ91B?aM3FE+YI`}XkR4{;x5V#U%R4yn5GB7YO zGB}7JTLi1d85m$JuthKqibV)PP+0}%K`erE5f+IeSp?3OuvP&B1B?Z>48~z_NCQO` z$N)wL2QiRtumD&utj)l{0Aqpm!Z@gU#ZmRbS`-WnFcw%ZjDxCI0#z@pxxv5yV}bR; zIH-Ch;d+^2Z4d?q7z?Zq#(@PaBub<}`e5Y(tYyN$0Aqo5!Z@fprIB^Q+A9nUFcw%R zjDxCE23aSp6~n*)V}W(TIH)>hk#)k_HVh0f7FZ{YgQ`;wNhdf~VT~RJ1{e#h8^%Em zU3rjhM2dhlgculLEU;b}2UV{Es$N+0h=Bpd0_%lwQ1vRJ>V-9$7#LtIuwEDkRj(4N zURX<tfdR$>>xFSp^(v$4Mby$T7FaKggQ`~rRWG7Ohq1tVVH{Mws;GJqwLgpn)(hjH z>QzJ4i)bmpSYW*{4ys;tRK4(41-yL$)(aO#DJ?Wm^}<^o3@{d0FN}j~uO_Nqc<Y1# z#scewaZvSYq3VUVU>IO5uwEDkRj)Q&ueAlVoyfodWAVWl4#l8KtQAx;GBP@NG9Z*F z!<2v;c%Y^QiU6qmw6=ts3uE!Y7?|e5WRzhHkh!3S2Z{iwY_+z6n+s#{!5ENA7umfq z8D$s)WG<+2fg%7Zcdf1A=E7KfFb1Z3VKT}v2FP4c(*s2SR3;1B!p(JtvQ#jIPzNv^ zSi!9;P;I0DA{bdr?4WuX7~m{rIO{*O%9;y`DRA=_F2%^g$iVR5#2&64&QgZ6{xdj$ zLcjr`9WDjYuHyjL4yt}Ztv&{2xY&P48`uOc#Q<k1!&#V4giA4k%=^z^;smz@&QgZ6 zFx?23g6bD^h3f~URFI)6m_h^sn#sfsZVH^G3}^jkZ~&!2q>zG3K|{(NuH6I1QihBD zhjau?;8F~5mNJ}$X$M>i>Qe?mZ<yH(fl!tTrVs(IvYLQeaG+pfV1Tof;jI4*4xr?V z<W;y7#2GriP^%dj;4Ec0>p!%oa*zQ@8ljNE#1F0;&QgZ6FfE5mLBkm|3<_~OoTUtB z{fG9YK$gP=84xTJP!AEJ8_rUOvoI}(OF?|jz{mpW^?`eJ;9eW3SH{2qAIU`<x&`H7 K5Ox3!{sI8ubx*<o literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e17cf0f9b1d57663920644d67a2670e4de50eee4 GIT binary patch literal 172617 zcmWg2k!R*+U|7Jwz`)?}q)MKdW6^_s=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}x2+|p;!v8rWp!=jG<UIqt$1_p-zP~hOpz`(GIPhJG1rn9eQ;lhr_-bMYq z?akf1jf)oYg0w7DR%+&1+}F_3ykc<&PsgIg?JZqA{fl|!WCevKWZ+787k2dYcJor- zL;(f{1||ju21Z7QGYkw2aIV8?B%U%%m_caQj;Zr@&7AKrZ{p^iyJqa%ylV#ogF^t4 zS_TP~;?zn|C@>u3ftbzUz`(-5!02$2fq{V!Y9D7udqd;Gj-Hmq><jx9co+67=nF!n z(1MhK!GV#1VFQE1`+aNWKrsTxDB=$6V8=UbXJTM5mxUU|-rCsP-e@brz~I2Zz?tO0 zz$n1XU=A_`<Y)H99Sb`aq07oc_40PK_xCgyE?&{!*MhD_0jh?3aaT*XVRJ)oJGu%* zs0!YN3p*AyHw!Fo!8An)P0gZ4Of||-HJm*w46&J^f+Ua49jZ`yb`xx}YEW4LPyd8j zF8;0=8J->q$w>|yzyT%%3ov!4GG-H7SyUNKs0>%r`bAk8{s|uI_JI{JBsnlJfWle} zp<p3a1v*d#JhOLBp6cM^k>KayFcsAdU8oX4Nhu2<TPX`65nJSVh1;bMRVM^CDkH-) z*~@EUvWLGbx`Bq+6lS?%Dl~>F<O7@No4Es<B2%a$h_#-6NxqIdvNDq}O*4lo6abr+ z<?ZUW!^<(j58ZW^P-SpSu_>~KDuM*tR%}XaQIuqPW_Tu}TV)4T!YGa!;to)8j@dgW zZ*bTOO%L#Zc7jTaN?8cW+IIBy3L{E4XbOR=b%v_tUEIE6VTaM;_7yU=f`+Kpxj@zM zD>e6l3Pst)?JIgPRJlS`2{kQp@ON0a(81MV@2Wjp9X9VkOLJ~03K!#6=#HXr1#X2N zC<<5MR_KYMa3yYqUMLDz<5uX6qHqarg+3?>m*G|js+B;+A0!-?;#TN~V&bC3I0GD1 z=^;#9h+843qChBIj9XzKiq$J{D-1$WxC*zzU=)QbaVrc#QMekn!cY{2%Wx|ULs7UC zx597~g^O0;4DbjPg^O`3j6_km0=L2_6ospBD~v`_xDvO*7!-x8aVv~PQMd%R!Z;L# z%Wx}<M^U&Gx55Mzg^O0<4Ddu0g$r>jOhQq(7`MV?6oo5rD@;LAxC*zzR1}3PaVtzi zQMeknLQn%3kzJSIR+xce;xgO{Gf@;S#jP+4Md6~AIAbOoMd3o+3Ui<e1^@Hg_StIK zw%FPzo0`}f8JeS3M!6{Jv~a4+Ls6%RQ(ZoaI&GZl3ZUvB#WJLNTY{~6D@0KUsot<E zEJ9HTsot<EEJjfXskN{wEJ0BSskN{wEJaZWskN{wEJINUskN{wEJslYskN{wtUyr+ zskN{w1a(pnsT)#jVO3a#Vj`s0!m6+uMIoe)!K$zZMIoe)!K$zpMd1=~)qz!E9g0Fo zWrS5>Jyani8z_R3qb9a&&;V5jNsf?$46A{SC<-A38CHc&C<-A38CHeOC<-A38CHcY zC<-A38CHd@C<-A38CHdDC<-A38CHeuC<-A38CHcIC<-A38CHdzC<-A38CHc|C<+&Y zOFXO!yHOObz^$+cMd2#k3VTr$uEedd4@Kc>+zR_q6fVK7Z~{~zB;A6GZ>;HdB8ob2 z@r_m8BouYv;v1{F$tdbnaORgOP<3M9-pL|ptJ=jg*#S!rWhz|pLI-~b0*a@>6)z^N zcsgA13c`wKz!igfRFKkT)gByfo(WeBax($Nv*3zBZYH33He4~t%>)$Bfh%6+;O{^{ z@m#p#m4p?~gDYN5Sn+(g;w6L?gN7ABHH|2^>7fKlL&~O|9gA$SG(Q$X4Ho^cVB2Sl zU+p5eS|x&N7sJ&m5>&ect`^i(0@o2Z-MbX77UW+1YL~&)g4~N=?Q*zUkbCi~T>)3C zVcTbmU+qe`T9DtA@y5j}xLT0k@T*-7R}1nRezj}hYC(R(uXZh5Ey%s#@&{*Jtb?ls zxfj3M^>DQy_u^N(0j?J0Ui@k|Le(OdgG+FgDVyMmL1hZ09K@-3Gh8vKOd+6n3tTa% zOd+6nD_k+COd+6n8(cA{Od+6nJ6tiSOd+6n2V60zOd+6nCtNWo=paK<ID>8%Trns# z2q@kSR}6A90mXaZia~BBpm;A-vFLwLngf?@nz+ireQ>p)G>2d9eyCbVnuCmT;0%ic zD2gE?9XJ&qL{SVG?ZBz{5Q<{RhzCx^hfx$m1~YIfK7yhcGN6G|@lh1TkU<TcijScv zh74@rRD2w&7*aQZ%PpMocLJ^!6xaCGf+mI_^&=>*@vA+BVlKEljnfUFIV^;^_|<}D zzaVNsbqId7XW?$pvhB0Qul5{VttLUW=b>t)!R-VEP~F6D3Y|b|Zs=HqIaqN4Q-=b6 z9TzcmDB;&}2~&q6ejS%lbb#|N0UcMMIz;(xZ9xqqR8ON0&|HP7{cpo>x~OCE!j{es zBhW<LLd@{F230H5w8+6>?;>zLl<b+6;p*bK88iWtfjN6{9jZn4KfkT54Zi}ofFfYc z4HP{JMCrMSqDP4+J-1NwC=#XTHi{l)qV(KB(W60>p1V*zkf2^jOi<rL(XyBrE%#Bh ztRP0q0~9Tg{tbaN@DN4IN@8qzgra3NF<Ks@Xn~Zv1RVARMawc`Y<Y^JWhpUQo<X&U zAoXPz?eWdTl3SjmD20qM;!yemMJc4IfJ5m^6s3>>NgPUFp(uq6N#aoY8bv8&P!fmI zHz-OW!;(0ZzC}?A8JNVO^c_^GFmn0cvjR)G^&YAc8Ux^QKOBaBKv4=A#>AoYBZ^YU zKqd~QpHP%SCg5-={fwd%G6jc2=@%5GkO5L0O248gg$$A6Q2Gr;DP%|hhtlsTN*97> zhHxnTfua;L$A&}cPZXt)_9qUdzo1GXNdVkc<u}Ee1b#zRLXrSv6cmS{e^8V{#zAo? z{fnX$G7^eI=|2>ukg-r4O8=uMg^Y&cP|CmvSrh~b0B~CmM*uKFRYC#)GIEN;P$m?m zkg-!7N|{lVLPk$<C}lxW3K>7ep_COxDP#l{hf+2arI0aH97@?yltM;PaVX_LQ3@GH z#i5iFMd>o|kSh+QTqsJH;#0~ERSJn$@c1l_c;$hrgvRS?(9|9d`+1>CA%=nnb8#5T zhoTZZoQp#xKZ;6l;~j@e0Th+sVGkTC1)(Y-UILfaix*<AFojT*f(syAN`+CBg6B$c zDHTCc3XUgSN<~qWf<qsdQZcAfNbrN(@ygf>D{&N+;9?DjN(mH|;QWt6r6h_<aEijA zQVK;SIGNy3DUG5MoJ?@2ltEDmP9`{1%A%+QCleeh<xo_D!&4i3c*>)w1cxUMl?o^- z!QqKRr6P(-aCqWSsf3~u9G*B-Dx;_bhbIn|Dkv(!;fX_~DvC;Qc;Zm0235%gnzDd2 zPf@#y>QDs|;D#(@P94$-gs4VaIHmzt4jNM<s9Y1S95f(FP`MUdIcN}$pmJ@va?qe8 zLFGDd<)C3ng35K_%0YvC1eNQ-m4k-)2rAcyD+f(1L6#`s33mgya!|MvRBi}Y4hnaI z%8lU4LE%nNxiMThDBKAuH-Rb_2e;|Ld72+QWPr6%X9`yh8lfko+6=B5G=@e<wK-fh zXcUc*Y74k(W!QWW9(P;9Rf9&y2$^jKR}C5;Bc$3It{OB#Mo6^{R5c_^LspC7Nm{lj z$|1w7c$C|rD2EKR;!$poq8u{RibpwU!8&M+5#n~pU@IQwjwq%>mSEsf?u4QovJeB0 za%U9fkmVS7l)J!{gEAd>dV4WskOyl~>Izp5%5(&kyTO%%OD|B95TEJpaOL3Ai;!{; zxN>mmMM$|PR5>I|gNI=uQ*KzZv=>}8C`%Jk?G0BA%F={X`@mI$vNR#pzHrr`EKNwY zA6zvkOA}J<4_6J!(u7n8z*U2?G$GZ2aMhqGPOxfi+(l>*Ts1tr@u&`ltA>X+9@Qam z)$s7fqdF9>8Xn$wRENP;!^0bo>TtMfczEMc9RXJj4{toGBcZC<{9PRuIV7PrnWCVQ zDowLy?wT=k{`?Iy=I?aafU!u$VavSvXw9c+s8;3w!V<=U5^{2~f^rtNvgi}?wwB^@ zXlpKGpgL7ibqd-lgHr=yf(KKtKpa#rv#^{bd}|N`gFpgQTr4ZY-_>Et?46Sx!22%X zTTI}J1(Kmk1s5;uYeCy!f>4zPRV9v41)ZjVZQMbq&BUn|wuuL!HV3L!7-25bW*>yQ ze5g8^Ca{n8F4_b356XrU(5xv;hd>dUhJ}PQl%i=^Oh`ionuZmGG*qK$SVc%f9h!!f zgfujwX;@82LkpUQC4@AzLp6vZ;tMqU1m6Y*3x5WIE~rY>n1yY`La6J7szXYD@a<X% zRTD5(!8foWR85Ae5<*TT;5|GjX=56iGH}|!R5lY$88}5?Dw~6*44e)ymCZ*}29AA9 zWsA_1f#V!g*-|uR;F!i#wgOEVIDRpet%fR-0%tDpz9-C0afo2v097srYT6K|Wd~FX z?|*Qi#t&O{i!gLQstN^66~|FkC}FC&fT}_fQ^ieG74Svy2zNY&s^Dva^z`7ntPo0G z!j(XJNLZA-hbw_h3t>_66|Mv_9fU>6Z@3c3G!Pagj7*RrWxgiJ^bZy#>~JNJX&)>~ zc;QMQ(>+*}2*Z^?rg^X^k%lXQtUSk}L>aCGGFgK~i8fpbWReDp5@Wa$$m9$bC01}H zkVzRVN*v%yAd@jzl(@l_Kqg_ZDDi<Sfh;`7q9hou1a1ElB1uKUm7wi>LMVxcD}hYi zV6i9_t^_h=gGEUWTnS{V28)tnxDv<$AuLL&;7TCNg0LuQge!q82EwAG6Rrf(mBpfD zGF%Cy`-(-$T(}ZQmlcbW6>uexZYmZfo8U?yT~jPd_P~`ux}#W>9D^%?bV0Ewxd2xJ z>2_jKatp2mGV_H+$rHE|$cz^jCGX%$ATwQ9l>C4zfy{7WQNqLwD_<ZpTUeBELzVFU z2al^_DN}`@D)^cpGg(*+k%23L%wS<rq6$^Q`yV_ii^U#oxC-!SEEW}pa24QDSS%{c z;VQs2l?G-VVuz|i3sZ#~stWkpdPHXSgQ|elGVnbN2qh74C6HPMi;_gR5=bqBMM*YX z38V_aqNEhA1X6`yQBn_A0;xi<DCvYNfm9(_luU*zfm9(_l+1xEfm9(_lq`iSfm9(_ zl&pg*fm9(_lx&A9ffW8&lpKUBffW8&l$?Mofy}&PQE~~c1X4d>QE~^Wg!eyqvI9$U zc@9;<*90m1u^93Jt^`u{V^Q)Gt^`uvVo}1#0?Y1@G7gIpZnzRi8HYuQC|n7ojKiWt z9<BsZ#$i#S30DFs<FF_(hAV-Taafet!j(YEI4nxs;YuK792O;kP$keZ4oeh;Lsh`a zI4p)F!j(YEI4nxC;YuL0^H`LW!j(W~<*_KKhbw{1#$!>^30DG{g~y^~GF%B{_8p6o zxo{<rS$8Z-mP3_5OKmJ6w-&AfTxw%cu^FxcJR^oh#V)uC8^}mLbax4&I6Z_=0a?<A zq2d%mg%WrO4nxHygbGFMDsCZEK!!~*%y<A*A=Cs}<PKSr2i+%yaPD)cGQt1gNdX*& zy@RR}YJ!wMI1Kv=RVD~2U~m}r2d)Y{lZrzXGb^No5d0603mmGrp{jWQ+h|}9DPe>P zE$k|!5h@UaV4!nEV4)<S2vq?KOUN=pjIh*zD}(g3a46G<DuV_&4m-`Ds)U*#eGeRl zS;Lh<`X4xyIlz@cx&}Cuxx$q}x(7IvdBK%I8uvJq`NNe#8u&Prg}{|T8u>VsMZ%Rq z8u~bt#le+9TJkuQCBv0LTJt!RWk8h){s*U39C4KoRVCB}Y4_tWtOTwM()P!ptP-kB z@IScih{Miis48*TNC$MB6tRXKmV6lmx=__(S-p->I|)@SmUZh0wX;ywVp)HVP`ePW z7F^KaRl5qV7Fx>U@!KYZT1a_|N9`_zT1d%@N9`emT1Xj;N9`%7TA8N3i}v7N0gnib zO9%}MiPCTjp<yvm8Xh4utRPCmD};tsL}~bh(6Evy4Zjc?RuiRxi49T($TaO;LX-wB zs0LZkt_3{X3J?(?gwUa2N|X*MgbpR*bSNQoC=#bb3!y`qI2}d^9U8>xutMn2B2I@B zLWd@CI=m1%_)TqaZ`nY^M-W1X0&zN`5IU5I(~*SGp-7yLEQAha;&c=tbZ8K#qY9xz zi#Q!k2pyWl>F7e};5W6wy&VP-ACnL|6o}I?3!y`aI30@+Iuwc1u?nF>nK&Jr5IQu7 z)3FPoLyI^ahY&h6iPLckp@ZMl2KQDYM0{LA=ujX|$1Q{oCE|2ELg-K=PRA>R4rStW zd_w5ZAWp|Ggbpp@bTF|)st?)!Hk!og;6mu&H?_gNjSCSULI@oS#OaVi=ujd~hY~`E zB5^vj5IU5J(_w_rp+TGuD})X$;&eD6bZ8Q%!waE<-_!>87CA(G1R-=N5T_#wp+ku{ z9Z3isip1&2Lg-K?PDc?!hX!#vst`J~h||%8(4k43jxMMUNIMs@G7ZaSIz)6#LTFgH zXD<;NW+5~zCQ8F1goYJFX;_8Ou!<-Rn-CgS5~X1mLc?mJG#o-`SVEMBQwR-<_Uy&E zrxOtmmk=5j5~bl5Lc?OBG(19RSV5GAR|pNOh|=&0p<yLa8h#-(tR_kW69=Tifb=(r z2v#nHhDCe!;@l{UhzB8rhJ{3FkV0r!Oq2#CgoYJFY0yGwSVfcuBZP*PL}{=>Xjn~@ z1}B7uB}8fPLTFgDXD?)M5^uQ_gwU{%C=F2v4U37=kc7~%f+!7H2o0-<(olrZu#zYZ zRR|5MiPF%7(6EFk4P6Kgi}virxuqMCA0{C*EF?<9EQE%|L}^%r(6E9i4XY3uRuQFP z6GFpEqBQJ6Xjn~@hC>JqONi2N3ZY@qp1nBt$s^+75<<g5qBPt>Xjn{?hDQhuD~QtY z3ZY>YQ5rrWG^`{_!!Lw})kJAv;zX_=hzM3LgoZ_X_Ckhx@s>+M2n`E~(jbM<u$U+f zN(c=rh|-{i(6EXq4MqqJD~Zxzh0w5?C=E^s4NHj9;DykzXwP1pCpsYVLl8p4LZUQ8 zAv7!|N<$Jt!wRA_WFa)HB1%IMLc>a;G*lrptR_lB6GFohqBL|NG%VV)7w0h<h<KQU z(6Epw4YLp$789jm5kkWXqBN{RXjny*hD`_!D~Zyu3!z~(Q5p^*G%O)X!zri+e%Ra* zeA5jg=r2Ik2tenLk#>(G6kUfaf-DTes^|_}5oB5vtD>iHMUeGFSQWj4D}t;b!m8*8 zToGgq5mrS^T#%w%pb4^y2&*C<xFX0pBCLu;;ff$DiLfe?fh&TnCBmvm4Xy~Xnh2{R zeYhgXv@ljhmT*OoNnxytoZ*TfE0eG)@`WpctWCnIC=9L$vN`~(q6DZSe#qJYq)q;a z<dgwbBLH1~gw>!TxFW~`B&>>R;EEtK)L0d@!4*N~r?D#Phbw~2PGeOx9jb^QGEI!t zb913;1ezc#k+2%H9Ignm7744Ojc`Sf)ks(s?S?CYtVhDC=qOwfWJMBIMdzW4_#x{q zv3l+*RE+?1<t0{w?!y&9)+J$8^b)QJvN8#)qR((ekhMuz75#-Pf~-!$s)(H%RuDke zCt+122v-DIp@dbDG+Ys6jS^Nxs&GXZXM!NIfj(Rj#%Ul3MV3%S{E$_uSYyQ=sz#t` z5%>%WtWNTTD&qeSUKWMbpg_19@R9_qY9iojzzcS;s)>iH5op3VzXRd3RJbCHlRFTK zvf+v_&g?)aDuOG*IIRPrs1mLS97f3JbRZNpKo#-BmPui$G27s3z{@L<&Zj__(*sun zUQdEm%_O)Q=sIUCcFlmRfv!=;qGmo^4LD-4+O-U>1{|?i)vSf90Y@xWHCy0nz^glu z&XPd*eHUB}IDD|GIRIA!4j-&)j=|M{!w0LHGjKKF@WHC)GF%Nfe6Xsy1y=(OAFOH~ z!qtGo2dkPFa5c~sEm-2~9aN17bVm@{MsY+yeuXQ9tk}k>@DEg>FeKGNRxDz%nHhSC zoJbR7?J!OQIp7K*%gAsl<b^AQEF{CJPzbINvZ@QGLUFi4$f9DL3T5C5Aq&88DpZ6k zge(EWsZb5B5VE`rr$TMGLdXIyoC*!#3L(p%aVj*0D}*e9#;MQ>t`M>m8mB@>s6v6J zy^A0dGVrqn5Gl+Pp=cpaMS%!K=;sI^ObUl85@`Z$;@gW`VFFa4K-1n8IIYY;C|ZS6 zQ2|sD|9>0EiZCoGz5<~JvJwo7ng)a#$O<qlYB~^VAS+a{sOf{M5r*`(aH^XQRmTro z%ZkOU`3N<T)vQ?5EJvt;tR2LnW<5d;+9q~H)NO^Tfw~)~x_wY}{QqrKz$<LA*mne~ zhFMV13U0$FgPagxVPq7Jhi=$pV3bsbv;Q+Vh%yK;urM+SC&J}Ll;Q0E3=ZN90t~`Q za7kHZIQu_?g9KD_3S3@98P5LC;J^jaoC=qeRfe;nCWCBFhs%p7!`c5C9QZ++GvJc4 z%5e671_w!~%~^1H5oI|0KZ64wNOLw^QdSwx{?Fhb1=XAjmlsinv;Q+V2!J%_!6jvt z;q3nm4$@G~1#o#04LDmF&ic>bz{4QG;NZx>zyKFyfCvf~!u85(z}d=h)_(>E8JJ$U zAOl1Y6k^42?IId*wlbWBY&Bev5o&b_T(7JKoUIIJAzKX>WJFk92G=g40cR`2S;$ty z1(~2$m&5hSYQWjba2B%Fa6u-7)s=AVA{ubEGMt5MHC&JxYIPM{udD`~tqf-&TMZXv zMp#_~*Dj&~XDh>5$X3GzS)f+e!u85(z}d=h7P8fFK^BD7^>FPX8gRBUoP}&PT#yxN zbpu?ltOlH|3}+!*4HslZSltBIE}{WvE5ljHR>K9^pjJ1-^~!3%*~)Mhvej@wHiXr! zaP1-*aJDj>g={rkkR57u8(gof2Ar)7XCYe+7i33R-2vAwq6}yMXK>&J6$+hjNm*q$ z`#*z&EVNMQhRcg6!`c5C90Wm{d*G6?%5e671_wE)=03Q*h%%i0pTR*0q`4n1DXR=; z|7UQJhiaY(mlsinv;Q+VaDy~Yf=kLO!`c5C92B6Mr@-Y!G~jGyIO{)ygA%Mff(tT0 z1cj%<^~!3%*~)O%e+CB;m|nOb14Iy1mQ9Ci7tw&TmEkO8tKou-P^)La^~!3%*~)Mh zvej@wMugR~;Mzqr;A~|$3)yP8AQRN;*>JtG8gRBUoP}&PT#yN2^<21i5e+z78O}ns z8ZO8TwR#?0udD`~tqf-&TMZXvMp(T7u3ba}&Q^xAkgbLbvOuj~2-hpC0cR`2S;$ty z1z8YQFNSLu(SWm+;VfjU;exDCtCzs_%4)#b%5WC4)o?*pgw@O7+C?<rY-KnL*=o2T z8`SFMaJ{k`aJDj>g={rkkPTt=O1O3r4LDmF&O){tF31kGdKFx+tOlH|3}+!*4Hslb zSiJ_WT|^nq{?Fi`3@Q}X!X;&u;q3nm4#MC<fkk*DOrB}cR1G*s8P598;II{zv*Ch_ z5JBNhaLo&+YQQ<la2B#=xF9oB^Jci_#Zxul9A!8QSu<Ra395MuOf#dbGMo+dGYccL z@J^UK)1s-$aL#`QhfYwS?1IZKoT>rmD8pI*85|U0o`wsuLj;9)!!$F>YQWjbaMphY z2P;NslMpV*01;$iWD!09(++YOoC9;&LAdO~sTy#OGMt6%GPoejWryIJ7f;oIbClsM zWX*6v4yd;d!!$F>YQWjba2B$+;DU@WZ?OuWfN2M%BREGH&O){uE(mk@Nx0^PQ<dQy zSeTrG%PyX(0p}>gS;$7i1!3Mg4cEM4ss@~+3}+#0h6{2+!{iK1Go!2qoUIIJA%_WE zkO>whY{C~|+CgCg=P1Kj$acd8VGh3p*Sv75GMobolgn_~#Zxul9A!8Q*=V>REV!@0 zHLsYe0p}>gS;(5<g0L{T3fH`9ss@~+3}+#0h6{2*1N0h9Go!2qoUIIJAqOa2kQo-B z?83KT+Cc#d=P1Kj$acd8VGh3y*Sv752Arb|XCZ5b3&Miz4qWr%smgE;EH>`KWminq zfOC}LEM%kMg0P6b2iLr6ss@~+3}+#0h6}<1^gdkk%BdP~jxwButQjuI4ULTlFwKmz z8gRBUoP``4a6uMWY%mKyfoTWD2Arb|XZ>ezP=S@Aa6xv6pzu?;=7m!=;2dQ*>pz17 zJFFOm3$jB5g`dGRGs-H%+5Z_F)WDS*v+ygJJji8mjxwBu>@v6@8`Nd5;hGmt)qr!9 z;VfjA!3Ei%E_(yh%qXi2Xa8q#P=~tg156&|GB`&W&O&w>T#yy&vX5}h3#V$pIm&Pr zvdiFttWcMIf@x-yRfe<wGdO5KUG@zo4{{ltqYP&uy9_SK0(IGUxaNgZHQ*d&I1AZj za6uNR%YMK#Gs-H%+5Z_FG@&m01Cs~Um~hU228ZdOy8JI(cHvZIIOjiu!yJ(8KbS0| ztTLSapTR*3YBm!SWSW-&WHy}hpTS`UNH;TFcHvZIIOjiu!(5Oo3rv<#RvFIz&)}d9 zHJbw_4>B9h`On}`3)0OAmt8nj8P567;4l*;%LS8VlvRea|1&t~K+WcZ$%D*>b6~pp z;j#;-D#JN2n+0I9jIzpb_J0NkU9j1#!XhwvklAn!EJQ`&vJ0mw!#S|n5QEDuo~jJz z{AX~O2J)3STz17&WjN<QgTpM4tOQJ!QC1nw{?Fi`2X&VWOdjMeI0qIRvT)gjQ<dQy zSWL>nWfxCXhI3%9mWRu(n5qotz<jI#lVy}uhO_@OIOs#&r2>-&xeLyL#f2(dcHvZI zI0qINYH-=bQ<dQyn5)&{vMZ)4!#OY?Yrtd~WtHLV{|pWWP<QFT<U#I&b71b$h089S zsto7A+@%MXT|8A8&iT*aPzQ=BeYot3smgE;XuO6|fI-**Cd(+R3}^pma4-bBi$&N3 zCJ%BKoC6vQ2I)41%PyR%4CjFQKp<H&xa{Jo8gPy>oP}J_zy+D11&ujOGo!3Boc*7{ z!3gXyW?^fXJg5kSbClsM<RTC*$OJ6{ZQz<0PSt>Ol;JF7m%#;@pf0n8X=apFhO_@O zI2c1+<_MDqxeU%xhO>}e1{Y+6y37f#dErzII7b=ILUtKkkP+%KXP9P2S!Fo;KZAn_ z)Mf54d63KC9A!8Q*=2A+2B^zC;F=ds)qr!9;VfjA!37zhF7t$GW|UQiv;Q+Vn1Wr# zBJ2y32PHE&2Q<b9N=SZi*@aV;;T%{J_J_+Zo~jJzz><FeOqNkr8P5LC;9v%}nOQgl zCJ(Y1&Vkt+3YT3tRT<9t&)`rG%35JCSw>l9IQu_?gE`dfD40CRY&hpXgF^#IcQjmf z;Z$Wf2Q*Ux@^cJKmQhw2&i>EfU;#Bd0VWSJ8_t1cy+pX|!l}w|4lLUy!DJa_HQ;Pz zI14!$zy+Bh$pF+VPJ?L&Sq<m>2hFSqFbJo^Wfx9WhI9TiI5>ekodK6!JXIOa`Oo0s z43f=+$ui0+!`c5C94x_}W)aSX$%AZ$bN(|pxPWx$!DSatRfco^GdQ?{Wb@&&i>E5X zIsX|P+(5DgFj+=fWjGtusD|2H0+R>X4Cnl3aBv6dE``f3oT?1x{AY0R0LhlYWfxCX zhI9TiICz3&%VDyNvdVDwe+CC@sLj<dd63O;&VL4nn;_jaaM^`ZmEoNK3=TIyvbAv8 z#Z#5xoc|0Cw?MLWFj+=fWjOmkgM$s&W>(=Qm^{d4I0qUX!p(5mg;SN`oc|0CULdnu z;IfOSD#JPd863PpvaN906;qYroc|0CJ|NjPm@K2LGMxRN!NC^nE_UHAm^{c`a1Jac zyWz46rz*oauvE|kmt8zn8P0*FpkBD_imA$Q4lM2V!DUxXRfcn5>AoK>yK<^BoC8bQ z6JWB8vdVDwe+CCTsGp|5<UxLdb6{y?DqMEqRAo2^mcFLJWfxCXhI3$Pb2?mh#Z+ZD z2NoVP;IgZxD#JOj@R$jgT{%@5&VhyWESM~#tTLSapTWT%>Zf@yd61vr99Zg`50_my zRT<8KrQ8K@*~L?p;T%}1UkI07F;yAPfrZB+xa_K_%5V-WJQl-cS58%ib6_F81SZQU zs|;uVXK-);`-x3>B}^Vvg~B<?aMphYhi$NaG+dAcA}G8Hu6f~94LC;`&O#nVg$u%l zU{}L6FP^Fa=P1Kj$eQ7Tu)*IoaLp^GYQQ<la2B#=xF7>`{B|u|^Qx&DaE>yZg{&Da z2pjxe2h+?bs|;sD^9&0koA73sJSafn9A!8QIY8lptk3}60@u87ss@~+3}+#`3@!-s z)>gRY#Zxul9A!8QSu<P^7NFbUnpaHKfOC}LEM(1aL0Fh<hihInRRhjZhO>}0!v$gK zU<XVyqpUKV4K1QT0lF6^4+>B?M;XpS4p6ut8#F-o!8I?OssZOH!&%5KgA2mKWItT< z;;9;NjxwButQjr{^VR{l<`q*l;2dQ*3t2N<5EhjO;hI-X)qr!9;Vfj$a6wpX9D-?P zlvReaq2)FxK##-ZK>-TqD8pIE0SXsnh6d;fxaNgZHQ*d&I1AZja6wp@oP=v$JXHhE zQHHaSHNypAv2hBndBs!>I7b=ILe>lygaznnxaL(;HQ*d&I15=bTo4wZXJDEcWtHJ< zXq5sA(2Fp6P=LZY%5WBPfWie~W#}ci=7m!=;2dQ*3)y9GL72BL!!?6O;$a+RxG=J2 zxF9S*ufWtZfkxtC9A&sLvSzp-EKIJ#)H8ub;$a+RxG=J2xF9SYT!X1+lvReap*1)t zKySn3K>-TqD8pIE0SXs{m7#awnio#hfOC}LEM%9#1z}-w7p{5nR1G*s8O}o13>SoX z>mFS5im4iKjxwButQjr{i^}_O&8wzrz&Xlr7P4lzAS^Z>z%(<;D#O{(W(_DnpTgup z0Sf0R!&%4y3KwL8X8C7u%?qb$z&Xlr7P8CWg0L`o4%fVRss@~+3}+#0h6}=C;{{yv zim4iKjxwButQjr{3(%Kv&8wzrz&Xlr7P4lzAS^&%!89|<D#O{(78fW$-^1iV0Sf0R z!&%4y3KxWxp&#Iy7f#iHbClsMWS7AOVcz-(*SvVD2Arb|XCZ5b3&H~Q6I}C(sTy#O zGMt6187>G5lh1I?tEOteIm&PrvSzp-EFFA-X=apFhO?m!Tu^}igvo;f6wXnGvycN6 zE(j|_f59~`oT>rmD8pIEE`tlg!sItx^Wv!*aE>yZg{&Da2=mq-xaJj8HQ*d&I15=b zTo4wOf8m-}P1S&Nl;JF7&2T|jZ2W_122C!&*w9u#C_tH^=beKl7c}4;WjG5tK;eS0 zW)lls^TMebaE>yZh3qo8Ak15=aLtRSYQQ<la2B#=xF9Sx*x;I1Ox1vMl;JF7&2T|j zn6Se&ubQd>=P1Kj$eQ7Tu&CsKX=apFhO?pFf6%H99+*5Rg~B<?a2E0?6I_rRGRh>( z3)j4Gss@~+3}+z^Ho^tDp@WTlFwKmz%5e671_xhgA5Iu14{{ltqYP&uM>Sj!R$Yp~ zH7}g10p}>gS;%1q7lehGC|vX6sTy#OGMt6187>HmYB9Lx6;n0f9A!8QSu<P^mdM26 znpaKLfOC}LEM(1aL0CGGfN2Iz_Q2TCu^dp|k%ftY0u;_shO>|Z6fVdPtuE!@nio#h zfOC}LEM%9#1z}+#57)eSss@~+3}+#0h6}=CLjkUN#Z(PAM;XpS)(jVf1*jri^Qx&D zaE>yZg{&Da2n$dpm}W*<WjGr;`~}K8YA|_FfWkS-a29gjfeUg$^Nu=P^TMebaE>yZ zg`9Wbf?UwNqXE+lnx}%X|1&uFf%6WtuntTN<T5x%8O}m>8C;MP>M~up=7m!=;2dQ* z3)y9GK~AX4^kAA9WtHLV{|pZPP?s6O<UuZjbClsMWS7AOIiM~xhHG9pRRhjZhO>}e z1{dUjy37QonNe05&i>Ef5CC<V1xy|^4hHA^XK+XWjdohXWfxA>fOC}LEaX)oa6umE zst_xfW=2^JI9nOc0u5lp7G}T&Sz)U}ScL6i+CeUZb6_rWfXgnNssZOH!&%5KgA2l3 z<_Ookc&Y}RqYP&uYlaK*LcQe#)66KV0cR`2S;*dk3$nqy#VYI$(+)}saE>yZg={xm z5aw_XxaNgZmEjy%n0Ufv7f;oIbClsMWTWANFmHLmHLsYe0p}>gS;(5<f_%_0@rG$; zl+}Q<mEkPpFo6rQ!@`7J*dL}H6ee(vGMt56M#BYRWpn^s^TMebaE>yZg<ROd1!2W( zAYAj}sTy#OGMt6187>GbW`p3GS4`D_bClsMWX*6vSnUuD*Su<~2Arb|XCZ5b3&P6i z5V+=*Q#IfmWjG5tz~F+g24N^nGo!3Boc*7{ArM?7un9-P<Uz3k=P1Kj$cDoOVX+Yf z*Sv752Arb|XCZqFE(r5hG+guIsTy#OGMt6187>G5&=|Pp6;n0f9A!8QSu<P^7L~DZ z&8wzrz&Xlr7P4lzAS@BZ!89|<D#O|T861MZ0m?3%1d|5^D4e4VXCVhDTo4vp$#BgJ zr)t1C%5WC4%iw}Am!-fpFP^Fa=P1Kj$eQ7TurNu5YhE!`1I|%~vye5z1!1v~2G_i5 zss@~+3}+#0h6}>dK{{OX%BdP~jxwBu9AI!kSPIO5X=apFhO_@OI0QpuBL^lAiVZkN z8O}mB94-ipja<0qg;O=)9A!8Q*;{ZySZw6MH7}m30p}>gS;(5<g0R@ghihIjRRhjZ zhO>}0!v$fnQ2^JxYN`gDqYP&uYlaKLVxthQdF50MI7b=ILJlyvAgoJL1k=nYs|;uV zXK)CC#zq-T9uymJjxwBuY&cvHW_USV^TMebaE>yZh3qZ3AS^a2;F=dt)qr!9;Vfj$ za6wpXRKhi{n5qHiD8pIEn&E=5*r<YQUNuz%&QXT5kTt^vVX;vS*SvD72Arb|XCVg| zTo5+6QUlY>D60%-|7UOrg~mn$Odb>)aE>yZg={!n5N3EIT=T-I8gPy>oQ3QyxF9Sx zn&6rjPt|~Pl;JF7&2T|jY&63)ub8R<=P1Kj$eQ7Tu-IsUYhE=~1I|%~vye5z1!1w# z3fH`Hss@~+3}+z+7+erGcGw2f3|dP7WB+Gx2!qB(7fcKk8*q*?oP}&STo7h>H(c|= zsTy#OGMt6%Ew~^oHhSQi7f;oIbClsMWX*6vSZwsdHLsYe0p}>gS;(5<g0R@=gKJ(j zRRhjZhO>}0!v$fn(GS<Wa;gTLqYP&u2N+xsHZ(o~rWv#f0>=K&;1CXu4L0E^FfmYU zz&Xlr7P8@RL0CyO6|Q;VR1G*s8O}o13>Sn|I@92q7f;oIbClt%{|pYQuz7vBAPYoL zcsgA3im4iKjxwBuY%^RCR+r9zYhE=~1I|%~vyg3u3o=7(o(a>;D60%-|7UQBfClJX zm^>&z;T&Z+3)y9GL0Dlk53YIPR1G*s8O}o13>SpO#(cQu#Zxul9A!8Q*;{ZySb#2o zYhE!`1I|%~vyg3u3&NsuAzbsSsTy#OGMt5MGh7fBpo?Id8D*8>?Eef7k<b8L29pN` zD4e4VXCb=`E(j|xmcunKoT>rmD8pIEn&E=5*jNGAym+bxoTCh9A$toh2n*1aaLp^G zYQQ<la2B%7a6wp9u7Yb`HB|%7QHHaSZH5cN0(3P@Go!3Boc*7{AqpCx>tXVs0EKgu z;VfjA!3AOE$p*OQg;O=)9A!8QSu<P^78@Jknio&ifOC}LEM#xN1z`cY39fm?R1G*s z8O}ns87>Hm%FS@itEOteIm&PrvdwToSb%PUX$I}4gt7lKI7EX3lwEiSObisDaE>yZ zh3qo8AgnOj3D>-Ess@~+3}+!%4RAqN)vyb$dGS;YI7b=ILe>lygw<%f;hI-W)qr!9 z;Vfj$a6wp&wg;|x)l>~QM;XpS)(jVfRm6MYnpaNMfOC}LEaU)#3&IMUeK5_8vdVDw ze+GvbaBQ#$AA-q)M%dsS=-9dNVYuwVsmgE;bP`4Q2wZmYRAo2^I!r8l6ei0ks|;uV zXK;uG+srO}5+)By2XKxuoQ3QyxFF11r{J0wPSt>Ol;JGo0EG*}(!puC=EYMr;2dQ* z3t2N<5S9+kz%{R!ssZOH!&%6h;exPqa2Bq4)l>~QM;XpS)(jVfrGs;D%`2yBz&Xlr z7IJ{W1z{QIJWMmAtTLSapTQvx8XK2k@}Ss&bClsM<SY*tWPsN4SKyi#PF03;V2S7| zTz2tP4LC;`&O&xKTo4w~*Wj90Ox1vMl;JF7cfkdjpzgX3*Su<~2Arb|XCd1R7leh_ z4Y=l&Q#IfmWjG7jX1E|D)aIKo&5W|jaQ1%&hj?gk--XG8f*a0JhO>}ITH%7Qt(N!T znio#hfOC}LEM(1aLD<gK`*6*Rr)t1C%5WC4X1E}1=jsEv<`q+w;T+h`)rWA|RZ}(K z9A!8Q*=V>RZ0G7DxaO5pHQ*d&I15=bToAT%^)XB{qpUKV4IL6^VPqA40h0#>H=Lsk zXCdc1xF9Uwy@YFCI8_7AQHHaSHNypA#l<VQ=EYMr;2dQ*3t2N<5LR5ghHG9iRRhjZ zhO>}0!v$fb+#8r?Mp+FwTN%zmPQGwKXzKwKWFKMLK|u!RD8pIEcEbf>LG}r*dErzI zI7b=ILe>lyggN{(T=U|o8gPy>oQ13zE(i;<FL2E(rfR@B%5WC4X1E|MvcAGJGs<ef z*~)Mha*)9Vq0Jspko|&b2L&0NqYP&u+YJ|l`T93p^TMebaE>yZg{&Da2y^%!xaP%E zHQ*d&I15=bTo4vnf8m-}Ox1vMl;JF7&2T|jc>jZGW|Y-{vz6g2<RF6!LaPlHMs{H) z7Q~iW4LC;`&ic>bZ~)e=g$uGn1cjO5nio#hfOC}Ltp5xS`(c{lg6t4MVHUXN#Zxul z9A!8Q+1GGEn6FvknpaHKfOC}Ltp5xS`(QT11=%5j!fbHOtEOteIm&PrvdwToSWL6S zHLski0p}>gS^pUvw!>_O3$jB5g*jlFK}%v_?Eef7iO^b!2POtez;KQ-ob{i<;Rwud zxF9=3P?#648MGt@#!-d~|7UPG4ATr3WQPa}^TE_JEuN|Y=P1Kj$YBB(gvACwT=R;l z8gPy>ob{i<;SkJbxF9=3P*?!2dDT=6I7b=ILbe$$2#XCtxaO5pHQ*d&IO{)y!$FwM za6xv6ps)~3Go!3Boc*7{Aqg5AVla77Y`{6naMphYhn+CP;ezZCL1A&Y=7m!=;2dQ* z>pz3T4wz=RAUi}*SOTtj@l*{sM;XpS4imT_EH)(JnpaHKfOC}Ltp5xS$6z+Y1=%5j z!cuU}tEOteIm&PrvdwToSZqkcHLski0p}>gS^pUvj>2q)3$jB5g=Jux8D*8>?Eef7 z$<WwPfXRbm1I|%~v;H$U?1dQ)7i5PB3M;}jFPy3Y=P1Kj{}~+iz%;`J*&%|$N^s4K zr)t1C%5WBPn7{>Lv7rptyke>boTCh9{bz944YL_8$PN({R)K3?HB|%7QHHaSZH5cN zVnY?KdF50MI7b=I`p@973uZH1kR2i@tOnD}D60%-|7UPWfyRawOdb>)aE>yZg<NmI z1=*nWhBjRD!l@c?jxwBuTyMYy*`W1?4qWr%sTy#OGMt4RCU8MmZ0N!@ub8R<=P1Kj z$n^$XkPTXI=)pCwnyLZkD8pIEHp2yBv7rywymG1roTCh9A=evlK{jZ;VF1$%+Jp~d z|7UPWg~o;nObiqoaE>yZg<NmI1=*nWhACY0!l@c?jxwBuTyMYy*`W1?8C)}H3qOpb z3>QWY6SyEOHq2q_K^rFE9A!8Qx!!;avO((&3%KT0Q#IfmWjG7jX1E|MHZ0+qS5DP{ zbClsM<az@x$Of%9tYDfMWtHLV{|pXk(Acnp$%A48&QXT5kn0V&ARDyau!n12I8_7A zQHHaS>kYUd8?@eVfNNenRRhjZhO>~v1TF}R4M(`<6;n0f9A!8Qx!!;avO((&C%EQS zQ#IfmWjG7jX1E|MHk{#_S5DP{bClsM<az@x$Of%9Twt0RWtHLV{|pZ4(Ae;R$%A48 z&QXT5kn0V&ARDya@Pun#I8_7AQHHaS>kYUd8?@f=f@@wpRRhjZhO>~v1TF}R4R5&S z6;n0f9A!8Qx!!;avO((&AGqdKQ#IfmWjG7jX1E|MHhkflS5DP{bClsM<az@x$Of%9 z{9u|HWtHLV{|pWp;Miam4uZ*pVgt@mhO>~@QNjiJpzA1u;hGmt)qr!9;Vk48oNz%t z=nBpdm}W*<WjOmkgF_+MW$eNcFnN&6;2dQ*3%N#v3$j9Mv`Dz-g;O=)9A!8QxkiHv zvO;ULD7fauQ#IfmWjG5tw%~%WbP^5Myke>boTCh9A=hYdK~`vu76aG3YN`gDqYP&u z+YA?krIT2==9N=5;2dQ*3%N#v3$j9Mv^bb%&~hyp`#*z2CNwsZU}B)yfOC}LEaVyu zF31Y4(UResLCdvZ9A&sLa*YNTWQEpfDKPb*o)Da)3}+#S30x2s8>w*3pygUHjxt;r zxkiHvvO;ULG?;qO7C<;h8O}ns87>HmjdZwX&~hypM;R`RT%*ASS)nyr224F@xfYE5 zpTQvu8XGw<F;Hy4Im&Pra*YNTWQEpfxp2*(<ytU~GF%wBMuQ8oLTj`<n0iobz&Xlr z7IK)t1!1v~57!J@t_9;L!-bJ+G`Juuv_>m{sRzXdoTCh9A=?ZWgvCZ7Tr+677L20| z7e=np;DW5s8m$PX9<*Ew#{SRXkPVHEGME@BHsBm(I19N(gA1}kYqWB>X3%mi7)Kc{ zj9jC^1zDjrS_Mo!C^q06WjG5tOyGjB*r<eS1})cuag^c0$Tb>VkQG{^Rl(GQVgt@m zhO>}uh6}=CqZ+Omv|J0uQHBd6*JyA-R%ne@15*!Lt_5TNXK=`Y#zq573=|u1jxwBu zTyMYyS)lbsBV03RxfYD03>QYOH{gOS(0Zc@rXCa<aE>yZg&ZbuL0D`w!!?7JYr#0m zaAD+n11`t{tv6a=>Orvq=P1Kj$Tq_TVX@H)*9=;&1>-2gg^}wGxF8F(-e`lV2QAlv zvHvqT<U(Vk3nm7N4LC;`&O)v?;DRjBdZQbz8MIsr#!-d~Bi9>nK^ADe(F0QtiVZkN z8O}lu6SyEOHhSTjLCdvZ9A&sLa=if;WP#QjeK7T)*no4C;VfjE;exQ(=!a_tE!To^ zl;Oh2^#)v!1zK-RfT;&9*MhPCGdScyV`B<T3=|u1jxwBuTyMYyS)ldCRJdl)axEA~ z87_=mZ@>jvp!LQyn0iobz&Xlr7IK)t1!1u<9j+O)Tnolgh6^Lt8*o7uXuUB5rXCa< zaE>yZg={li5EdIV;hI-Y)qr!9;Vk5O11`t{tv6=DG=q-YfwBKHIOIcPV;)Ql6dQ1k zGMt56Z@>jvp!LRlxaNgZHQ*d&I19PnfD5uf>x~6)&5NgMz&Xlr7IK)t1!1wV5UzQ} zR1G*s8O}nkH{gOS(0XGLT=S}_8gPy>oP}&NTo4u;i{YAAPSt>Ol;JGodIK)V0<AZe zz%+vn3WBl!GdL80V}n_E1xySS8*q*?oQ2$(feZ3NJ2NZcnio#hfOC}LEaYw!T#y&q zjamiM%qXi2Xa8q#D1y3d9ZVkNGB`&W&O&w>T#yIqvh{Gy3#V$pIm&PrvdiFtJW!Wy zfN5rwRfe<wGdL83UB)831tt$#ECc7jjvU<zmt8nj8P0(nSGo-@yLhTHob#W-VLfP* z-FBEPqpUKV{hz_11Z*>_@NT%gpfa2dy-rDZ4@{D2<_u*x2YS<o@LrfKvyr%<GMop! z%R_h{Oqx+x1I|{4vyiuK!3Ciw&<pQ}>ow4Tvz6g2<a-L>g3wDqK=Y-C;o1e2;cS?v zj=&^Ao`Q2=o;nJX1$zq4gL�Oqx+x1I|{4vyeRn7lfWaFMJ%X*FXc#R)({XJp~tJ zgj~J=^3-X#c0px08|JAqFiDW7;2fBz&cbBDo`UmWo;nAUW)#+dvz6g2WKY2bp$Etd zpNH!;(15d*;VfiN!3CKRp1KUzE~pG=!#s5bCJFKsoCEXJRhTT;Q*a*4Q`cb9jKUgl zwlbWB>?yb)^bmL9>u|jW8gRBUoQ3QuxF9paQ@7#T1(o4!n5XW*Btf2nb6}pj3zG$V z3eJOh>K;s*QCI`cR)({XJp~tpo`o)aAFkIx1I|{4vyeRn7i58XicR<-Ogq!m8Om@D z^wu`vM{wB<Gc@5GMiyl_`#*z2DT4q5ia;5<KsmZV1*i;r3^SZ<*VOq_x6V+83&5;@ z0#m^>b(SWa!^olxXG705MG*iUWr`vII-L|nKm>Fk=~I~DjIx>tHj@UNtqf<uY7id= z1_rpG1L)p0IFAjL%L2Yc&q0MjfB}br*06oda3dHP96*A?&sd;q92gBX5o{J^IQu{3 zv{w`X&=IXD0-$qMHQ-uMq*P$jXmDxxVW@1vFIb?qgAxRs^Pj<?6%=PL;j$ZMXu>&+ zEXr{9e+Gv}P;?^;G@%PLqYJcv41WbP9F`#90<Z+}8m5A2>MRX7M;XpSjwrYwIA-8H zZd5J@BBF%fz)T0NfP%4=;i9k=WuR057X+II=RpjIbD@TVu5%H73p0?>P!qvsQHHaj z2YR3gfKDku5da+yfFc0dlg}pn9%ei!+Ta|}O@pAc{sAt#VTLB0!^olxXa8q#s02j{ zvOpEOKsCBR4Jg_^!VHH+8(aVuZJ%H&K=~NXVPsK;v!REuq6k=lY(rK7Izbji1?XT` z;m<I`8D%vQY!+oW8+xobiU8;&aTEb?p@FOgRA>l)ftzipiD0uR!`aYNTu}r-N1&nz zfX)v^5pV#v(%6K*!Hfq*8l3Z=!J!WnuHWIZ8)j(2IgBjIa5gkoq6oBsLJe6(JGwvz z$nYO9!(ovI7l1|DPnZf&UW0R#;Vk651{VZp2RM%%m5Wp;3jczc&M2#iU^8pL*~)Mh zEZ>33Teu)}g(-?E(Dh0<46p%}wxEm)HvsH3IFAvP3oaBG96-8+f5TmBsEJ^+D8t#% zyFX9_Kv!;{2!L+7KoNjkFu^AL7iK&t4Zu0jYE}3jTz10@O*n^<MH$Zi&*0DnieY4d zZghbjbb($_8u$-09F_*)0<bi|zzVMnW@*AXj4aA<HuO3R6amm36et3qiz843K({>z zGr|mKl+}Q<mEkPp^aU3LM-!aKh00|IM;o}(6=s4PZm5Z1vna#a(7PQ_1VEQ6pa_6& zGe8l5TqD3L%mOnW6l-t}EZA7#vKwY-!a0mA%5XL`kE00mgCYr8#RPPLiJ(|xgBcEs zHMjuGdUlu!P;m?AFfwVt*~)Mha{m@C2)(lahk6xI^8u8X;OfEA0Ov8Ga>0=T?QL_w zT*hdqiD0uR!`aXa5l{p`_Yj~6fR6u15rCY!&nnCVH(pQ~&W5$nd0~>E7CM{*YoYVO zWWg<TI1koB=Z8r%3TwdG%5WBP3mq;9J(osU0It_S1I|{4vyfZpa6#yugrE+h2wc0M zGMo+blqgIR<S94@<|#3lEZ9?U9?VnXFlk0%4LDmF&O-JSTo8IHjj#k<uYm@ftqf-& zdkQWHz3mX>DH*tSL1j1_<|$d2B*;^64$M<>Fj=st;5?Y8<YCf`!WwY4GMt6%DYzi? zSQ%jjxLyMdI9nOcLiQ9~5PFXy=-4nNn08R10O$N?aNq#7!Ia^$8)j(2IgBjIaQ1%& zhe@C;k1Q}5U0@2jz*JD7paL@-Rw%#)V1<GzOa-V=fO8mGl;LdX2@5C!piTZL0-$~7 zC<36Z&cbRi!x?2Y5o{J^I2$^ug(3jDK?y|wbnOv}0Qfc{b-3Avng}+FGMo+F9*iOY z+TMyH0NS33A^_Q5$R?}_GaeLaa1OLNEUX2W-7rHF&S7L$hO?ogc^Ys56e(L!y93m4 zflD(oIDiCERLurOp*GAaSQNqqU{R<8Qvr%XIERr%8P0~P#4iBa%7>x?w8KtV7iKu4 ztR{lZqzq?6ZzMty0AEF=2iIw+iD0uR!`U!bAq$9sk}<LXXk!_Q7Rc5oHemyp@t_ET zbD-T!VMDm=h8dc04kME?oc*7{VIIgbWP$mh05^hZg#|cV0P0|2W0(q1fWtYA%*t>! zR3(Oh23!S-6ujz$OM~l5IFA>V%LA@EAq^T6m|GZSHQ;PzI1AQD2Gz@OL9l6X9v><f zYB;!aY6>^pP!qvsQHHZ&{zMi4twcgm0UD4;5rB<@o5PF;jf2BE{}~(>fa1XdF1v85 zGMw|D!C@gt))FSmD60WyE5ljHTk_z7uq}C3aJ_~aaJDj>g*=%87liI|0o@B@1Je$2 zHk|XH!C?`|VYYDDg;SN`oc|0Ci$St>Fj+=f4LDmF&O&xJToAU0(H^eXPy^0ZhO>~J z4Hramwj)eC$k}kte+GvoAcr}@Wfx9WhI9TiI4lLpI>TfcWi{YzWjG7j*>FMFwt5%1 zUPBEyTN%zmb~aoP$=Pl&?I35vIsX|PmVq4R4wqdxRT<9t&)~2eB<lf_Wt7!`vz6g2 zWM{(#VVl=I;d%`<;A~|$3)$IlK_q8;!?c5(4d*~N$q4(vWfx9WhI62sHiUg)vW&9I za5gMk_`xL&HQ;PzI1AZba6#ziFi_$RfN2N03(kRVP!JA;%PyR%4Cg=>%nJv>WEo|Z z;cQrj42DY@YQWjba2B$=;DSi*3WaG0xeLyLE)EwCgUc?Qsto5q7b^>g!(<s{mEmky zevE)i8fw7V%5WC4yWoOI?uvqG2e}K*fiBDyj)u#E?zVz)pbJHXV_*WHyRBesSV<5I z6JRvdfU}k1EM#}V1(Dnp57Q2E7n}oKdMKO#mt8nj8P0(&g%eJM$ui0+!`ZNcAPFvM zr~zjy!&%7gf(s(KD+Q(<<SsY|y6j6h6)wAQsxq7dU4|r_29sr!Rfe-+MNm3i(oh4= zR)({X-31qfyNgXY6Q&*1T!3?+qa?yvaM=wrG~paZW@R}0KZC;xP;&uUU?sZ1Do~-5 z4KoYYet-)=YfIrAm<mw)0nTA$QHHajDp3U1f^5U90z3tr3p1QiRs+sfhO>}|PvC;! z766<FX%N7<+(@mBJh<V8ng}+FGMxRN!2#?}yaMY$K0#IinVx15E`S*iN<455bX}Bi zAzXIhRAo2^x=u&92qp_!ZU|$;s)=Hl0HdJ>oUIIJAtxTVAUyG~2$#aNgWLt@K-Z)Q zm%(KhPF03;plc+A%VDyNvdVBatb(e5OB!mx*~)Mhvb*4daCfl@SHZM{5;dFy?Jo*f z!(}(j(1ddsnUvw|{|pYRL2-dBum+TdYhYSoX&5d5O_{>AFcqLQ4CgSiD8t!Ml_Uy) zP7W2WgBi{!tBGJUE5q5aWQHsNPF2VP;OtNjH_A{G!Ddm0vtiCe76A7`kOe@;Z=z^{ zoB+uz+yXNmw8#X`QHHaSV-PL~TbR=d*Sv75GMw|D!9fZX2W>D}&>BG)`#*!j2JkEa zi*Pqg3}iK&qYP&uTMZY4tw!pBYhE~21I|%~vye5z1!3;)g==0sRT<8Kxw{W0%P6Z1 zXa8q#*a&tvtMFu)JjmT}jxwBuY&BdEn&^e6z%?(NssZOH!&%6h;es$vPlan<JXHhE zQHHaSHNypAo}LERyke>{oCEXpbeJrotTLSapTS`h*wf6yvtja}5(Liq&)^^lit0IV z*@aV;;T)LRb78WKvdVDwe+GxmV6)kT7s2E~0Sf0R!&%7Qf(t^Ms=|xmnio#hfOC}L zEM(1aL0D`ofoonoRRhjZhO>}0!v$dhx)iQ?#Z(PAM;XpS)(jVfMddQM=2cUb;T%|q zEr-c6$|}Rz{}~*%fJ2N`cr{#JP#MmK4KuERNrHwM;T&jq3$KOAf`=L5JlHVfI+!%0 zurizt&9uVn;gSX#aJDj>h3sLtAT+c<gQJ__+69&2Y?!OJz$8JghI3%9-U^ciyBf}e zxq2H+no(F8&W2`L;q7oq0}VJ^8O}m>HCzxHO(0kAhHDp8hO=R=-UE{axf;%axq2^5 z7VK&`59aE9Flk0%WjGs}=Y;peB@Hy-Y-KnL+0}4CXtD;m`Y>F(pfa2dbM+CJB*@ip z4$Rd@VX|OX!+9`QAA?CV3M<3e(6lLh94={~0cR`2S;(%23qo@;$knIe+69&2Y?!Oh zz$8JghI9TiILLyEptCSpu&d!bn5)mhq(O_uU~FZ$=zj(W8PN0(Xh;(-$N&)(J`YpR zXrKXSE5ljH3+3U043LHLAWvO}YZp|8vtgdP0+R%J3eJIf>MBeY>?t@8=BaBiY0#oE z7+V=GitH)4AS2XM*J0`z4K(0vWjG7jQ*c2>gr{!9wF@f4*)UJtfk}cq1?Rv#br&WJ z_7t24^VB_<G-%NnjI9h8MfMb2kO}Ik`!My41{!d-GMt6%DYzgL!c&jo+69&2Y?!B> zz$8JQf^%S=dJ2;TdkW5jdFmNVno(E-&Q^xAkUa$#WQKa`Ib5%S2Ar)7XCZqEF361V z)N8nQL1j1_=BYO@Nsy=D9GIux!eqgog7aXWdIytc6xM*VmEkO8Pr(IQpq_dU*K42w zXDh>5$ew}=LN}j)^3-Rzc0px08|JAmFiDW7;2fBzzQSa|o`UmWp85upW)#+dvz6g2 zWKY2bS)rc#4%cg-0cR`2S;(G(3qp5df;{ybu3b<W&W3sF4@?r|DL4n_slPB;u&3ZW zn5X{1q#1=Z;A~|$3)xd}K{lwT{=@YeXu#RZa2B$s;DXQt6F{C~W`i!ZU=&n_vtgcM zfk}cq1?Rv##R`)JdkW5jd5R4t%_yt^XDh>5$ew}=vO_(^4%cg-0cR`2S;(G(3qns1 z0(puXu3b<W&W3r42PO&f6r2O|6faB`>?t@8<|#gyG^4NvoUIIJA#Zts3o<~ryzs;I z8fd`T%5WC)Mjf~y17xEP$Wy{_?Sjg1Hq28ZFiDW7;2fBzL}9XEPr-RGPl>^#8HF|A zY-KnL*;8;qMyRL6;d%`;;A~|$3)xd}K}Lk9q~Y2HmEmldr(|G~AWy+LFi*+CWWk<- z^I)EmgGn<AYrxsca2B$s;DSt0Pszje8fd`T%5WC4r{IE22u~@)wF@f4*)UJ3z$8JQ zf^%S=QiaKaJq72%Jf#McW)#+dvz6g2WKY2bnW3IihwC-afU}k1EM!l?1(^|^(uQjn zRED!*p3;Fyf;<K1z&xc3lLdPU&VzYM4<^khtN~{$!&%6lf(yd7k?F(r8fd`T%5WC4 zr{IFn&2OMQWenFYs0?SrJY@ot1bGV1fqBXlCJXiyoCoui8BCf{SOd;hhO>}81s8<v zsWyk}HPC>wmEkO8Pr(JDJHbJovW9CHRED!*p0a^Sf;<K1z&vFOlLdPU&VzZ%4kpbg ztN~{$!&%6lf(ycqKCy@EHPC>wmEkO8Pr(JD2eyDb<qX#@s0?SrJmmtD1bGV1fqBXm zCJXiyoCoui8%&x}SOd;hhO>}81s8;!1Lh9bYoGyVE5ljHo`MTPPcH*`${Vg-P#MmK zdCCVS3Gx)21M`$GOcv}ZI1lD2KbSP5um+s13}+!b3@!+*YlQvbdJQz-Y-KnLc{eIt zkO8tA738U4xOPEhI2-1v5SS#$Q*aK<Q=u?fu&3ZWn5V*E(u~3yaJDj>h3qM~AhfO# z4u|VC(15d*;VfiN!37x+o{ENR7gUC`VV;VCNrF5D=fFG_3zG$V3eJOhDh?*iD69cz zE5ljHo`MTP>l)#BxLyMdI9nOcLiQ9~kO|?bWVm)gWjGt=sT7zb$Ww3*%u}f_S+J+z zJea4_VA71j8gRBUoQ3QuxFEEy5l)BeHPC>wmEkO8Pr(J55uVD1YZp|8vtgdffk}cq z1?Rv#l?#&vdkW5jc`6Sk%_yt^XDh>5$ew}=LhBmge7If%4LDmF&O-JSToAg$8<eMt z;o1e2;cS?vN??*8Pr*4bPnE)C!JdNiV4f<2Nizy-z}d=h7P6<{g3!7~xE!w6Km*QJ zhO>}81s8-KcLMTMHC(%(GMo+bR1HiL<S94@=BZkkEZ9?U9?Vm9Flk0%4LDmF&O-JS zTo78<2-m~)8fd`T%5WC4r{IFnQ^`P{YKChURED!*o@#+ff;<K1z&zColLdPU&VzZX z4JOSftN~{$!&%6lf(t_H8sT=hUIPs{TN%zm_7q$YdPFD4Q{8awg353<%u_uuNsy=D z9GItiVX|OP!Fe!G^}(bWg*D)8WjG7jVQ@icT_fBN*K42wXDh>5$lK20f((#t=O9l_ zhHDp8hO=RwngWvqc?!;fd1@+57VIfF59X<9Flk0%4LDmF&O-JSTo78<2v3LWHPC>w zmEkO8Pr(Hl5uTb2*Dk0GXTv--2PO&f6r2O|)LfV>*i&#G%v1AV(u~3yaJDj>h3qM~ zAhfO#o)6b+paEwq!&%6lf(tSsJhd3ET~Ha$hIwiUOcLZNI0xpbr7&5rr{Fx8r<TE_ z8HF|AY-KnL*;8;qXk8<`9In?u1I|{4vyeRn7i30wYBgNDpfa2d^VAxcB*;^64$M<) zVX|OP!Fe!Gt%FH33TwdG%5WC4r{IFnx<+_CT(5x!oUIIJA$tlg2tCRHl&3bswF@f4 z*)UISfk}cq1?Rv#wG}1{_7t24^VBw&G^4NvoUIIJA$tlg2(4>`x5M=sXu#RZa2B$s z;DXR|jX<8-4c9KH3}?eUwFf2%@)Vo{^VD9LEZ9?U9?VnwVA7yN4Pb0#xG1ux;DXS) zMtDC=J)?mJoUIIJA$tlg2t9rf<f+4O?Sjg1Hq28;V3HtD!8tHb9fiq)Jq72%Jar5v z4LZ~S##V-lB6|uh2(4>`kHge68fd`T%5WC4r{IFnvv@(CIt|w@s0?SrJaq;p3Gx)2 z1M}2bm@L>+a30K4=U~#H`6(D%87_+KFt{MJt`R;DQxBS-g0Yq1qR0oczy%o~2eW`Y zbs46GQBWDqhI#4=OcLZNI0xpbt1wxxr{Fx8r>?=ILGx2EwlZ84*;8;qXk8<G9i|>M zKLuke!$pxj1s7yQc<MGx3!|VioDK8T9hfA@Q*aK<Q+HvqU{Aq$Fi+isNrUF6U~FZ$ zD6*&Eg3!7~_&!WMXnqRDR)&irdkQYdgz(g3m=;DsWjGt=sV6W=kf-1rn5Uk?WWk<- z^I)EO29pNOPr=yAa8YDW!3Cjpjqr1rdPV~cI9nOcLiQ9~kQw2r*KqBE%5XN!Q*U6B zAWy+LFi*XO$$~uv=fOPn4kitnpMtTK;iAZ%f(t_H8sYaa^^67@aJDj>h3qM~AoQ>! zP@eh>*Dk0GXTv=81ttmd6r2O|)K{1+*i&#G%v0ZB(xCY%7+V=GitH)4AhfO#{ti>m zXrKXSE5ljHo`MTPPyPdW>Ni}wpfa2d^VA=hB*;^64$M=3VX|OP!Fe!G{eww^=BHq6 zWw<D^r{IFnx<>dvOg*E42Ar)7XCZqEE(krK7vw2scBJ_!7#rp(7MK9YQ*aK<Q>-vq zu&3ZWn5Woa(xCY%7+V=GitH)4AhfO#W{0U~G|+&vmEkO8Pr(JDr`3Zz#SPaks0?Sr zJjDZ(1bGV1fq9A-CJXiyoCot1A50oFKLuke!$pxD1{Z|ZHNyNb^^67@aJDj>g?w}w zT#x~BbQ#D~!f@?^%5XN!Qz9@)kf-1rn5RTxvS3fac`#3j!K6X+Q!utNTol<;a6xEY zBP<S6&uE|lXDh>5$ew}=G9o-B4c9KH3}?eUB?FTLc?!;fc}f;03-%P82lJF1Od2#l z1!F72MUg!P7lhU|!tyZnj0PHTwlbWB>?yb)6T(x<aP5N1a5l_SDlkcqr{Elzr&M9G zU{Aq$Fi)w$q(SpjFt##W6xma7L1<kgtPWGpXrKXSE5ljHo`MT9BRr)I*Dk0GXTv<D z1Cs=K3eJIfN*5*z_7t24^OPP;8Z<uzV=Kc&kv#<$gw{2}`Y`p31{!d-GMt6%DYzi? zI6zRIGKOmxRED!*o-%<+f;<K1z&vFNlLdPU&VzZ%3?>blpMtTK;iAZ%f(t_H8ewyo zdPV~cI9nOcLiQ9~5PCK)$WzvE?Sjg1Hq28tFiDW7;2fBzY+<rsPr-RGPuanwLGx2E zwlZ84*;8;qXk8<04^z)*paEwq!&%6lf(t^Aum^d{8LnMW8P0}z$^|9~@)Vo{^OP%0 z7VIfF59TR1m^5g93dUB3iz0gpE(on_gxz8484WbxY-KnL*;8;q=zT6APkF<&3o66e zFi-iwBtf2nb6}qGg~@_F1?Ry$<p+}n%}>GD%5YI+hrtD*b&aq;Og*E42Ar)7XCa@@ z2^VC5oX-jJR4`n-pfa2d^Hc~-667g32j;0zm@L>+a30K4VK8aX{1l9>3>QWA6kHHm z*9eEh)H51rz}d=h7P6<{f{X}HMZ>iVD#O_@PsP9_L7swhV4jMF$$~uv=fOM`2a^WP zPr=yAa8YDW!3Cjpjc`0nJ)?mJoUIIJA$tlg$b|4zGF-c$GMo+bR0>QI<S94@=BZSe zEZ9?U9?Vl|Flo^I6pXD57e)3ITo78<2&co;Ga6{X*~)MhvZvsJ%m`0q!?g=4!`U!T z<-jCCo`Q2=p2~&Ef;|Q2!90}*lLpOC!Pv@hQDjfS1)+6~a6U{uqk#sTtqf-&dkQWH zJ@Xcnr;6d)1(o4!n5Rl$k|0mPIWSL^!eqgog7aXWDuYRb=BHq6Ww<D^r{IFnx<<Gh zrk>G21I|{4vyeRn7la-F5AswsT)Ut$oDK6-4NMZ`DL4n_salvU*i&#G%u{tRY0&%> zjI9h8MfMb25L(v=*Td8^8fd`T%5WC4r{IFnds;xAYKChURED!*o@#+ff;<K1z&zCo zlLdPU&VzZX4JHkmpMtTK;iAZ%f(t_H8sT=BdPV~cI9nOcLiQ9~5PE4D$Wz^L?Sjg1 zHq28!FiDW7;2fBzdSS9)Pr-RGPxZm1LGx2EwlZ84c_AWP5W2QpxF4pT(Le*vR)({X zkB)~6GC+=w2YG5TT)Ut$oDK8T6qqE)Q*aK<Q&VBGU{Aq$Fi%Z`NrUF6U~FZ$D6*&E zg3z_)!qZ{u84WbxY-KnL*;8;qMuewk!?g=4!`U!T&4EdRJO$^#JT(_43-%P82lLcC zm^5g93dUB3iz0gpE(l#)E<7Kmp3y)9&Q^xAkUa$#WI}jqF<iT#GMo+b)DoB^$Ww3* z%u`EYvS3fac`#2cgGqztr(kSlxG1ux;DXS#<-*Hh>KP3*;A~|$3)xd}L1u)fR>QRm zD#O_@PpyGTf;<K1z&y1UCJXiyoCovNI+!$QehS7`hKnM53N8p;TQ0mFrk>G21I|{4 zvyeRn7ldBN0LoLF;o1e2;cS?vw!kDoo`Q2=p4tkN1$zq4gL!HjOd2#l1!F72MUg!P z7lf`Y7v2t2&uE|lXDh>5$ew}=LhmL5d1^OYyPz_h4fE6<m?X$ka1P8<dttI*Pr-RG zPwj(AgXX7TY-PA8vZvsJ(6!~l`(f%q^HVUkGF%kdQ*c4(6@nm79foOP6jX+@VV*hy zlLUDR&VhOAC`=aYDL4=2sber{(EJpPtqd1M_7q$Yy0%>SI7~fgehS7`hKnM53N8q} zPZ#8=(=aWJg353<%u{Dzk|0mPIWSM1g~@_F1?Ry$bq*#CnxBHPmEoeu4ucCq>l)$n zF!iAMDHvNBE{c4)3S5u@a=8k~Q<q^{K=V^DHq293U;-dd!8tHbU4_YlJq72%Jar8w z4Vs^Vv6bPX$ew}=LhBmg>oE18`6(D%87_+KDYzgb!c(_lT0rwtFgDCncVGe_Pr*4b zPu+#df;|Q2!8~;jCJmaOg0Yq1qR5_t3qtD};rlT4p!q2nTNy5j>?yb)6T(xEVOl`* zQ!qBnQ%_(5AWy+LFi$;&$$~uv=fOPn3?>blpMtTK;iAZ%f(t_H8sX<K^`QAF7+V=G zitH)4ATz>KuVGpk1(o4!n5W*rBtf2nb6}o&3zG$V3eJOh>K#lPG(QDnE5k*RJp~tp z)-}TKVd_EiQ!utNTol<;a6#yWMW8(O8K#9%P#MmKdFl&H667g32j;1-Fj=st;5?Y8 zzQLqH^HVUkGF%kdQ*c3OT_gM*rXDmu1!F72MUg!P7lhv42lCW!m=;DsWjGt=sXs7D zkf-1rn5X{2WWk<-^I)F(2a^WPPr=yAa8YDW!3Cjpjqrb%deHn7jI9h8MfMb25PHcj z$WzQ5Nb^%LHq28jFaeOK;2fBzSYfhYPr-RGPqD$ILGx2EwlZ84*;8;qXk8=B4pR@B zpMtTK;iAZ%f(t@#oCkS|8>WR(P#MmKd5Q-n3Gx)21M?IwOcv}ZI1lD2KA1FUehS7` zhKnLQ3@!+*YlQh>>Ou2UFt##W6!``*xF7@M1~HJQgkf431(o4!n5RTwk|0mPIWSL& z!eqgog7aXW5`#&D=BHq6Ww<D^r{IFnx<*(WrXDmu1!F72MUg!P7i2_uN*bnxQBWDq zhIvW`CJFKsoCEWeEKC;cDL4=2DLI%lXnqRDR)&irdkQWHt!sqkVd_EiQ!utNTol<; za6u-7r<7q@7zLH#Y?!B1V3HtD!8tHbslsHzo`UmWo>GHJgXX7TY-PA8vZvsJ(7Hxg z9i|>MKLuke!$pxj1s7yScuE_lg;7u$&W3qP2PO&f6r2O|lrBsb>?t@8<|#dxG-!Sb z##V-lB6|uh2(4>`^<nBk^HVUkGF%kdQ*c4(t$d(7Wen58D5wl)!#rgIlLUDR&VhN# z6ebJy6r2b1lo?DKG(QDnE5k*RJp~tp)-}TBF!iAMDHvNBE{g0axFGb3T9Bu#VOkgk zmEmldr)*%7AWy+LFi+XSWWk<-^I)E`gGqztr(kSlxG1ux;DXS)M%W&v9yC7%V=Kc& zkv#<$gx(|%@{}`73!|VioDK7o3rrH^DL4n_DOZ>**i&#G%u{YKY0&%>jI9h8MfMb2 z5L(v=yTjCj=BHq6Ww<D^r{IF@h&<&D)50jI3}?eU<pYxhc?!;fdCC_i3-%P82lJF4 zOd2#l1!F72MUfo_7lhU|!u~Mzp!q2nTNy5jd{rP^kO6X4ASkASVOkgkmEmldr$S(o zAWy+LFi(ZTWWk<-^I)C|gGqztr(kSlxG1ux;DXS)MmQX%9yC7%V=Kc&kv#<$WJGu> z8m5I&P#MmKc`61b3Gx)21M^fYOcv}ZI1lEjIG8kOehS7`hKnM53N8q(YlP!r>Ou2U zFt##W6xma7K_-N!l3`jH1(o4!n5R-;k|0mPIWSM9!eqgog7aXWN`py*=BHq6Ww<D^ zr{IFnx<)u1rXDmu1!F72MUg!P7i30wDjTMSQBWDqhIuLnCJFKsoCEVzE=(5eDL4=2 zsXUl8XnqRDR)&irdkQWHt!sqyVd_EiQ!utNTol<;a6#zxo1i>Z4Aa6Ws0?SrJXHdd z1bGV1fqAMFCJXiyoCot%8B7{9KLuke!$pxj1s8<YHNxdE^`QAF7+V=GitH)4AoMP3 zkf*9)S{MbD;cS?vYG9HePr*4bPu0R?!JdNiV4kXjNrUF6U~FZ$D6*&Eg3!7~xE`h+ zG(QDnE5k*RJp~tJLnOaum=;DsWjGt=sTP<d$Ww3*%u}r}S+J+zJea52VA7!ZDHvNB zE{g0axFEEy5pIX62hC5x*vfEGWKY2b*%6-VhG}6GRED!*p6Y=~f;<K1z&zCplLdPU z&VzZX4<-$opMtTK;iAY6g9}3I8sUDJdeHn7jI9h8MZQcIF3146Oc#{=Cd0Ha3M#|d zFi%Z^NrF5D=fFHQ6($Sz6r2b1)HIkhXnqRDR)&irdkQWHt!sp*!_<T3r(kSlxG1ux z;DU?@PtAsDVH8w`vtgc^1Cs=K3eJIfYA#F`>?t@8=BarwY0&%>jI9h8MfMb25L(v= z&xff8%}>GD%5YI+Pr(J55T05L)50jI3}?eUwFD*!@)Vo{^VCw9EZ9?U9?VnAVA7!Z zDHvNBE{g0axFEEy5nc{c51OBXv6bPX$ew}=G9x^-8m5I&P#MmKd1?(z667g32j;1@ zFj=st;5?Y8*1@Df^HVUkGF%kdQ*c3OT_e06rXDmu1!F72MUg!P7ld9=4a!rSVOkgk zmEmldr?$W(L7swhV4m6vlLdPU&VzYs8%!EBKLuke!$pxj1s8<YHNx9r>Ou2UFt##W z6xma7K~_Xe?S^S#6jX+@VV>FplLUDR&VhMqFH9EfDL4=2seLeM(EJpPtqd1M_7q$Y zTGt5ghp7k6Pr=yAa8YDW!3Eh6o;nQE0-B$Kv0<J%0uumv3eJIf>L^SW>?t@8=BZ;a zY0&%>jI9h8MfMb25L(v=ABU+2%}>GD%5YI+Pr(J*5uQ2?(*l~Gg0W$qIs+2`c?!;f zdFm`o7VIfF59X<JFlo^I6pXD57e#g$To78<2%m?kXEe}&vz6g2<m=|)f((%B=0SPt zGF-c$GMo+b)D@T{$Ww3*%u`okvS3fac`#31gGqztr(kSlxG1ux;DXS)M)*2RJ!pOk z##V-lB6|uh$cXUNZI~9&{1l80^VA)f0LW8t4$M<`VX|OP!Fe!G-GfPk=BHq6Ww<D^ zr{IFnx<>duOg(6R3dUB3iz0gpF35!N)MJ<y(EJpP4fE6!m;lIAa1P8<PhqlPPr-RG zPd$T4gXX7TY-PA8vZvsJ(7HzWIZQohehS7`hKnM53NFZu@YHLV7SQ|@j1BYD8<+sd zQ*aK<Q*U9iU{Aq$Fi*XMNrUF6U~FZ$D6*&Eg3!7~_&rQLXnqRDR)&irdkQYdg7DO5 zm=@6d6pRh?)EAfl$Ww3*%u`=svS3fac`#3XgGqztr(kSlxG1ux;DXS)M)*5SJ!pOk z##V-lB6|uh$cpgPZ<rR){1l80^VA=h0LW8t4$M=3VX|OP!Fe!G{eww^=BHq6Ww<D^ zr{IFnx<>dvOg(6R3dUB3iz0gpF35)P6f-B%{1l80^ArnA0OTn+2j(eOm@L>+a30K4 zY%poi{1l9>3>QWA6kHHm*9f!2)Pv@yU~FZ$D6*&Eg6s%Sal^EL=BHq6n5TGP0w7Pp zIWSN0!eqgog7aXW;)6+p=BHq6Ww<D^!{CC@x<;5ErXDmu1!F72MgKE69D$u-3m0U7 z2!iS<VVD-s{1l80^OOio0OTn+2j(eJm@L>+a30K4VlZjY{1l9>3>QWA6kHHm*9eQl z)Pv@yU~FZ$D6*&Ef{X}HNyD^&=BHq6n5Sf50w7PpIWSMj!eqgog7aXWl7mTu=BHq6 zWw<D^r{IFnx<*(YrXDmu1!F72MUg!P7i2<sN*SgFG(QDn!#t$|699P%&VhMK6($Sz z6r2b1lp0JLG(QDnE5k*RJp~tp)-}TFF!iAMDHvNBE{g0axF9paQ`#^sp!q2n8|En; zm;lIAa1P8<x-eO=r{Fx8r}SXbp!q2nTNy5j>?yb)w5}1>hp7k6Pr=yAa8YDW!39|m zo-&4M0nJar*f39-zyv^^f^%S=GKI;4Jq72%JY@!x2F*{w*vfEGWKY2bp>>V0IZQoh zehS7`hKnM53NFZs@RT)73ut}{#)f&y1||UV6r2O|lr2ma>?t@8<|#XvG-!Sb##V-l zB6|uh2(4>`?P2Oc^HVUkGF%kdQ*c2xgr}TgT0rwtFgDCnE-(R*r{Elzr(9vOU{Aq$ zFi*L`q(SpjFt##W6xma7L1<kg><&{8nxBHPmEoeuo`MUqBRu5|(*l~Gg0W$q@_`9} zJO$^#Jmm|M1$zq4gL%piCJmaOg0Yq1qR0+|3qtD}VSku<(EJpPtqd3a&){$rR!_kN z86bk7dMX&E1vEbeW5YZZ0uumv3eJIfDikIQ_7t24^Hdm28Z<uzV=Kc&kv#<$gw{2} z;V|`}`6(D%87_+KDYzgb!c);OEui@+7#rrP7?=RaQ*aK<Q?W2vu&3ZWn5W`k(xCY% z7+V=GitH)4AhfO#j)$oS%}>GD%5YI+Pr(J55S~hgX#veo!PqcQrN9J0o`Q2=o=Szu zf;|Q2!90})lLpOC!Pv@hQDjfS1)+6~a5_vqXnqRDR)&irdkQYdjPO)8Obcj!3dV+c zDhDP2@)Vo{^HeTO7VIfF59X;nm^5g93dUB3iz0gpE(on_g!5tQLGx2EwlZ84*;8;q z7KEpYVOl`*Q!qBnQzb9~kf-1rn5Rl%vS3fac`#3v!K6X+Q!utNTol<;a6xEYBU}zs z51OBXv6bPX$ew}=vLZZH4buXepMtSro~nTffIJ1~z&uq8lLdPU&VzZX4kitnpMtTK z;iAZ%f(t_H8sU1FdeHn7jI9h8MfMb2kPYFfW|$Vx{1l80^Hd8=0OTn+2j;0(m@L>+ za30K4Z7^xj{1l9>3>QWA6kHHm*9f=6)Pv@yU~FZ$D6*&Eg6s%Sb;GoP=BHq6n5TMR z0w7PpIWSN4!eqgog7aXW>Vrvx=BHq6Ww<D^!{CC@x<<GkrXDmu1!F72MUfBYg$qK@ zS_jorlVMsw^HVT3%u`cf0w7PpIWSL6g~@_F1?Ry$H4P>WnxBHPmEoeuo`MTP>l)$d zF!iAMDHvNBE{g0axFGbbb&#iK!?b|rr(kTDr{=%}K%RngV4j)_lLdPU&VzYs9!wfE zKLuke!$pxj1s8<YHNx{@>Ou2UFt##W6xma7LFifQAWtoZX#veo!PqcQErAJuJO$^# zJhc=i3-%P82lLc2m^5g93dUB3iz0gpE(on_gqOqAgXX7TY-PA8vZvsJ(6iP-o>~pl z0-B$Kv0<KC0}}vw3eJIfYAs9_>?t@8=BafsY0&%>jI9h8MfMb25L(v=uZO7z%}>GD z%5YI+Pr(JDXRU)gwHc-bG(QDn!#uSGCIIpjoCEXJR+uc<Q*a*4Q`=zDp!q2nTNy5j z>?yb)w5}1}4pR@BpMtTK;iAZ%f(t^=S_gS*H%tp?ehS8hd1?<#0OTn+2j;20Fj=st z;5?Y8_Q9k<^HVUkGF%kdQ*c3OT_e07rXDmu1!F72MUg!P7lfX*4)WAtm=@6d6pRh? z)Df5f$Ww3*%u`2UvS3fac`#2MgGqztr(kSlxG1ux;DXS)M)){PJ!pOk##V-lB6|uh z2t8|^g^^kKG)xQVmOD7-KZAoD=!&T`aM^`ZmEoNK3=YyD*|RWNMp<Pz`#*!jdGMWW zEW#II@}OJp;2dQ*3wE(7=n5*hAOi#Bmh?+-%?qb0!#OakFT-UQPt|~Pl;N!Z3=Zs! z0u10=QsIIO5JBN9FwKmz%5e6728ZKdcQXm!fXRcx1kU--;BXz}>zgoHMp<Pz`#*!j z39xQ9;kz(-kgwq!WjG7@3TwC^BZI>>km2{>nio#hfOC}LEadCN;DXRg(1h>9H7}m3 z0p}>gS;(5<f{Y9fTR}EIfNNecRRhjZhO>}0!v&#N-3dR0YhE=~1I|%~vye5z1);Z~ z2|t2qW|UQiv;Q+VoP-AGGnhOmK;ax^I1AZuxFF2%=Wxvnr)t1C%5WC4X1E|MKwrQ$ zFP^Fa=P1Kj$eQ7TumF7t*Sun?2Arb|XCZ5b3&H~Q6<qVGsTy#OGMt5+h~R>-MD!Y_ znNe05&i>Efa0(ot?85J1@}K~PbClsMWW(WtFvCB<H7}g10p}>gS;*dk3&H~QBV6<1 zsTy#OGMt6187>G5&`)s9E2e6|Im&PrvSzp-EI>cQHLseg0p}>gS;(5<g0MvN1+IDJ zRAo2^Rv>(Z$ui0+!`c5C98N=n`zK5u6x?u*GMt4R+;BlyaQ}j9UN}_)&QXT5klhUz zga!9+xaP%EHQ*d&I15=bTo4xAf8d%|Ox1vMl;JF7&2T|jaQ}sCUNuz%&QXT5kTt^v zVZr?mu6gBD4LC;`&O#0_xF8d>1pN=w%qXi2Xa8q#I0KChW-jRL9Vj;79A!8Q*>JcZ zEH+r+nio#hfOC}LEM#xN1!3M|g==0sRRhjZhO>}0!v$dh$_CfGVyXt5qYP&uYlaKL zqLLl1dDT=6I7b=ILe>lyge4*lxaO5pHQ*d&I14$z;DQX$*x-a|W|UQiv;Q+VoCU`Q zn=mg-9uymJjxwBuY&cvHW;h>Q^TMebaE>yZh3qZ3AS^)n;hGmu)qr!9;Vfj$a6wpr z3cxk5n5qHiD8pIEn&E=502PF5UNuz%&QXT5kTt^vVTnixrkPPz8P5LC;BXEcpe({- zFnLhh1<v`;;BW&}$&15f7fw}%bN(|p+yu!=z-1RtRfco^GdSD=$x6ax8D*8>?Eef7 z7r-{N3(LafK~V|kD8pIEQ3)4>Wh^<k=7m!=;2dQ*3pq^Sg0KLUhihIuRRhjZhO>}0 z!v$gKKmo3K#Z(PAM;XpS)(jVfr2|E{=2cTQ;2dQ*3t2N<5Ehk6aLp^HYQQ<la29fa z!3AMyUm2#EQC1nw{?FiW5gZ$A!s;-2P;9_C%5WC4%iw~r0M&qNUN}_)&QXT5kTt^v zVF9WM*SvVD2Arb|XCZ5b3&O%g3$A&^R1G*s8O}o13>SpOhBjRDs;L@qjxwBu9H4MP zSPImEX=apFhO_@OI9vh;D7&x$Odgc6;2c=SGK9-6oT?1xz_N}JTz2tP4LC;`&O&w= zToC3kW4PuOQ#IfmWjO0UgM%t;atSWT2oV%EfoonhRRhjZhO>}uh6}>dm?>QI%BdP~ zjxwBuY%^St32L($Of#dbGMxRN!QnDAJgs2zpzwrqpeb0`8ZNtVss@~+3}+#y1Gpe8 z`P#rWFP^Fa=P1Kj$eQ7Tu;gnC*Sun?2Arb|XCZ5b3&N7G9bEIOsTy#OGMt6187>G* zzV>j<E2nC}Im&Pra$La$Va*-~m}W*<WjOmkgTobQaJ#_dLFECQqYP&u8x9wQB|%rX z=7m!=;2dQ*3)x$6L0Fi$!8I?QssZOH!&%6h;exQJbcbtRF;xT3QHHaSHNypA0qOzQ zylScjoTCh9A!~*U!qR~!T=UAQ8gPy>oP``<a6wqk@q%e)lvRea|1&sT1;+-Pupdkw z6dQ1kGMt5MI9w2BxIbL;!l@c?jxwBu>@Bz;EKCC6nio&ifOC}LEM(1aL0D7<!Zoj$ zssZOH!&%6h;exOL4T5W4HB|%7QHHaSHNypA=^z-UnNe05&i>Efa19)w?84zNc~F4D zIm&Pra)81GnW2r;2)O2jQ#IfmWjG7jWpF`Qm_))gFP^Fa=P1Kj$eQ7TurP^&YhE!` z1I|%~vye5z1z}Mc4cEMCss@~+3}+#0h6}<1GzPAD<x~wgM;XpS4luYNtgwlNX=apF zhO?pFVHQRf;Y64`sBDLG{xdl6GYT*WC&6VGPF03;{xdj;fMk>5vWurG!#S{VzZ94( zqpUKV{hz_%HaH!y31`COLFoX_QHHaSy#*J9c`FO9dErzII7b=ILJm;4AS^1g;hGmu z)qr!9;Vfj$a6wp9=D;<tn5qHiD8pIEn&E=5sLX|HUNuz%&QXT5kTt^vVaYcSrkPPz z8P0~bYFHTAgo|PFpa6w)l;JGo0EG*}>Wvb(=7m!=;2dQ*3)y9GL72-*;hGmu)qr!9 z;Vfj$a6wprmccczn5qHiD8pIEn&E=5s4RzTUNuz%&QXT5kTt^vVX;vG)66KV3}-`o zSfBu{g~@{g6wXnGvycN6E(j~B>fo9ePSt>Ol;JF7m%#;LVNws*ym+bxoTCh9A!~*U z!eXNVu6e~&4LC;`&O+7<7lZ|9BV6;UsTy#OGMt6187>G5&?cB>Mp<Pz8``o4P0O^y z<Us)n=P1Kj$cDoOVczP1YhE~21I|%~vye5z1z|4hglk?rRRhjZhO>}0!v$fn(FNDM zVyXt5qYP&uYlaKL0<;^ZnNd~)&Q^xAkQ?T3L1>o%6l4=%+Cf1E=P1Kj$acd8VZNRS z*Sv752Arb|XCZ5b3&I>e39fnZR1G*s8O}o13>Sn2*<`rp6;n0f9A!8QSu<P^7SmH; zni*v^;A~|$3pvQ(g3!JUXr62aOgkvZ;2dQ*3wbUJF31F(%bE$-yl|>AoCB-VX2E0` zWtHLV{|pWf!F3wD@I072$Z9x88O}o9W(^mF9WpT=u6f~94LC;`&O$y*1TF|WNofIG z^Wv!*aE>yZg&g2;L0D`pglk?gRRhjZhO>}Q+ky+i&Kg_<*Su<~2Arb|XCd1R7lb9U z#c<6lr)t1C%5WC)d6aNL*lD~=V44|amEr9F3=Vgov9SUs4~h*qM;XpSUQ`4Zge|FD z3D>-Ess@~+3}+#)oP`U*_6@9pYhFB61I|%~vyj6CE(nW_)o{%#rfR@B%5WC)-V(SV zY-imXxaL(;HQ*d&I1AZkxF9Sx*1|QfoT>rmD8pIEyD#B_u)XK&V44|amEr9F3=Vgp zv9Spz4~h*qM;XpSz8ViM2)h_`GhFk+sTy#OGMt5c|0`S&cKh`fxaP%EHQ*d&I14#U z;DWH&*b3LYVyXt5qYP&u&)UNUp=*|ex4|{9nyLZkD8pIEHp2yBv9TSldF50MI7b=I zLSAbC7lf^}+5ywdD60%-|7UQx2aSzAFnLgHz&Xlr7V@Fva6#ym3&MNhnio#hfOC}L zEacP9;exQc9`?aCFP^Fa=P1Kj$YBB(gvG{wxaJj8HQ*d&I1Bkc5V#=hHlzb^&8wzr zz&Xlr7P8H7L0D`Yglk?oRRhjZhO>}w%z_KT?h8Bw)66KV3}^pmaJUbRjbku*P;9_C z%5WBPy#W`5o#S&Hu6f~94LC;`&O)v?;DWHj>Q2BlFP^Fa=P1Kj$YBB(gvG{5xaJj8 zHQ*d&I19PnfD6Kob3FyuylScjoTCh9A=?ZWgvG{bxaO5pHQ*d&I19PnfD6J7JU;`| z%qXi2Xa8q#cmR$KX5kAkc~ES?Im&PrasvV`$OvsfT!d?0I8_<Wfi)m5!DJa_mEr9F z3=VI=R<jFVgUN%ehI5qREaWN+E(lvNe;uxQ;ZzMcM;XpSuCm~Quw7v{;F=dt)qr!9 z;Vk6nfD6JB!cDm56;n0f9A!8QxyphI!uCzyf@@wiRRhjZhO>}uh6}<H!fm+bl~Xm~ z9A!8QxyphI!cG>s1JleXs|;uVXK;7~jg1E|c~ES?Im&Pra+L)agkAgm5UzRQR1G*s z8O}nkvfzSD3=TU$GkA~Snio&ifOC}LEaWhO3&LXKF<kSCsTy#OGMt56Wx)lZD^i7@ zz%{R$ssZOH!&%5S!v$fn@f5Ck<x~wgM;XpSuCm~QuywT0V44|amEr9F3=WT>vGEEf z4~h*qM;XpSuCm~Q&<k~hU&A#ooT>rmD8pIERTf+jc9YK=xaP%EHQ*d&I14#U;DWH& zcnjCOVyXt5qYP&uS6OgD*j;z;;F?!W)qr!9;VfjE;exQ(cn{aSa;gTLqYP&uS6OgD z*ln;MV44|amEr9F3=U7AvGD~a4~h*qM;XpSuCm~QutNgB!Zk0PssZOH!&%5x7F-Z^ z67Dy+=EYMr;2dQ*3pq^Sg0R^54%fV5ss@~+3}+!%S#UwvS@A#MnpaKLfOC}LEM%ME zg0R^53D>-Gss@~+3}+!%S#UwvZ7RQDni*x4;q3nm4o{)6@ed{siVZkN8O}nkH{gP> zEph+hnio#hfOC}LEaZ9vE(qKA&A<&?q_cRc2Arb|XCa3PTo4u;jBw2>rfR@B%5WBP zy#W`5os7Z+*Su<~2Arb|XCd1R7lg$IGhFk^sTy#OGMt56Z@>j%XNR%CG&9O7!`c5C z9G*dAg99cHiVZkN8O}nkH{gN{3=TU%ML#E8^TMebaE>yZg<NmI1sS0A1{YlO;;9;N zjxwBu942r<SZr{^HLsYe0p}>gS;+MUToAhFM3@JzdDT=6I7b=ILbe$$2#XC~xaO5p zHQ*d&I19PnfD6KQ%J9K7Gs-H%+5Z_Fo<n0p2qq7T4LC;`&O)v?;DXRA^Mr-rnio#h zfOC}LEaZ9vE(p7;PXw-c@l*{sM;XpS4imT_EH*^pnpaHKfOC}LEaZ9vE(p8LRt&Cr z)l>~QM;XpSwizx6iw$wO=9N=5;2dQ*3%TBa3o<Y`>;ffU37BR^S!Fo;KZC;yXl%&9 z<Uz3k=P1Kj$n^$X5O%t!EL`)#sTy#OGMt56Z@>j%M_9|jH7}m30p}>gS;%1m7lg%z zJY4gNsTy#OGMt56Z@>j%mpmxIHLseg0p}>gS;#iS1!1wF2-m!Fss@~+3}+$N8*o9` zHAqS@&5W|jaQ1%&hnLXUP=m>XVgt@mhO?0C4Y(j|FRMCS^TMebaE>yZg<NmI1z`s^ zXuvfuo~i-oD8pIEVFDL~#fBza^NOh&aE>yZg<NmI1z|@TX~8wGnyLZkD8pIEHp2yB zv7rsuymG1roTCh9A=evlLD->xIxx+kg-bB@e+GwF(AY45iGgAR&QXT5kn0V&ATzYy zFobJfI8_7AQHHaS>kYUdGqm0?f@=mXdxCM4;ljva0vCkEhA~V%6KL5JjH3(}My@yD zg3!%k!X_~FOrT{?Fpe@@7};jHAS^aaVd|Mc%bs8yWw<bMy#W`5ZE-V$sRu24g0cTI zIJ^eO2D7jgObiqoaE>yZg**%Z7liGJv4(42I8_<Wfei!Lz+@R^mEr9F3=Z$WR<jE` zz~n(z!#T=u7IKvZ7ldB3DeMT>yl|=poTCh9Ay-*&LD>DCPH@eOr)t1C%5WBPbif5+ z3BehzdBs!>I7b=ILawslg0LH~UErEmP1S&Nl;JF7o8f}6gy0I-ymG1roTCh9Ay-*& zL1t)`<p$HtD60%-|7UP`3yuv|VK10GXpID%16u{*4VPUwRT<8Kt)TFM%PyX(4Clbo zfiGNk#Z(PAM;XpS-WvrMWQOjI@`Gt+lvRea|1&te2RoZZI0z;WN<?suGMt5+e&K?! zd9Yx(=7m$0;T)K|L*TNDr)t1C%5WC4yWxUNP<MyIG&9O7!`c5C96mtZ9SM^Mxf{+= zhO>~}4HtwBzeT|{FPy3j=fK<@4VPU!RRhjZhO>~}4HsmDx;qA@nNe05&i>Ef@Dc28 zX5j>wJjmT}jxwBu>~6RqFLc;25w3aRRAo2^=I$hzETgP4oc*7{;S<#AG?+ZdYB)z3 z&O){tF31D5IvuWg;Z$Wf2WE8!OqNkr8P5LC;P4r2HH&Z#Ode!4oTCh9AzKX><bztB z3)j4Gsxq7dvpNqhyLhSwoTCh9A;%V6kPjML`7q6lvdVDwe+GvyP<I!@<U#I+bClsM zWOu^_VX;*L*Sv75GMoc*cPU&Jv>XP;QHBd6yBjXZ3w3uHOg*ElGMxRN!Qm^^-BmDo zkh|d=WjG7j-EcuzR9C|_FPy3j=fK=u1D9PqRRhjZhO>~}4Hx8ry1N#pnNe05&i>Ef z@D1#4X5mJdJjmT}jxwBu>~6RqJ2ag%!8I?Osto7A+}#Y5Wt3Hhv;Q+Ve1}@y4wDC2 z4d*DsS;$ty1=*lhcfd6-oT?1xz^v|s$ui0+!`c5C9DYEp?uE&NtcG)x;VfjU;exDC ztNY-Z7fw}%b6{5Y!(<s{mEr9F3=Th`R!@e>gRF*gl;JF7tKotyP^+iFH7}g14ClbC zo(hv?lvRea|1&uJ0$a@@JQF4lvKr1&hO>~Zh6}>tdKO&s!l}w|4$SJ=aM{IEHQ*d& zI14$A!Ufr(X><-uGo!3Boc*7{;WyOX3t;jfcf&c#a2B$=;exP)vJkF$;Z$Wf2j=cY zaM{IEHQ*d&I1Aa`a6vYxyBEVWGs-H%+5Z_F{y^Qm93~HPH=LskXCb>AE(l9yE8v<J zPF03;VD4TCmt8zn1I|%~vyj~l7i5LHdlgJGqpUKV{hz_%FVx-ZVDcb$!#T=u7P7nH zg0LjI9<F)eRAo2^=I#w}*~L>e;2dQ*3)$UpK^CaHH^MYC$|}Rz{}~+qf!)n4ycH%7 zayOi#3}+#`8!pHV&05>wnio!0hI3%<-VT#xlvRea|1&uJhg!WGCJ(Y2&QXT5kgbLb zazU-$1J}H8sxq7dvwAN~mQhw2&i>Efz`!WL09sdd5GD_@8qQIMvyiQZ3vxoOJ_Ogi zaH=w#1GD-tOqNkr8P5LC;J^sA`Z!D;WHp?l3}+!*4Hx8qT73epdEr!LI0t6+Nti67 ztTLSapTU6%Y&DDUS(rS?YB)z3&O){tE(nY3b8yWIrz*oaFsskQWfxD?fOC}LEaWr_ z7vzSf(F-umjIzpb_J0NkW~jTbz~n*hhI5qREM#}X1z`#0DqQozsmgE;%-z@EvWur` zz&Xlr7P7nHf?QB{Ux#UClvRea|1&tSK;3;CCJ%BqoTCh9A-fwc2uo#m;F=dsRfcn5 z?!F6`T|89-&QXT5klhUz<b=BW9!xW%tTLSapTU6@>h4D{d62u|9A!8Q+1+qKSQ32< z*Sv75GMoc*_Y=76;;9;NjxwBu>~6Rq2h`n9VVW6bmEr9F3=V8yce4t=gv$#m!`ZN- zQeMF%K}V&)InZ-MgkQsC!AGUQd9b5W-oT_8g_Ys#{|pW?puGcc;gSX#aJDj>h3qA` zAoNfW(9-PpFzrlJXDGut{}~)aL1WM#;IbQLXu>&+EXr{9e+CB*MgayC0Zw!QE_4BI zkjp>93}@Rlb^g??GnC;1FzY|TR4`4Qr3vRSvM9sZ&@)I-1VCqKpa_7@fItxd`|UH# za7I~81e-+}&i>EfzyoqPvH&l-03W&lc)Q0JxY>r92sVo{oDDrH0Yw0`TOUOLv|$`Y z0J7nkP52wkcu=IlIj~Us4wv09Lle$nWKo8*|1&rUfZUBNAc!s?gf1WqinJdv!(ovI z7l2v+6Q%+bX>blBi!z)I-9U{Z0NTTgA^_ThiXs4xv|lj88D%vQY$jzm8#<Vz0T)1# zQUPrm^<iLOfJ-}o4&s6Hm{7S4U;sIr=QrGDLrnymMH$Y9?(;+u0PRFX5ddw5LlJ;% z=3*263o{-RrEm@`O#i`UH_Xt4a~N5a;cSpfP=p~1h@lIJqYFrYqVzw^a9EVW1we*^ ziU9^5*wpmYS(<PTBa1Se4c(4}A^=*;jUoVAn}s3(8l@Fxgc;5#tBGK<D8t$R85|@* z4o4P{LKl!m7XTNIOmMReH4$tUWjGr;%7-EV8a_i20F6tb2tW=^Vi9J684nuIgmXX# zV1dGw6)wAQsxq7dI%Wza%LbEWl+}Q<mEkPpEirIG*d8NxxL!jII9nOcLLT6S3qtn< zfVQe}!nA{&4d?u4aF7Q%j0-NiaH=w#1FDNavfMCPMp+FwTN%zmb~aoPw%3dYuGdfl z&Q^xAkev+|L~=GCOgqTgaL#`Q2St#>_~Eh(rz*oa{}~*VK(YcbSw>k6I9nOcLUuM> z5VrSJ5U$ry1I|{4vyhz)7esQlFibnh*>KK(1_x!3!$jb+3#Tf>IiNNUNLCak%P6Y> zXDh>5$j*if!uCyx!Sxzyz}d=h7P7P9f=JGmfN2Lg8_t1l3J{ir%PyR%4Cg=>sS8WN zWEo|Z;cQs8kcLYdYQWjba2B$=;DXS7IH1HU3)2pA7n}oKkS#0+mt8nj8P0(&5Ehn) z$ui0+!`ZM5sQ{NW)PS><;Vfi#!3B}rr3BLsau=KfT?{L%43}LvRT<8Ku8<T~fypw; zD#O{Z{HO|-G}M5zmEkO8cfkdb+@%iF4ssWq16>U$tO1u@I8_<Wfv!#y)`ZD2$|}Rz zu#!LvE@`L%XDh>5$nJs*BDqTkrXA!iI0w2COIQ~!yKt&9oC94MB&-LMWt3Hhvtb2+ zK3vjJ1I|{4vyj~d7esQGAxt~SU2qO`6^yVETz279WjF`ADnr;9Cd(+R3}?fNAQQNx zp$43-3}+#`3oeM{E;E>Rkh|a<=n4d3bGYonsmgE;bVgj*0w&8Ss|;tuN+3(Pq@f0! ztqf-&y9+Le<SuKNc96T^9O&$?unk;x;Z$Wf2RbDvYzvcRlvReaVP&5kT+&bj&Q^xA zklh6rgu9DX*a@y(P#MmKb;q1xlA!JwoCE8Qxxi$>-7z>1l<+~VAy=3*qp&iZ{hz_X z98_Yv!6gke;A~|$3%NT67liicLAw;a;MxV1;cS?zy<w6dSHn3lSNp(Z!LEk$V6OIs zNizy7!`c5C9Lzwj_Jd0rXu#RZa2B$w;eycd6OgNe;MxV1;cS?zgJF^&SHn3lSBJo4 z!LEk$V6F~@Nizy7!`c5C95g|$4ueY?Xu#RZa2B$w;eyav7EsqW0;V0*<c4$pGdLK5 z43C7%ZkVA7=P)uU!`Yzilb}{7vVa;$YZOc?tU(PIfHkP2VJbj<BshnWMH$Y9s>Ck< znzckx0h&t`j)57@D65HJGb_W{{}~+AK@LY2&_EXehj1+1C__yIn?)JUhB*^i05ma( zq5?GIh9Ur&%3>8xgc~oY3}?gAO%hBJly2Z0Sh`7u$%4}joCixcDKKe9VP!b`KZ66v zo5HDZNdpZyTN%zmPB(Bt=&T|rwP(V$3o66eFjr^6Btfo*b6~E{hRK3m4d=mJodc6* z6jp|_p|K^L3zsy|fU}k1EM!;11)+1eAXgW{wF@f4*)UfZ!6ZShhI3%9E{4g1T@B~K zTwMZ_W)xP2vq3{{puA8Dmo(6Tvz6g2WLLulq3Z-duC9b@7gUC`VXm%%NrGGr=fGTD z4U+}C8qR~cx&|iAD69-;|7UOj^(=*J;gSX#aJDj>h3sm$Aaqp*$kmN-?Sjg1Hq6yc zFiDWB;T)K&n_;qGSHpQQSGT~V8HJVMY-k5rxD_sGpaEwq!&%6#h6_U1z<^xc3D+*D z3}?e!-35~bxf;%axw;!B3wAY}2Xl1~Oqx+x8P5LC;9v+!A-!-(0}VJ^8O}m>HCzxn zzXU49`(fHar8t}eDwjZpPk_sAn4t;hFtRAa+5Z_Fv_NGavVb<afDXEVF39kSFvDTx zJX`=|J*cTL38n&6&civ3EXr^;baov@05s=~A^@6+MiBtb4+~F*8O|uHiD0uR!`c5C z9P~gAM;6dW7cf8<07uFcxY>r92sVo{oDH4qMG*kaqM`_ZrYBJZAoG5#!ZYB;3o66e zu%tE<CJ9Pva1Jb~&4S5-lNy`{OKP)W(u~5&aQ1%&2aq>~=fEWmG~jGyI14$c!3CjH zu%HaG0Ipq78P0~edLc{_<Z3tv=ITW-S+J|&JeaE&!=xF7mEr9F3=W{!5?%tAG|+&v zmEkO8SHlIN6W$<KuYhY8RED!*u3ia~1i2c{fw_7WOcv~FI1lFP)i7yBVP!ZQn&^br zz$Fbd;A~|$3)$6hLFn=VkgGSqwF@f4*)Ugcgh_&24d=jIy$L1@b~T&_bM<DJG^4OG zoc*7{!4j0Ex4<P0G~jGyI1Aa;a6#w<5vVZQ2Gb5IjNlw-5hJ`EF1uldCY-~_q6}w4 z8=oiw#-I#}til9cz!c>29WcXTg%Ml;Rv7JssQ?v5a1JAjGMo*a9!C)XO<JP}fTogB z1V9tK!n<IGGs<cr*euF$HngjOA^_?!p$J%jyoRg=94WiuW*cfE*euF$HgvWYMF2Dn ziXs4-UqlgrP2BB=8!xB~XTy@(0hlBxslhq0q;?P{3r=cq9xSOHf=M$9E5q6U85}^~ z6g~`>G|+&vmEkPpqy`s+&Y`j}G72AsYnNAsv;Q+Vh%pF&XDz@4Be${;19a^EKZ64o zg8+lFFp>Z_NI(QhfCnTXiX^}b5)eZY-~$PWBMI<>1SF6I1V93kNCJW&0VyN_A&`JH zl7KKsKn6)bgh7CT(cusi1A{{d0|SFBObWqbgm}lni;)4UNDi(D&SGS60C^Efkvv=x zjO7r_z`y|GfI`gyG(iLx0M7`)dF-fMka7kF21W)4P{1KsqX4%C&SGS600kzJB1O0& zIE#_N0TjSUij?4r;4DT42T-6RDN=?jg0mPI96%9)q(}v>2+m?;Z~#RPk|I^OA~=hY z!2uLuNQ%_pir_3p1_w|iIz+*vLmjRN#sY^li~|Zfa7e=iz(EV=K|&hN1u2JwG$?66 ztYOxKTLEK%t$=YD96CU*0cmA~OlZRdn6=<KVJxst7zb4+C`-Y0YQuHHSYVwn4ysO2 zhJ)+Wf$M~^z&c?ZRGs3WnM$bhnRVehVJxst7zb6S1hP&&xK0=gtP{pT)d@~iFgx|( zI$<oZP8bJOrzEnS25_A)7FZ{YgQ^pp+F^DY!gaz}V4W}ys!l0nJB{EvVJxst7zb4+ zIA6f*G=}ShvA{ZE98{g4D`4R9X#&>?V}W(TI53^yM2-*y%{Rk&kVFpWf>J6Zk%Nm9 zm=jIm7Qt9xi(niSix7e!i{LznMQ|>{A{pdRG=p0NV}UJ#abOlfoCq$=U`{lL>x8ku zI$<1CowCSwTEKO}SYVwn4ysOYVFk0(60Q@*0_%ivP<4W?_JPNo6<jBb1=b1Upy~vd zeK0$%;W}X~uud2URi`|%KW*STVJxst7zb4+xYB~zX$#j0V}W(TIH)=mknOaC>x8ku zI$<1`PH+lE2!aw0oW}<)mEl}=NXlVka8N|H$R2JHj0Ls`#zC<NAqcVv&V!^-I2U3M zsAdJ1_pq>VfLjD(fh~e@P%J_Sf-HjbAQr*72#b`EeFW0N1eSxbz!t$cFpD5r0bKLJ zoah91B8&yr3FDyZR7SSb8Lkt?0_%ivU^>Ckg%AWe3C@E=7n}?B0JwbuUikuZq6^$2 z7z=C>jDunkLJ(vToW}xA7jQ1ZBJjEFFpFH_7Qt9xi(nj>MUYTbLk<f!xK0=gtP{pT z)v1oG(;co8#scevaZq(?AnWvi>x8kuI$<1CotnrxJ>fcGEU-=(2UVvQvQ96!P8bWU z6UIT+sg11D8?F<^0_%ivP<84c>jbrLA!!@N0_%ivP<84e>-2@&31fkE!Z@fp^^kS? z!F9q|V4W}ys!n}mo&Ip0Fcw%RjDxDv09j`MTqleL)(PXF>NG^w83@-2V}W(TIH)>} zkaY&Zb;4L+oiGlnPGe-9pl%2xR$wf!P8bJOrwOu7P!9>B6UGATgmF-Hnj-5Ag}WBU z0_%ivP<5Ii>kNbIgt5RnVH{MQ=Eyq3;W}X~uud2URi_2A&Iq_p7z?Zu#zEC-iL5gc zt`o)r>x6Moby^|ojDqWgvA{ZE98{gw$U39pI$<oZP8bJOrwy{s7`RRt3#=2yLDgxC ztTPs_6UGATgmF-H+9B(VgX@H`z&c?ZRGs$7I^*FwVJxst7zb6S1G3HpxK0=gtP{pT z)#-?=GZC&6#scevaZq(SA?r+n>x8kuI$<1CozBQQli@mHEU-=(2UVvFvd$E^P8bWU z6UIT+>58l~6|NJ;0_%ivP<6T?>r8{|gt5RnVH{MQ?#MdR;W}X~uud2URi_8C&J4It z7z?Zu#zEETiL5gdt`o)r>x6Mob$TJ|%!2ELvA{ZE98{g&$U3v(I$<oZP8bJOrw_8u z9Jo#x3#=2yLDlJttTPv`6UGATgmF-H`XTGggX@H`z&c?ZRGt3FI`iQ=VJxst7zb5n z0J6>kxK0=gtP{pT)ftGavk<Nm#scevaZq&zA?qxH>x8kuI$<1Cox#XDi{Uz9EU-=( z2UTYXvd$8?P8bWU6UIT+8H%j46s{A-0_%ivP<4hO>nwxogt5RnVH{MQ;mA76;W}X~ zuud2URc8dU&I-6r7z?Zu#zEB?iLA2{t`o)r>x6Mobw(lUtb*%=vA{ZE98{gr$U3Xx zI$<oZP8bJOXAH8=8n{jv3#=2yLDd<Htg{xb6UGATgmF-H#v$vhgX@H`z&c?ZRGsn2 zI_u#&VJxst7zb5n0<z8qxK0=gtP{pT)tQK_vk|Tn#scevaZq(8A?s{{>x8kuI$<1C zoyo{Lo8dZPEU-=(2UTYZvd$K`P8bWU6UIT+nTo8l6|NJ;0_%ivP<5su>uiJTgt5Rn zVH{MQ>Bu_U;W}X~uud2URc8jW&JMUv7z?Zu#zEDYiLA2|t`o)r>x6Mob!H*!?1Jlr zvA{ZE98{gz$U3{>I$<oZP8bJOXAZK?9=J{z3#=2yLDiXytg{!c6UGATgmF-H<{|6s zgX@H`z&c?ZRGs<AI{V=|VJxst7zb5n0kX~saGfv~SSO5ws<RMT=R~+p7z?Zu#zECt zgsgKCTqleL)(PXF>MTaqIT@}K#scevaZq)ZAnTk0*9l{Rb;3BPI!lptPKE1)vA{ZE z98{fU$U3LNb;4L+oiGln&T?d()8RT{EU-=(2UTYUvd$TBoiG+yCyaxtvl3b7Ot?-M z3#=2yLDgA>taBDzCyWKw3FDyZtVY&38?F<^0_%ivP<7TI>zo7E31fkE!Z@fpYms%% zh3kZ|z&c?ZRGoFmI_JT4!dPIPFb=BDdSspR;W}X~uud2URc8aT&INFtFcw%RjDxDP z5n1O#xK0=gtP{pT)!Brsa}iu8j0M&S<DlwnM%K9)t`o)r>x6Mob+#buTmsh#V}W(T zIH)>Xk##PG>x8kuI$<1Coo&cEm%(+ySYVwn4yw*}WSz_5I$<oZP8bJOX9u#*6>yy} z7FZ{YgQ~L=S?5Z)P8bWU6UIT+*@dig6<jBb1=b1Upz7>K*0~z46UGATgmF-H_8{wA z1J?;-fpx+-s5*O*b*_c$gt5RnVH{MQeaJf3!F9q|V4W}ys?L67o$KK`VJxst7zb77 z1Z15X;5uO}uud2URp&%xog3jgVJxst7zb77BxIeN;5uO}uud2URp(@6otxo0VJxst z7zb776l9%S;5uO}uud2URp(S>om=5LVJxst7zb77G-RFI;5uO}uud2URp)eMo!j9$ zVJxst7zb773}l@<;5uO}uud2URp(4(ojc(=VJxst7zb77EM%R#;5uO}uud2URp)GE zox9;WVJxst7zb779Auq);5uO}uud2URp(q}oqORrVJxst7zb77JY=2w;5uO}uud2U zRp)$Uo%`WBVJxst7zb770%V;B;5uO}uud2URp&xvod@AMVJxst7zb77B4nM1;5uO} zuud2URp(-4ormE%VJxst7zb775@el6;5uO}uud2URp(M<ok!t1VJxst7zb77GGv{{ z;5uO}uud2URp)YKoyXxiVJxst7zb773S^xp;5uO}uud2URp&}%ohRWsVJxst7zb77 zDrB9f;5uO}uud2URp)ACou}bCVJxst7zb778f2Yk;5uO}uud2URp(k{ooC@XVJxst z7zb77I%J*a;5uO}uud2URp)wSo#){?VJxst7zb7724tNV;5uO}uud2URp&-zofqLc zVJxst7zb77CS;wL;5uO}uud2URp(}8otNP{VJxst7zb777G#}Q;5uO}uud2UrW3qw z0wD-m&H(2zgO@YFxrpTqTahig3bzQx0$T**pjd<u1X%><K~|r@xd@B4AzO3}ZV`+H zwg|>Su?QguvIx$DSOn)HEZUB2(RH{*Fc#P%7zf27gdoTwI1geGoQtpsbpH-~$<+<G zMKBiFA{Yn7B7`8wA~+9X5u6JJjF3~i;TGM5TLfc)ErM}SEJ6r^EQ0eO7Qwj)i$Le{ z!!5c6w+O}pTLj~vScDJ+Sp?@nEP`_p7VSokquX$cU@WjjFb;}E2tklVa2~`WI2U2j z9%PH|z%7EYz!t$cC>9|EK^DPz5R2ekghhLiExHT02*v_i1mmDsgb)N-1m{64f^!iT z?L)Tc9^4`r3v3aLgJKav5M&XY2eAmwMOd^S*`oV!i(o9UMKBJEMF>HVMQ|R(A~+Xe z(E((O9>6VvvA`C=I4Bk&1VI+Tc@T@>T!cjjku7=%w+O}pTLj~vScDJ+Sp?@nEP`_p z79B#i=n>o^7z=C>jDunkLJ(vToCmQ8&P7;s7}=u7aEo9puthKqibV)PkVSAF#3DEs zVbKv}i=MzOg0a9B!8j-uAp}7d!Fdpi;9P`7N0BXh3bzQx0$T**pjd<u1X%><K`erE z5f&Xow&)q$A{Yy75sU+~2(pe3bUG5elza}?31fkE!Z@fpPaxa*0<IIr0_%ivP<4XN ze1qHh60Q@*0_%ivP<4V1hlA^U1=k5<fpx+-s5(z0yY@9)CyWKw3FDyZ1fBE-xAP5L zCyWKw3FDyZ1RV(n*ZCH%6UGATgmF-Hg3gYE>wE{-31fkE!Z@fp&m;TuJzOV@1=b1U zpz6GUtn&k0CyWKw3FDyZ1RVzlckM^GP8bWU6UIT+2|70puJaRICyWKw3FDyZyo~JH z&v2bE7FZ{YgQ^pB%p2U!FL0eO7FZ{YgQ^pB9vocfSGZ0X3#=2yLDdO5I1aA!8(b%h z1=b1Upz6Gi?9cCToiG+yCyaxt^9HicA8?&87FZ{YgR1i;vd*7yoiG+yCyaxt^A@ts zUvQl;7FZ{YgR1j3vd-UdoiG+yCyaxt6Ljx2JZ%5Kb;4L+oiGlnPS73AaGihQI$<oZ zP8bJOC+I$5xXyoYoiG+yCyaxt6Lj}2T<3qdP8bWU6UIT+3A(2hu9JZgx=|3cUYr56 zo)oMTE(}u$k_O+?4ijKzgzJQ{z&c?ZR69Xeg2Ht&!F9q|V4W}ys!q`Lm2jQRaGfv~ zSSO5wsuOh8B3vg6TqleL)(PXF>I7X22-nF9*9l{Rb;3BPIzd<1!F961b;4L+oiGln zPSAC0aGmUMoiG+yCyaxt6Ld8gTqg%yCyWKw3FDyZ1YKhV*U1Uj31fkE!Z@fpL02xp zb#lRV!dPIPFb=9t(DguYo!oGpFcw%RjDxBZbdV%mCl6dFj0M&S<DlvU-9!V|$qUyB zV}W(TIH)>7XH~*=^1*e&SYVwn4ysPjH8OCW{BWHx7FZ{YgQ^pB5+z)x09+@G1=b1U zpy~u2SqaxE2-gW?fpx+-s5(Js3c+;>!F9q|V4W}ys!q`1LvWqKaGfv~SSO5wsuOf# z5L~ASTqleL)(PXF>I5Av1lK7F*9l{Rb;3BPIzeX;!F7tkb;4L+oiGlnPS9-_aGm0C zoiG+yCyaxt6Lhc#T&DzFCyWKw3FDyZ1f2i^*C`3t31fkE!Z@fpK}UtabxOf?!dPIP zFb=9t&}9yAoziffFcw%RjDxBZbPxz!rwm*tj0M&S<DlvUofrbwDGS#LV}W(TIH)>7 zM~lF9%E5KQSYVwn4ysPjjR0_+@^GCn7FZ{YgQ^pBHUeCy0$eAI1=b1Upy~u2q5#*a z2-gW?fpx+-s5(KXEx>gu!F9q|V4W}ys!q^>_HdobaGfv~SSO5wsuOgU0$isGTqleL z)(PXF>I5CS0N1Gs*9l{Rb;3BPIzgv1z;&v@b;4L+oiGkeCwPPxAqeW|!g-LLad0l8 zqsz`H0MpOFtPZyb#sXUe<G>Yy8$qCh&*4tgfa`>@z&c?ZRGpv`(&0Ka;W}X~uud2U zRVU~ub+}F~xK0=gtP{pT)d@Ot9j;Rwt`o)r>x6Mob%IVohwIdV>x8kuI$<1CouK2= z;W~BUI$<oZP8bJOC+J*txK2H|P8bWU6UIT+2|9osu2Ubb6UGATgmF-Hg3d&T>okDt zgt5RnVH{MQpu^MQIt}4EVJxst7zb4+=#+K1P9wNZ7z?Zu#zEByI*uK#(-^K3#scev zaZq)NAg6c}xK0=gtP{pT)hUXs(-f`~#scevaZq)F&LW4q)(ox_#scevaZq)F4lRf4 zG>7YivA{ZE98{g4)6L;JE#Nv~EU-=(2URENym7crOSn!L3#=2yLDdO5J`S$a3a%5z z0_%ivP<4V%Fo)~3hU<i}z&c?ZRGpxs&fz+3;5uO}uud2URi`X+eA>cw!dPIPFb=9t zIb@x7aGfv~SSO5wsuOg`INY`NaGfv~SSO5ws#5{kP6xP77z?Zu#zEByIw2fxrz2b^ zj0M&S<DlvUogNO?=>*paV}W(TIH)>7$9uzdI>U9sSYVwn4ysNSWPiHAb;4L+oiGln zPE}-`u5g_&7FZ{YgQ^pBa4FoiZg8D27FZ{YgQ^pBlqg)MJ6tD>1=b1Upy~u2#tGNy z0oMs*fpx+-s5(K1lfrd+!gaz}V4W}ys!q^Ze{h|kvx7hb9SjUG7FZ{YgQ^pBC?H&? zH{4Db3#=2yLDdO5JrJ(b2d)#w0_%ivP<4Wi8HDTfh3kZ|z&c?ZRGpxM|KK|P;5uO} zuud2URVV1oIk--LxK0=gtP{pT)d@Od53Vx+t`o)r>x6Mob%M?ugzF51>x8kuI$<1C zouIRN;W~rhI$<oZP8bJOC+J)?xXxg>P8bWU6UIT+2|9oct}_I#6UGATgmF-Hf=+6K z>kNhKgt5RnVH{MQpktchI>X>PVJxst7zb4+=#VwI&TzO+7z?Zu#zEByI;IS+GXky? z#scevaZq)Fj%|bMjD+iivA{ZE9GFgU;~gOgYP`dFkXb4?7twgPLN4$?XHP-0GmHhc z2*yFN2q6fv2+o771A%i97Fi=(6b<(gj0Ls`#zC<NAqcVv&VyJ4=OQe!LAEFcZV`+H zwg|>Su?QguvIx$DSOn)HEV4zi$SMwQQ9P8T3>RV+mIIyU#=ywvV8;kLT+S*1s)m6f z3CdE23$aX@y%V%&1f<*^qC6R@h=Cy$%2I|4vCQ5%8MNI4q}&0bJPoRdfguCRQicm5 zD|dt_&x9&sV918Dl;J|i%AFv}bD)YC81kSjWw;Qsa%YJ0e5fJ@hC(Py87_pZ+y$b% z2&#yIp#;iOh6^DpcZDb~g(_lTD2K9?;X=sD-5|;<po$n6s-P@oxDc{(cZl+8s3Hc2 zS}02yE`+Sy1ERbRs)&K10m@Q_3n44_geY%>Dq>)0hO(65LdeR!Aj(^yiWnH$pe$v$ z5VCS_i1K!*A_j&|C`%bGgsj{LqPz>Lh=HL8%2I|4AuIQVDDQ<TVqoZpvXtRM$jbd7 z$|pb-F)&PmvXtRM$jbd8$|pk=F)&PpvXtRMAmyM1?4a}>08u^-s)&JM29%`?7eZDZ z2vI&0s)&JMHk73d7eZDZ1W`T*s)&JM9+agF7eZDZ3{gHGs)&JMA(W*I7eZDZ0#UvQ zs)&JM36!M_7eZDZ3Q@iks)&JMIh3Ug7eZDZ22s8Os)&JM6_lk67eZDZ4pF`us)&JM zEtI7U7eZDZ0a3mVs)&JM3zVe{7h+klYbR*$5hJ7UdZ;7=3+S3U2hiy;a3KyB&}Q5J zjEoME5Hq(z6*DqAfR>ZOxelOZsW6^0jKRPyBWG+OE^TWpC2PpQ05%&g=K$JguVP|Y zl$lsiQkoNAl9mtJ%l@BXH`EU0ZBPaS%VM}|x5LHYu0;s3urV-z92*63>>j9EsAJ(= zuw!97Wf%jSW8rc*9eWsRhw@%1g8?aM_rb;Bj)e<xuz>cZgIpU8aqSVPVyJ83T(E0l zJY^UIn`_~65Z4-F2JIQB9m+?c3<j1}@Sr^g7lXSNE+oLx0NSt(a&8R7xo4rOq0WVK z!On&8lwk~P&V|e2bnZ2%9m?mR3<j2!aOa+fi@}`>7gAthX!s9va4f{Z*J0`z9za>j za3Pk}aAR*kC6TfNT*v`*su~Le14G0A|8V2uAjUt0>0@{XWhujjkkaoXs3el{2q9Sd zjfWWd9Hy4x4V0w}7eX@f1ymBrNQ4l~$OMRyZ((W~K0#T^a3Lfk-$5mjj6?{*j7)?W z`5C5`;RlqZ3>QK&@(WZF$w-6{%*Z5&kw0N-8U8_8%5WhhBY#09k&HwLv2Z}jf@Fx1 z|6ytwSePK|0F>cENJfIz1%R8_h{6yd1T!)PVk9fnAO;35C`%bGgk&TeR1(RR2q6|e zh$~YeMsmZ{G6+Cf%5WhhBYB{bNJb)rSU4d@ra_DpgsEkafU=a~LP(ik2r7wWBwR>< zr2@3OAC&piA%;rAR5K_*S;}xBBtxa3l1PTag^&!*fEcO>Q_Y|OWhujjkPKCVN+KBw z7ZPBR11-k{xik}Es3uG`g8`JK3>QK&R0}GJWGGxnfMq7=pdOH+Sr9`FVX7I-p)6&% z5K{4P1eHWG6fVTU0@}(AGBX=urUgtfgDsS$3>QL*dP}Gzl9>o0ST@Rm7-<Jn%is)U zDZ_=3jI@VJA{mJgf*F|$G13L5mcbLsQicm58R-g@L^2W~1T!)ZVx$*LEkgj5r3@EB z3QTXPB$APEAw*#2L(B|>DP{<RvXtRMNX`s`N+Oww5Q62S0*H~}FtrRZP?j=W2+7C@ zs3ek+2qBoy3n50v!qhS(L0QUhAtWQ?ppr;NB7|T@7D0?mhN)%9gtC<3LP&v`0+mEE z5-!BS0y_O1lroDUW@f<@GZa8s%5WhhGqa(RNM^!?5N4J@%q)Z{W+;cUl;J{1W)?vu zk<5e(A<Qg=m{|c+%uokqDZ_=3%&de;BAE#nLYP?wF|!_~n4t~IQicm56(0>yNhCAj zLINz)V8ur{#L#w_YK93=mNHxjsU^|@l|(WWE(B?bybu?EA^ze8EWRor#!rOlW0(bH zDZ_=3jGqLRL^2*Ogw^;;i1D*w`WTi#S;}xBB;)5mC6SDW3t=_B3S#_Hs6J@B63zv; zD`7lk7z10o5-#TeI+;zy9HWD=4r+z+GAIMNS-Bi825(lvg%nug>;Hn5tAcVzHN?H^ zq3WUTg>%8~h4GYO3~cU&%R$_W*=pYbwL*CVl!5Htjc_rzd*MO~ETOfvpu;Xf?yZ5i zcPCUm)V**n*u5~GGK_)Ey>K~*dkrwc7j&%ws1c>S3u+g#dw0Xd;O>PBDX{#ntpzRR z1i7~s;@-nh^-%Z1xnTFgc*-yaHuu8iAnvuqaPJwY70O4T3}p8ng^R)63l~C34|Ndt zo`tH1x);s`yBEe&hB2_Y7cK{JuL*{GuR*O)J_ltWyZ1a?4DMdI5K4Nehq(7TR6W$a za4y)rFrG4ufz7>eIf#2P)9(YQ70NfD3}pA-gp0x53l~C34-F9aK7^`=x);s`yBEe& zhB2_Y7cK{JuMtN0zJgkz{0PcGcJE`j7~H*ZA(Zsc2yyRgsCuY-;asqLVLW9R1Dku{ zauD~LVz~DU)C%P{PzJJl-@?V<?u84Xq=zPmd%r@}L){DKg53+_DZ?1p+zXe3xEC}1 z{)1Yf{0+)LcJFt%7~H*ZA(Zsc3~}#&sCuY-;asqLVLW9R1Dku{auD}omS-Hy&>kZL zl!5GCMz|Q<y>KCv@NI#(mlLL*fe*@3h6^Dz`naHyNYy7o2-fIpg&4^XRSOLQI2RlQ zFrG4ufh`E&a*!afz(`^eP%D%LpbX?75QK}tg8(jsk|o+8?v;e9hq@Qe1-lo<Q-(3H zxfd=6aj!9kdzGM8C`&;Z$nKSfi^1Is7eYy5?GX1W!_+fqKv~LgA*3i%fl4Ap8A1pa zWgQSBHDPKQ^q?$dxDb+&T2M(OBN0L{BRe5R>ciABm_S*|a3Lfk4WN=pMk0h@Ms`7r zG=-^Uu!6Fb;X+78nn5Lzj6?{*jO>OOX$@5ije9s39QQDuGK_&O?%{HfVM8O#`o;-r zhq4WnfjlN_3m1b&K3oVfCffsXtus_H)U|Lf*tIa8GK_)EwQxC{uJwl6q3i->Adktq z!o}dOg$p5#$@W5=>jP8G5DH}}!-bHV=Dtu#r1*jhL7L{}@n7%$kN*m5iuFN^4}<Ar zh=a0};X+6Qv*A!lB;(;i3M}>IcR?G3KrOs}h_Uf7^$ZzMmNHxj$<+x^NhD+8LJ(K~ z|NsAgIr9O~rfHDz6ClQC!t^l|L0QUhAtd9oppr<&!-XKmcP!viI<v+KbaM{K_=ynX zi(&d0YM?A-xDb-@B~VEu<KaSBjh_TDz80pBp$*DXh6^DXUk8;$G9E63)%eK}<J)2S z7$!hj%5Whh<2#^|NXEm3uo^!FV*EsyK8Bf4mNHxjDaA~JN+KB#7gAtJNYDWtk^u_y zsSsml!PGM>g0ht1LP*BWhDstC3l~ygnLqzB=xQpEvC|;NE{3URSPf+<!-bHHT>_Ov zG8Qg`V(fH?v1?%J8MZ)K%5WhhW7k3@k&J~4p%^;@V(eC!dWL;amNHxjDeAUCC6SDU z3qhg|v}EE4qXX#PJWycFgc!dcrjOw$l%)(8LK;mu0F^{C9xlYe0y+>IWacc0na5y? z8O}pl%5WhhCm)AOBAE#nf;hSSZ~fiPf9yf0%YclZ4Ke-#OdrEdC`%bGgk=0hs3el{ za3P5CXKdcd@%$+S9TyBTeh$R=TQGeLkD)AOxDb-@x1o|q#>0gm#)ASJG>OE(0G_R! z3o-r)R3Egifpfui4UDG@V_>Um;BvTHMQ@;1C_jZVkgJ+!a4~pQ0~Zot(E{DJ0Sb(H z5ZAtis)o82&IP*`##4qdu(=j42XQTCxBM&A3gvfD2C{44!^PmPg$oI=_<_z-2f21W z#I@g`s-dohbHT2K@swc<Y_5gNL0pU3LjDJ}LiszCf$Z8Ja51=R;X(o|Y@iz<K(1W? zaqWMYY6cD#XwL&Kgp|-2ppr-l4K4&pXa^1~SbzTf0?<)}AmbN8jOT>uV-SL}l;J{1 z#&ba>k&K56VKsgc#CTztJ_Z>mOBpVNWV{Ge63KYD5LV+CLyVV&>0?lXvXtRMNXE-S zC6SDW3t=^W3B-7Hm_7yrC`%bGgk-!1R1(Q}xDZz3mqLs;gz00jg0ht1LP*9NK_!ul zhYMjfei_7gYnVO;7br^^E`(&f4O9}zc(@Q&<CjB>cZKO=@Po3H;X+8pyFn$9jE4(h zHGT!ecz>8ah7c%A87_p>-3Wk6A{mbmf^|1mLW~TBsbz?RvXtRMNG=Y8N+KBv7sBe| zRS@IjVfq*{pe$v$5R&l;P)Q`?;X+uAUkx!n6Q+-$2+C513n3Yw1(ifH9xjB{_%#sY zi(&d0YM?A-xDb-@B~VEu<KaSBjb95fz80pBp$*DXh6^DXUk8;$G9E63)%bM~<J)2S z7$!hj%5Whh<2#^|NXEm3uo}M}V*EsyK89IPmNHxj$@oc7NhIUpLRgL805N_xOdrD% zC`%bGgk=02s3el{a3QS5Z-f}X6sC`16_lk67edNP%b=1-#v_DaS!ol*$ki~l3>%;< zWw;QMk!zrmNJb)rU`B3+7`YLqmSH=Tr3@EBYGZDKN+KBv7eaK|w?NF?0aMJdAIegO z3$e_cF$;7)I3uI*PN*c3nQ$RS&=Ma|g}D`C<N=skhT~9{GF%AB$b(QxBqQNMa3i-t zj64BT%WxjbQicm58F>;aiDV>P2yWzdh>;gyY8kFWS;}xBBqJ|EC6SDT3&D-t0WtCh zOfAEGC`%bGgk<DRs3ek+a3Q#nJ0V6sfT?A824yM3h1gbY-Mo1s=(u^%>d{>g4bP#< z7#Q9{S;}xBR1Lc!8s0&bF))0AvXtRMs2cV_G<=0BV_^6VWhujjP&Mp@X!rwF#=yYD z3T>akg-|u@gJ@ueDq~>afU=a~LZ}+{Lo{$gl`${~Kv~LgA*6ukf=VI<JX{DK@CP79 z3c}PfNI+T2a3Lfkg`kp1M#6>QMjnJ1DG5`{pa5kl!-bHHl!8hk83`AH8+iy~q#{f$ zgF2L@3>QKTslyNr8c<~n40=$OGF%8%!x4xEeW)@922&_Y87_pX;V49d8B`eqgB6se z3>RY6ma?`0-RllYu*V?kt)Z$I80?`eWw;QE`r{Dw4p3DL3@%WXGF%8n{RxPASEwom z22UtU87_pP{v<@b7gQAkgCCTo3>RY6L~{Qrh<bmhDh7sNC`%bGgrfd5M12TU6$3*A zl%)(8LQ#JPqCOI;ih&^(%2I|4p{PF#Q6C3Y#lVmRWhujjP}HA;s85EfVqi#zvXtRM zDC*Bc)Mr3dF)-voS;}xB)C6$>q9GrujDevT%2I|4p;&McqP_&Gih-d5%2I|4p{TzE zQC|sF#lTPxWhujjP#ts`qM-q*4BESebHTk^7*83-z}CBk%Rxq|jWNg6+n{zRH$oZ6 zi?W;GV({)QLI`y55U4V}0RR4vr8a4y)fFrG4ufz7dSIh>B|gW93o0c9Xh0Cd8| z;Esh0aex{q;6a(I5ZCrY6+>MM=Ym}e<0-=!*jx*jgSgfJGiawn?NFWoWgw3nOoWTU zT?-cyU;$kN2zKr@h;wJaR5Q$lvXtRMNNu#4P)Vc`86gB)l6oCt<UFWaXb8Z$;1GcE zlwk~PApn=d83N0pb|}w>GLUEM7Qn^eApjRrU|9tY0&p1IfH-&sOg+PTC`%bGgj6f7 zgi0cX0bB@PE8T<`xdEn@VLOzi3>QK&awAj{$w;^m+{jxHBX_{mGVF)4l;J{1M(%`4 zA{hx6f*W}oV&nmsT886LmNHxj$;g9HNhBlTLU1GRK#V*AQ_FB3%2I|4AsKlRDv4wy zTnKLDU5JqvU}_nzLs`mjAtWO&LM4%mgbTrqyazGz223r(eJD#AE`(&{O{gT2k#Hfn zk@q1+K7grZcn)PL!-bHHd<d09G7>HXH}V0*$QLlR4DX>VWw;QMkuRZ=NJhei;6^@# z82JIFmf<^;r3@EBGV&u-63Ixo5ZuT|5F>xU)H3{svXtRMNJjpIN+KBv7lIr47-A#? z8>ErUz`zb=DZ_=3jAVpLA{hx6f*bh+Vk8GlEdxK4r3@EBGLjQ2iDV>P2yWz4h>-#? zwG84=mNHxj$w)z{B$APEA-IvxAVx~S)H29JS;}xBBqJrEl1N6vh2Ta$hZv~<Q_G+Z zWhujjkc?D>N+KBv7lIr40%D{FOf7>xl%)(8LNZblDv4wyTnKLDONfyMFtrTkP?j=W z2+2r8s3ek+a3Q#nuOLQRz|=C>Ls`mjAtWO$p^`{O!iC^QzJ?g-08`804rM9Bg^-MN zgi0bA2^WGJ`37R72TU!4Ka`~m7eX@96Do;hBwPq?<Xec50Wh@;;ZT+`TnNd?K&T{= zk#Hfnk?$ZzM!?iE#6wxia3LfkBcYN=M#6>QM!ts_nE+GEkPc-j!-bHHOoU1z83`AH z8~FiZWClzvLq3$H3>QK&G7~C^WF%Y&ZsbRZkp(cd4CPRkGF%AB$U>+jl96yBxRIYA zMpnSoGSov^%5WhhBP*ejNJhei;6{Fi7})?*%g_#GDZ_=3jBJETA{hx6f*bh-Vq^zQ zEki$)r3@EBGO`mYiDV>P2yWz8h>;UuY8j?OS;}xBBqJw6C6SDT3&D;21~GC5OfAEF zC`%bGgk<DQs3ek+a3Q#n-yudWfT?9z4rM9Bg^-L~2$e)K5-tQc@(0Ao6)?37>!B=V zxDb+&E1{A|M#6>QM*f5txdEn@VLOzi3>QK&awAj{$w;^m+{j-LBX_{mGVF)4l;J{1 zM(%`4A{hx6f*bi8V&nmsT886LmNHxj$;g9HNhBlTLU1GhK#V*AQ_FB3%2I|4AsKlR zDv4wyTnKLDUx<+xU}_nzLs`mjAtWO&LM4%mgbTrq{0A}e223r(eJD#AE`(&{O{gT2 zk#Hfnk^dn^K7grZcn)PL!-bHHd<d09G7>HXH<Ez~d}zfBm|BMSP?j=W2+7EoP)Q^s z;X-gD86ie~fT?Bp4rM9Bg^-N=2$e)K5-tQck_lqu513kp|4^1PTnNd?pHN98BjG}D zBbgyaGO)wjmr#~6TnNcXMyMo`k#Hfnkt`4+IbdoT_@OLixDb+&oKQ(5BjG}DBUvFv z3c%Ddh(lS*a3Lfk1)-8iM#6>QMzTSSlz^#akcYCA;X+78N<t-(jD!opjbw)ysQ^>U zpbljz!-bHHRD?<*83`AH8_5ANQUj)zK_ALeh6^DXsR@-tG7>HXH<A-#qybDVgE^F? z3>QK&(hw?%WF%Y&ZX_4PNDG)+274$=87_omq$N}m$w;^m+(>SSkq$7m4DL{tGF%AB zNJpq7l96yBxRE>%BRybh8T_FvWw;QMk)BXVBqQNMa3gskMh3vtGK51}%5WhhBLkt5 zNJhei;70O6jEsP(Wr&Bel;J{1Mn*y<k&J{3!HwjH7?}W5%a9IbDZ_=3j7)?|A{hx6 zf*UCSF){<DmLVU?Qicm58JP){L^2XC1UFI;Vq^hKEkik!r3@EBGO`dViDV>P2yUbh z#K;PmT84TkOBpVNWMm~&63Ixo5Zp*%h>;C2wG8c0mNHxj$;d{iB$APEA-Itu5F<Na zY8m>WEM>S5l98QINhBlTLU1ERAx2Jssb!cBWhujjkc^xNl|(WUE(AAH3}WOAm|BMU zP?j=W2+7EqP)Q^s;X-gD#UVy6fT?9z4rM9Bg^-L~2$e)K5-tQcQUYS+3Yc1k^-z{F zTnNd?l~73}BjG}DBPAh5Zh)y}*bZeW!-bHH+z6FKG7>HXH&O~><PMlxhW${MGF%AB z$emD0BqQNMa3iH5Mjn8vWjGFHDZ_=3j64XHL^2XC1UFI!V&n;!T88sbmNHxj$;gvX zNhBlTLU1ExAx2(+sb#nhWhujjkc_+tl|(WUE(AAH4r1gDm|BMWP?j=W2+7EsP)Q^s z;X-gD<sn8sfT?A84rM9Bg^-MV2$e)K5-tQcQUPM*3z%Al_fVEHTnNd?mrzM0BjG}D zBNZV=et@ZE_zq<$!-bHH{0NmqG7>HXH&O{=<PVryhW}8OGF%AB$e&P2BqQNMa3hr= zMlx`~+m}$5GF%ABNJgk6l96yBxRELlBRODd8Tg?rWw;QMk(^LTBqQNMa3fVAMhd{x zGKfQ2%5WhhBL$(7NJhei;6|!JjFf<>Wsrxml;J{1MoK~@k&J{3!Hrah7^whL%b*Tr zDZ_=3j8ue5A{hx6f*Yv;F;WAjmO&rNQicm58L0`CL^2XC1UFI>Vx$2~ErU6fr3@EB zGSUz#iDV>P2yUbn#7GO6S_XS4OBpVNWTYij63Ixo5Zp*@h>;F3wG8f1mNHxj$w)`2 zB$APEA-It`5F<TcY8m{YEM>S5l98TJNhBlTLU1E>Aw~wk)G~xaS;}xBBqIZ%l1N6v zh2Tc&L5z%msbz?VvXtRMNJd6NC6SDT3&D-lhZvavQ_GMJWhujjkc>=(N+KBv7lIpU z05LKHrj{We%2I|4AsLwol|(WUE(ABy5MpEjOf5q>l%)(8LNc-tDv4wyTnKKY5yZ#} zm|BK<C`%bGgk)qTR1(QZxDeb(V~CLrFtrTrP?j=W2+7Dss3ek+a3Q#nCJ-Y#U}_oq zp)6&%5R#FdP)Q^s;X-gDO(8~3fT?Ae4rM9Bg^-M#2$e)K5-tQc(hOqc447Jm`B0WJ zTnNd?nNUe2BjG}DBh4X3E`X_JSPo?=!-bHHTnLp!G7>HXH_`%P<O-NthV@XEGF%AB z$dyn@BqQNMa3d`tMs9$qW!Mg7DZ_=3jNAy7L^2XC1UJ$OV&o2(T88~lmNHxj$;h2h zNhBlTLU1FkAx0j6sbx40Whujjkc>PCl|(WUE(ABy24dt1m|BMOP?j=W2+7EkP)Q^s z;X-gDZ6QWpfT?A;4rM9Bg^-NA2$e)K5-tQc(hg$e4VYSn`%soLTnNd?n@~w4BjG}D zBkdtZK7grZcn)PL!-bHHd<d09G7>HXH_`!O<O`TuhWAjGGF%AB$d^z_BqQNMa3dWd zMt*>)W%v$dDZ_=3jQj|dL^2XC1UJ$NV&o5)T895nmNHxj$;h8jNhBlTLU1FUAx1KA z!rPZnmNHxj$w)@1B$APEA-It)5F<HYY8m*UEM>S5l98NHNhBlTLU1EpAw~+o)G~-e zS;}xBBqIf(l1N6vh2TcIL5!4usb!FdvXtRMNJdIRC6SDT3&D+ahZv~<Q_G+ZWhujj zkc?D>N+KBv7lIq<0Wneorj|h;%2I|4AsML&l|(WUE(ABy6Jn$ROf7>sl%)(8LNd}2 zDv4wyTnKKY7sN;lm|6yVC`%bGgk+>8R1(QZxDeb(Z-|i&FtrTsP?j=W2+2rCs3ek+ za3Q#nJ`f{4U}_osp)6&%5R#FeP)Q^s;X-gDeIZ5$z|=B?Ls`mjAtWOMp^`{O!iC^Q z`az70fT?AOhq9F6LP$nNLM4%mgbTrq^oJOk08`754rM9Bg^-L)gi0bA2^WGJ82~Xd z1E!WCAIegO3n3Yq36(@L5-tQcG7w^90Zc7JIh3Ug7eX?!5GsjeBwPq?WDvy23Yc1k zdMHa7E`(%cB~%j0NVpK($Y6+(4KTF~?NF97TnNd?MyMo`k#Hfnks%NxJ78)V`k^dk zxDb+&olr?6BjG}DBSRrZPJpRpm=0wr!-bHHoCuXfG7>HXH!=)j<P4ZvhWSvIGF%AB z$eB<{BqQNMa3jMZMlOJ<WmpbnDZ_=3j9dtnL^2XC1UE7QV&n>#T88ydmNHxj$;g#Z zNhBlTLU1D^Ax3V1sb$y>Whujjkc`|2l|(WUE(A9+3S#6Am|BMYP?j=W2+7EuP)Q^s z;X-gDqaj8ffT?9T4rM9Bg^-Lq2$e)K5-tQcG6rJg37A@jvrv{YTnK4B?@6d6l931@ z*m~Yrh>_=DY8kFTS;}xBq&-*Xp^`{O!i6|kgrHm3;~-{Ug(+sZ31un6g;*BCk5;}0 zl|(WVF2n>|CLRwl@)k@j!y_n587_o$Xu@r%B$APEA(XR55+KGthN)+G4P`0Ag^-MW z0+mEE7A~Z~!oUFEU!Djt_6<xu!&fLv87_pho9``D63JM&5M(zW=ya5Z4$yhF;3dsT z5aYkW^fCO0vXtRMNXCDMN+KB#7eW}nx&0FC+=OI^@eEwB1}G<#r3@EBGM*7CiDW!n z2x2_wgcO%GR)WyfkpeNE3#N}j2+C513n7I$H&hbIc({-POT(fr(2;_mjRdI>V})Vr z86=@BWw;PhLKcBaA{h%8;$VTD%$Wu;QwpY-K^e+Yh6^EugfvtV$xOHqBqX#Jb**@| z&<nKL5ai@^i18{geGEEKmNHxjDI`>(l1RqGg+QqfeqKNZ#8_RJdImEnOBpVN<Z3;r zB$BakA&9Hf($dnVO`DbmjlWEY@#Zjn3=UA1GF%ABcnhc`lJRgMi1Djdty(p0)v9Sw z<Fg>fJHqractKgpa3Lh)ouHCP#>0gm#w#>1aol%42)f!G6z16w<Go?}7=ocJWw;Ph znEOB_k&K56DX=g!H8DV4odYp81g4%L7RpkF3n95W6e@{iEL;fUYP39)3o$+prjH>7 z%2I|4Atmm3s3el{a3REAmOO}=sZhnxog8p3cqa#prwn6Y+sOf!gB*f^d2(VN)C%P^ zC<A#TM><>#zL5higmO||KE%EGQ1wvv!nt7g!g$Is1~&J?<sj}g!#F0c0&0bF0hEF4 z-a@z-+`Vuilw<7*AnvV%s)xE4&IP*{##4qdu(=m52XQavNsmoXE0n9C3}p9K!^Pn4 zg$tn^YgY(yZ!=Uq)V**n*u5~GGK_)Ey>K~*dofSi?S@*R+yZ4FZ*6LYi^1Is7ZPBZ z{}Y<wiXg7-fvSeO7S08`7RFPCF|fH7E(dWfW@?xMwL-ZU%0PB)A6yLXTDXt^i&O;E zwZ#zEPKBvvm<44i!-bF<ZquNWNck5b1iGjIRFIWGjGPTq%diB>Qicm5l{j;tl1N6v zg&-vk+Nm(55aXA^^f9c1vXtRMNX9RNN+KB#7s6_M8N~SYFntU=pe$v$5R&m5ppr<& z!-cRKUk)*TCrls1At*~3E`((KE~q4u@o*um##ca$KMd2ya0bd!h6^DXe*`LtWIS95 ztMQc(<IlqMF<gSOl;J{1spTA063KXk5G=J+L5#c%Q_FA%%2I|4A-VVpR1(QZxDZws zS3``y3)9E&49Zf53n3YQ4=RadJX{E?@ih?RpTqPqe1Ni);X+8pzko_284nl2YJ4rk z_>VAs48Nc(Ww;QM@t>fQNXEm3uo_<nG5$A99|H?Fq-CuP7eX@r4@?>2t`WEpR^#g- z#<M~VWnkcgvXtRMNXD~4C6QbY7s6_M1H^cKm_7yxC`%bGgk-z`R1(Q}xDZz38zII^ z!t^mHL0QUhAtd9appr<&!-cRK-vlvU8K#dx2g*{03n3Y=0+mEE9xjB{_-2Ulx-fkV zW>A(gTnNc{J*Xs-@o*um#<xI>H;3tCuz|9a;X+6Sfdy0&$#{eitRQHG7-<Vr%isoO zDZ_=3Tx<uGL^2XCgw@4u5aZop`WXD6EM>S5(gg_~P)Q`?;X(o|Y@E>AvmIinKTI`4 zD3qlP7eZ?N20$f|4226J#wa=<W`@BOGsHky%5WhhGsB^hNM^!?5N38l%#4L8W=Mjv zl;J{1(G>@kL^2a01dFaNh>^)KwG0_hmNHxj$;cF_B$AN`A()Zf5F;~TY8mpOEM>S5 zlFzfCl1N6vg%CdPftXnUQ_N5XWhujjkjyNEN+OvF7ebiX3o)}CrkJ4?%2I|4A(>eL zl|(WVE`%_%4`OB=Off?<l%)(8LJH1$s3ek^2q9Q-_Ct(pfvIKagtC<3LP$ooLM4%m zL<qr*oB%Ph3#OK#AIegO3n3ZV4V6SP5+MXLaw5dY2{5$`Q=u$nxDb+&6QPnwMk0h@ zMoxklISr<kVGfj~3>QL*pXpFZBqQNMi1?WdF>@|VF~edgOBpVNWad1mB$AnMA%vMz zAZ9LsDP~v&Whujjkjz{Pl|(WVE`%_1D#XmyFvScTp)6&%5R#c|ppr;t!i5lKPJ@`a z38t7~JCvmi7eb1n%}_}sGZ8|tD4Gs2atBN;!(J#$87_om<W8t0l931@n2|FeM(%^D zWjG9FDZ_=3jNA{EL^2W~1T%6b#K<EswG1brEM>S5l95NDl1N4(gkVO_f*5%Urk3FX zl%)(8LW-Z$P)Q^s;X;V`nGG@XB1|#Ebtp?2E`(&}C8#8lnQ$S5nR6g!-he4)xCdn^ z!-bH{ya|;=G7~O@Fmo=%%=<9K3{RmfWw;QMnGc|nNM^!?5N6JUnE4E*nBgsyr3@EB zn#*_&l|(WVE(D*;m=7`X9ZW657br^^E`)ll%>syquTW(S48Nf)Ww;QkhJ_Fff1t`3 z7?^mV?QXabs)j`n4a`tw3=Hg0mNHxj<tU}a5cM2TRSXO~P?j=W2u1x8h<aYADh38Y zC`%bGgra^aM7<DH6$679l%)(8LQ%gAqFx-Tih)5I%2I|4p`0wV9HL$Zs)~U@0m@Q_ z3!$iA0a33ARmH%d3S}w7g;3P5gs4}8s$yW!g0ht1LMZB2LDXwQRWUH=Ls`mjAr$qi zA?gjFsu&ndpe$v$5Q_RW5cQ@|RSXQ4P?j=W2u1x`h<YoiDh38SC`%bGgra^OM7=#! z6$67Cl%)(8Ldqo$P)Vd*0vCeklJyWH-C=4O{GcplxDb+&9#BanBjG}DBR4>d^oOZs z2!pbe;X+7820$f|jD!opjob(^G90FsAr{I~h6|zQv`r8VaZqIp45?6-GF%AB4e?M( zBsai?;BMFqF)|INmLV6)Qicm58JP~1L^2XC1UGUE#K=6DT82_6OBpVNWMn>663Ixo z5ZuVE5F^WAY8h&wEM>S5l9A<5NhBlTLU1FuL5!?}sby$|vXtRMNJiE}C6SDT3&D-t z4l%L~rk0@>%2I|4AsN{Yl|(WUE(AAn2gJxem|BJ@P?j=W2sMf9glL!wRmQ+D2g*{0 z3n95-8dMU=4R9g28+JjAoC{OSums9dh6^DXIS(p{WF%Y&Zscx=kxOA}8P-5q%5Whh zBbPxXk&J{3!HwJlF>)<TEyETlOBpVNWaK)iB$APEA-IuyAx3V6sb$y=WhujjPy>G- zM8h7aG6sgjP?j=W2+0k5p^`{$fD6IhupeUN5tv$rqfnMIT!>jv&<Zr6#>nV!0IZsU zk=ucbL4d)58$|Gc2wo7u2O{`EgaC*T1Q9|YLKsAdFbFU(EPAjn9c&h#v#Vd0U#6qC Ur<a4DD`*lEB+TF-lq1g!05~USU;qFB literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f9085cc81b82fa8ce4bc6b81f4cf9a2a944a53d2 GIT binary patch literal 15387 zcmWg2k!R*+U|7Jwz`)?}q)MKd!}{yKbP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<-b}kW9M$YLS`JYR3=IFFz`=)sfx*iyyFbg-f2U`* zYnEfOXHvofKQFfh9?2OCK)j4qi@kcY{2g7rGP68;J(IKiT)lgfvKGwWFk{xtxo{;5 zGCX|~+!j#XKm`T{1}2ba85|fH7&b67F)~;)F*h$>$l;aj=;iO2m9fG`wLRY};uJ)f zEx|P_%`;2RnY-}+@dQ;+@T`@yW@7R5^mOH0wR+(~2M<@51V0C_tc=IY-ZLCttoVSL z5u(cB{l2wwOvoH0aR?J?5O?$9g|>|?i#mE%^etPaa)BpR?=(aK*bD{+eg}UB1_l|Z z+d<9+VFm^iVHTg{gcLA=E&*~Z*u@MC4htC=7+`^b8lxbd1w4Kk7#x@w1Q-|?xE=Bs z7#NhHcC)uO_O>_Lwm@kShjwNG1_p-~W&s8!CPoGe2C!-snCitH3p*Cswy;BJ9I92J zs(Cxw`+FJ|E?&{!*J9fO;Tb}BA`Y{$*`o&4$-TI%rF&s>LvOon3z%&PX5+9=9jc3W z;lhqZ&CLyqTfp`~cmiM^4xJikIu|t}>jd*~=+uPj<m_3o5NtyWXU_^lFbjtcEhHUa z-+*<1SvYiPLv^q(0jp|ZHv!W)RO>)h3wZh`c)9qyW@LDJBqS#}B!C2FAqi|iiDPC4 z6G$BELiIB*vF&9xv6V%MA+Rb&1_1_#^;`lB4jVwkMi8+HL~I5TTR_BC5U~wJYzGlL zK*UZi0R{$UhahGFhW`#rSwSQ#g8;*SkYE6_0E5F~RsjYFW{~0QKuR4zB&$O`QVwBY za##&AY7LhF10$*oqr+N|CM#K}^BEZR;7oA2z`%eZ;LyOpz<{m_oT0!3*hH*q&`Jn2 zE(6$)qUZ+y|Ifg{U?qoeADjtuAG!e8ZgfGg)4&A6edubC3m#-P13278(Un771}i-n z81>*xn9I-wz%D}<1eIMN42dI9*rKa|7shY~g99iHh@vY5xrh-~e=+<wfCrkQ5sV2b z=ovvJJ-9Rl6G){i3B@(KRwy4-PKr6WFfcHfKrQ9*^mFx2_RPv~b@5CFac09f4$*9& zLY0ltA&pUhf%*S`1DHdFvRwT$;dQ2in@6%ENC;7lf`u3u9L|GMHYm+IoZ}K;P=(pa z%%sBv!l0;Na<~9e1SUAZr8r2`fsc`a0il7VdF_H`FaeUpp+y`;3o{ct69|JeFoL}T zwg|*!U~phZQ_ID~%)|w*Y>^EIISQ(Q5swB?IDriZ6GUhLnTz2hf;PadLAF7|6dI5G zi#irBZ0YP+)YZ}3XuD`3jBf<y8yP~}2Cn@aZZj}2XqZ7Y3c%t!%iGm0!y!2XCa@VI zuz3fDRtu<Beud^fa0_d3`-&dh#)UAxESN872=*hS#)a5v3Dc;ArV+}=snH6mk#}+X ziiI7Ez~(nDgz$_Ox37?~#bTQ^R1;5@UuK49vX_^mgGUC0vl+yhu>-?_Hc%~WzL}t) z08^VWmDxg-v7|aAXRvH_z@o$ss)R2CVzrl}XR<?b29!Gy%-y^LoV6Gjz@cLv0oB3^ zZX!TP21uCzEd)UM2@?AZ4v)A57`Rwqdca%;28YL70t`&xq6{VjRnGuz*uWY%Es&ZH zRHR|3L~S^=fGb7@Mu#2-1_n?Q8k|oU7{rj+U`xQ(q6=cT_JIHcg9W-$W=;i85O#<J zRh{w70t}37(a<mf^#DL_MdE|)geBHwNMc2#Ubuk6El?%O=rDtUfdO4Nqr+^hqD!!d z{{PQF4Kpmz-N4Mr&k4c~5g=bnvVocbp&%xxlwfp#2vjjJFvLKEf)mC72LLQ!&;_|W zI-5IeJNsG|wk$$sGcbaq30*m~kqm1rL*m?F4T}H+0}CYPW1-eFFffQAvB8c28;LFm zZrvicbfIhqaKj3m0?@U9VjqMNDS(MB9$_yh5*uMJx*(BBg3+Otfq?;CGuT*An1WIb zxZq=CU?k37HZM<q7uO6Z1uj}3VU2DFq`QFHd4Ta8z)eqZQ4b;%*b<PukHiMM6CCX5 zf<${inSp@;T{AdL&;>zNAILt3JVpivtSb0H(kP+E#K6djZVsKC3v~+vZs+nrm4J%| za3q6^H1kwwSo1*3Y=>kIe^(R^?&6q_8&n*_OmF~k85kV+xnadIOa^K-p5hooC7$9K zG#CPQI|G9l5*us@IJnUT@f64CN<kSBgb|sMku3unLZG+?6~{<?u$|EA%@JI^!D~Ah z*Wn?gC<bLbbe&-B3=9m64xq9w6KW49i~*AddkS3;xqL%rL(4jJ<%G++EU5LMvJQz2 zb_Cc3=z>`L=g{7|1Go<fF7ME_fr1x=k%KrJVK>++BtF=F;)57nCs;c?h;yL!h`|^z zX$%3dGtgDRd<-5*XhG#UfIG}!AERpn`4)r`K6YRbVqhT7P9nVSZ~-*N14>}%Hp9!P z>5Kvl4!jJY)<rHf3}DF_i4P79A}nNJ6hqeq4li^;B8+lKfVmxAGrR<z%LsCIKAN+U z_{2LK>`HQUGMHCG?PqUnY_RQFu@FY%E*(w5r6WwA1BlDO;9v$X9bqzH=QA+iDIGCX z;wc?L$pBV5BC)}ifW40{h^KT!R|-nRAdE=JjBIt#aDgQ!BtF<qaCag(14=pEhm?k( zhAFyANW&CU6x1+97ybXA!2(?j)Sv`m^hPD5bOnt+fx?9o#sIqx=4y07<PsH`4J}>K zl@l&qK@|tcdQj<##0EP894+XAC?k>3F-ZsTcpSKlL01ln77#{`nntv!LE?k$g~kb# zLXH}Am5`{x6a_^Mx+o}W(8WN}0>ap%rU~j!F&G2vI#|@83xWa$Ja7scaD_Fm!DE!* zfI(Ld3KS4V4wz=Bg)l3S_+YD{Ap)gf0Rswhbd`{vHA*)d%5k^{8r)=SMY9TtPrxc- zR5F-%LCuFc#KAK;Aq(2yPlj-C7f><a0t#k=1BlDO;1CNhpkOj!hvF@uFjV3xpg=up zSWZA<gDnB)1av_>1r)kcP(*_;a%A^HLkJexNPMuJkns%ApoYT(MB#+43X%gbML{_L zT@;i9(8WNhAB3^zfIg@jIbjU2%U~`>7ep?QklE0}30*ni!l@r>J*aR(VuKw4juLc1 z_!I_oD#HOhJpj&s=t@D+0m6tVVFC}TOhB>|i4C@s6I}r82y{Vck_U}y!G^d{@*cR? zhOUVXYykrUID10-t6+DdD+IY2gc0s$WSfX^Gba)oY$Vu;=z;{D2<nfbYXZ9)T@c=V z(}(p((N(a6oq_B#CI&_^bX7yb-H1jZy7S?U!d}ogJtNy>q!>YBgM$JbBj|!e#R$44 zaEzb}V#Wx%3al~0iLPoeI+JZ0B3!}lL*j$O7NgMQL|1`T8&RQ;VG^hriY|y5`sgaK zhCbU&G>;+iv3m^c4??OyTDcuAfhKh>gNQ32;wp%^1|qJ5h#MdRG=L2rCjoZ{z*R0I zcp~57BB<^GlaLuv*t`=16L=K?j0>8W17YYI0+=v(T>+S2U_hF11Fa$f)wK@bxn58M z2BrbzVh{$cDnP6nLFF-UIZQ&DHDdse8galTv=|s2K&2O)3o;E<V}W7|T@WgVG(3f_ z0@hRIM3(@EKe`}vyb(%4i*ce|15X+7vJ~BXxO+k4kznIF9Og4HFu*3B7#JOHf}#@? zqHr;&CeVB$%H$%P0}ek12Czx6nJ5NE$bcNkB)Aw!Cc!4A7#JOHa|tkT!ue2BzyXLZ z2n{(X1@<8%I?)w^i#~Kg%m74J0kxX}Y%-YOfO;G@9mc@ua0ldEI3KD7>|As~s5_w) z*gS}H(G`N7i!O-iTyzysyRkSIHVMbT=x`V0TsR-91?*gOL8v>S6q0k%6@s0ME(psC z4)ElOt^#T|7U#mI{}>p-4IEB5AF2iHTy#OGJE0VkbI}!oor^9g3^N+;Tyzys1z4O5 zn|fqm1b4DH;e4nTuyfG`q3(oINX|u92zD;IAf|KCRY2{=;#}AiC<7z7tH258L$!dN zi!KOtCzL{RF1kXnbI}Dc(=NISsNGnc3!9E*U<40BJAeke;bL%Ypppk&lzmZ0XUF2c z78nhlvIQ6S=qkaEMi+#e4WB$gR{>Aspk)@IMQn>07#Q5p>SiQ9*hJX$KKgV&j1Ml8 z85kH%VHzP5pfDcT3<d@U0Zw!gaJ-=layEDLb+#<D?OlvuF))Gsi>?$@#ez)7D#-zo z0ac7voS=zCPIrVa!7^aW(FGA!B3Mw%(UmfTO@U5Qp-ZA$?t#s6bU}ob2o}_Gbfxg_ z6sXommqfSR6PxAef(R=SEU4w^O1Y4IjxLFAxfeFe(FGA!B3Mw%(UrneFv#cVlIWIu zW3wDx5Md>P1+^SqsR**q(IwF>2QB)ArBIMQaDqb@L|BPnK`lpDDgiPCl%PRAN0&sm z+!veA(FGA!B3Mw%(UnReTaGS?Zn+;e%h3f9Rw7tX%h8phSdK1<ZaHX4Fh;PW3nHvU zu%MQsD@6%*bV+o}1F-oVT@Ya<f(5l4T`5X}LzhIiJP@1Z=z<6<5iF?X=t@zt8oDI9 z<w4jiM;Am`iC{r3M^}nc$e>H2TON$fa&$q2l?WEpa&)CA#Vfiby5%9*EJqhaSczak zEk{?1Qn8>*qFWw{&2n@>gp~*u)N*vCu$%*~Qqd*REC=_i1i-6?s7QdeUx4~}0^9-& z4xr{q3BrruA{2=aE|fqEvoTg`qX;;F7Em)VFqy)%GFre`U=tV^7(hdgAPgRM6hoKA zYA-Rfte_!Ia8RO~2+vzn83h>dx(jK=76T+e%FqG?i4XP;Y-waKbm<~GAKYIBwbx)8 z898AruzpY-18SY33lhEB2b*SMLhBYN-oZ=Jpgt`}^C=P^>{5Q{T28o6(fHu5F36`a zjf|Wy7Fa*br|5!2wRj;5uF$m-<4^Dy2C_dZ(EN$S2fGup_!M<1DvSs2AA|e|)5ItS zV}bR;{D>}yo+{A!;8fxe09qyhicbvfXhDr072u$EI1CythNRj`G(RHo!R{n5MhWsE zObeqJj0M)oz`($XE&$H4=z?sX>8=@`$xsTqb`@O_!LW8%%D}*Yt_!Pu1iXY*51U(- zi@&EIlwx3T_{Gcs?PERwEhdo!_huj?^`Lk`cL;Ry6iR`+_YP%{STF~bsBs_yRIJWJ ziw`6|I8?zKSeDrKI)FExFff|J6fknaSYUOq^o1@ckera^;hBxt2!<g5-WmhRMd+H* z#<{^N!31ch1Gf}x+ZAXD7kDR)9cXq26dVW{h~qdLTRMB&ZJQS_M6e(mcOdc%3=C4R zZCN1Gz*}<QrXge?su5-&Sm>s~wrzn-123=ynZ}6_g_s16dvrmJ5W)}uhXG^=4qY?2 znn4%D9L7Lb0dWok1K4CR0juRfK?B=K2J#GeDJ{q|V7DV=ASNIJ1i?ZN8rTjqkZItB zYH-sKGQ^t(+jRyq4ZH{pZW=;{c++4z(LknwmwUiXL&y+s8f=#u$TaX83Akwp8RAWY z?O+3$2A=VSn}(1f-Za<_H;`$dhLJ-EEb|~_h&K(k-wk9MsH6a!#)%Mxm;_ET=z<uj k8$$q`9wEscT{AejqYGjtcXSmH=io^0Fi8aM0NNG|0CCC~hX4Qo literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8a45e2da98f00c85257d2cb27d95a5cd58e87708 GIT binary patch literal 7426 zcmWg2k!R*+U|7Jwz`)?}q)MKd<HMtU=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}yQa`n$lcJ+2}&eE$;4{x8py3rwufq~&a6gYS?FfcTCui{(W(r2-#rOUQy zF>iZwH*e#jg}e=mI{FtXD>d^h?rUgiUa`1?r(@CL_LeT5{>8j<vVy`AGH@ll3p;vx zyLqW=nhXO20}sfL3=WJ83>#RO7#XaYn41?b<nT&%^zwJi%2;8e+Me$faS9^Lmf)I| z=9wku%w72Zc!DY@RMyH_GqHGjdb;whTD@?ggNLh2f}ev|R>tFH?->p+R(!zB2vOzm ze&1R-CS(qhID`o`h`V|5LfgicMIAjW`j#zIxxkaEcN(IA8JB(%ECIU%Vw^P-T2!(x zZs}XGsHIE!;~v*mCDsEFwG1o{{tOHZ640;$1rG=_FrWyt_#`K!fC+R7P~d<=h=IXj zAp-*gEYOh>4v4uEl7<)<9GDmcKyl*02%?!Cf|vyu{yQvX1(B=_0u28d7?>Rbm<1Rd z7PAU4I50B^FgWbu5@3LktPb@^j%8qS*v=)u;IM;BfPoQJhS6asNRyQ$)L#sYdT=Jl zdIkmt3;~A*1_lOnRban?39yM+)u1H~G%f?!kD}-X|Nqaxz+feXa37orb04|@*lu(| zu+zW<!hPs!kkcG8n*ki|qUg#YE`voR1EU_C33C~`0N7>df}mss!jL!ug)O=Ycs_(P z7#u)pKonge$VH5>5{u!#EIiN@<zY-n9%rI*CIaUXT9^qo7?K${!KI!8)FZH53Zg*; zDg(r0kUZ^h0hFlO9P$_#7?fZdS{r-Y8*N+IA+(4?J2NP^v@i=WFflPQ7%+fUE5lST z?pWBd$hL(YLgP@a0#(i1(ca(FuyFB;{=OF576{J}!V__rjm;ias7@g-S3k#WProEz z$BeAZB!5>2SFq4-u+R>O&{XVhRfC!#09Kpf<(cf6<>%_{kN^?b0T<YS;s$1zU)7=d zMVnjN8&*NQ(A=@81623e_JT#Nz@mn5QCSq1fJ_1>b%%?P@V*QxWv+mTt03YUh`0_S zZh+(+Dj66UVDZP`a0w&<(I^FrBnAdX2e2V_j0_Cm+=7q++r+@x*wWeCZri+gA%X>Q z6hxkZfk6rui6GO!u7R6|kb$U1n1NuSn+A(ykZE9VflT8>h(b&PI~H9~AUPq+!!sLE zA7TiAV}{WonSp@;U9&?i7D0B1Z43_jpa8<A0;+%kY%-YOfC(`$NWsz_$TQ&R0eJ@O zc7zN>IU+z1EcBp(r9hBr;CK#UWMJS#h(b&P8;>rC5fT^zV7Ehp23<2aXwU^Qg9cp% z#5oK&f(DiyK%N1mR|k-1z-~v#Kukac2!e$cH1I;$09Nt}AX<nHu5KR5jvxVObq^6> zU;vj};A-6AJeL52h88r3a`<>8_<1;ZWI!1+c0jCi0F_=2w;321G_+w#vph3AlR-)$ z3@l1@pi225<p!jT@yLL1p+(M&9pK<+K(av>s!bTFxPX*#jSInI(82;DCTNJ^L_Meh zg5W}BA-qs&TnG_C6f=T`$hMyZ`A;9JSq!Pbgcoog8DL>(p$Qj8^*hKIb3dptoC>zk zW*&qEsX`cZVDb)MK_wQ0Lni|R156AO`3wvW#*7RMdKjXhnj2jZ+!O>8;Kmm?HKD5k z)nK6ZCX8lqSPQb7O(p>9R=B+&8_)%z)fuc>YjM~JDps+ngr*&MBJ#*^cn`8jCJ>uF z=z`FS5LO|!IBbI1gRYVTlJGn-;0%WkV0(hF*@G?!sXS4uQW(!+Gt5qOU68a3twCW) z8P0Y12(~{MoBim5;Mx~Ug^ViXum$E4bp7C*0If_RIRTnG(8L@*f!!2>%}wZnkop{> zf=3c?*a~wGx?V`u!pv;QA`YLyZVAQa7IZ;yvjFNBcn*d%7BEB|w!vJ5ZVEWNLW2~Z zU7@)cQ`q4P$bFD(!2l|rL79^Y+(U9$4YJ}LSRO<|x;L;gmw^e~JA-jSt!xm6_RV0z z;QR?D7#NUR&*9ME0QbPaITxk@mLWkMHfX0GiOIn3a1-RH`3wvUumYTc5uDe+rohFZ z#vtkt1PfAjTA(Xs=H%xDVTTA%#}8xytk`E@1ZOg^1#mHf7BDz~%z)Jg42<B6!U^X? z^@9yX7sRNpF$BOxIHdkY*9@+|(FHN<Z*&z<_n_9_U@ySxCI&`uz5=@#E(X<x2wemV z8qVlSL17HSh;WA0T?~xi%)$xhLk$Pph%Sf`Bp3onVT7(397gDZm|=vj0_q+tVFar| z85qI&3G8CH7*rb~WDqP!7%{LqEMj0_FotFd(D)drl0o8wjlfmOFff|JlrlOrqZ$O( zC<c=T^GGz_;erTA#|~xz23-tuK#gQ{K~|_O;6Ol^WXkYo%J6qM#0VOI0sGnn&DThL zutD&e4$c7QMg|5(Q<zd_3m6NmgMonol)yk3lE^?|g02FK76ndbP6bYfNRUs_m4j0Z zx}Y%BI<QaCC6RmzjudoxEDk^_fOOH7V|9Qfkq%&B00*@>T2LeL!3L35zcMhI!VG{U zI~Wga76Su=7`gyBoX`bHvJ^Qnq8kJbA#}kMsAI5NjTA-D5VArGAtXN7AY$4=42-5Q zZQKrXKm%+DL&1h{H7|mKW+W!KxB{mXYc%y>L(m1enioRAqGlu}*brTGwcwCJ7o5ll zb_`@B09_I(WWY|c!R91%K_t&0F(FPuR||F$x?m&|l9SLS(Vb+A%}MBjAa^WWv}oZX zP$+?E5EJ4gbhTh7p$le$$|+F!2P%-!CDEN^hs{aof-om7L^x^TqGpJb(A9#Sgf3_( zh!jfblITvd$L1t-L9S*{2rXK;Xdx&;E?fi(sD)4`p{oTu30?3fvXjsy(VgUg%}MBj zpftT`;lf1=K~4hGASNV~(A9#Sgf4hc2q~1%CDEPah|Njpf)FQxaxOT>frAVdap-En zPC^&#gw_|}vIt!g-APW^oP;h2N=qOIK_U*!S_F$YbhTh7p$i6r>ULQ2Mwdi)k~20Z zp$mdS30yFNk~f&K5T3lz)q<UbE+{FA6mjU1=uUFM<|K4MaPnRVN<@(44Pipk61rNj elh6epB0C9P63Iyn3=ZEwy(NeTP)G;R>?Z)4Az%pr literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..936f47a --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,10 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd,1740563148,vhdl,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,1740560308,vhdl,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd,1740567732,vhdl,,,,operativeunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v,1740560308,verilog,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,,,\operativeUnit\,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd,1740560308,vhdl,,,,tb_firunit,,,,,,,, diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini b/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini.bak b/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log b/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..174acfb --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,2 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.pb b/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..b3f57e4e1636b5573f00f6e1212aad2aa38e5cb2 GIT binary patch literal 281 zcmd<u$jGJPn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms<j3WspOK%NTCAU9WKvv^T9l~o>+0g{@26i<pqrMNQ&N<w zn_5zul9`xSq6=42T$HSzk&>gI57JPQS(X}_msz4$mXTs4z{OXQnG>IqnwD6aQ<9UJ z6vbv}plfJmoWW4QTERMjkwJio%b!a$5$@>Jypqh4N(FV*Vs!ylDHbC$OLJ5w@^kT` g*b~KWXrOCsXkd<PBp(--YhH>%P-=31QHlT~0O^fYNdN!< literal 0 HcmV?d00001 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xvlog.log b/filtre/filtre.sim/sim_1/behav/xsim/xvlog.log new file mode 100644 index 0000000..e69de29 diff --git a/filtre/filtre.sim/sim_1/behav/xsim/xvlog.pb b/filtre/filtre.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/filtre/filtre.sim/sim_1/behav/xsim/xvlog.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/filtre/filtre.xpr b/filtre/filtre.xpr new file mode 100644 index 0000000..7223b3b --- /dev/null +++ b/filtre/filtre.xpr @@ -0,0 +1,292 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="51322cfcc7604b308891522fdf47db6b"/> + <Option Name="Part" Val="xc7a100tcsg324-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="12"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="1"/> + <Option Name="WTModelSimExportSim" Val="1"/> + <Option Name="WTQuestaExportSim" Val="1"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="1"/> + <Option Name="WTRivieraExportSim" Val="1"/> + <Option Name="WTActivehdlExportSim" Val="1"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/proj/AudioProc.cache/sim/ssm.db b/proj/AudioProc.cache/sim/ssm.db new file mode 100644 index 0000000..e2ec000 --- /dev/null +++ b/proj/AudioProc.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 26 12:06:16 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/proj/AudioProc.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf new file mode 100644 index 0000000..51d5206 --- /dev/null +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr new file mode 100644 index 0000000..afc0a86 --- /dev/null +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/proj/AudioProc.ip_user_files/README.txt b/proj/AudioProc.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/proj/AudioProc.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100755 index 0000000..c6b126b --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..99d2f0b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 26 12:10:36 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..14b10ae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,19 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..9a0f1d3 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 26 12:10:39 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..3a14ee6 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1 @@ +Time resolution is 1 ps diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..c2a4d1d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 26 12:09:10 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..7514b20227454df05ed6532da269e3c6d4e9a32c GIT binary patch literal 34649 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-wMZC^T+38oSl z!4$)5W(aMp2cgL&4Hy_0U>KhnQ0o|yq4p^o<5Nw%oI}0?*hep!85p=g+BiT21H%<h z2z>%V!AY=U1_p*BRZt-f28I*{28M7KCq37))Vva?FpPq!mw+-npc2q<_YBU=RS1a; za8+>g^l?=%Ffe4;dSc_Zed!=)NHZ}ocrh?AFf%YL2o7<H_wjf4bY@^;U;txKh;TA6 zFsO&BGpI+XGpHM=GpHM?GpI+YGpL8FGpPHhGpKv0GpOsTGk`4jMlvasGNTk27#LU? z7#8?BhJ*$=`hcAS^4I_W|LZ|kIWjOX2p~H;KFrlQ#6JkEkda{l*gYVHAiscUkY*76 z_y7O@x&QzFkA=E5B)+&Lu_P6&52ThAVlhLwqi0Bbu%mB)k1IoPh<}hPLqL$fvukj$ zr=NSgkH3EagMVm9Kxha=p{t(@OfkqZbC~Nq-Tho$z-ANUCYYx^{X$&bT_Jv9U|?u~ zc^Z^pK%Op2P0uVYNiA~A%z-!@WH`v-AiLS1kq7cDKLZ0eAlN}11_p-zP|s^X`LMjW z7s|g2rR6{dF)%PxL+MRW`Vy3eCas{55Rj9gnxJ-pcoU%NqCp|bzyK=(z)8`?$z8#~ zz>EQu1i$?M|9=Mq1H%Ot28JDS3=A(g7#MB{GB894W8xbk3=AIP3=AJ+AUHslfnkFz z1H%eA2$p4FU;tqg1_lNY763T}4L2|{Fk~|^Ff0WH76StV4;0%$)q$MN$jHC|!jO=I zgc(R0EC&rk5Fdu2MuE8?Hq^`DNSA?zi6WE+DFVrZ;sX{>e$e;=rD%{HAT=NiiYpKX zsRxxrpv(x$s<_mE{LI3@zz~dN7rHs1bO~}}3?4OB3=9k)x1~be1u_Fv2!OCK0|Nty z4rE|p0Qq4uk{WIX1_mJp1_n?f2C0c>U|;|hF(5aC)Pl?bVUV9d7*rI3+ze8C1Zo~g z9)v;iAPiDt&j2Yl&*D*&#=yV;vi~|BHJ~~M6yFc=sL5bpVBlq7V0ev3O(p{a10Mqe z!&f|NvKSZ`KzZ*!9yQsJGJ=7V5fYXle}gc{-yjU~dkzBwgCGL~gD@i`EJ5-h43Y<7 zke}V4;Vy$mO$5jc1_lNtJZeDkEyBRSppQolsJswmU|_JsqXtwxi7_xRIO9=M%D})N z&cMLni$_fv0|SEusM^D$rksI+L6U)iArX(7N(Kf7DFz0HOgw7Z7#J9&85kJK@Ti%} zz`y{?&&c5q$`2rZfa#-f5RHsM`3zJBfzmf9KY`K%C@;%H^9;x=Z3YGgP~HZq0j0YJ zlSknowmLMQf!qy>XAlO-gUlB&eH0Ed2c!=q4iW>=APiG;!33fPl)pgY_|$;P1VU;+ zWdcl1F#`ibJ=A?5`zBaC3J0ZCkY7MF$jyrx7#KD})qv6z$X}qeY6Q)vpfUnv9teZX z0J#t3FOYshZU(6Vl?fodAblVjgkk1@{0>qBDic8B_|$;P1VU;+Wdb2JpfZ7w8c>-) zNDZh=AfyIVCg4*83TIH6Ku8U!OdzBNR3;Eo11b{;sR5M<gw%k_1VU;+Wdb2JpfZ7w z8c>-)NDZh=AfyIVCcxBy(isec@~0M-Iu=xKfXW4itCfnNd=63%QVYsE==D0XT2Q?T zQ>z25S3&Iom>#&=lzEDvwgybCE&(@~f)ql_BT%mgRA0l)1(^*u*JqxhJCa&`0_Mg+ z)q?y8YLkJ?f(n7#pdfR>Vpl5_LHQIU2U07C!(5o!Jdi?YxdJLjk=+XlN4U8u^Au~4 z)Pl+zuo+M>P@KWlUaeH@hl+#T017{3b3x$&QVTMlA!VN80wlFcINS?Xd$m$=8<JX3 z_+fJcEIq)wfgm@4x^Vc^UPUq&)B?w+_6d?&P+JV2+RsR8L7h2#YX2dr^}!JiFu#G? zI3VR9zxfhS3rjnoGMw1715!&U?ZDClNG&MsVDlST>}sW=5SRgJcM?iF%1CNKX$Q&$ zc^976Vd(+XwgO3j+(0Pp*g_2gsa3`iW-xQTk<_XXP#cP*R+WI-cqFxI1k|P@sRgxP zpj?o5F~Xr1Dhcu%tSkZbT|kOqc@vg)dXdzEhH*e#Txwxy2UG{*3Nw&?Q27fhcR*@! zg&9mOtlR;q1%(-M`2cbYsC)pco&G>^HkbkFkKhV3u#&5lip#+ah+14>23B&lQgJJo z0Z|L;zeBm8AcKc5SnO)0;$biYqE-_}yn&TmtyDY<W<b>9s<XgKu2w2u2QwgQan)I1 zC08pI?}Hf-wV*l+%0+Q6Ed73fN`k@{RA(WFFDM;=!WXP|`UAx;NNPd#R|B+<1(FA~ zvq5S>dKeNeDYAeBp>0kx&`1iBT96&cYDJOMT0rYqkU1dhK<N~&cGpcsRV1~RXlg-z zfUDhmOVJcbEvOw0>Jz}s1@%YaYIogKbVgEZ&A`9_YCFT!g4(xmwR>+V1|X@mK~oD- z2f`q|47+YBf;$Y*aIi&lFQ{JvSG)I?VhobGpm7mU`UZt7DB{rbCUU<XCJzeNff5I~ z0fa$*0@0wj1BEv(_kh%ZFtO@!nS)ClW-iDM5C(-G$UU%rBS;K{LE@me#wCu+{P>dm z0)~>L__WNT(7enNhQy-ejLdi>0jNa0Ap?{JRRZJXWag#D$D0~5Kp93bhAE6;!H}Gv zS5lOp1F|6A5Sa%xE59JMD6u57EES}J0fiTDh{^}+h(}QZwa3gD$}lv5xxvr?A!Y)X zg*nX7zzi;C4rf_FS>^@|#Tl7tB@CH)$wl#rDJey%#l=uLLqoV}hHx_s;bs`Z%rG>x zWGF7pjZaKYW+*PnFG`IsN-ZwUDS;VdV#yHf9G{$%pPUV16r~oYmVg+Uc?G2<!HKyA zIjLyeu*96q6p&b2W==_JQECc86{-kCp(oUEPmsl_B@CYN$VQ{^AR7GRQH^0h7l0@W zc8)J7%1=%$F3!wLcgfEKJ2NLgF(nurWWmnynR%Hdj?h2@bCZiuxS1uvrMV!FfOR35 zkqn;bM*GKu)qqX*M===0LzoNVL5zj!D9vSnup$}!<K0jK4C;-b_ySO%=amG5NAQD# z;?qh?N{b*oPb7OmL51)Th=;Hj#Dmxi)lr(u0AYdbMGGhY_(+D}p!nqc)U+Vz7(9p% zPHG4N=lI;xoDzRfw#Z8{1O*ck-w4F_EzKzjf+VJ3XHfElaf0HDL7^E0v8O0CF$EUx zpkjhSKO;XkwOBvJ$fOu#y1uWgi?hF<eo28YDB_D!byG`9Q!*3tN_62$ii?u<Gg5N& z5ru+YSw;$jettoTer9gEepqH%VoJV|fsu)xA(W#J<)tK+B<h!eG=mH&E=h?uGBB{v zFD^;UOGzwBftXWJl%J(vkyM(QlTxg2X=!PxXAtk{7ZPt^Vqh9?U}0jQpP5%&l9-cI zte=>Z6JL;%Sdx}slv@n53!+7zD7(mab4hANNoGDhT#RfJ$?nZeO-+Rba!PzoetKqd zyrH3)3CQ6&4Ei()YXWvs%@4Xs`6-nH;SpquDez5RX>Mv!W^#OS2{`4`J~}Whq>k&6 z!-K{t3dsw|wvu0pLE9~$WJF0}h}5K^)F_n34A?N}93iMp2gBgPn}LC05(8wq-Gz~X z0W^N{kCB049U}w7aV7?aA|?igUS<Xc2WAF_02T&@f6NRF$5<ekmz9A5gd<oP7(f_g zF33z64Pt}Z=`cR2G)OOKt_3tF0UDP8%`Je&;X!i)pgs$z?*w9l`bD7rjuQg|gDV3A z18AHXG*$%~|M6#FU<hDfU<hJhU<hMiV2EU3V2EO1U;xcECNMBCfco2@@pjO7I%vEc zG#(Bb?*@%$gUkV`jfScRP0oYn4?+5H>B(haU;vFhgT|IYW5=MeVbIubH3I_!XsoA} zfq|h8I#&VeBQ`QHFmy05Fmy67Fmy36Fmy98F!V4mF!VAoFo4GYKx4U}a74x&(1y1F zlm_)DkmYf)EkK<h1_lNPD2=WUoewj|0W?6yz`)=ErP0+vyJj%uaM}aZK?Q|Blt$MF z8pDJuMq&hjI;)`hc_@vp50oyDl*5@8ppGlZe^44-AGqHMSB+qxhbOv!VEs&l4hRc9 zJkj+*qX(iGi9`=ibbZk1LDB(XqK7BCK4|nn6eE%7;fbyf8a+rlAWZb|MArw69*ANj zQUNq5z`($u0j1IPL8Avr2ZYH1>hOc+pP@9mKB#dJ#Yp4_sQMpJ8eJb$7)b|&c>~%J zegLJ>^+AOpijl|zpw2P_1H%a@jjj(WjHCm?TmhOiXJBC10Hx9OL4_fTk;n<qiIy2q z8eJb$7)b|&iJq>}(<6Gn9-@nZ0X<!#>jRAmz|<ns=;;$(A83vqSv`!6o?g)Pf#&UD zYLRL5^n$JrG?$O89>zvbFX;L}bq-7|GL4>I(Di}l{E^ke*y!m6T_0#}8m1PRMo%y3 z`ao*~kk!N3=;;MrAE-WssYRyI^E<je(7Xq-dKkL`nvfcxG`c>}90*J;GMxZTNEuKX zT_0#Z1X(?djh?R2%S+JQ2uv+9jh?R2^?~L|kk!N3=;bxKK2X~PrWTn-PoL=eKyv}e z>S1j3^ogzyG%o;Ci%g@ZPjr2tIRa$$FgAMnMArwJFMz2<rqR<Ux<1g{0kV1+8$ErZ z>jTXrz|<ns=;Z{uKG2*3vU(UBz5YYj2by1isYRwepas?mD2=WUG}nNv9>!h)EwD78 z1rWME(7XdoEiyd=TJS7@(&+j?a}dbtVeAHI!P5by(e;7m&|zwk=?rMWQvs#X^?~NV zkk!N35zvCC07|3l1I>rQ)FRUX(1IreN~7yDL{^N%_J9^VptY4CVRU_<^*bPObZh`E zcr2hax;{{U0$mS?4_!y<;slx;2MItitUW0WZGcLkC7j2g&IqVa0a^&ez`$@B)PZ1N zV6X=*ret7XSQ!d2NCMQ6U|?Wq2Cbh4t?L7II6!?2&>|)V28JuJCDst-qvU7^42uwG zW@li?WoKZ>VP{~-VrO8;XJ=r@W@li?U}s<`gwhqbI)%d`1O}HUK>fZtq_V~bG%zu^ zoH**5(GVCkA;61kfMw9QaAY|I)E)zsUlok0w`#XoGszzR__OB6&GYB`E9X7`xzxwY zTdwWW1RqcBlTR`y>3B{~@tk#h+7iz!&zUWir<QD)5;Jj{P0y04DJr^?o)%8}B5c2W z`}==8c0Vt@_xw)nx$pC;-{0KXd8E7l*|+Y0-#sJs4?bG{WK-vnpzirsW1hOkF!|-W z1XN2m8SfUnV%zZG&P0~4vsuK?sbv&c9$fmGQ|hj3!BfR1wex~8(Jxzj|8Fnbrg*T| z#_?n<XWm=?fL|O<*6PAjY`Y)aOJ#YwUb*6(UsIQGQ~P<LkhqUWtM}FH{HWBlDq6_q zZtH?y`&iWZ)K^q09b9SV_|}`_T#R?XcfO`#exWP%Z4VAPeJ*O|Ik@yJr_@`Yg4Fyb zwRXW6>v;=q&SWvyQ_`s9J-AlU@g+OQI~(7CvV)f-p5Lotd1|g)k*D6&RV`>^Gi5<h z^Mj9)ETQ@;6;-B=U!ytw?)V3M_G}9NJpG`>*6WHEla*KORzA4Xmh+XoQ^CWCCbs3m zF;?>z1kQhO>MV=zJJlWEEF3piel|GQyOqN$&#NH2y6IB2z@3#sQ*7HF+?&pMYQFo2 zJE1J1`AQWP{EkoSg>2%gKI*p~?N_xA2=NuRx!bbfS2U;J8^3_hhgp{Qsjc{{+GPA( z=*nNlgF6`=|L*40v+=s%;Mr50S=!_#EgVzH?KoNJ;8uP?6YH)A$HY0k<~bL<{>`#9 zUuDPV>ZVQo0(ZR69JhEHu3C|&+|+ej$mUM(f=}$6a`K)5U%6TQ&#SGtr)*Kk?0ELR z;GC)%3%=EJoLjR;=6YGYZ$Vym)34n^F)IX2ESer%dd?{|*R|kjHH+^$<sILmo6>}v zr2ngF%#3O%%-h?w;7b@w`8~&gGW(|LcHt@Zoey@JIUe=ql#BBY_-W44FR!xVmwwZ7 z?&-%Zu9_=YY-Dvjt8{Q>Ek{=UoCjw(SxWc26}(Go`gL6(X2;wG2bo!>r?K>k=^U{L zzowqCN%G*<?ZPIvyB{1o&fz8JUhsM~%hKH}<#kR0-^`k-zY9;P?|QKFr*^`euGc~~ zX9Pp)qz^u}<y=)a<-yC1ELZnC6+B{Unq{wMQOfQ3*6`p=b&gkhyOoYvZZ~uM>&vP4 z#^FK&f0MVoVnzwi!Kq@7lRtB;``fYLrZ0>6KbL?{hE36@ml*iHH06A^r*A<{<AZze zSf28$RlLh+>RK*nV>5lhCw@*jevVcDCO&w%nC0qTp<|XC*&NSa7n*acZ^5@}j&m|D z0o(lw-i0*%dM*&NW72|yy)4uJIb3)l*re^fwx@8`8m|If?+ZE6P15hxG%6JiuJsq3 zV%PfM+;)yragGJ=*_u8nH$9hATk*r@;Nhw9HR_L^?zcO=Ua?}2spHjhL7TM#A^%kl zc22+dzT)R<ll?!tlu9if-_94Fv$K1_yZapHY~2Iww`%#lJk-X*yG?1w7r!RA--0ne zSsW)%=2%zTyx`_i7V~EuUiUl;Uax0aI$w3i=0jN$%ikz9RYwa<x!?L=XDx@;H4eFX z4go)3v-In$t@vf!w0yg8$basGo#`A|di$-8Sx!}4@mH<M*jo6?UxtG_4|BYdcQ1J8 z)5I3r<ZZ8-Q6hbCs=csC!Y2`K_7%F@*`8@1$cYu>yHKIb&Fb;)$RWn4R57jzc2ioJ zOYDw2F>XrVP|$FXRYydj-jtht#_rZuCXx5a4;c=tM`$=$&OG*1CSi{mH|vUhjjhZ+ z<p~QJzJA|a&~QXiM`XdzNHM+%R&!gKw(LKBh|#E4jO)T*Q*QPXcTYPp{_5XY&~Rvv zX`v;n#F~?b7`@h~FJxHE#Ld29Pk$?u4`13thOOr}1T@^t)R9^6QC5s=!k(^H<}Gzc zoft2D-%!wSZb~at%Jewy>BSBAq;y0S_H%Nx&$!*!$|Q3C^dZJJ_Kg7zpO!~j9QY9; z#ue~(LqWsKsX8JH{&|b>P1rHJmC5A)!9$EeQPR_c8@^@f$S7<!<Ys-bZ)Pj=6E7W+ z1@#Kt>>4shofz4cZzyQEv^`SezzZ%hzJQ;s+^jQtA}k!<zSj{+_-4b+p0Q>VU%s@$ zPC;(=jNHRcjF)zAEND2#s3W3Kt-{Uz!ZcFD;a`pzpFz1LH>*b6`9q9t<sg?i>&PVh zk>O@tv2Kf=-gAb_ypb9Xw&xEq3hmw$(D3Y^j*LPn3pZ=V{4<9bjnX#;G`vgIkx?j@ z<z{_x|KuS?E@3e)gNkXb%u~W*_@@UqTvQU{3%EI{mC2_p@gc+3+DMH9YmOaalv3Xm z(2z2_m3fO^;zEX(*^w3w&-ui-Ce%)7W&ScRaUsLc*4K}V_zb2eE@U`*HqzoiLAn@M zz`fb6%su?c3mL9Hj<h)N#Yc=QV1Gv|v&y=}g$!pEx!FBz4>&R2^50z0aHfezZ#l!= zuMrjwdB$Q~7w&hqGK+jmTFB7s9BJXO@}Lu=8z}9ZYSj@*C^F?{Uvc-86Jy%<jRg(I zChLeOSk^Zm;qF&`?`7qX4T|4$ppfg+5mDH!&dvTp_K*|fr{kLn8g^ce)NuG%BgSX& zW^+Kpr?WaT2{roMtSju!9b#PeS?pmES3rDsE3=AO>OuzZf6ecozVB!FUoE))$A|cU zCCg1fRdDs6*UYlVKUVHPsuDR#ZRO5+vd2>`=ExpT&70{R<+W_dQ!7=qlaow5pV@Uz zJK`VYourrPIdjUiNhfnXmVs;G>rPcRakbx$f2*+Bzux}az32Crev2{wcxm;{x7zbl zRfSgmk1D$9lC<)_P4Mntrw)f7oSDq|YK|wU5Usi{6tklhT!_w7$k_5FO27W*|Jr`9 zSqn}wHD%uxFuC3Q;Fu$eYQN%+QY*)o-5m3Fw=H<n@ZjBFmZ$RSJJxSC^g9~LA$LxF z#U9avkA)nsGIPw6^9}eL(j+V{bY)N1gDYoQw!T-j_$=W#_lT9m^E;<lzCII-iEz4* zP~PPIThPR+>%pm$EWYQ|cU)7=C=))|Tg~BR=T-2Uwds=f+S6N$Ukgl$^(iPfZ~7$K z^xIu1#HRDX!%CK^`sx)m%8plebIkMOSY_{Cpk&Jb_)1LogDXl+w{{E6xjB8oIYE}^ zekv=jtL-R|bZnO9(7WphE=A7^m_#ae7p`0Dcp*peV6T$n$y$y)S-*f^EKSePscKYf z9=t0rIA#Cb2mcPUh^DjfPoLjksI`CYgO6$~q4ym>l;k(Pl2^7Uv~fJEFF5Cwz?FZB z2WQ^qc=c!AgFBa5zD|qge;gArcY$NygG+oYz2B5}d<tw*J1!XWTgh>=H%H!|h6PX7 zvTQ%bvUI*uMcz$TiREvySjx>+H1^9L{A<gpCFk_vLqyXl-KOK>!Xba{4qlGtSoOc} z!I8-<rtzEYj#+Nia(w%h^PC9Bt3B-x?i^(K+NZdqfX%VFn?tX*f5F9u2dDBmXW94_ zoRv<PleSvmPDKBLC)ZfE-}4Rl<<Ru}6-%nW@{Vdn$DgY?-`)2K_-NPE-Y*yum*w2^ zxgxb`Rb<n0Z;n;_yB{3+$6{*lS@24v=~le5MX{>m-1$Otqy?{3PkC^tn}s**R!6q? zYax>;pMs}zS$wrvuG=|Yc%#xJ9j~fU$$D_@e1R$ZW<S_zFFd7o-h(`?_QF%^eGBRZ z9goIx%DwXr`1zQn-(O|LFVUvu(*;A`3(wgxdBL;!9DcUm0l&XWC(kj?7P@k?=fRzG zEMLDV?g&uMC}29cRA1O+*OUjR`Z;Ia@hf=S&vG`6#XN31=P}KhiaWMyI=-CCF|XR* z@#bNUcXIv#|74ne%Qkgg7q;2cyWo>Nhn&4{z}Ky_ljba6EgW)x#)Bh$ET*Sfmh-8u z_#4?|oGyIjr`o}tuQ^`b^(uI%*u>^97~`dP#G*G$dB-L}$H{Lw*6r<Ia8r@R+|D`R zlTK6geFcrX$`$XXv!u$a?D(zX_%qe)u*LUq)fGD!4<2T6eEMC;#%{)fSIar)&2tR+ z`<g|4o$898+)cs!0$1h<&55ik?g@T=mgTvaz?Ivx9vqTm;pJ1=@kO)AZMk5~Oy!Is z(}P>*3!Cije{k$RhgX*1v7KMlnl63joOgTDf}G9=@BXno?N{4T&*gZOn?o+nGvH@B zOTRly=zp&dUtF7B<)10eO_FmwtIOf{)-T}qVV3khr4^S|EXwU1_iA(K-Eq0_Ag{^0 zUNPf~&B3YWI>#)0uc_^rrmk@yCtQs0!Vdv%Ru8$8hZv*c6BaVO{2XC%;7)m@hQl{E zF}@3XC$};^QP+`K@Lz|URb$-_)_0E>4uk5D%3?7-gL^YunL_MO9AaE%D#jJ?--esr zV@srl!)I$yb-~Qdn(@D_l{rN&aUsLp`i%t*ceuO@ELmTqMp!r`Dsr<*yg71+(MvvI zA;aRs5f%<li$SF_A2<7o+S#p4XV#@ZWaw4oX7#W;bBHnOf679Jmru7Jc`B3eE*DfH zb8@r4cz42y@l*BYf`)$!bwn22NO;I_cypwN!_R0?i7d;_y5irgR%Rdhq=gJ$>o*iM z94U%^Sj2UqMw^@6<7tG3Lv^(n--MgptxP3$hYm3o9p4zxa4%9vW<dcbH><>#2n&ao z;W{D-Ulh36SNxgV%H%WcrquM}hFj4Q8V7Qi#rQ7VnAXaq@;&7t!&)tFRuB2phZvuo zOIgTpvpdql;d_)A*M$A8t;}CQ{yVB3VR4|~Wp05bs|KhEP{GK}x+3rJA;x9Z8v+`B zIqJwL6!LJhX3RTxh%rcfV?e_<Qym!v<Aj9_bALx#IJ_$q<GK*cIK8;xkd}@}g2DV& z<|*5f7BVar;$~kVbHIsF&3$u0!>yG%A_--T-0T@KN1YfanQty=xVBeEMB%RlH~S3l zoY@sGzrMOJEuyg7h@1Vzx#WcmKUH;P7VNj?X4QCi{19WCJE$3O5)^cH+^j3gKvk_8 zsH$ymWmb_(UC3}&Ji@{uYw6pH+YE0@BP<-!Kn;{P#||+TiHdPuu%6V)EaC^MYCnTQ zu1Ji_VAsS}=8$(u3mGPJa<i|nJ?_Mqrk&S++R`CeSBz^y45(eVdqY6Omwh@i3GX<> zxF*<6Y-KLF4Qkg(a<jj<f53^ct9(O2!$V~qkp+KvxY;GvZ(!D2&T!Q<!s0-QA~)*_ z@kk4YBzZBe3pR6FnV0w_EM$0F9BFajwEeOFd;dy5{{KUuf-&`Gwa8~sW&5)x23)63 zTe5T85}!pAPv3d|sp6R(KmTNJ?L?KeD&CjwY<gnksjE3TC2yvOcS=xHk)&sLidU3p z*CosM`}W&!D~@|!yY2JM@8?tX?rVL#boowF@AUhpy;O|;Z+&9ac|_=cSZV$*|1Vq? z<@}C+k8|jq;gr(b{`GYHhyCX@*7{wjaBkAREnsrD`@yY=EN7)z%+D!m+*I9B%Ix@Z zH|IMWuYhlsP1UFKnhQ^@cl)5=9#E*=<j&2hCGYp)iAvL|bU~ZlJqv!7vZ%jPT4AYZ z@m0t1ZR)n87U^NCD|Ry;+^Ng?N?&0|frR5>VNSiR98&RK1y7@zmX!;{RCg@+Y0P3i zO)F{6q}2j<qWTw<G(I@@jwO{}bw@dq<4<D_xfrK_k5WzU&P}KI1#Bv3Em*bvu*LZ> zwH5pL4tAd9%zEclkY(uj*6QHQ%bc&`d<x!WHT~Ky9J6Eof``E@)2H2#du$Td`M_)1 zf|tu!u9qoh?CW@NY$J=Rzw(Y!MaP$`Io{oI3n=p`c<<TtNngk&qL6)^?mE{G8NyAc ztOadW3WWUCIJh~Ob5;G!2S=u|nASTKys~S$wO`%hGn3=pD}55r@62NPYOc0p1GD4d zznprtEek$svV`w*x$uRnDVw?J*>|BkKV%(GKIhEao2K3qT*cdD{a#IDx9q{awVbD} zbI8r{3HWH!<UU<E<e%Na$<-XI{!M#ubIyWatILmBs2j1E-ghm?if+19Ej-7vYr#8p z&U16U1NM6tJalVnD;JLW#q0R^Imfy^S>ipHOH7-x->YQo6Ft~#<akn<Bd@k;!IzsX z<@?+MzEw3<mkUm*Z+UQVGmGeC)t=88p-rdWD^zS!b-ZfJIZxIt;O}D=^>ZpKeug#$ z*EZd<SG4$S;CR<wa87hpcu#P>XcPBqVG|vJm|M*YJ}zVlw{yGjg{LVyUNNJN_h7HQ z@RXVf53cnr_%dmC;vDOI1&!T$2lt-kJoVn~LxQ2>(Z`%}bNvE#I)8YQ)wIf9siMr@ z@vFVioD1(HmOp#V>9^K5;CC%cx))0+zmi3{jN{+S9C|S>7d~h;dE2XG*r@IJ#NM<_ zUnr*fu3U_DeD{N6)tp}Md<tGWG+jC_a3@n}%HD<t_olKu%~!1`kas+)&LOwgA>ij$ zZi91^O*vOR<DB=_A>c1Vld!w+l|MWOSAOQqs_%YqMwg|u-mf6fE8u@+6Swx8&O)hm zjs-7mS$elC@7N^ocv<S;R)1j=`~C;V%sIX8`4qfPXIUz*zT>lb)27q<M=ZV@u{<?b zs@S0Gc(j&7PS!QxXE2NZIn@=vc$?DAg+k;7=T!78coxs;ryG9EV(WdMf;8u*UD3jG zZg(&EC&(f$#lm}DZO50=reoKIV}5EnUUuhL_qTOHWs!bQ@nc^W)nb<Ib`AkmiU;TN z3r(@@d~k0j%Ts;jiVNy1D%1}yZs*kcKl8!KOV!D9R^_WyY_oIxs>|W0qp%`U)uL3% z@vXkVoSjn^y!*~^?yh6Nf5#^7^8zL@Me;qxn!Xn*Vw<$zt7q(zKDgDHW0t&s!Q;;? ztR8WP4lzdEPhH6HQjwee#h+QNOeyoz9x~Xz?VcaN_(^+nLBqjYIwA{pNOH4FOpVZR z_?aNaXJFX}s$!2HVoU?o3%|-EEF2z{iE&-{BMR#H9Cc#6)%mx;lKsS*BTkIF^3xwO z?DUP)aHvlg;|qv5;>6f?9MoM*kJLEugGr1p;N`}EhL>w~WEOn$7UMFgZ)#;W`FGNZ zagz4C^S9YD_V%_iEiq4g$S_wnQo~`}p+k&S?wbP|{;brINhq-6X4R+z^~CZL7cxAJ z-%!wSa!M;x2&mVZrY+NOTGQe0S{)gMjfUK;8F}XqF$VqK9MJHsJ<`JAnTQzIh1|^r z4R=IAJy~OJc8xoyofzBtHy1Qqx*w@=pyDT&-gAbj+cyL>ymZr%SrC@8kl}M}goVRn zXB`oRN+WLejM|B<OiT3BA2Q6H9iidyO-_vOLUm6o)05{qG6@^*G<Hs(7yeHzwBgY! z9hrnJirlOk`G*fNs#R|cXm~YIM<(GKXcVA0!op$EX(z^6<{JtcuH4lTS@2hboBf2% zaVN%Ir}Yj!l~LF(#m)NSIjDJ}EyiUKbK(%ASNrCGhL4^)G7E~txLIe!9XrIBb$w$% z!_T=oG7Ah77c!hokF;>ep4nVr$?CEG)FDPI=?wu5Z>H(UEZE-A%JhUk`5{BIaHNLA z#-oQA-KK8}X!x{MM<$_&9n@bua)>bvG@|e;i2ZR9--L+PRwk3{$qyM;GI6tJygzq{ z(Wre>K*KvuP*0nUoApKfp+k&Z*Ea+-Je<(VJVh>HA;aV4kroa|y9+H@XRJGOh%xK+ zhJc2jX*x0sHfnRTdg!G+WLRs(&FV4#+#yCQ@y!7ZZ?5XdEGW0;W<7EL{2@j*|BV3+ zkA&JE6!95Eb+$51*{mazut}4fb;Y|whZxhkBQzZTMu_oEu;^`NGSN?Y$gpyCgoeX! zGcmpilA8k>-c8n#dGK`e-}|TcGl&Ly{sPst*%F_bWsiN_nZHqH`V!AgD!!8}{ezTK zg>*YDmn_|I{Gnn0<vGV6CwfJBKAWJnTs3o2l#p|lWlB(#ms;YKo+Yk9F;`1#fB%io zx&HNMef*n!b^6n*Gyfks?O!~5Yy3|=?TIJ;9-nktP00Ii^ws#M4dHSB#A70+FL*eO zWqO%XMvUT)A{NKXmpRwPI$ZdZ)g--LV9K8U2iG36ER9#$F?m(eoK3F<?%Zx#@TZT3 z|C{299UTuY3N@X2E@-o}Yr(6A2RHY!T$NY0_{ijVcB)vyoZxKXEBAUHoZ(|B{jO#a zuDoLZj0cByvhc1~*-@hG__&&5osH9l62F4nR%S!L&s#b2a-0H+s+*##g{H)L6g*F9 z+VouD&TFA5`x_q|yvrgguU7Fz#_{P>zC#xN*Hl*Q5<Iy1Eyt?qoPIJs0iRWxg42bs z?3?r8%vYAu_evJu1swmTbLy$^AG6@SuCQZ^qT}OS&UJ6xE|f4H+$zj5Ydc3?j90)W z|AN<iO_%tE?o_rdcw^L_FsFL8@Ra)v4;&j8JX*)n&!@1WM&{t;%^a)hdLP^@Ww~1K zRq%+hX_j$Qu($pZi>>kg1!=WSyWT5WZ0C0T`<Fvc#`(erpC)hFreoKIVt#TuUjEIw z?(fV6KZDwn=18ws*4Qa`aBaEp6g|N^KSdmGF6Mk!+qU43D+~WR#T6CO2NxSUKD8IL zsq9?vDmy#II(qtoU%NT{-uMK3p3JhmPi4hj6^mj;$GiE0b9PT%@UNCde4awa52b@k zugkO*O09P;Q1!o1;@*@!Ujf{L$YfDHufF4}Z__1n;X6NB9dBwL+$+v;YW*IL$2JkO z7d(2#(qE>q;!k0dx@ps@<w7>r(--_Q=kUAZ67cys%W^r@6@SZ{jJ4(Z3SXshoXhnJ zsIP9~t`;<j@hEsH(sb;(K+I1o$IH?j>v}n6+4~ec&Sz1bZqM}iPL;6Z%U;fRd*&~A zBggWcPfg>#LPdd@<56u6xmbTt&&yv<X~nPbru37_hJIhoh31?Pyiy~7aOGXjEP0QD zXD&^<;uS2)B_03T9c;Dcl(O?Ec&X3QJKd7&aZDw%<7Hlsb-B(LYQmeOLz^ZY7ryh$ z#_^>$$Ge*83*NkC`7WoR@!#ZN^V0rf7NVC~`md?4_!HNpzFQ#V?)(Qg4Oy<*yB9o4 zYMOOl(ZW<^#b4<rV}HRbp|X$XME5TESH&W}O)VorX~&n$rep5HF+X)2FL!gStDU{z zXD*9*oo~P=&!*_kWCOpKp`7pb^e%W4#`3*PO=G|Q!DeMnt$B_gUN|+KvTah|E)?=t z^x$T7j#d9BKRBXwyeRkcT9)P8R95^|Y%&&Y+SM*R$9nF9e?M8o*QsSx7$003FJv-L zDCQ@-<K?OShb^w(bGlGd+$1fpqOp_z;M&6+UiykVE~{x&iyquNo8#1d?+*_Go4U>m z+eCe==n4LLmc`#pbw!j)#Wr!rug;u)I%+F^rZxqK3xS7OsySZ$YkY8L&VqlR)Dz}# zXA7F#nepHfJBQSJ$AYH<P0M(jvg4I9>R1o<$_q@{GyB1{_ncf4>iSxlOX6yko@qOj z`HJyfIK45T;ZGT;rT}$z<c=I-bOQ}UeiDzgIPk<sj4R-;9XI=oyC<C(UkQuxO{kdG z%49O#mUDV>!xbq|E#U|1Cr)c+DzOLkcDN%n9R62`@dadT3}|==>O+0872`74H@B5J zr0%#A<1)}l<gL|GJLWK*xeOXA290p)Y$#~B<{qix@QXo=?}FvDR;HBmNe>zJc1LPB z{Ie3{GmzL6(C{cf(&E4iO);*3Zznsa&;S2-rclBrDbPsv+*al@+tL;?eC-GIW9xK8 z7DT2$WLRk&sp0T@wT_HJu`W03i+?j(nV-B%U&!!RJ<`G<ab<9UC9A}`Q->J6BE`52 z?sc^?huEEQVqErnQ$fS6Kpl~UIyP?hjGER~CYAMx4;j|VZwzSoGNYBb<lhM=#!dPg z3mWcebywbI_*oihaUj84j4R+yXDf4$mX64R8WwK$8FS7#F|HEdP|$Gms*cElI&N-u zk2}Ym7-xa%^();vA`9kPiB2zWIAf(FvS2qWH~WdVC!836{oYW}a7YPMy&gKm==FSK zK*PsS9hn722@4r6S4Ubnyfp;1Oy;#Rn}kI*oz`?H$`#|gaI2@4X-Rp)Lx#C~K`oPG zhZw8CAr~XYXRu>ZE0aim%0q_5)u2X`pctRQ%*_D}ueg>!EaEeW?rvqe0&3HAzKzsy zDE1fQn-F!viSd>?Xt>x?M`Xe6)Q1c^r9p9;C&m|0F{71<XMXZShNVyajz1Mi*rUeH zz9Q#{6XUYm8w(nuX0|e&;ZJ(V&^tLo!{Jko7~h3mb6S~}tOqqrc7qxwilBzcv{t4k zlYL{(F|uWE2xxfJ25OV&a<gi@KX!;w4U~MovWW2+WN!>;__b6=M&V-mLWZ-}5f%=w z9L2aU)PaUfrdcvi4{mt(N=HUvJ0myi3-Jhx1KReF{@eXyJ)Ai6dIe+Z4d@W^-0#tA zR3_i{+T?dhC34cUTOK|^-ltT~=uW;AWHfPFOxxobrxPvucAo#M4jNB(I~5Zhq_$Lb zx@V?G*Qv)JUw!_YTx~P2|GNDB?{@#!Zg{-0bNc*eVxPfPt;V10lTND$eg3yr>do)@ zFX|s$TFBBXCKO}Yzu@Fnmg{-S8Q&BR_G&twT-sOtR5iY~|Jo{+rPmdAY_@c~Da$eM zcKd=qiY(v71w!5k*z9Rr@M$eezr4bVUn&PLR~}EE^GaKIPQ>g5&z7+)_hQ-lUCE-@ z!13;5j&r(-E6Pm|wnlSE^>FCf`dxUT+N7<m_u$saD3<GHY8l&X5BAz}c*(gGto973 zGCVkUHOHxYJ|FIY2B(#G{8w^3D(ZK@g8#b8iaF{Pdt@E2-sPMp=MnHXqe(bj=*pg^ z2UmQ-qtnKUD}FN_-1$^IX-?H=PQ4tD3klIp-n)fNRtd&fPFisCCCha^<%}}rgT1>s z!K2fbO_xs3?I?Vg!f`6k;lmv>mZ#;4JL(x6k1BJ>=_#$K;XL?Qnqw6o=R7;7fWPlq z)TiYp&Y6|3YO&SM@h!jboSQQjyc6d*XUg$vu2aFoq$alO!ZE*C9T&HAuKUxw!1I$z zPw`t_mb2HCcYIWAnq(~qt``n-zMJC`@Xe{|c@@jk_X<1y3pgH4=aAE@H9EHPPg>LQ z*Fqt8n;yLEW4XGTMV(I>JnIeWdi6gz^OmKw-nrmiW>b~-+@?akC7e=goC{tyv-FlJ z?D%Baq}DDFW8JtQvi-raa!xNkj=aCK7JLb2DKF7Frn#HzU~#tal>0po>N*!Zy2rxL zuePGb=HO#hmeBpqAHIk+z1pv2@sY*x>=C7&;w;ssTklmYHgh`O?H8PLd-8&Njx6GR zsu>$*Jh&v|xOg__x<8W^oJ?l9{?GG5PV40z+dlF&O_CP8^Gn0=CD*~Z>jgmb-aCys zPsMu{{8wx0`YvcQM<C=M`@zRg{f}FO-uL@Z;@tE~UeRKswBuQQ;W<`a3(96cIP;XH zwBDuQom^8@zferYyafk~a(jwj=CbsfDeMSR$=JkwaI3zciFMP1W0@?f`l>s=IyYS^ z7rgV+*72s~!Q#{N8VgUYcPyx{ZR&~^wz)HN!6$J}IdhIx^SnNMNosm!uV7KA4w>?v zw%}X#`6CwTVd^VpD_d+Ab=*6bL+_3Mg$D&q-s-|8yCyt1^__Fp9mj%Pp9^m!o1{<A zX)W|x=T`9AmSyR7l^vfon>PIxv?-Tx{3&+u?`KY}IQI`9%9>8?SFQMC;&}DC&M^!B z%Pd##`xY#60JoC9sjm22+GNbl`D&hb!MmuYD&{6`ej$^Jt_PFOAG7EUQ{3@MuSspT zV9ak8$IW{=^R94u=_%|e<#T+Q&GGKflm%~?S<c%!2GrdX>M5>QZR(0_YM(6-^3MV? z<J}9M@jkC+QE2LTcD}$IDZwlMj1SJ7&hcvBN11hJ*SZGeD>rf97B*QS5c5mRad9%o zx;d^Fo_IB7+pA@i$sg>s7o1Wv@j=+C=0dOao(0dDSy)fx9Y4hQtPC`s$Q)_m@LxlW zE5N3?m6=CBeIdhBJ8pJ~`-hzvSJkIHWVrl1Qp4dd?{l@dy12i}#tun#+^iY<=C?90 z*_OJH;qCcN1r0vEtxP5R&mLkdTE01;;oedmnFR&P+^iCJjviw4ss{}fOM)h3|JlU& zF{)*6C}_C#8#El74I0Ph0gYoH0QIqx7Baka)sb0nIdvh!O=-}8_FNqih5sDf>@Vz3 zJ27^xx0at?-0<)fXk0N4G>+ZX$`o>1M<!vHJvZx$`pK=#Dt?Iz8P0Zt3gs#>t_%Mx zK^?_2PK>+iLDj_*)*W-0zJw(|WN7^wp#iEJ`2v2KaI;FhJ${H$>wdyQhRI^w>?>jq zI5DPO2hA>?2UQoKy5Sc$H>-z!t)kv@hPmb&0~+4!(veA6cfg79ll7*8hJX8XL>5$N zaI;J3r7UE43L1+45hcbIu(ua9j-9fQ;j1P$yN6Dxpx$zZv!Rg|4q38dTo>-mX=P3+ zOIXNo7u08dCm_akA^N}}MlM+~E`uFyt;|#MQWr8TW(N(idx~)x%q%z5d(N<RYNW;i zozsUHrDksmXh`X7W!?gsD1F%snv=B<<C+kA=n&(xb!iJ3env-FIMg3;V(bD{><_(l zL>BBxn!cUaAg;TWDa1VOA;V=*)mqCB>M3@#GMUWQkx|$>qm^mP`lN>pGiOI?IDD@Y z<C_5LuYZY4dC1Tzs(0|INWum+Zg!2Qks1dwtU;5-pb>Vyl!pvk7e{Iwc*7&ccVS0U zE0aoo(nE%|)sY$wRfi5STIqv2*Po_8D&jLRpWn*##60C8!{6LU4Tr*TF+PJepb>0P zcfZDpn{@@KufEKDQ$WM7yE-xoA2qmHGwhBZVhlPR+jd&h!TRtaMk7#P{hb-8lI7-R zeIW}9H1`bw4F{FP_&%KK|5z{opDEYq^(Jt23mZf}5@e*RJH6+KO5`N9lQFYhyptwH z2^D*S#*4kwwkyt@6gFw+`C7T{J8jN9Ug&AG9b6ylsJgzKQ-1%x{B6tipY3k{eDnLh z*Yi2kD_&;i?K^h*eX6R^(*IFKFI|#4>sKGU{ztuvHAYg<q;|@KTg5DAr(6Dis{iAD zy2(AKfFkCm=xE_7_ohBL_m3r2n&rEnnnu0Z!Ddz#(f2A9UpO3}rgO|w(LZ1j8m<T# zv*Pr7;}lRF-xS;~e8q0!gENsVrN3F8iwR$;pYh<(WftD)y96G`M0GCsSj7@<rk=4& z_~2G8$Ipj3^5!@MeDZ0E4i}gb=Th)ov1yaP;GO7CN<G1Lz8?}|o4RHT+T5DB;8Prn zKcDJ~Dw%_u`5eEV=J4a;%(8PRc;(r2>s8_$V{M@;vF#7;EMxiV%_9CyC8L7l;L>Cc zsriltPlcM6adWP_>we)6XOnbix1rxjQI5Q|z5!LjP1e=|Q|>lDxOWgV?Nw1=<#<%? z;NsaFTKBy_JSl8i^<Sl8+aJ}K=z8gcoxPk{bu%7>v@ZBIo#UL0Yryy4EYI~+R@5^e zY^~;y^5fL|+q~do(e$J_+WD#(y9B{2q3+KGuY{8GELiOu@T;cD`nvFxy|W+Od(Uyo z-v2|w&C>>cN3U|q<$46{^!Sis-n1%Sp`wh%@#}lRITq6wJlo2${GHN@ztK&`#Z9}` z@05Y`zSvmAw<%`q&_1~Iys$~0K+I1)$IF{J*Two?_~X|ky<bt|r_RB(;qi@yUhBOI z)ZGKhw417T3rxA+|KQ+57EyntiV`u$r>i;U>8P!+Qmpu9;`nvx`Xd(VVQMRW`ZWbd z3tahUad2iW$E&)j4`R9({F})lzD^}$N5_Lp>p7+DT??Lu*7jUB@hx~O%W~FSdB;b? zrb*SpcYbj>zI@F2?$3+`Z=SMzZ)15XuTt?r#nCmswNP%YN5Idw;Lg{tz^3$Sp^){$ zb1bGUc-GJ17wZ-9Tdc|WyYQ92!UuP%iY3hXr7aM1LdfJ!%Y#eqkj~d>7T<og9p9Xr zp4m1@n+s2o5x(<F+3}?~=es@sveunl=UR}T-1O<Tu+0jA5Sxw%7Xw+Q);oN7;?%Ut zT*zj3`+{Hc9DaKo0*p&}k6CV2bA0<<XwJ>P1@D$~oRjqk`0vrgy<E`5X8waq4GTWr zWeKnIzwo89DSQ2HvBxIya~~Y5=J3k%E_gkeWob4``99BpZy`<9&xNM!?|X1CmPJ%v zxxz$!#h+WR4bDxz%CTzS><2f^SgzVT7Cd5Tnsr~nqLj<=t=_?z*&MI_&3SNVG0WHa zsyjBE`6{ve;VPEt_gpVjXg6u6HZA)t81vi8@$+Pkygxk)o-j2<mkUg(Yk6=kp7WF% zyTtQ*r&yj|SFhN>>UeZ6r`%iTfS=hc{!uKU^<E#|cs0G+E<C4V#)4<@9Dcf`&X1Np zpSpj-l{({tGrw}Y65}}c)+L}mzlpnD(8OlmgG>G#Qt^HTPtBT^@e9Sw7Bu<ZEAjl; zY!0t=-UY9jS(cWo?D%Ziw5eU_j`g$!3_tm}*(G95IWcx^-&D|W@h_+rOBdrah<v2> zr-dt^R+*c9Mk=VL{i`FR5SX-(;Vh_Ty#iYQ^jB7lZ-QlWD^rPn+Czq&tvVtL?9(1H zw5msF9QfcW#urd^`0SqChL2G?G7CQ0iE$a+o!82I#qO{Z<1_Y+1r2VKTbWe+(;qUd z1&s~<QWN95@KcnV^#o|;OBJYW{_}~u(2`wZ%}FQ5u1YaJgIj&AOjGR79%58u-xSdB zYI~%`fj1^%TmkzVTA5Yy5*9L?jo(zzaAis>)0Y3B@y^qCL0!IbUNOE2w>w&yzHCo@ z$k2K>QscmeV}}^M?k6o|cpMyQ;qcT(jLTqeUn}#Kx`R%P&-y`C?EMH0hoYO&g_i6Y zsSz3uRn8#CDR8r%cn9h&vTq1z_#+4!$L8W@)tGnq5ThGtAoWv6E3=PW>OzLA&m$}j z<jhpmTh8!xJE)J%rX#XorztnP$J<j*jJJMoE@<!p1)D!8*g%ua^^Dx?8h1{DhOsvk zG+Z*)5lNV{hj)5$!zs`d?JhfR_7%A&ofw~4Z!Ty!whuInZNbf+G53TM<E8YC1r2`l zTbWYCHy1SAI}B=>m~gX8=xpVf9^CNA3)EYb1C3yxJH)7Fy)mF6YicX=8NTF&3}1yo zqyIi)To?8<wK6Xe15Fe=>&Pg47U5=np;u|G_ncv;2{-GD^`{Rpa?J*fPW0)>EZ8E> z%_<>(>JXzAXofqpy_NZjUh+bQ&(V<<4v!hdxF*z0YGvNCMPhnz!<Q}{nFX8qxLHr+ zojSx=r7FfX;r`@SW*$D!daUFKivurs#JB?XwX`z(h=Jm>R!1h`8w)q<3iWpfp2{d} z1dUs-J9~&RX!eGHhHreJRc!3stS{uw9AYeT-yG2J@1>5+f(ywD84k||O%lh6aT(Oj zX=UD1v+aNNPw}scwsSyLEo=<=eTs+L(xoYVYv;IK@-mwAY>H|-XhE_{v4(JFr;nF6 zpK|6z(2C_b>L-QzZRPlj=Y5`|esPk<x;;O>{oa3L-RsZ)_uZ)ddvEQhOTC@p^PY*V z-v28$Oeyf^eAVe*D${<f&)RjUDLj53+q$)`7i|0slB=60i8e*E3s13|_Tb!0mQ;O( z9p$EuKaaBTFJlpnSE<<Y$5&$cCn*+xGsP9VbPsN}<y<B2QSeBjX;yAi@N?lS`??;S zQEJ-7FFdEZalyYL<(}e1)223Q;TSC;lY7k%PBF6h_ABiu<8u7`nIkX8F`!8C;97Q0 zuk)Pmbao3oo)SCt!96#Yr`y#kHds3z{mUt5%Aqyi<-?Phrd87gZK53l{?;`KpBKIo z^DC{VI7_tYmSvN1wBVJyy$|lxv3%`U*-@b6c(|BDFV^Y854I+4;ihHhg<_(!1dnCz zGdkG&mD4NFvmjf%>C$hZJC;oga=IVfyUFrYU#+6R*70aHhn%f*K;_A3gL9Kj9Iuvg z&dc=+_&b?J{hQ*7pQTN~>H=5(NgkZp&GCwl^W0t6fd83I+;KgHQtMp6^LggVJ3etX zEvpueSuJd0KkvaYe@?GBkAm0dS(d(6*zsA#@uq6tQH$^Qd;;veKO_V<by*A9-0EKN z={m<e8TWv%>MZB0Sg!teDM<1O_*~c&tS@|}?s9I-?8w;*-m!C>d+QLe->KlCKvUas zp_pHWj*q!H*8Q2d;AK)%cE6BG{lo`uSv`eb>pTly|7BUaU3tf6$)-*0f_JRj7W~O% z;XkLo!a|{9OUHsw$}IkI3M+mcyKQ)G^Hq*j`}-dpF=H{c_bpiE7V!HvOS+%xir?N% z#o<C%;ypl%g4&9kyz_T3KQ@V*`ruSG=d3*6g15meXTPiO_^8`7NnQBPE1@a-<~%s( z%aSUuu;aUp<ImK0M=bcm6j$s}Jh*r*ht_n?d2ieUzRqSj@29fjA9s`RbfGJICO)`Q z%d+*qUx8Ys__3A0%bJR%1+Ltm^x)7s7T)iwJHGHX9n)>nwpY)nGCa6-zmUoPcJQL0 zegE=$ieKllEWNJ0BUnLWxADQfwH&A9{XRTkY3fQBwAt0M;8Q%uygRM|rEVY2d{3D3 zDqq!NBcJ10e&IQ{Iv0Es=Qt<h7Vy2A<+&BhSACTo1+tEZtvU2;T`yF0RvVt1x?RBJ ztWeBv0msj>oOw0#7Cc$VvVEU-z%QRB>;0-4)w&1w8an<|=aAdu6Hs`R^LpPkwH1FH zo7AlZLjKAe-0aM;%AC`0j$^=QbC%`n6j#)0ADnrd<JG^}5AJY%SB<NT`>pKs*OXK5 z38z$^d%?@iEWP|nJ3h%aEn6-a^V`(%GvmSDXbvww&b+_f3%-2%o;=5TweXa?Ef4OQ zu{`~*QW2oMqQd0h;$Tj#|6LDGPGt$rSF89Y;P|y(XimgC#-3nf=Yp){rd#sL7Ms}} z@2(e^W8Jmj-$@qnbIKVNmIs##IzDFSSof!S!Am3lq&eUje8q#k{X$dj%zbceI;WR8 z$Gblr3*PKx`L3s`vA^NL!CDqp4Nzyn?PR+_JmabN2@e@2^G0Ymd{x$wN!X?h>Lhly zGOP5ZEMzz<530dHBZ+s@A2Q4YjU;}L19f+%wK9E)|8Jo8oS_xe*DA0T;|sXe)XLOT zp7xMosunlv47qcM7_;mX7BYO^44UlZ2h|d`-0T^5&pI()I=`Wy;oNJvgHJ^iW~V)5 z*jpN*;ZO%!bakV%l}Tj(i9?KT?V$e7_ehHaOF%vB{pk-GHouP0aQF)vudbNY%4A}C z^r?)(Rv~WIjQz7)nN!S?7c$%x0u98Tbz=P0zNw($&_^ASgbGkc>~CKyQ_uR;hYVA1 zM`|4S!YRfV;BC`&TJu1SD`+LQI5(>Ys7{EIPhZIJa&Lr%!}HZTA_~<q-0Uyr9&}>- zWD6RJZ3B(OCO>3Yd^|$K;ioF7P4dw2o|!|bt{9)e>CFKRzrKN*B%rP}sGs>NLX7Kz z-P~5@6g^Pyb#<hLLmp^Rk$<Fx!$Vil5b?jlm~)I?pi$J1YC19tHtBM+&Ukn75Mvgo zIq~zNj?99KX$u)nevY(oc&#PIH6iZEAx0~H&_pLUXa@F<@Qyi5Pr^ZSu)Ux;SWuvW zR$P5z1kJ&UaI>zM2b$;v4MP7C1x;R0Xk{{y2TgQZa<gXW|5nj^&M-4HQp2HK8Pp<~ z)ynjxJpCa<>t9fd<lrGjFLluH^-j?2up~F@484Sh3|oIkY8?1uDaLmp;+JNOALFFe z8wwi2`dXQmY)^W~F!yeRhC`XX7$0c86w?#`l!pw>#St0~ABDvD40biPGEGs}kxBTZ z!_B%v@2iR4bB4`RBQ+dqYsL5`+?dqLWKy2^kYQylXw;uWjBi3FXdU)V(CjcM)Z)({ zV&wV`njJO<)%s6(rUy3^&T3^2xt6++;WB77^=j}4GPCLHo+{8>ZS|Mm%(BNn{*BY0 z{;+-LlBFqnnVK{2sGXd$Wzredv$dYHmP|V`0W^{<G_xhpXp-2;DO+N|le)F4Gk@QC z{`~m*KV8N1_I^KJcJ8sw{~vqK{a$}QGIx6Bqp6_TTJitWrg~iYmws}oaA)QJz_WZm z^1o>9C{%Gg8O)hC*FWHwSCh4K)28nNcdDBf?3o|)XTo~h;|ZosU9W|0ZgnmA<i~RU zt$)B)zYkwTnqEB@oU@~8!82c$<?qy1{FOL3v(i6t&aTx$b509^i_Sh4-tWpgN+cW~ zPv%@V$N9n%_ky=<O=;zVcPd&IJW*<j*0w*p_2pNNcR7v$W$aDWqD`OLg={Q37d*Pj z(yynyqDJ;$ak)@PZO4O`PED^?rW>5=Eal9~^DD@zZo2hbV2)+Wf_I%P&(A5XsMkE$ z$_ObrJuXx*9-JyV@2G|EHKiTfG#xi99qir9;dPB8Pu3q?a84JPvUl2pdv95u$}3bD zD6g>iZ`t!X!?)>_w6M)~S;wok9P{LS0{%uc38xEOc_TDuSKESb@*L;x_y>HqY$`r& z*I)Q*y<<V5a#P!Fp%^V;6T6NFrwmzq{ggrduC#FBJ3nk4PyXh}vvm%zvOH{2e$OMI z%(<!hy|TuB>4VM8oLX|eA6B>q{A6c2AL|<MwVcKOz3Pg8A_qH{#vQOQz3)(v#oTm@ zwaIw5(3QU?2X`*!cs1Xv;2~2}TewinFJ15qw-4v63dUnQzg0D*y%xSxq3?KdE@xhC z*McvCEam(B0=799yyt5AWG-y8WA=hak6HSk?d>Sk+TZtJr;g**?*cY=CocG<&gm!P z7w|coW%)VP6@P=9jLn6v$O_M?p0ePdQWN)TohP>*R<TUK=X;@oy-9n%LPn9s!L4eJ zn>Taj{b^b7<SWbee?9@f5}T~`1*gQmRP8Cwk8S!?*~ETZIONXs2NxAtrtbIpa3_)_ zwBF}Ki9^6&e-?E$7SnhYi>;4NODz9(izVGmdBtzVrs8VhE9(VgEE*R)oXIl1&gH_7 zs3z@tm5fge2e*oI%sLz2Qka**;g#o9@Vc30>32w%>$u<@>*fW2j)G^nEtD!s>>Zyz z=bRT^_R%})(befnq4#}0lqfg7l2@?UXzh6RywIF1fh+&az%8YJO%LwmvV487yyJt8 z<KZWJ!tXi6OFzFfn?ow!qu}XZ7T+@E9p5CI(%gmb{19_I$<3MfmDB6KSHbi5EUEL= zc5I(w;P>+?r(BLhK%sP#`);9-bwW1fB92${g>3dVEckVv)9;Q`z~_9H<?~cl>}@eT zH`B!N?snlhw`VQ*caBAzPa&g%<>1nM0h4zEF+U|8C(q_w_jl@on~zz{x3C=3+$nW% z?RLQ__qrbVbuM`GkL5d`x<)<M!RBI4tvJ6AFWj0=)hkxK3v61|scLxcawx~D{Zk(t znZ{yj?^Tdx-*hWp$)ecE@$P%UIj;q;?4S4GP%I0tyxNX0MvjlCPJ49gR5Zt|C!FhQ zTNd2h$6~(EBjA%tQ}lgRjatcrbMFgG**p2cy_N-koSN9R^?D1n);WL3Fm7U+Vt?ik z<FjvR3mLBJgSN+T>WD13m-djM(;Kv=_&R7!F*`SF#{ceC<`l6F0S)gydEPn7SOl6! z`v<BW0#X(-9JY<LaCrGyM<k&}hMRpwO?xYo4`><8*7%J94L3Vlq3fND#rQ5n<w?f) zF<#2vRM2n^G<R2KEyj1@_S{ycC;Vv-8JgKZ{ls&J7~MeYu0H8US{%5Ot0S`D7rz*v zLG83wrYqAU#ikcG90AP`&jc;n0S!a`ehiw772sxlQP<ka{KPMLA;Vv8P;Hha#$~W) zYAf@UXAv3)eq@UA1w>X^g2u2{gI4ZZM`|3%kp_)nOMu3(Pk`29r!8c7DaOtIq6XAc z1dXKbjgHW8_y-!Ut^mz=PM_0%+VX(LStm&Iq&ONh=c%V7lTgLL&ALMV<RM0(<)E!Q zKSAN9%FUX=4_b;PC&qQ5wyBl*$sQZM<qUtXg4R0Kig6j->1t)3QU>Z@|BbY8SbENh zQ4O@w=vF0YO|d06d&Zq3PK=YvHy1QqOV$xlu+3+UImc+VdQ(6{&a_tMFWXWUGW?VU zO%vOLhW~q7nS1=w7BW07j<7fo)8EPzlArL9;W8+c{|bunO#rP-o29+}#BDZ@wa1+p zZ$)n?XgI^CBeEbm@gc)b=SU5Q|0-g90T#1cnRxU;ld-Qsq2?#X7f{*J%H$)qsh}ZB ztM#<zfgD-TI_x>EOe)(!qY||d8V<h%Kojf}VH512v{QHB5F=aphJc1g&7jV8Lo3si z@CeZK{?4rt8V;qwVtfX=pmE|jP~#+dA;a0nkrocGK>ch`S2tx{>OzLQw<9ea-Z6@C zU6>ErCH7}(p(U%tI?ygLY0v_(GEn1$ott%r3}_YB@l62@H(%<=Bz&w-`2YQDetT}{ zg$l;hThN8bw)6crE$KO)`mT6>d%LZNx1MsQs-&m($vf(xDcWgMc5YkZ<K?Y4Imu($ z5?7<0Yg<%7TXKtYJ*VV7-}C$5znhlp_nt4a`~7dXTX`#MXT9B7+3U4GRRhD6F8=6O zo$jX+R~LNp^?%vF;&*m+FZgnprF@>U#_ltR>T56lw_Chj&GDxy3xAo~iU_re5(~$t z!W{GFxCVSpYD&K@7_z_l!4YQ`)A@=PQ)2`lUx}Oh;LJ0Y(sCt>?dFbu4|C|r_+QxI zTJX{dygq1W?}C@jEZ65LW$e4+CGq^&Di&37;X7IaQ|@&?IJb}`wO@HhxtiloZVtH^ z=YWr4P44^xA@c-nKAw_T{z{AGyqI9f{do_L%wsX-SF<S9aeRB3^IQqXt2p0+cVbPy zz6-}xG%a}86l!p8=~ND>bk21--WN*Bo3h!3Ozhhp99s!q9aO67_;NPqyB^L{c76r# zRhvF>UyiZ9F=4?YGnW1`<rRDE4^Cdpxyp=l-dy*9zg$hi=7LxDOn-1C8QcL&`x$EJ zclRsjxu=}3-g^}!+Bdbi3&#A?aeQ3NxvpmRf|m^sZs~K(viE{44vOY|d?#x9f-l!t z%I|pvl*Knyn>Kw?7qqcxU+^fEr9V$$#h>CP^?renzuE^kr-mQ5xN6LzzD;SxPqC)p z-vU?u2^^d$%=xNr;)6R&S-!4U-(jGX@x$QY()|J^acR6g#i_bY%e*<(?VY)xa^{0$ z?3`X^9C@{k3%;nbl<#v7_~zGC%`Z5me%b@aBHy0iLf$6#*}@@p!Ures<y=+Q@Zjc0 zmaF#u1&@@PX4N(YZx_DukN4or<(#kf73uaA=b1MB>gLpY<8h%tzsWna>DY0>n4dC^ zm$^CC)lOgVb1i&z(E14J$5Z@-@7!!z@P?1&`!^MhdX9t5$>7yNE1Uy<TC@1)DX#dX z-IVSx5E9PzcuvI31<#JLEZ?TQB38+wSO7c;Y~2f49HazW98@>wfmf1j&*c)ugIjw! zX5~8<JeFlq<yYA8HL&T@a)CQPxg2lGK^6zOe|TWn)TJ+E6IB<xPB-7-gNlE^*S9R^ zzbUWyC)gycE_~&W(ZQ9cIkWzEJUC;^Qo5Ywd7Q$E{dcM)o*$}W;oYvb<BM6-vEM>5 zKMfo&Pv%&+m2+0STfyUY7S;D^JHA#oT{<s(r}FGCiREvsSiWyl(uh~7*ud|2RGCw5 zu1CO6Zx(+&)fK;(o6?n=UhP-5FjQah^A)ebxs_Wvv+^Aa(!{|_<;qzc|FRuy-OMQ! z=Tz|WH%sq(l^vg=!J{qJSKB0(|CC}eFJe(GSKIM5ujx{|@SUG3jyE@Ryo+@S_-EC` zzFjzEj(|<otOcJgNhi)xUo9ANx97plK9;NZT?-x=G|if?U~yGx#b4eg<Lg3K{%RiF z$<F!8-m@TarOvU;8EQMWXgWTY<yg08@`9I&EZ6P)F8twXl8#qL+PnT|=7Kfm$1J{w zscG!*cyO?cMfAHuMTvmpQ>%lMFLQ7O{FUNnpAmc1iSd<v;zNec-=NynA2er|AXw+b znz8=GAx5J}P(7gx>MEXdV*JGpTC-ya>MC+^vuo5&ZDs1I28|FWgN9-ITbZwbRt!DU z-&D|WjBECXZH$woHx)Eo19gR~%*FUFtOU*4fjVpDM%=6?<{v-A$Tod*K*OV69hrm@ zer{Hc|BbE8K5+>P8LnPgwqp+SnQNfI&g+{C8jh?3ZQ2FR9$Iy^GHtO3P1-%*6wvT) zJ7|mN0Vl>^pepImVjYo$A2!_V8hgtO^_DX{25nDyTB;+G5Cxi0{0>^LI}x-JD^rYX zf=zoX^Ok)loft2HmI0pw&CGri6yv)v8?@4S)9i;ud<GG-TbV?*gH{y9g8H$0L7hd= zC}&+)EAyFipk-Kk-0U7P=bad5m2WI)xN=xWWWiq<ZuS#8n=L{6PfbA`Sx#=&7w^v; zV&qB{<1zs4PniPRpYj;g(|?)-3OCU3^*d1KpOc$C!{)FP<D`=^de0fwUIk5d7K`y+ zxY^mtl=2<a_dg7(`76Zu3_wfH9<_pk4YZ!<K4?7=KWHE}7&I(#MJUFP@zv^01r0}% z#JDbimYiLQ(-B!v3tHtY16t*rzNw($4l8I8HZN%O`jiu68$W3Dx~G+?XD=&g4R&aR z#(@$+(BSoXC&p*h8wwhZ9Rv+a=zs>V&p9z(0#&#>K}*;36CX0{1*M&ToML<i5gSzX zmNOik8ewrj<FpgwsoR?h8cx2`5m`{Bz|B5G_OKJ<tK}ev{nQazP^rqz?s4~w6XUI9 zF}?|vb6S~7;*%aS>}-wxxQ+1_DCrzB(-BG7A<fOM@%FqE<1x_gCa=k@Og`t6A2Mv! zj?g&p2edbB$MjYv75U_c4Eq1~zyCkKp5fopo6zIIz*i50Pxz={e0$^l>DR?F$3L#W z9<5_<Wj*(bmFH*e$$XQG^@=Udsmzqm^o*M8_uT7}Rp(sgnM<bmE%!9?bh|XkXl{mQ zl+ffSK~}nbF_U~A>3B|l@<`$NoE;xu{S;quJ}>9(#^>M9y{^sQyWed71lii&Z*!{N zDDVF9V*9=Q)2uB|_x;syNc=nPvH#z2mV|<N;!IPt;#d+&CW|wLlvN5goQ%_FS@HI} z62s;6y#fn9t`cXQ;k!p*LFI{V1|7a?MTg%V;*2M*>E3+G@?zd&SB6jCaU2)+AM9oj zDX&sIu;HmI!>QkU1QP!Ah%>H``{2|twR{hU!(U;2mWaRSx*2r-ziVaqd|7Y%Y1Rdy zUz{4Yp5DXZ@VrW&<;2?$N(_6e_XsTb&>_w!Ve__?VQKgtjsq`p^;uT<?BzJ{BwC+k z#ouSH49k{>yeVQbIrmA4VYByMfd!Rw#Tg?^_6RJf-7d~}!YYpALhY$;h9~jgoErW` z$FVG^xWLWWcE3Wf;nVs!4uf;CiPO0qzWV61MC?4$&2VM?SEq)nxA$@!_>`y5GQ<9j zD?^ljmEwV0-f=7ojK4WG+&Lb{vf%qxaVC+vk4g;9legV^%A%3`MTudtZyZO!&STvS zK6#&=8lo<DGx*4Va%wmx9LJLIZIL*W$^9=%4Bh>E1Qz_AD$aPK?weD?yUy@iPnjm@ zS13CCSJGz+xbv=+;c)a`4u_w5`YaOmFI*W`^;at%ICY?#;mZ9FP7PQ2LGGF!$6@gQ zWH-Z>lBuzN4O@*t0p_I7aw7M$62snI;!Gm%z9=y?v+ogD@MVTLqr~0^tqfDIg940M zpJheuLsy1n_Em}w%D2;|b05fQ7H2Zi|DeRsy*7^H!oH*33@POmiVolF^qD5qU*Tr_ zb-r4#;n4|h#<us>f(@UZ$8i|^JJ`(-^8cGt!_=?gzjGPCe%>pPu=SBE!?gTwP7Ocx z^qDT~I?v5`?OU~A!@1%(jtSLgyBWUR`|Q+kC_RoP!Qi`7!=?FgED2lkcE|cPoZ1=3 zlCbGXE5laly&MNhmWwl)e5(*_xM`=)lJRXX$AL!;;!Ioae^p|*xjc^J!ryz{3{U=l zaBBGXGIVzgLsxpW;(>zA;*1*C_Xs5HIo!<<^8Tw+!{d7HW!twnL@DS_5cA+(!g`4* zvk{Z~pnk^z`=1^yniY(1Z}k?7J{3Lw@oc4mj>0jfB$k$?d-i*aM0mD_&fKKIlh7*Y z645Ng5_O3wHM2D`ps<xIY|Vlrn?jPAn@bKbMQ5Z&uUMxWvcbW0+6E7H4TD9xJ*&l* zSHFK)xx;?he~0uUb+$i6=bqo(ZN9V6&7@cUTFz>X@4FZOJb&lRo9RvUSGU~hI9Ja$ zKljnWH{x|Yg8!>8<djU+XudVY{le3Bk*8ZHRPw7!w(xxN*%=w#-z=i@R9$&}Sj5@Q zr_ab4O^BQp8~<%Wxau2D36033j_Wwq`xe%@vdy|TuenO8N&el1V;R3M8%)?ZuX%~f zm9?C=w(hmi`2DGv*WJuDnr)AI(<4g@k8^2!uY7V3Y^_MMT4;I8C?=J=){rk~LwED0 zwY$A8m}#$fk7nD@s!+|!7bH|1u+4lI>#cWpre&NC$lw-wvi_)}QC~qonaNAGEr*Y; zy*Q2W&70Ex?7|6igk`3zJ*(kr9a|`T%ShzL&eLkO)_g%9G}%+5Ki^)I8@Am!y3NUY z@yUD5%~N6?Ey#I)@>|AkX{!ag%(rg-wQFcjF)?lsy6A81w4mU(`Nh8eB!%T$gx7H7 z#-?*jdH1rZji;jaX02^^vq;HHt|=SLN}C;?9ef9J)$=%G>!Xfdr>YoK_uT)|;*eLX zza>>;gXVX!$MKh?*-mb-SaDsaYB&F_hd1|aP)cF2-u+>RtG$Fux(It}c6*+qweRo4 zT@w~wIHxzKWHAq$=8O}LH?Mt+zG&9XexPdt;~SpszaJ>5PL-MRw$?}>cYEqPb?<}c z86?+jIw{}NoO0&zf;TT#-@eG#TeKzBVYY@`U-J{4hYNDno|Mg4UfZ@{ZU141gI;{6 zHbg~dl;j_Nc<TK0H`!*t4NkvzxxMaygQqEbYW3WAZq-%he5cOE{hIUk*5M1&9<HiZ z`g7C5<Cq)Yt1~aA<v*Ueb$j82^k?_YH#;9LJp9o|^23=oum2UwsHC&Amo76)VVPI? z%a8BXnKu{ixJMQ8&(k~{n$A@#$rrR?db8E#UF9nCZq}NxSEjwQ=PpX06}tF?kK}_h zIhW#ko2SS;S#a))oJ4QB-~1zv^Jeay^0R$+0na>5=6N&s#_w%wPLX-M;9QT~UjFlI zYI~Y(jH|nTy6<>nU6HnjmD%#Sg+}yjW4^bS?Vl*Tz4Q0<zl9}VE4HL+)Y$D*U;qA? zBiFtM3(jrX!~Vf%a~jhvBavG>^M$JA`GSIM1T`Mo{#IZq+V1BR&6XLzul&$|0rry_ zUj+h`sw$0GxXS$<quDlWt`?eq#IeZA%7Jt3w?vL9?^ZiRw=r4&-}PF&U_#Tr;6|Zq z+uRi<M1I!*8~$EqcZL*CP|!KYz0>Z=Yh5h!_iYzdJS=Lx`wh?c_0>FVCnXL#-n>|C ze1T8@OpB<ZbK~6o>V>x>4Lr_O@SVEWS10~<ONQAR4oR-JZ{E7Ruq~L-v{GhD?%b75 zw{?Cp-ZIj#F25?7$A84pYn6q@?cX(gxAJyZW}FU4cwYKHPevtKkUiDC_t|3EZv_{p zExd5<?&Jy{zDb+sG;i7}X76Mz`y+Wv>W-xJf^Q4`k2!K(D+t(jHBs@_vkk7`WW0Z0 z`XdEZQ<*7mzka(=c3jU5<p1}T_m4Un-Fvd&&5Lj97x>ieJGb$$mc835@-f)L!|#aW z&08OqW-K?J?-tFrW%Kv<p%xwo>-bJ>dsokvTYS)H%UcF!)l!)$4{iSlo7`D?e$m7I zjg38*Wu^$$=Br9QT=o1yM|qOMb0zlFjDGumH>ovF&i#e~4*CbWHq84e$zo)_zoV^I zf>~8{b)sv9{<=nock8BK_+Wp`;n-RI!(AP5vD}S^c1ZR9uwg5z+Q+2rbo)~=#}v7^ z_O?1sX4Yn=2*GR7uN5a$J`&Hk;TzxTaMFP9Rq4lnf25?|EjQhu^hY|r-Qid*$Tc6l zO%8c)-Jta7oV3CO<JM-QEt91$dd$vi5?wewaY2F@duqi0I%eL@U&1qPI2#6RYG^jH z$>o;Ho4z*VhqB>>k0$J?E@$g|-6FQ}_Es>l6~#SRz_a!(pUI_#ic(doY+Toh1KO_E zs!Kgv5u0(N*f3zz%x0rKjQgDr&;21L^)HDh<<GQcqeZ)wOb(s8zgTen0f%$DWu`3b zz1i$$Rjw~pWy;3YR}j$l^}_9p8Q%9C58rEOoFptW<>A>1ao+N$^DlhZo~-cLnD11_ zv-i%*Ywq>C?O|uOj4uvo`*x)|V~I51f`#%&92_?{Z%|tE{C>N`v%dX{60avOSn@?+ z4M+anM2;u#Y@6EZ#h4{y|FdZ;-TK1F!o^?L;Wj5p;rTVI2*F$D`V|7A{+FA4d9+wS zV}r$t>a4xN{cV-+B-U{3PGxGG6eu(0p{&)s`4bxN=-ai4K9p8hnD9}7eW%i*$NwFa zb?i8`mEJWs&Uq;_W#L@=R<~Q9=4ZTkDxopsxTEB?`g02s%WT@)a+4RNaI&XX_}V!h zep@ZaTQ9?AH1F|(o)uryGg_YhcT!$=z~P*>%#?+t`x@M`;$58AA96V8#&>GNull|= zOFP%YaUG45WM!s2<ozkcTmC9M<Hu=(2_N10PIbK7+u|1S)l}+Z7SEGC=N%<)v8>hD zuFSlYyZe*l-~AeU*_c_6TbvM0^cBvSaawv|V*XKwla_p^K0Fgy{BT`nPuqTJX36-X z0JkTmj>>B?yWIBhGh6n~KG=03EQY)B(%Q2ZFT6GgxbVs<Lh#xxA&wNc>x&cTA9C<K zo`0b0LsqndLV3Nn$scZs6ECiFg}H2b%f=ycZL6EI-Y!8V%lnTOyj!_#xk&GqE4~|) zzDzO`(2zLjD0!`MTgD9W+XuTg+`h}xIO!uOcys&PyxG=p>}O{)l6$zI=Y@~E$)QPp z8Aq56Cw#8uJ9XjGxr`-mcP(1Tf7s!m65pu}>t6P?-Q3JB^(}&Diq7)|JnLQcP3}1E zz4$>rS>drN->D9(FRscm*Z6xY)YyvRo-g28>tAnjX=7@}3vPpe4{GeG5zYC|hvyhE z@K$TGc`5Oo`q0Mjba-y+qKE4bIUI|(&`{o0Zy@!ssmSCJ1GA-kVL)40A-mMeONtpU vW*Y_+%xX4za=&vz<EQ*ryz`~rIUJVF*ul(OzThF>pa1t8|1+sK3cLdV06985 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..0107b4e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,9 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..18bc397722d8cbecf2c3bcb3c901fc360d316f1d GIT binary patch literal 1969 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJFka{izlcwFE2AM-3sPuV`F1v$6jaTy27ZRUr?f-nVYT; z@jt}FP>w#7mz0^OUz%55lvq%ZnxdbRS7BzNm#<%unv<BMpsSmimt3TvtDBOVRGO|( zQdy9hoS37at6P+slUSjktD9S*V4<Mvqfn8V6Q7crmROool9QPP5-CniE-gyUEC8`9 z3UU>6b&K;73yL%HOB70y;?pvVLh~|9;*(M{63ftZ>mlS7baV346+lkOFG?&))dTS$ z@nvFSi5wtKT;id{nR)37s>KS$If-Sd3MCmusfj6&^k8gmjvO@1T#Ob_Q!I^9O_2#M zNh~S>8B|=7Sdy6xw>vXG51h1&O^lF?f|_D#fozI1m#lMsZb43JNotA$4r7cgkW(nc z7-LH_WMh1})Dez>q}$B=JcX3Rl0=2HocwZy#Jt3u%Hm9@7flSYxC<ps`*CR?xr?BQ zM&_0nCK_9sB0DjNOEn}jHx-o9^K(i|K+a3cFH(T!JP<b%o+ph>OpuH-V&!5sEGUL} z+{DZP*;H>XUXVLMxe64YkYKk!c8rl2mq<Zka&}^RszPx|ie7O^VqQvOQ3}L3Qv(!7 zdJ;BHgi8q7xRTV0lFWRph6NEeOqNR;W>{uwYN}pwNlJW9etKqdyrH3)3071637aa# zC4pjUUTJP>QD$;{aS3)`Phuo&W-M29Vo`ENW=U#tNoi540+<t@UyxdqSdv+mTAG(x zqL81akeXMLSyG9fNt5&QN{aGxK)F~U8p;9bfE5F=SbaK`2xld5#erRd>?~qk1$R8e zU2ra8m$eY#GJh^#43}ks$}*7gxPuB>*eOJ(Wfp-{;t!$<!V%}p<%q={kYW>L0dA)t z)L@OCa4uP=(#)I^<SYy?w!!tG6tj`J1#(6f;u3%u3JP&Zc@8n!*wO?!SMzakx#p!P N1f?eD7o`X=0ss+sQG);g literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..8a25a91 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..22e5d09ef27478d35581c322926f565ef862b547 GIT binary patch literal 19456 zcmb<-^>JfjWMqH=Mg}_u1P><4z|i1{U^{@B4h&ojj0}$9j$w|Wjv>JwotKX}MX)qi z1u&M{`E*x1bXR+H7yEPvhcy5C-}!^z<yiACM#s)?F8nUvEx)_)`+acf{P+T7l8bh+ zhYSDu;t*fPe=qwP7#Mtdiz7UGojp9dIXt=@I6OMN6FfSdGrFA<I-O&>y>s~2ALL)} zonm>SKE<>1zh~p|7j6s;6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA)|hoq?gl$?5Qf3E1Vk2*}??l6M4~-_>HF;{h_% z@i4?=P%;!A-~k?;mpwcGbUP)u8h&d%uoo1R{GKn4J7s|4uhS`~GppdZQwf;!9_;;2 z7ZnzdP6*qx^XNX1lt<@rkIq+MX_xNm1lQL8uKb?geLBB`g*-Z)D?B=n`*gnZ>HGv1 z@$9_o+5EQQcyj{-1300$bnb3pU|?|R+}y#yz;L{|2P*E-4OZgO**t}Tfx)A@86@q| z**u4VfuS2L*4aD(#O_|ez`y{G((V}y3=Ebh>Xcv3Vt_?x_hgVX;{}LfSftd~cywn6 zbXR9|7w2>bmvnc7Oai+P!t6Yu?VQ2C-noQ-n+q$0EC04VET$eHS7cXo?*_TNdo#$< z-IGDCh3Er2MjPyA{`FvAxOCL8GIWE*yP;0wZ_WAt|G!Una)oEND}!gZFNa5`vx7&c zw}(gaY={T%gN^g(JPHoCXC9rmzyjShDlA}z3p{9Fcr+j3fTyfjNIav)Z+BLLtKr+$ zw~qWCZ=n(A*m(>QhsO=SAw^(!c0%i0$338g;KJ|u^#56(&gWn|JvyBWz|Q&S)A<N2 z(wSXx+_?s<vU4#gK#n(q0_6Yy|NoDHV~U~MIic6%f9nAke$N-3-Jozf4h{;4p4Fg8 zJ>Cq8FpwUPZcsXD21OMj-dBKP7c2&i_3kzBm|g;oY5xA7;OuS-G8B@)!ST8qWL@`W zP^5NG2HDZw4N5j(mqVDHC$zzF#J?Ww25>a9{Ig*#&*$HEz%!Yp-G}kV{|6r3*#+Iz z72U-(-IGDygBSo#g4)g%{OiGfKIX#8!1UT3V*Lc7wJ^UH0cnAR79)rW#@%4kySpJC zERpG+4DvK6{epY|OTYYmBA|5Cn_bbc8<cDq_*>>NFfbqzRr3~5rs?bkMP@gc)7iWM z6rSA&;9<Cffq~(*GT2rG(LEWY0AwFXIn2I#XLNsccZ1}i0Rmzo0)&5?cSeT~DCvX5 zySqX5Se__d>eF3afN&u^*@IG#M<;5s{|8I<u+;7XPl;GlJ;MFRoE#ZJ<!e`qg&ofF zFW95;4JcWFOTd3Vy;Tl|Zw<fg0+nYzo&Uj}vSBO@_UMdJ3GnE44(Rrd=wjjMXi)*B zD39I{l>k@)XTw~o1yaUg_zkABi=o3s#RH^@1Fnk6fq%-;*Gz_QJ70J-9syY$4XO=d z4^KekSC7Uw6^slF5I1#aCG@)f?`#64Iz$d`0>$<5CQ#}IrTETOAom<^0_7!8F6wLo znS8tnlu{t_pbT}q36z$=@{pn%wHQBwQUthkgG*z_UUx><*8e*}rto{7aBTkn&xPOr zMJJDnNAgQp@!`?g49cS5g5epg67c8+Ck&U)-Jr-n4o-R?Q(QV%gVMqAW>AU*Ii?F- zRCY9jlH2j-6CgF9^a;*Voy}*!b-@*AUC{jFpGT*U3I~7RQziz6UJu63?h9ZAN1)nT z5Ab)~V*=IuDJlX!y*VlouoMA`oCpvhz`qS_SBDEIPO}5L!5OD}Gbk{-Cxe2pyBlO2 zIQF}nK}>CMNyfh(T-$()hz=K(K%dU<uu`z2MFkY%9^Kg)-Mc}o?#-aE@16__aV*Ax zl<==_2FXH<Yf+gAD!@P{Lh4Y*&f^~4t3koq4GzHW&09dBG8q(VSPTS(G5>mSn1X8? zkVhfD_2`_VV!+720P}T=N&zTwfoeUnJl>*G$jHFp)A`+_cM8~Ga5#YjvvZCLh=Z_6 z17Z`|VWNq!31lz`gYp!%knfzM0!rhMQXiB%x^YA(IBr3uK1dNtl(wimU;tO`SlkP? z8kQKa#NI<l?191r<P5O#4va{JByxx`-QA$1ZF!=^sCzOvd0*%Rr!`nf%irhq_y7Ob zBFCFSDIAo%kAvkwET8Vppp@a!?W4ls(aq@5?TOrc0A(-qa`YRl9ECR@x^2Lb#oz%h zi9iJoY6}8U9)a42&|=6b$M9t9TYit1olc-8A;>MASrx~fYCsto+O9w=RrWD~0u{M& zSe)b9o5$$d`pt1a$N*P<&%ch%|NeoT&EUfC_rf#zJyOX6sTGd<bRv~3lR<HZ(oh5$ z<kGnsTnvJ9Dab+H-Z|Rd88s!{)dk(fC61kc95v5)c3$fauJK_`4sbO*;J6c{3>;aI zQoyC#IiuS<r}MAoS>*KN!Mqye3}ofbIoi${y#b7^2f*&;_qgEE`QE4V8Q3tN&QCtw z;MR~wH<L%V7jo=?oQNJf*cx|`+6NRnSQ>W|CLq-p0j{0L9Xro?bbj{e{Oj0x*0*<w zEeAU&k3M(lJOp-7>jD0jxuAIH-5a6CzyKEW=-qom55az6iC}YBBiI7=2=)mW+ow}U z#iw^|1{=th_a2@1Tsl9wbpC{x+j-cdn?=Q=caMew*cH!wI)8y>d^*vT`gA_`=)4D$ z_Gml`GSCA_6>7uwB`B6*<+)>6aDYeWXU~0R3=9lh3=9mQiWl110LNlMgAE6BshH>g zV+fgJ%?p@8jU6Y?-a013Z(vt>^j2#aelxsecoNKQIZ)#0*?f!{p~<7W`2rIILwENL zCI$vj^$#}0qj&cNCI$wN#={-Vpvw9l#I12)M?%?<mN>-4aQ8Z4ac}bsumua4K;<+G zhez`d7XJ2i;0WUddD<hHrO|`&#Qz7Ly>-kmcXxxE#NFMXq5$Mq{?_TBreE_h7KGc6 zHG8lyFfhIZRj!`Bb-W0@H$c@%H>kt|=`AhuY(B<^u8;X8D5H5c9}{_9=-FE*j?fJ% z<lr_lmHK-&ACq`3@7a7z4r~V0|KPCjY(B>ITH3Su7#mm$9LNx7F}&9CY(6G{F3j{= z)U)}R6uK~rNAK<vkPtUu!3gmQ!JzcNKMfwZV0%2ekwUh?hJ&$`^H}o-76u09*X$4m zlSl7v4p24Kc=!gy)M&7)q2{F%VcrZ@sCgg;$UFy#c@3;!SE87gf!{nPkoz3ipyq)X zAoD<lvq$4$4K_^kGVz-S_U{Xrc_0SJybTcdUBF^q7Jl=<?wi04bsvZUGVcY%yb5+q z_hsWZj~V1&3l6AxAO^@h1xPF_aA2C3gWo(Bka-Va=7AU>^CBSToj@@UmLEI+dF*#% zU|`?|7a`zO<Jr5-9n{J1-MxZ^fngV@=m(2?^zJTz7&QSV?$L>o?;%+oOu~m(K!sH2 zf6skUa7$pl0S}~d$^)gG@@zbQ9O8l#tWX#DbpC@mVg<wz8(0|_3@_~jInUMbfJf)O zmm-V|4ERbm{ua<k3u+kxYH)XhThX9$fWP$~s0`_?W5t%&eR@}e+~d*B!r{@`3}X89 z?gqKWr*|>bH5?2K46cR;d^-QbDnrzq53cg}@iQ<mfO}bnCmnb2F)%PV?gaI@z#eqz z-n;|Uf@j{mfr){^@IOK^SdHTjkY*(HyDGqKulGKkkn(&N$O$_^f^c`hTQ=aV4d)>j z&l6zjVIL^VLlOhFcw+VZf9$mj{y^Rc2|}cT8Wil^-Jo&?6v(Ako+yR%@n%rY1ef!@ zyDxxhlg7iK%(;sNR0E)<Fi(`Iv0wz%OQ7-wY_7FOck>NqkkA8W28L!E4*psV)Zj-^ z(9Oc}|3D|$^4G1<A{!nikn*$$o@l{wgQC6JhJz23-$2CxxI6_hK>1Aol0H$(15o&S zc7F5NUxI3+XLlRO$&TH9AUAi*a3IHc=S{G+2Ol##cDI32g(veoP^$3gW|8phYy%Y- zp1u1XFflNAHXZ|&7vRYE>HG%u4LH8R*%2JSVEaqSwZ8#m4fDJTknbS&g9;eW-hCX* zVEaMk3vT;E9m7DQ4FaIDvh%YisMsk(bxC*g2awUs%`ZSyr;AF0$8i^x40cc=*a1q^ zpvJ04r;AEKceey6l`wY;fT&I%l?spJEh?ab0IayPMFmuEgGL?%JbSl2PzJTqAWb9B z&STv<DiI#NB`N_P$5~WBdC6mk00RR9%y15m&gbB)2@ZMBZZ^+uKTvM(-~^S%-4j4m z?`{K-#f^sxI2jmrfpmLx-UF+L_5nN^kAOTH?HC6gjfAyVLqK5w?tp?@s~-EyQNzHe zcQ44f-OUal3z(ZNKvbuTN(Lw>3NT$;0rj^CD3E$XR3tn=t#C+5>C#=IlHk$Vq5{fy z9^Eb~5ugrJf=6eMiUc?oT2w%e>~5X_vV^%A(vE9UQ2<FEZ&3mDUWGwfc@M~RQ26+C zE&+FWLESu1vmePakIthm-Fs9(8Qr6Ii^>m>dyc!PaDWW(=}b`(@aU~k;XnkeN4G13 z2YA@G*@6okvJPAf4Bf5*oy{H~e(&xGkgFOGD{wI|>;gFe7Q~2=FlZN{@dzkDL8V%p zV>~>(LG=$P4}&H)_Ep0}8C#jAg;Mu8cDILnGB9*DgQ`T&?sj)i(0F3Mzb6C3>rDIw z-$hVpp|nszF6-_FHDJI6R5)tE=h)qzjcipk#HwUZaDe;(g+pia2N2b}y8#j$H;{q@ zJah)m;;5zK3UH|iYUO|}wZkX^6ToF;2FS#25e|>;5)KZJ&dFelIyZx??RMc1fCRwn z7O*X_@i}n%1!XkP&hMZ+3?4#()E2N#ooBauhGVxsr2FCO@&7PrTn#d+>Dk?u5AtGX z`wDJQO}&2wxa2rKft!H=T5|mJXgmTk9~PZp?|JlA!+I;8z4fTQ75)}EP<rjHhE+G7 z-R%z;85kV9`$6q8Pzdn1{stw--f9+TrS93?4hjOt?tV}hG}LhLGV`}S2dQa3%!+XC zeo*4w2dbBLfl~iYa7DcXRKy_lgi+1%=yl;>1(i_=9^iT%q!`4_@Z19{!9cm8;JAwl zC{ZwAE2BI*52KV(ATvOkP)jC{Ze~w>;fPioA&2Q<kLJVdlzFq;g@g5_$-n>qA(hL7 z3DEw+RCq=M+walcyaAlnc7W3wxY-oI!@%ItyW0a)$TuFg;DJWHN9R3gPXS^isJdu= z;{k3x{`1&x%)r0^8cBi1phvf}LASRBs5k1O;^5Kw2$ruqnty->&3cP9JQ@#!(t+V6 zXtSw97+ej&ijiF){!S198p{JWGCZOkA>CJy3mn5iF6jL1vEKyM>SN8IZYq;cZ*hW8 z@9qvz8x3LwxViNm)=q^eV}@IA;RUuHRhkJdz2Y8B8l2z2$sXhkI0m@}DLl+k9p%vt z9yx|IWU+;c2Qz3;cr&OU?9q4_RDHp%UeLw}9?yhUVY@*5oge~xz(8FD3LnQ1$QT5u zGPgo?kY{&WIk*^W{s6WaJSyzdyBO5gF}$=Blo`O`g=i*sx78ywK$SS|$dF@WKyFNT zx3yzYwL+T{O;tY@RT@$bXsV`TQI(<YjHYTn7F8=u+|X1l$D-<mqbHiG^;lGC`1_!# z+Kxq4MvOn2s{L40t;h^QQ*|7RsuyLUP*sqIVhm^!2At+Vr5#BaR5gP%FjfUIFjfdK zO7pODOkiYSuwY<dkO4_BFfe=o(N25<PJ9whd<sr{8jgGh&1^lay)1pq{Y*^v_%y)6 z5@02uUMd3vLj*_<0|UctP{+s-Nv{*1fg_)VBcDSvdk<SLYadHLa~l)$QAUuMffJHZ zj(nh0=E1<g0Gek28TS!Xf)F<AHWLoBKz;)Ig@J(qw7!A?Zk8jThCAN@#%8E<n5sd3 zQ~>)|0O1ZDkY5-W7<xe+8@OJun?ZhZ=i9)H;;BLw><$6>30!?JFfhyjnTcr@diXTq z3Lh5+28JUbGZ+{cK&>`}pWyC7GmGgSHdh%iFfj0d!k2-8VJRrEo%jSC`6L|q6q=d) zn3yJlm;z3G9Iku{E-*HzWOab*i3hm>RnJ?PI#)ggrZ^affq_ASfq?<k4FOr>4<a1- z1e%$cJV6l-lA8iG6Pp~U`vlU0P3{aHeV|SWE`2PZK~)9@25e?3;E+>cU|_I;%7uaq zM)kWV%)34e3=AnybxWXO1Ws2N`G~oN5h?Y9gWA9mRfK_o0Tkw2pyq)(1`Me7&W70w z3iDVP2O8#Yp!(4L3GxdEC`~gkFjQgsB@bo{NF8YG5~Kv5I#61QfSLzd*Z@tFj(iI4 zd>fdWS^AjUn3!JjDL8`46KMVdt)=RL>d6F!6LOw#<TG&RTfht|bD-r#AS0+4L6ke- zObQB{3s5usL1uspBybvrlvMY@7J`FTfhiWoVTfU1VBlZ{`HF!-9F&GI?B2kLu$w6X z!)jN)4UEk0m`-x!1GVD8={*B#K6v>UB)vO>(mN<jTcC2_btsVdbm2<?=et~3*lRE_ zFsy;9YX&(L-EXCE?=XPOya83)3RUaKCjm3F3}z;%JY--4DPUl@jtDO&P|SeKc-9_p zxG^7QL@E!RK+c9}!b18mGBB_(eEg3^ih&v21jLkKW`IT-l2i~g1A`C)6N7*(L=YS{ z3=9m?Q1J;+ad22LFfcq}f~Z%JgQy4ln}LC0EmV91R2=M21_lOR7KnNSP_F=1<}olZ zT!Whb04feH*BBTWRzbxbK*I&FvVwtup$;lO0ZrT;D*gaX95mzs@|S@i#GT;0$iTpG z6Y9<eH1S1H@e63;SD@}!5JEMF2`XLy6$h713=9mXq3*c=6$h6^3=9nOq2dkDh5)!s zVPIe=hKf%RMKvE(=7HS*04feH2N)O_SfT0@#31Tn;^(05e*hH+mj?_C4AWua;t=)V zJkP+ua1!eN07-~AI3F`GFr-7p7f3<G!TFSdf#EyU-UHCa3OIi;FfiPQst5H=K{~+o zECT~WBh+37P`3<LmoqRhY=)`_sRdzJJ0TD%4&y_U5yL~My`Ui&kb0Q-R;V~=%>uG` zCsaHDl+PF#7(jhfkbEpu95m7Z;)B~u3=9lvQ1Jk0nGJ6HFfcH@hq^xinr~s|fJPTV z@lpU42e)q+7#N%w85p2R5M(?YgZzU{d@&C7AvnZcn6R4<ngqdSKFGb;#Mk05zn1~K z`JnDTHgjg;Fb5Ru*wnwlq5c96aZvijW{wmN^^G{h7vb<1Xm}Z$`G;_*=f)BKMJ(9E zO#+8Fs4l=}uQd+$^Wbn#E)Maf(0pV7o)Ct%RT&r<?4c<VR0EhYFfjZ94b}Yr|9>S8 zanRrxND8E09ZCHbPy{o8>K+CLBP8+TNaBu2;<u2*4Uxn_=>cXws7(TMPY<YF05u=k zokwtp3q$iQ$eo%<=BpryBb%>_Bo1mn!R$4~A)bpQjvNjZNaD!h02&>J`3pH579y!f z4u{Q1;>hlF19=EKRsd20b7wA897G|9&pMC*mT-HBLwrB9-2#$EHirvZu7Sjn!&4eb z9NC@9NaD!hsf|N?3X(V|FTnh@8%bOhDIU)uiG#*YVCo+ti6g7eht@YB_anzwHIg`T ze03v<BgfZvByr^UI)fyR91fE}ooFoK4<5pSiYp@d>md&H+?=4)1Ib^=>Wz@Zk>jfy zhxjfead{+rzaohvo1+3+8v}JGvU+1AaRnrEl99xb&6$rw{2Y=vviT2?#F5Pp0*#hK z-GiJClaa)c!#@W}96249;1EBGB#xX;E+UB|r;{g0;>hVl5L7@z-HDt|)R4rH-C2z! z4qB1~OV6uth@U_bM>gjJk~nhsFha|HQ2Iw!&xIt896mxg#3PZ!L3Ibrow-Qj`bg=a z5{Gyz4)KXN#OLA=Ux`EfD3Um6uovde`$*!*;qU=TTnowl|B%Fy)vG}JC!p{|Hs2jd z95m<-vo{fkcqtC?79??NB=<~15=S=wB$7C28w1SV??~dv>Lo#wp-A-zwEMxJ3l#_X z3t4>-4)IJJ;$1kzm*Wt>ibMP*4slqc8r_}Z&_)!xxHXbEa`*%yi6i^B8%Z2l{X!&h zWc9a^#F5p1L=s0<uMO=_g4~I$-VsS0S$#E<II{YQNaD!qPa}yVtAB_jj;vl9+Al?S zk0FvcvifW!ab)w`k;IYJ&qoqR&PNB4#BGq$`FkXBWOFz{9T;f2iY%^zB#vypKax1I z`Zy$U<os2LB#x|pG7j;pNaA)#?thFVj%*GqG^2sS8M&M{#UbvBB#!K_G$e6kd#59b zBip+LhxlP6apZ8gh9r(`&UYN*%Fyu$kiU@QQ4dKR*_<RC;tO$z-$oKgPH$h3#F5RH zfR1;7+=JW>2}2S`c4rBaII=l2ki?PAxrQW;Y|cL<ab$CnpyMnc_vj*}lSxS8pz%{! zxqS#p9NGMnNaCO|Ntk*j(7-*GeBp;Au7_mrZX|JSB=Ofs;>hk%g^mY-+>h)YT_ka2 z_n6`k&qfkQ4xd&W;%jk;??DnrPPgZg#F5SafJ598I-Z5@FBc?nWOGt+h#$ov{s4!# zgfyZ(j~t%LNaD!$8X<`z`_~mo99ew^4)J~*;#-i!k;^4E=(rvzd~}e~i4KxDaykh_ z5=Zt|1d=#%Iw?aEM|S^gBynW-TtpH_R?i9@j|RCD*<LLqab)$*Na9LJ{>niTM=n=8 zki;#K)GtL6M>hWqk~p$CuW^X;LkBQH?nhQ{g(Qw_ek77Ovin<+#F5Qeh$N2e{?ka} z$mah+5=S;i3Dil0mNUrW9yrAFaEMRAA-)TT_yZi`Y@p5|7We4j5D&s3-i$*Wl1m|r zXFx+ub3qXVwGSi*5(8n-d;o|K?Y@I&T0r8kc`azS3@*+C9cO_y58>i#q2@!YS-AKU zs5rEEhKs8~+sDvs0T;gu6^D8YE}jJy2hCA|+zWCe2rq+*gYqV__y(vrx;Y><APkzj z1JNKeKo~ZT4%$_MEDjq-{{j+(_B+7pq2o2M@p_OL2*c(FYM|qUATbbz%_p2d6Nim! zf))>htN^J6Vc7f<Xq+5b95%mH15$+K9@zX6Xh#jQdf5EZ7Buy+`6bX6A7u5g`K32# z>S6OsphdsP>S6Osu<=QdHV}r*FM$@cBCChZFM(FzA&bN2mq07Vki}v1OQ7XM$l|d1 zB_3${0@(?|u=ynuG;!GcQVg0nY<>x}wE@}u2hjEkEPsH^24UFz5-cBp#6TD}zmx;b zA0ROhhRrW^pozogCqerMknM%dPu@XO51XH4fu=i<*&qy?pJd3)&WtZEC@s#YV#rO+ zO)jVei)5yzrpD)$=B5^9CdU_-q{J6zrst)m#OIdglw=m<Kopf_q~ydGCugLll;)(y zmlP%D6(=T_Waj6ARVU`e7w6=cGw2ni<|OKsq*j!GEGSMb0b2mpo0*qdRFavP6JL~? zm6{BZfSXrPl%JehT#QhiT9%qu0yDZGu_!S&wIsC&A)k|2ToPZFm{XdHT~TpCegRl@ z3XB1EL~=%Ia&~-CVqSV`e12MdW?o8aMLbj`HU-5wnaQaj1)$){g_xL_lbN2!P?BGe zlT(%pv7<6CF*h?AB~(DBG3e#xm!#^s`-SQjmn0@<gB61$;vwEkPRz+kf{BCU1*{?k z6hmMZu9zuGEzYb;1uFp?msnJkSQ($3pIeYv1PTzSTyaTmNql}@PG(*zG-gsW;?qE3 ziO^b<S)7@d9-o?$4lxgxm6>@Z@rfxZV2!xcfaLXxONvSolNj`hD|5j#I7JlYrzDmn zGJrJ}#G51<q@|@MTgDfcCdFGaq*kORmzJc)n;@9R2&R!GOq*q*d1{(rauQgZ1wtEw zX^dbRSzy&>j?ji+8Y7rS=2*3vA+#Zw#t5d78CGqk2yF<au_;!yCJ40%rm+cDwZ;gw z2&S<yR<%ZOwWbKB34&>C1dda1I)dj%EcrVPl;et1OF#+MARd(d46)j0h_KI)43im< ztv0}Fg8{+@1k(hr7A18Vg2sJ8WhW&#g^7UyG#`a-Mh9qt90LQxH)wqUlZRH{FfIdV z+Z0F)S}eoGL1P{uPCKjt0^(qq<4i(olR=e$sR~eMn}LBr0XiN7n&tqN_po*vtUXo9 z1UW_sBnQ?AB~C!?1@(QPLSPEbI}9aEkhvMKBoZ+L>H*lg4v_sIz3BFXPDKFuA4w~i z`2}h}n1e*1t7U|^AGE;`NfiUb52$_%w19=#4^#XHylx1_L(mIAlNt;R46yZkAoqi& gx?uWY;g`b%X(J<aLRbc%Nfgl50I2;ScVqKE03GPg&;S4c literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..6f1828d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,132 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 7880); + iki_vhdl_file_variable_register(dp + 7936); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..75be3049cec4cea6fcccc3f99355cd260265d76a GIT binary patch literal 5704 zcmb<-^>JfjWMqH=Mg}_u1P><4z;Hqk!FB*M9T<cd1Q|Z_%l!)W=={A?ih+T_qgxat z<<V;jqF#UqkKWxU<QN!WYzBEKJNht4r$_S}4iweRM>w!b>;RbsF$AV0G#I42^S4Lm z*PRRu3=O+LN}z^$^xA@W5DlI0I`2bR$f8JWgyEsVSquS=AmbPu!J;1BydZ;M9_t2s z48`>3BMSRd7@)oa>w(zSe1s!9_Ao<5ab~VwN@kIMNm6`TW>IKfW=VWfYDQw2K3JwG zH77qAWCNIoTU~KkNo7GQ0|O!Rl8efUQ%Z9S2&v0W&1J|e&dkltWymcC*$;|75IyX% zyR);Ef<|akX<kXGf}ydVv7UjhSt*!nRA*$OXJDcU6$S?d3j+g#00RR9D+2?AyI&{+ z69dBmkQg&qmVrS8N;6gkF)&sLFiP{Vb4*}lV31&7V32{zS%GL*K7nSY5<U(Fh#m`& z7y|=?B#8Fl6A0kr0Gky6;xjNXD1&GZK7lAc4hE3g3=p4zfng<xcI6XjV{+t^Xl8cd zQ(*Gv({SW7aN@IY<Z~$GQ;6V`aO4wk1lt5sj2(mWI19ta|JY=iv6KK@V1=Mi05jkO zKbXY^CXoq9xUey>BMZX(%fQN@fhH~o=Cd-u{14{B!hwO6p#mlVrM(y!7=)1A2@Ych z2C%uH_<|~fQ+5mt41x@x(1!?JfKUu>(D;RN;Z!gV@p7oWF#Evq$H2e<%0$@gZG@@^ znFlf)9Ip%v3|%<PUx!2d2-KW1kRh;iz`($85{LS`IK-J4A?X=v44hKLA?}1jJPL<+ z4i52V9OBa%LGgk}C7`?oa@0~B>NnsJ-;G237!L7^IK=PZ5PyzC{1XoGzc|D>n6QVx zFb;7!9O9Zd#7%IB+v5=T!XX}tLp%Y8cs364G92QKIK+E!h)>5Mz6gi-S{&lLafpK} z%y>h+WClGSX9Eyn2qKI?gfWOP0THGQ@$NqUPL4kD{%&r;t|9Ruj!r(V@eFCDdC4J( zNer3UnepH%J+UMe%qh!A$%#+P%t?(eODxJvOv*`(FG@|%EG|hc0?QYt<`rj_WLBjz z6ldm^=D@TSCugLll;)(y7Zl|urxq8d7RM)+#Fu2|rp8yL7UhGrrdFgTmzE$YVaQF) z%mYhhq$U=`XXa&=WG3c-%mz8DIJG1m)W}H5EQ-&`Pfje!%+HI@Op7l{%}p#QNKFB2 z1Su*miwCteAg(S*EXjz^OU#A%1|$t?VZ?))7#IqQGjpAaGE>r1<I_`1!c&sMi!x!} zOfE`IEJ=+|NiEJyhd2>rR8ew#Nl|8EPJD8HX<iA$0nku@DfKJO4FWZh5=&A&Qy5@g zHZnsn%@Irs1k)1EG&VvojS)-}1k)73M3`%gFxMDit}((~69c$56ND8e2rEnwR+u2H zFhN*hg0R8_VTB383R8p?riO6aOpO>yiW2jR6O+MFXutqYV_+drGK^0xOU)}uPRz+k zN=(iMw_QNxsTKnR12+Q$!=L{UAPy2_U;wpe7(i_qm^iG>0xE}jkkrHKYmhjoNeokO z0yPI++!ZR$#K3@JKB(RXNrBXZ+72*t;y{8}+yibLGcYiK)PuSpF!i}m^&oK}BzI1N zii7M0$-&gmL=p$JVqoI)pyD94pf(Fkd<Bv?s8a(IUk?=rnGb69z{Iye#X;tXA-QKa zR2-xpRLjBCAApL3)Pv#zCVmP@9Mpz{i9dphgW?g?&VY%(L=xviviAd$I46?$cc?hX zJ)qVQ%$z?^agcjJZ5o(37pS2K4S!G{0VXbjBrc6)KGYa+%S;x=VPJr_yg=F@Z9Rx2 z$S)ukNL(JofMSr}K}?Xi0*C>{Fg8eB5h@0vVCfSit^^W*Vgo1*5{I?DKtiB4HHZd@ ztAH3#4C&i4fZeYO6$4QoAc6rRt_Ed;C|G+HWR5yW0E#1^G)NrgHjq#Ph=7`-31x#Q zSo<EN9u&tQF%T{Q5m5EoP&SBywTD6KbwC18+yJFP;<`{ai0S|lP;>O4Y!Ed8L_o#$ zp==N}14KZ@VPOaoS^y%T;)YN*h=S!8kiAAA0Vv)8r9tAxP&SAH_mrXLz{(JiI4oa) z)Pp<QAVCHOh7(X4ByI*}gD6;f0HtRT28n^N4Tyl2Cm?ZHdp!hA9M&$+K@*3yvl;Y? zD|1T{lNj`hONt<L28>mdnv<wkl3G#1pqG+ZlE|Q!R9wuUSCkLpfRr2RS)g(aVO$11 zuwJmjqSPD)z2yAd+|;}h2EDxel2ko+zfj%c5>W9C;iu=7>J=2_7o--IR6@0;X2hoz zf$C+byD6nW;Rp&_P??5o7A!x=gCvn8(6|hsG5}O|z|_N(J^)oZ3=9kfph#d~U;vf* zAibcn2c!m;&p~+#Bo3;JK;keQ4-$lNkZ5#aP#yr8Nv?iSS_YYkoOVIhC4dAO7#L=Q zI7k>|7l;d$W&rsc#75Wu8zjiUzyRq#gLFVJR2W>&fJFSEc>x+sAR#aY^%p=4P}qWK z7)IyEK=q^hUjb_11(-%C4T^6l6HJ9e%XpAKzyeSr092`<#UIG;APiChi@!9e{m5kn zNd5!Veo*{?_%Mv_|9q%^m^_S@LH7_;0;V6zWvFLh0FO05t%C_OC_we2`xoYZn8N8$ z`_c6~K=s4QJ(zlQ{h)RSC{EDB?*O!dgO&TB^bcx3!Sutz59A+oyFqq6fZDGCYP3N6 z^q~42T|Y<+suZLRjyXUQ3=9l6&<qFJ2Ui3h8-cXbki|f31yG}lfq`Kmh=YXD^#cIp Cb^*x% literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..48002a1680c7e024b012e77ad0e4b12ac2256a33 GIT binary patch literal 10776 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk{&GZD0DA|NsB*U|?Xlz{0?=Lym#r1qTDe4M7Hm z2w_ZoLxh3BL!5!(gA4=*$TBc&kY!+4AqT;-3=9k)Y{J060Kx(cn4p1?fgziTfng~t zM2?4nfdPc=AY2AU1_lO3MhIpA^B5TZ|Ns9VBn_5hK=46gAPhAM#AE=mnZPUt1`sU+ zGLM0QK@m!Wq(I{A3=9lx3=lPbP}&hm4M-ixUJwSUH)dd9U}j)o@WP`8<YyKJ28Lif zYRnlJz;2Aeqs9vAwp6IQKyKk=U|;}YVJIEQz`y|V!(=2i+zbp1LJSNH3Q#ri3=9k$ zP&b3rg3JM7ke@)93mQ)#bB{pH1IdFhNFIbiYU~*p7(nz{JZjPy7#KkIU&o^+9U9jU z@u<mQU|`^7U|@KSM@=RJ0|Ore1H)H5YO)v@82CYH1&^9+1_lOD8s%h!geAz|APn+1 z2!s5b!@$5G$iToL%m@ifkUR*3<UttZXE$iL%ivKH0WyPufk6q68c=+TFfcIa<55$@ zz`!8Nz`$UMM@<O>1A`a?1A{XjHKhy;4B`w748C~Olrb<cNH8!kgyT_D&cMJR$-uyn zh(}E&0|SE;0|P@Q9yM(Y3=Gl?3=Cy>)J$byU;yQ3<nRaO2M|BN^iep7M#iAB035&2 z`~*r5pu8*(%`+ggv>6x}KzSRK2SDkr!Q@dmh^-FILm+p9;u(ZN@*wjCOdo}V%mL{G ziG##IGzi1gTrh#C0hI|LaeQh(Wdb2JpfUlbrkH_&p&sf!kbM&@9)*L_D#$M&8sz51 z3=9k#p=v;B3gj<PS~Y^^Q&1TJG7p47W`NuW@)t-yAvc56fXW1rUXVTz4Z<*UKz;|Q z0hI|LaeQh(Wdb2JpfZ7w8c>-)NDZh=AfyIVCJ<5sDiiRj0fjTDOdzBNR3;Eo11b{; zsR5M<gw%k_1VU;+Wdb2JpfZ7w8c>-)NDZh=AfyIVCJ<5sDidI8K<NyILHSb)OC1ZU zH$deA!_`VfP(BB#2dM?+9Z>rM#0O!JnaFBE^(sglq*e!7uY%eGFg<X!Df1LTZ4H=O zT>@?}1u2A<M|x=Hg3N}S>oZT$9Z9V|0dwP^YC(PkwaGwcL50BWDUi8fv8$DepnM9F z1F4n6VJ=K<9!MdyTmhA%p!NkU96(_MH#cRTVhxg7P<aD311bg!U$EHKO2vMtILHm4 z@Iy8iWIo7TkogQL^Ar~#sa3+^Ua;D$m5SSt)PlkfY#&q%<_1`LH~|$0xxoX6xiGa? zk<@w;Q2PW)Ew=U}$Zs%nKO?F2CSdMAB(**S)PmYLAmt#x`4UhIOFN)4oY=GjQcEc9 zz|sRqEhz0^iwm&W)k;MnFay%=B$RfPk<^0H4wMV>E<CNn(gUb%1(E={fl%79g&G7> ztBfPeVCH%wsZ}AMHWW#%Dgm|eNNUvxs7*&w3u?bWxghUighMS<667~nSpw?2fE1(W zi(VwP22eNPQVUBvpgIs&n1PK$DtAC?afKO7Ev(!DsRe}@a`^yq3n&kP)lPq)I2+7> z^ha=o8Cc2HO2y@121G5cFas;OTB*1d%z&uXfQADoyg(QhW?&^(D-{oe84$Ia1k|2I zQj4q30xP*%sdydCfS8M`&H^jBTB&#+%z&r`)mcz3$hjEl;R93>6uzK33psp2WfLfT z!D)T^1H~^$YC-i^1GJ9?k_YvvL25yI7!ocivVa7kZB8==1_orcAUlxNiXy4CfYyZ| zb3oRC(kWc+uA7RgNNO$7)Pno~SG)I?qA8MEP&*pbCxDp?8he1N-E~vZ8A+`*0|Nu7 z?F>^3YTv@u?!BcLfTY$2O)W?r2!r%8?7FE4?l3^Z!4}QEpneHl?cQ68F-Ycu#zjEs z8x*dfh=Zjwu(?+&6_NY(FnLh84wN{^4Im8i6Nm=I9VonUxd)^Mgo#y;%N$(dFmpk6 zfG{ZhK<<I{8$n_q3=#*$H7;>n=Es-h7ci70#iwN!h2~|JFeDZwXJp1B2|y*{4H=*; zs1g`2Co?ZKKHk)j0m?9fF-&0$3x?$Uypp2)9FPU^hR8gqS@{L2MTsSuWvL()3@E&K zLsULkM?8uWs6A%JP==ua%ngPH2r(15EX-ks24-+Eb2!Ta$}%@#D9*@CD`CjYOD>8} zOi3w9EiQ)285+V(GlZLA2sgtJW`?1mB|~v(ZhT^LGDC4meo<<CQEG8%P6^By6HA6* z=lJBD{N!v9qbRjFwFJb-%qu7@2~Nx{$Vo-xh9%}?rhvrKGIL5&i&9e%s!&BB3O%8Q zdx9)ZEn)DCM>ZOT2hrdkk7^79x&TC3uycGtQGRl2adBo|x=Vf@*qJ%`i7CP0APaVm z&&<m#afAjMn44UL!p$rRF3kmb1gs0ejAZacH`+fQtOjhdKZ?O19>QD@4`M7-M`<nt zgcZr)AMb_|U{G%a#TS4AJ+CCVB(WrwAvh>Lt+b@H2*UG3vKJIo2oHgH2zx<1h`mr9 zrMV0c7RX+-aPp6j1X+`upPCkwnx0u)l3E1fgOeIUz&Sp*G^fNLlr8d73_-z!#5V%* zeM@snf*^@0*cp^OVVt1&Vo+!XLF_3?O-zA>JE)jo(9g)vO)b_>F)}FznXd2a>f-G0 zr(aT_3yS!nRNd5)(v-}^yb@iwlH#Id{fv|xeMF(4SC)~&pr2n*qMw<Yt{;|JmY9-n zWME{XX9(r!LwPBQC5ifFAk82{ic3=BjSLJd^ovUp^HLIvQXu9O6y;~>S0t5Y=A;zs zTUuIL>KVj)`h~<Bm>8JG8(5ea=x62?mn7!o6zeDE<ir=`B$lM*7v&bi?1E^~C(17J z-CUAdQIeTY4;LfbM6!D`Q&Ur6ft(Vblb@cM9B*i7W&(0}4ud{T!kU1cRP%#wQhrM1 zKzIb%VhVhdSDKqzl$jh~Tmnw{w2ux<3#sFJ<nW+zibC=NvaRHoV$gOAC>c>w7$P-k zC^ZVDF#|RXH17jy&>>-P;myFnFbO&z=EBIp02)8}$H>62j*)@kI1>Xy5fcMLFEay! z12Y3d01E@dKV}AoV=NHN%gVq2!V#<t3?K|L8e}Gn2C+fybQqsh8l)FA*8-Z80F6t4 z<`zKX@SwQ?P@e_VcLK3N{UT6*$BBV~!Igo50W{7G8mj`0|M)X7Fa$6#Fa$9$FoZEM zFhnviFhnshFo5P76Brm6K;wL%@pjO7I%vEcG#(Bb?*@%$gUkV`jfSd^Wnf?c%^!mF z;nI`Kz`y_+dj^dygT{_QW5b}a-)aU12GCefEdv8X9dxb&)bDF#U|{HAU|{HEU|{HC zU|{HGU|{HBU|{HFU|;}^|AEGGLE(suIiL-10VoaXPaw<VVq1VZK@1EG4p16hA37gq zjss|bjDdl{14^T-gLche%HgyJsDla$e<+Qv4>X1eSB%660CiRw7#Jd;G`c=ex<FD6 zXIg+dt|0$GX>@(y{vlj7f`J~M=>CEAGZ8u<EcEb1*9VOrh+-rXJv`C%L8Avr2ZV_p zp6L3Z(F0M8M52c$x;|+1AnAZG(Zds6A2fO(ijhbK(4YVV1A_*XM%M?89wZ$QCI_g) z51N05(&+l2#z7P#ksqMye?Vz;eNbT}9T4UXXh-+~lt$MF6^1BAA`gH%%M1(*C!jRC zKBzE~4hVAvXwsa4fnfubM%M=whA2iNCqO4!W<Y6leNbT}9S|mZx<*fr=>2+#E(Qkl zbd9bLG$sI3i%g@ZPjr2tIeKLEFgAL6LDvVGw}+`krqR<2x<1fcKC*fk8$G?C>jTv} zFtx}udU`?E2b%LoRu5yNrx$d6pt)(7T4WkMy`bv@tqnj{4`ZXJ7j%7~`V^)XnMTj= z==wnO9?0rp><VZ?YJk${`ap9aFtx~Z0yH6IKxuS+p!pDF^)NPix<)TAL31N8wa7Gj zx<=OrnkPY44`ZX3*Xa5{Z4;PUWEwqvqU!_A1t6=3vC-2fx<1gn08A}1jh;Ty^?~LH zkk!N3=;;$(A85V+rWTn-PoL=eKywGk>S1j3^ogzyG>-sNi%g@J6X^Ota|+1nVQlpJ z4_zN<egUQynf8DdSSO$~x<1fc1G0J;dj+(>(ts8~==wnO4luRI^bBahvj9q?>jTX} zAghP58=wVG2b4zF2bx2NsYRwUpao9_lt$MFngc^t4`W9_3!VZfjjj(g9|lv4Ob0*< vo(w3BuFnuzF%sJYTJV6@R)U1l^?}y!fW*<U0kq(;fYRvtK>Z1HJs>^+6LW{n literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..184bf4187d0e5e1c39e838633bebb1c7fef920cb GIT binary patch literal 3650 zcmZQT0}W0Pfe0x5!VSV7B}YSGGz3ONU^E1169RMo|NjrdFw?nU3@9z2&cMLV0Ks4W z|Njpa9`w`|PlyvQfgI1kz)->XHrh8w+EqmE_Rq`)r-p5RyzN9d8-;FX-dZNJB0&0z z*9EbQ4y`RZ=DR(`WNzP>y`_<Ps+OW&hp@WEmjkWbTHECWm#=!nAim;AztR<9^}YkF z`|``~SCt9>KVmO^`H5!!{XcsuKcAg#zWMdt&Y-y$_p@DO+N?Bl-^U3-oR>?C=9sRY zwRAGC*3vn(jdlX2`qAgTE0<47R`9N$d+TFirlYh^M@p4&eQ)WlaQj=G@<Fju&m&q> z{wPJxtBY7^n<g)NtT%Jf^von>{_@O_8VAqdWhuMDKVHbaV<xri$l(CxR<qNVVTxvn zQ7V^j%knRb$SL-jy|Pfp$;fV^&i#~qFCX2=kUnlHbz9-$MYF!*<!7G1v-mA=VRzwf z)8(h)yiZPBmQuz3etY@TUorJ6pQ@c#M$Di8IBNdvp3m#%oPV>}E7ov&&8FA$6P9gl z^gS->ZhdusuUTur8s3}|6Sia3vjn!z5IgzB;@k0noTGc@In|oWxt*5kbM-i;KIy4- z=A6gNwkOT=e`$5D@~-;apuEc!tcTw1I4V5XGxG41EeT%B%1>GO-CrN_LiW~)1e@)3 zeU=eAOZ7kgRlNUG&g7X#=q0gDvsOHxB2%tkBy*f)#^>eQb5q^DAAeH0wD6<SdG;IE z&&@wG->x|C-JXTnYV)0r<s3J=^zO}_pS--R*G0bkSXU;s$8ueZzt%(_6-Umg9!oqt zRDzukD=)S(<hj&2@u8r;p_R=Ut;{~Ynn%WMKLg^<U!I*(GF4%-z3&^Y$h*tKuTJZ` zujsOufBl&g#lH?ni0V`?z7+e?@l#UB)`geD=I@*IUiz(heT62Ebj<f?YpdKZo{MjN zaEraWx$NDuQfJ;*uO@HWc=t|g&bzbbadt;5#Eok7T-U1Rshnh!5B-r;vLuf&FnwJ^ z)lr3IU)UO450n~g6TM|@Hq9sZUV_L`<)Ed<+JuvxCrM|V{Au{Nu$R@YaDLJ!iy5D5 znPlDspP8<m9hzQv(zkx*yi;lEmzJler>kGq7wgW9jqwhDJ1aJJ>srsZub*FN)A`-+ zu~G7@^VW4|!a@$b`r<9TXubD}(=H+I(+U>cDY3s4mQsIBGUl9DlhF6x+w6OpKJvep zzGGQgZ?Nm*6t)Li@`gWRH1@qyT{G`yy;aXEflXhs<<8`;@ZXmzE`35LCTjWhKhN2+ z+zLMZ^Zl!8P=3&&aMtb5XT>b~e_7mbeNybczwpvOQG=6vtW)aq-<^NH(7%2k`!m~R z_bo2EI(+HAFqQ4!lU?ie*6GY|R4R=7x-_qR<%VTX8Dgro=}Gr2z5h+RWOwu(b^bqJ zd(vlooV#vH_Ijn$&yV#VG`fCjQ}(=T?@F&TmrrNU`~2{V!oBP789(p4ck#XY;jqam zA66)S_ZE}bzc%EX-;X-UqbHviKl*cK`rm!=vn-lx8EeC%9>je3Sj$+cZ{atm=9}oM zKez78?+#dEzn|$od!MlSW1kmQ+;e)oAMIg$X1&g$a8vw3`{)NH3q1bjAFNm^q3w5U zX27@Y&p!V%6Y2bH{ek&`#ov<_!mG9%_{k^I`PtoI|K=l|lMB^K_OhN+66q|K{i9&v zH>byV;cNTW=XYz)J*-aox9`cW-^?;^gpXCHY}_0h^erOkvBx&<<9YkvRwihFK3eN` ztziG{t=aoyZIjdm>o@N>va!<lch!>XdUpG7w>^@!dm#Bm=+d6}Kg`GWcYLYc?<79! z#~$^4DF@y6w|v)LyM=f9ih4Z;=D*GFO}dJuuGgKbJM)>voc)UZw)gW58Pe7qe=2{B z|NVL=bEWs!7k!RsReJuBf#F?ykFfgnPzU>#$2MJ;Ta^lzF@9i3nPZW9Ug_HV`n<kk zR;wdFbr>FL1h27>Om})bu~hKub1jk1VkwKl7Q--*54i>B1j!w(<`g~ho9Tlco8<F9 z#`jv*nI6#o8hEFM(dW)`h989|EDDYPwOrfY@!Q!%<y|quAC{CkJ0$y^9#6bKIq-iS zm+PY%Zib(4b^Ye_I4?Z4_QSpd`RvvAzh}F46^T#!U2R?V^Y;STy?P6_T%B@%iPgc4 z9i4xq{+R!;VEfDYgU^D!IsD-E1HV5izAQQ$Id99HtYdp;#22h8ws<soe}=wjnDMS- zv8!*adM4%-wlGWc`o1?-sZZ9{Y~1tW%=6-%%9mGd*_0DL(Q)Ic-A}t#%?@&1p7Q*2 zd-0?hla3^Mp3!(|w*1*?)$LJwSpt`yoKTE6o<8;1&YZnDRx3oicfOgp;7!JaS%FWx zVs&?%TP15$Z?Zbe`&`rNIhSfo<2UHkq^<W{9g|=ye&Y<QS+Q~BJ;TuG$aCV)o<E#j zboJcf6J8gmzIvIgwK*hiTef6&)-E@Rnc->v^DL^>9*3!k%~=$^RXwNtXc3ocxl+K& z(C5b9VHao2IC)joJN1;P`C}dZw7Bvx?yyQNYg5~qpN%E$(?#z%v7I`~(_65sVhICh zzTEDuk6Rtv!(?u6W9^f4GYNl_P}2LxH%2Eq`>wQU)9&TRd@AN1Z`)t8XXT4KJsaQc zG8K(Vy%kY1d$O~N&5vWog*Hmd`d27!Tv}Xl=hVr))+xu&ik-P!{NzDQ#CG);J&LDa z+FKqCTmLE8ak-Yo%dqR5Q9nB$9GP9d%iw8e$|>&-53exsB~5GYpU`($dhK(x;^Wzm zU#!d$Y56Vay8lbP>YtTslaB>hM)5`df624?p8V<wDJ#|X?+u^kwTj8<g?#~Iy$Arn C#0aeb literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..24f940eb0bb76f2ee28b34f5a317e8fd56dd05fe GIT binary patch literal 1154 zcmb<oVE}^)#=X<EuPhD|X?>Y}%4W8mdTp}R()F6T%XKfSukCVCQl2<bS$X0_4;NM= zBcaY77dJOIrPDvpZx3$|*}lf~|6TcZhVOG~&!_(CZ<_u1kVMTJne0DDcJ6ta%+$W# zYW+hgy@w`WDtOHcnA5l0-aDv!=kRK4Ir)dSGB%$+|BRJa-qDt9vG@5$F1{W9pH|Kn z=MUf0`~2ez<MgxkZKnU3uKl^b;o1IX)BjS}{_t;jcK_h4|E$;kxJP`BuY31?`A_!^ z&*mSF{uFrNV(tG}*6APk_~a}0G#Bq^*Z;t=eErX_2gU993Ygrv?dKnojXCu2wcPy! zx_1tU?`SR0|FB2DHl)@m;;U!kRrTi3`MhiX*f&r8FBbOi+lEi&O;h(9ulb|9|I_#2 ze@YQQPbWTI-!OGQSJ=O@4WF(bJQdHcU9Y_64{QJ5+9~^4rqu^U{L_5cSd;%CJ^xp& z)Q;xpioes2A6{Q8v*Px@uI>~${(UoxtB?NN{`p76(X-{Bf4n+5=lq60MU79Vzo_0H zSJ#>Nb7{lYdDk~w`z$t1UMr%`;=m_o_S5|05p@!YKNbHSyzgJ<k?=E-_cXt%j{TJl ze=Z#ObXaiOefEet{`xP^SIg@rd|k|vx3ZpV{k?DZrxyI^`|Ni8Ft3f>mkRFd-p}Ri z4!T$TUUyu-fWN=s=e*<7SN5}8G<ARfTf_GLq3E3Y2Oov<9`f$_b>Xpf1^e}aL;tJ} z$?l8(_hi5Da{Kc?OO9UE|6K9u^_+I?b#=3kZ@&NBCa!Su*~eFPpU?Pf{O|D21li>U zCvCzCj#i6*=zBipL)Y^!HRd{&^$pi;*6;q+ccUowjNRU5=C2cP|ErTe_kF`Y<Gym6 zb?>_l$EMf1zj<%@x{h~E?Ur5d3*XM3&3^8dI`=o*x80Jz=X^Ujqt@s5y{3JuYS-<Z zb)GSAW<3A%SKS{t=09xPXK_gTSJIE=``@$19oqU!p?1%;+DiMk%<7L`{bqh$)BV0G zqE;a_mj8!Y+g0{QOZWTt_)p*P>p)ZKnhJ*1{5>BIyg$F;*MXU(>wYk-=ARSsyh}du zYhz<+-N`?;)e*G{iuIhIPbZu;Z=X89Z=XP69slb5AHo0rem`K9vpk^L&gYkYiTO*h z+BsilmZn^fk8ob*ey#Z>!~5BG_RIS#?wynWu=^$3`q}F~?0m^9XCC_?|FYygL)pjD zm$_|{s$AUlefA%fUKal2z&g(rD_^R9z3p$cBy3uH!>$=}7t8*3{+ab}fB)UZ_48(Y z`rnh8b&&J#qa~|vtgp<J68myxx_Yg{exsMB+4oOLZ#uVjPj!jK%cie&!Ex8NZQUi7 z`}FL5pZaBwm+kS7jjmL#+CJ5Maej2=`3&v%eD%?l!Pj<8{ob<e)4Pb~uuGzU|E1kN zzfAaY;?rE?V8;&yzrr7fYk$3{W+)r>O4#;RNx#1D^@@vM#PnzBeO&ZXMt_#y$3-tW z^k?mR;ClK0(Tyknth2R@Fc5pPTs56B*)i|?6YCTk`=@p*`@LUnU%1THv|nQW!*=Dm gPRZ>RnH6uopZplp&Ch)7k>&ndQ|p<#*Bbu-09;jdBLDyZ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..50ec381 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 9148367468739333078 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..88331166ef4a77525c71c63a4cf5dbf1212bc81b GIT binary patch literal 603 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=*m`2)w|(gx3=9m?ObiTO3=9m+3=9i` zLtNs0{M|jB8JHLtz?hkVfq|2Ofk8b~ok2Z9ok86|ok87Dok2ZHok2ZZok874ok870 zok3k!oq>^ofx#Qeq)^I?Qea?UU}a!f;O7_;8sz8$b`Apr1H=FS|LZ|kIWjOX2p~H; zKFrlQ#6JkEkda{l*gYVHAiscUkY*76_y7O@x&QzFkA=E5B)+&Lu_P6&52ThAVlhLw zqi0Bbu%mB)k1IoPh<}hPLqL$fvukj$r=NSgkH3EagMVm9Kxha=p{t(@OfkqZbC~Nq z-Tho$z-ANUCYYx^{X$&bT_Jv9U|?u~dD;_dY*A`@W^qYsky~aC#Ni;rK@JDm%?6D; skZ1WB7{CF+4&p#U5A1mjD8C3w?}gHLp|l*xAO;48YAC%4N?(G~02rKVn*aa+ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..c268e2383b62954fcf1b6b5329012ce037507ccd GIT binary patch literal 7048 zcmXqFU|`6RU|>*XU|_J2WMJr!W?)E5%qdP~C@CsUWnf@qU|?WoKn08p3=B*R3=ANe z2Py?p2jc&S0(Ap*26aPq1`Y!HLGmCYSfJ)Xwg1m6&0#3c&tNDnsbEM2;rtSY)VxB5 z#N=#-q|_XSq+*7Q5{8^KhO!cdv^0j~B8K98hT=?yl$=zClw?DOlw>1@lw@Oulw=cz zyu@sV;>tXR)RH8I<itFN)Le$*(j<n|;$()j;sS>B;sS=E;sS=!;sOSB1$72>MRf*s zC3OaMWpxI16?F!6Rdoh+HFXAcb#(@H4Rr=}O?3u!Ep-NUZFL599d!nEU3CU^J#_|k zeRT$yx7Cf*8Ptu{8PrYG8PrYH8Pv_x8Pv_y8PqM*8PqM+8Pu)R8Pu)S8Psjm8Psjn z8Px668Px678Ppxr8Ppxs8PuKB8PuKC8Pr|W8Pr|X8Pwg>8Pwg?8Pq-08Pq-18PvVh z8PvVi8Pt8$8Pt8%8PxsM8PxsN8Po&R8Po&S8PtQ+8PtQ-8Pr468Pr478Pvnn8Pvno z8Pp@x8Pp@y8PucH8PucI8PsFc8PsFd8Pwy{8Pwy|8PpTh8PpTi8Pt>18Pt>28PrqM z8PrqN8PwC%8PwC&8Pqe>8Pqe?8Pv1X8Pv1Y8Ps#s8Ps#t8PxOC8PxOD8Pp5Z8Pp5a z8Pto^8Pto_8PrSE8PrSF8Pv<v8Pv<w8PqG(8PqG)8Pu!P8Pu!Q8Psdk8Psdl8Px04 z8B$Vn7?KT*EI@=ML$aZ<0f;aJ5k?@w7(|$W2vZPY1|rNs1W1Q5NQVhXhY3iB2}p+t zNQVhXhY3iB2}p+tNQVhXhY3iBDM*JYNQWs%hbc&hDM*JYNQWs%hbc&hDM*K@C4>3` zbq4i?>I~|O)EU$lt23xCQD;zJs?MOkOr1e}xjKXT3UvncmFf)YtJE3PSF1CquTf`E zU#rfbzD}J%eZ4w^`UZ6d^^NKb>YLOV)Hka$sBckcP~WP~puSC=L4CVAgZd722KAll z4C=eo8Ps>HGpO%TXHeg(&Y-?eok4xSI)nNFbq4i=>I~|K)EU$dt23w{QD;y;s?MN( zOr1gfxH^OS33Ueblj;oWr_>qLPpdPipHXK}Kda85eomc1{k%GZ`UQ0c^^58Z>X+0R z)Gw<us9#ZMP`|3qpngrALH)WqgZd412KAfj4C=Sk8Psp9GpOHDXHdVZ&Y*rzok9J+ zI)nNHbq4i^>I~|S)EU$tt23xSQD;zps?MPPOr1gfxjKXT3v~wdm+B1auhbdTU#l~y zzfosUf2+=*{!X1i{k=Ma`UiCe^^fWd>YvmZ)IX~;sDDvsQ2(mVp#DvrLH)ZrgZdA3 z2KArn4C=qs8PtEPGpPSjXHfsE&Y=EJok9J-Is*e2mh$93r~qMvlt&Vvf`oyAL4*au z7hr*uRXi+^a*Tt8fdQn1g@u8E6{L>^QpWvZW?*1vU|{&c%m6O4zA`f~a56A3d}4;! z`JS19ft!JW;SDnb1E|b<$;`mO%fP_!jG2LfkAZ>VF*5@LKLZ2917-#W0R{$!JIo9W zf(#4{H<%e1gcukYt}rt&2s1D+TwrEk5Mf|oIK#}qAj-hNaDthEL5zWc;RrJWgE#{N z!vSUn1_=fRhCR#-43Z2C3_F<_7^D~&7`8GqFi0~nFl=OIV31*8U|7q{z#z-Oz_5~; zfkBRefng~#1A{yR1H(dQ1_lKN28OxJ3=E143=A`w85oop7#OB9GcYJKFfdGHW?)cZ zU|{HFhLri8%nS@_3=9md%nS_b3=9m7%nS?~3=9ml%nS^g3=9mF%nS@#3=9mV%nS_L z3=9l~%nS@V3=9mp%nS^=3=9mJ%nS^A3=9mZ%nS_r3=9m3%nS?$3=9mh%nS^M3=9mB z%nS^mIwq8vfx(!8fgzBYfx(1<fx(xVfx(o4fx(lRfx(P{fx(rTfx(=Cfx(fPfx&`- zfx(uUfx(i2fx(iQfx(J_fx(oSfx()Afx(cOfx(7>fkBs<fx(u6fkBg*fx(V}fkBm- zfx(`EfkBa(fx&@+fkBp;fx(f1fkBd)fx(G^fkBj+fx(%9fkBX&fx(4=fq|Eqfx(r5 zfq|2mfx(S|fq|8ofx#VA2Qf1+crY+9{AFTb@MK_M_{qe;;Kjhe@Rf;y!JC1B;Ug0R zgAW4(!&@c>244mShL=nX41Np@3{ROD82lL+7#=b)Fa$6#Fx+KgU<hPjV7SS|z!1d1 zz;Km`fgzZIf#D((149S{1H)M+28K`u28NSN3=Clm3=Bt^7#PAC7#I#RF)&0hFfi<8 zVqk~_#Xl1RLlh|fnHU(NLGjPTzz_q9e<lWoSOx}$l}ro_aSRL$OPLrL;z9Ax#K4dM zihm{shD1>OGchnEf#RQufgu?b|4a-FDWLdgVqi#RU|{HEVqi!E#Xl1RLpmt_nHU%{ zK=IGSz>o=we<lWoEKvM2F)(C<;-86uAqN!yObiUUp!jEEV8{c-KNAB(J}CZ~7#Ipb z@z2D-PzZ{DCI*Hg1_p*uCI*ILQ2aA7FqDAepNWB?6cqnV3=CzU_-A5ZC<nzq69Yp9 zDE^rk7%D;W&&0q`1&V(r28L=-{4+5y)PUljiGiUO6#q;N40WLRXJTNe2gN@V149D? z1A`0`14APN1A{md149!71A`C~14A<?-kBH}S{N7@IG7k1T0vzA69YpV0|Uc9Mh1p< zP<%5oFmy05FnnQTVCZCEV0h2Sz|h6O!0?KZfuS1|&x{NVJ)nHf$iUFcz`$^uk%6I) zfq~%~BLhP}D1I3k7$$)7HzNbXL<R<i<BSXplNcBn4lyz?Oa{d(BLl+}1_p*5j0_A@ z85kHgGcqttV_;xd$H>4i9TcC83=A_E7#Nl?GBC_!U|^Wf$iOg*fq`KbBLl;1P&_g+ zFw9|KV3@$jz%ZAAfuWm`fngp414A1l1H*h!{4p{xEC9tHBLl-iQ2a46Ff0PaA0q?9 zVo>}sGB7Lw#UCRB!%|TEF)}bL1H~UB1H*Dq{4p{xtN_IyBLl-qQ2a46FsuT_A0q?9 zYEb+!GBB(G#UCRB!&*@MF)}c$1H~UB1H*by{4p{xYyia{BLl-mQ2a46Fl++FA0q?9 zW>EYwGB9ib#UCRB!&XrIF)}c01H~UB1H*Pu{4p{x>;UCoMh1qRp#00oz_1IHe;FAV zc7yUSBLl-8P&_g+Fzf~8Uq%LoeW3iy$iT24lz$l+7!H8)FDNg8;u941p#00gz;GCp ze?es}DF1@;94P-XFfbeg#VaVTK=~I`CWG=XC~t!DF9QR^DNz1pU|={6ieFINg7PmE zpJZTQI0rTBJk-t$pm>I=1^M9;DE~4rFkA-ZUr=2D%D+%EL1BCilz$l*7_NizFR0D{ z<zJ}Xpfqp`6z`z42Fkynx&@Sfp>71F(R-l$%fP^J9~A$fv<ZrTs9!*N=n*LXL3I=; z{uvk;o`B*X>Ss_Me+G(w1_p-bp!jECV0ZzFe`wf%%Ai-E_-9~XcnykwP#p-0e`pwj z%E))1_y^?`Q2aA6Fnj>TKQydCW%wsh{4+2xd<MloC~tw{9~vj1I_4WF{uvk;zJuZ) zR0o6N9~yU{I`9`L{uvk;euLtlfq~%<DE^^w46395f#RQmfdSMG_zwkn`6a0g<%vak znR)39sYONkMGR?)nK`9Jph6Go4)lHvh!1KTfci0@Iuz7A0M)r5KB(>n^?5*bK1dzZ zn*T+qi7D~9`6;Ok<wcn#sSsvjK|yLBR2XCk1ElT)>4S2?6v#Y~7|483oeL5K)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQ$ZRkNN`Uw<|AFdgkTA$z zkbNM3g4_dYyMWZe>;=Uih!0W&QqRD^(D48Ne^5~i?*D=6WRN@vgXDEyw?x`|zWWcQ z!Ri<oJ~)H)|EXtSNGoP2C}zkjW+*LY$Sr0lPEBUW&CFxS0M!v7{h&G=WERLRAU;SR z)J~9->OlkuL&d>u9S|R+7KA}<A`l-Y4{AGs_%A?)g6acMI{E+qzk?H~wFYU6f#exZ z%>sD^+-3vw1(sd`<pWTBgZT&6Uz=zKX%m9^42FSvr+64ZY-9{-3xKSG^=m<HLdH<F z82$jY0dVPqhIa$ZPsrwh+6vh8fz*QZfiQ@TtOvvfwIM+IK<NR*hhdOf5C-W3VGtW6 z2Wn&BQV)^`sRhLoOg*TLL5g}%wj@P8sLeu(dQjVj6!oCCJ1A^G;~F6U!7wb`(Bl{6 zZ%`P3Fo+Fm^Mmw*`V=s|Ai5|s9W-u{ng(hFF+lnRAax))7zXJFrGF3&%8wv%P`?Mn zho+zZpgsghAG$k0YCjth_&41)&)K!X6#@fq+y0BB$UG>`xqT+jve0w8U1aQ_IV z5lVx~TaY_I<pxLy)RzG9LFFaL&7i&sD4aok7?Arw?gW_w@&_m^L47Asn1I|3!Z81U z(lJOKNDs(d7zUXQVx#*B)RqOQ2blqqN5?RCqKkvl0Z1RQ_JG>BAobYnL3R_U{KBOU z<OfigfM|60fZD{k^nuiZ+=)#eDEZ*h2U3qqAE?cZOCQKSkQ_)qh>eUveKt`1fy_k~ zM^=j*=AgbEHPnOB6wE$k^Fd<B{54FFemt@6TT7z)btI@KCXYcXa0Z6?(D4V5e_$Bo zFIavD^|e9bp!^03Yfydzg$XFXfzlKxzk&P=%5R{!1LZeRxP$T=D4&7y8z}99Fvwh3 zep>*w7o-<tJ`98GfU!a9Vf=*z)Pveypaua<{UQ?8gZkVc_u_I7a$W<u57h1?MLnoq z2B`;y14utIMm8JNhbKl|7C&U12$y?7<|Bt6F7=?e1gQmKWcA4W^2E%N_~OLef}B)_ z;*$KLREC10{N&W);>^7C_?-Ow0*3t3l7i9_2Cza<%M+@Y0WvlNN=qQ~U>M|PP+9@S zl?_x7)E)%!L1R52H-Xwsp!5Z5hl13@(haB_0F8rz#GqQi6i5$<0cur&(jLexP&k6b zKw%Ej4@$G3v55^V3=BVb7#K2m85nNxGB7CcF)%prF)&QvV_*p2XJF{yXJ9zM&%p45 zpMgO`fPo=GfPtYyfPrC$00YAd0R{#MK?Vi`K?a5hK?a5fK?a5$f(#52LJSNYLJSN$ zgcuk;2r)1y2s1Ev2s1D=2s1G35N2TbAk4s^A;Q2AA;Q4WA;Q3LLWF_ghX@0MhA0C= zgD3;T4^ajN4KW6W2r&kR4lxFX9bya&FT@xa1jHE_EW{ZYGQ=4e9*8qA7=SVw0|P?> z$fKZsIB4*Xfq`KLln?R?$j=wh_#B`>VPIeY`5h#00p)|j1H{jO@<Cw);?IEbq2`}} z@<HJQlK%nagTf5N*8nwGKz%2uy$)#n3@9HIZXoq1pnRBnXMh?k43KaH%cJqZgO{Lj z3#hmOC}Dv5dQg4@ln;srkp2m1{1a$=@E|CXeHNgC3yGhB#-D-4zX9cg;uvHf2WT)A z)aZfQV*%xZ;vOt71|pHdAIgWhHv`HCr3H}68Bjh<{sbET2b2#=D<Jg>put;E_&~)y RpnO<-R-o}6py>!^J^-=ir1$^; literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..cf2612af8d4edfab54c37c040c5e9422fcbd47c1 GIT binary patch literal 12400 zcma#Z%*o8FP>2Z5%vFeRadKBMFl5;HZC|<r0|UbbCI*HA0|Yi<U|`5&WIzFMuFa!x z5F3OUY#xPkFfcGMfmA}pxu9&2I5(6HQY(za1~EZ;LE<0`VuRF^YK{oVAgH~fNNh1A zwm1@70*Nh&#Fj#0gP0)qfZPSbFgDCzATe6liyS`EAV)y`C4<BUF=6fjxdX&T4>xLs z1I&*g^I`GWz`(#D3vz_bqi_&=E|d*YBMWj6G(D(7*#Zm<47yM@$UIvlb|91u(whop zgQ#2(0rvZ&aFFpJ%nTx+_=g&V!N`D+XJKGq$S`^o{->USVSzeKzD^OsVqjo{F&G#a zK4?HVj0|;(Age$ampmvvf-o+5kh?$_mpsT_AdE|%m4Sf)gmKA(#9<iXYE<{LGcYiK zFh~uiJR*ERc7Xf=QsaT-e-IypL21k*Wga-Z1ROyk3=GKOgG(M{CJ5t_2Zap?<B|u3 z4G80s2e})Bamj=H4Z^tOL16>J*yR!7BZ!oKc7O^$29W;|@}NAW;B*}vJ^?nc@IlCf z@&_#aoiM<Z=Rq>Rzz|cO7fD{i2veR9N&Wy9d42{4hJ1)Nh74l}137<y@*&K90$BXV ziDZ6-KBj(9*$30F0QcWvaCm@J3o$S-I6(7Xh9yV@6n=-{`3sjkDD8kSE_qPe0byM7 zptJ+Rxa2`;2ZV9SgVGKN<B|uZ9T3JP4=TGr7?(UKTtOI@JSbd27?(UKU4bwzc~H6n zVO;W{bOpk=<U#2QgmKA((iI5fk_V+L5XL1B%2yzaOCBT+!`RXfs7?f7kQ!|ApgIMF zamj=7EePY12elJG7?(V#?Eu2K<U#ET5XL1BYGZ&fE_qP91B7wOgW3Tgj7uI=u7NNv zc~D&q!now+7#J8p7?->}0|NsH<C0fkU|;}YT=Jj-0)%nND={!IfG{q3Wd;TY5XL31 z!oa`)!novB85kHq7?(V#DFMQ`<Uvgd5XL1Bs!Bl^m%Jte0|N--lGkEjU;trU^4bgx z3?Ph4UWb8!0fceM>w?-}3=9mo<n<UB7(f`8Jg6!FVO;W{ssMy>$%Cpo5XL1Bs>(nZ zmprH{17Te9psEanamkx9Fff2HE_pKs1_ltuC2!8azyQLy<SiH&7(f`8Jg6!JVO;W7 z3=9k)j7#2{fq?;pamj<4A|Q-Q-j;!Z0fceM+c7XOfG{q3dj<vu5XL1B>N|okE_qN> z5`=NdJ25aYfG{q3X9fla5XL3%!oa`)!novJ85kHq7?->o0|NsH<C1r0U|;}YT=E_a z3=ANQOWu=#fdPbZ$$K#{Fn};Fd2a>=1`x(2@58{r0K&NBeHj=SKp2<29|Hpe2;-9X zXJB9eVO;V73=9k)j7vU{fq?;pamfcUFff2HF8N>v1_ltuB_G1TzyQLy<U<)47(f`8 zd>8`*0|?`i2X#O}7?*qm0|NsH<C2eLU|;}YT=G#23=ANQOFo)`fdPbZ$;U7-Fn};F z`B(-91`x(2AIHGJ0K&NB;~5wjKp2;N0s{jB2;-7ZWME(bVO;V_3=9k)j7vV5fq?;p zamlAJFff2HF8Ndj1_ltuC7;H?zyQLy<kJ}#7(f`8d<LlBgr|PZWME(bVO;vN7#J8p z7?*rD0|NsH<C4!|U|;}YT=KaL3=ANQOFj=Y&WFeSpgtuC<I-Qiz`y{)xa12N7#Khp zmwXWe0|N--k}qapU;trU@+Axm3?Ph4zLbH10fceMmoYFffG{rkas~zl5XL26!N9-( z!nous85kHq7?*q%0|NsH<C3ptU|;}YT=F#x3=ANQOTLzYfdPbZ$=5M3Fn};F`FaKh z1`x(259#SMFo61K3L*DEO?`$AP=f|MeuC)#<B|vU{XrO)JgDyv!nou?eSZ+fB@gQR zgD@_6P~RVfamj=F{veD?9@O^-VO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4a zFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2c;_z#w8CDhhc2#3)J@qVUQYZ@}Ryy z2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{ z<B|vU{XrO)JgDyv!nou?eSZ+fB@ZePKp2-isP7NLxa2{7e-Oqc59<4aFfMse-yei= z$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qo0AD29+ z?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@mprKN z55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(s!9;XB@gQRgD@_6P~RVfamj=F{veD?9@O^- zVO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|Hr zT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI z@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?D zpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^-VO;W{ zzCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4 zKM3QJhxPw)$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y7?(V#?+?Pb<YE1PT=Jm4KM3QJ z2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m z_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<C2H< z|3Q5y*!qAUpauzA{~wn;sP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|Hr zT=FpggZhXt|1SVFxX}EMOCIER5XL1B@;eCQk_Y)6gmKA({0_pn<UxK1VO;Vczk@I? zd6@q}ePEdXJwOdgH2>q02l*X@amj=H4#K$PL4F5eT=F2lgD@_6kl#TVmpsVtAdE{M zH1-3+xa2`&KOl@t9yIm?!nou?V?Q8_OCB`#1H!oEL1RB4j7uIg_5;GW<UwOUAdE{M z7XP3z4p{vEz!Lws<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{ z!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<UwP9AdE{M zH1-F=xa2`&e;|xY9#rpuFfMsm`U8!j!P4IbP=^(*{J|v;N_!xTOCFT=Kp2-iDD8nT zE_qPe17Te9ptJ|Vxa2`;4}@{agVG)d<B|uZJrKqv4@!F=j7uJr_COeyJSgpfFfMse z+5=%+@}RT_!nou?X%B>P$%E1!2;-6mr9BYFB@aq_AdE{Ml=eUvyZjN*&?Yzug2rfJ z=`RB`AOcQ*N8sxpamj<y9th)-2c<m_#w8C*dmxNU9+dV#7?(UK?SU{Zc~IH|VeImV S^{=2Yc$oiJfCj7>7#ILqCfN-D literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..f7bc8d0 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=117 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=183 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..3808d3945a518a6237251cb963b2c6927e5d56f6 GIT binary patch literal 31968 zcmb<-^>JfjWMqH=W(GS35buBzM8p9?F(i~i84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&KM;Y4!e|Bo2p^=6 z6~t5k5ey6rXmqM7L>xvV>jT>t0Fh@vqYXGA;xHOnA1G`BVj%JXIjHmku%QeLE1)z? zA1Js$`Vye}5}^8Ev;s&0$oWtj7M>tuK-dBrp6E21cNx(2ML_kT(=HHaGr(w&9U!6L zrzI&MHo849J}&zLp!)7W!x5df0Ywx80|Sf(*#WXI@M%d3C|p2nVlXt?8G@kp;fjY1 zP=CW{sPzo`IhjdjCi*!kx;dG7r4_mr7G}C;CVIvBdPZRDLGA+C0ZLQuexVFZ4GafB zVle$6HYh2Aj0eeY;@Yrx#fcxsPuJ;5rr#*`<kfL&1*rj<0n!6f12Pz-9vZbEE(3_I z$G`y2lMw$mg2h2%AjZYibGaZI7oN<>z#xQ0GK>YgxEKy`Q21aoM;4S8v6x@TjNSZp z2JGTpOxVSFak!@hhriNrm=6kLSeit3?qVF~yu;z13pmVwi9=imNB9Kd2%q&h%=v*s z{aqaHSI6OgFC6OKaM=3_hrL-i%-MxQ+y{qy)NrWR!C~(T9Oit+;r^pI%-M>=9Bv%y zf8lVaKaTWShQs`MIK+?QFkcUc`7?3&Hx`HbUL5{n#^D|Z76t}r69758Ffhb>hWN&( zq!y*7XBL;F7KQja=j7+5h9oBCq%y?Er|0J9#TS<(7L~-uLzH4wn3<g!UzU-Q6Q7ou zlNw)^Sd<CU9}iQ@0GChBNKMX;FG|cyPmRw{i!aW}Oiqo@F90h@E=o--NsUiQEzV5O z1B;|qq$Zb^q{bH%<tL{W7pE43MZp%K8I+lql3D>X2&^D8FSV#7GchN=C^aiJxdf~; zF$ZQcSV?hmMrulFPHKEfQDR<kVsc3)$g`R0d5JmkX^F)p@x`enNr}nX24KyZsi~>) zd8N6jMVZO*#U&~6AZMng#AoJ}#3!btfYpGFO)X2!D*?+U=EN5y7A5AUmZTPe<%<hS zi!&gs)ROq(viOq9f>cPL6(p8q#OEdErb47ka!ca#^Kvrtp#0R5_@d<ak|L0glJiUR zARYpnR|VFAWOZ_4P7cW3VDXg7yu{p0kXD2rL2AL0#Rbr?hX(~bsN)k$;!84fQ{$^r zi}JyWaRoqbX--LIK~5!D5y<FxxM^4dwm2uh9IUc9Gq*GcEy6QW6AR)q^D;pZmsthz zdI~(uic)j(lM_oI!UQcsiNW~d%&JsF2CycuqtZ(AlH<WKg%VoDsU`8rMP<eDDW$mu z*dhjOLJlZ#lqKerrecp~Tp@*$OcINV5-a1A^K%Oli$LZ;y^Bk4Q7Xs_;E)5mp(wLB zGcP?pH6<Mq9w3)j6ldn9WERDPg9((FGt=UWQgagv3Q{5Ivp6-cII{#6vhZkvMmpH= z6mXI#&dhZx%1lX5jZaT42~SB1FUka^;rRHR%p_3i2NejpiJ5r}@$tzOiScQfd5Jk7 zV{=n;lXD9ga#M4QQ%gWpazQ0SPG(Y3N@hGX!t;ybvr~)mQgif*^O2;IAl9KsC4<^$ zW(@8=o=(p3MtX)OaHcVWX{2Y$pr2n*qMw<Yt{;|JmY9-nWME{XX9(r!LwPxwN%}c? z6=o)S`TBZ#AXHLN3=z>!Pfpgg)HBvI&`-+D14)5fi=cv%k%5VUkqQuI3Nr&E0}I$Z zP)iWh4rFGS#lisVE-*})IE@X|16u+W+XCh@GpvX5OQkY785o!tc7Yn33=9mgeh94n zzd#w}Y6gY~Xg>oco&Xi+D1gYr#9@2|H1Pw_4xa{^xPv1^zX6)~1*o_Mns|Z}M7;x= z_ya?TxCff}1W0q7AplMMgE2&X1e&;m2}C>rO?(1WJOfSq0aUyIP29i~Von8`cmq_t z0Zse@RJ;RCT)_-t&IB~^2B`Q9G;sq9i24O+;trM&@fB#|6QJT7(8L9-AnJFZiBEuv zA3ztkhNwS*CeC0B5x;;Y9$*I%zkw!R08J+k(8M1YK-K3%Xi#4V)VG507#JQPiSxh& z85kH|Ac+eiiGM&6hxL^}(m#;IH9-PU`~vD8P(OhWDh8rv<bg;A26kv448mhzSb!uB z$(azD6-eTsz8pl9fnfuZI5aDQrFI~RgZvB@WME)8fFusG8zz1NNgS3ZL2?(6#GykH zAYq0ZNa9>z5eV@BNt_!Z1SVe~iSvR*AjAhGaejypnEZhx4(iK-1wjNfoI!3!?l*EE zi3@|&L9qamIH=zY6=sk?5*LLDg8EcQ;$leR8c5>eNa6-a;u1*W7D(cfNa7Ai;!;TB z9!TQSNa6uV;;=C*kaPr+IH<1-5(D7`Byl;A02F5+iOWO9KvV&eIC4L>0!dsEq!5Z5 zki?PO@f}Fw&>|kJV*--63Rna}%s>){4v~Q+79feMfkhz13M6rLh!B|EfF!N~7J(2u zki@khLSXU$lDIZl1VWrZ5(o9IA)*Wn7m&ntVS=OdXb6mkz-S1JhQMeDjE2By2#kin zXb6mk07?ja=9l~B(fo$Pqnq`lKLdkD>wyxc{}()(k8m6YtNU-7<j279Up2*#fq`G% zf#JU@h@SzHd->r1|NsA0qx={cGC<wtmlweNAP^taC4PAT%=ZHEL0#dO8^C-g5FgY9 zez^e5w*v7&UHz96z<eVRAJi3o*#PEif%u?q<jVpuUkStqb$wqZfca7&KB&w4G62jM z0`Wmz-IoqvJ{O1&>f*jM0P~qZe9#cWO9e3hmoEcD2B@q1QUJ{V1mc5+0A4bH`L95H zP?z`RhkqddJ_7MUUEP-t!2DYvK4|FS<pnVR5{M7#^1eI(=AQ!bL0#RK8^HWSAU>#z z`*H!8zYD|%b!}fx0P{D2_@FNB%LXui6^IY&%DyZB^A~~mpf2po1TcRVh!5(zz6=2K zCxQ5&F6&DNFux1L2X$3n8i4stAU>#z`ceVRuLAKwUDKBWV15yZ59*S>WB~KCKzvYF z^yP=YApa+U_@FN6%Lib76o?P%dcM2><_CfJpf2aj17N-vh!5&&zT5!jJAwG1F6PSx zV7?WI59(UJoB-w<f%u><<;w;zUkk(sbtPXGfcYTxX%j#R5QIVL*YK@p=fyaW&PN{2 zPd)^MxEdZXJn7MEdrpyo;lHS`F9XAuG(CR#7LalVk6zoKz6=Z=y{w>k_OSd>BI?o2 zdd&xt^f~`O;FoUzDLf3NJ$h|Vg4LUX;>|<zpGW5hkAv^*Jr4e0_Fz2baq(Y?h(|Z; zT&MvY{~x6B%eycz!1ROM*I?V~%fP@;svYXlY+L2Sz`)?q`Lu+`quaL4hk@aR=Kufy zU!?s1|Nj_ktS<utW9(smc@+IG%m4rX4|R`YxMP@OsAEX5N9W~ZP7y54RRN5pc0S$J z4&Bur-NioL!6D7R{&)W1cRAMli_x+3n+w0ocgycC{C*!?IzPUU{rmsFi*~Vx3;+7! z5MRcBFZ&r77<_t*BRqPYJv_QOJh~k?JUYD-JUX2-x}6g`onyMabNJUE<X`WdVtJxI z#k2FjXXEh~ZVU_)Ab@|HbA(T?$^oD5Y=jHCy<=W%{`3ETH%NO*=OOK25B~MRA&&gp zLPQyS8UHx(Zxd1K2#&BkQ6khGoWppb(>aEJ{UQGKrz}s@t##~Ti*}50jCG82j6ZzL z$%%n~o0Ee_FUtY`ZC;KZj6b_t7%kly7&@Gs4o{eXUA~Ke{B0z8N3i)_EfzW+AVVDw zLp%m0L*W4);L&;6v-3~4Q-Z7Ex7GuDL8*k_^Tlx|aN*bKl+&42aNG&BE`g!*{fjBT z|NrlFQDO1ueE&k@&;S3Pok#b93Q3R7;~t%_UL5`P|G!Ijb%JZ_e^-9b?>?R1Uwr!g z|G!74bA?Ceai7k2KAoRlJOJyv>)HIa;dnDBRe}s~>D&!U$u6CnI~W)kjyLx}2PQqb z!Ad+jo2P&hSa&l>+M~014g&*2H(0E*8I)_fyB9DpFo2`9dj<mogXM`j<(IP<U=i9q z86?ek0iqZdDfKlT-Pr-%)fwHzIo-h}-Q6IQUR?O~|38G;c|zMcgMYnq3I8@1Rt8u8 zZF^WuJs21~y0a^~cY|Esy&2@_?#Uq6zL){h2X>4$*v<Uw!M<?ms9|O328(w?oyOmq z^Z);UpYG%e&u&)+&u(81k4|R?k4|q7kL1}958i*#2@bfU;Bb59(Ru5|ir@eLch{(} zys-cM|G!J;_ZQ}16)!-w8a!piLgE=Ue!H_0Tn*p0zIEjHc-#5@#rdEA|2uXb1I1y= zFHjsFH~a>TK$f5X|9596w7zxR14;-k{GLz$pY`c{{zCf~I2sGU&iUul`RIitC@ExD z9Cxk(tL$713XtQ?pa20?Fvq|##nA1X(ChKP^?(b%=Zns6P&ge22L(jWYEYyeZw5se zNRLN1D4jHeq6!i3E5I=g5`)Hi_ZoOiF9F9ifB#Q#cDLoyfW<dBUU!46>)s5C)b7b3 zJG#3;$>zoTAD{>ZF*{FagX4&QJ=hK4XlD6m!&;utzwLl$GE2J;<B$IjJi4<Bx~nU? zi)*?kgS_`*9moK164Z9C;9n2+^D!4z2Bz2U(ENp=r5cA8=GP)1Es)S+1Tn$58*F-a zH^hS_GToCwo(82~kh5Xwm%mR0l#Y6{D;jo#k_`iY%RB}K21KH2-U7-ro!y|w>;`i> zn>T>Mv-<!%40kXvFuYa<+lnB%CxaA#>;ox>*;nt3?yv4{ko=3e-~a#b2Ahls5dLl6 z867^Lqz_Wk-3_wG@<i!UpYG}cgbU%x9+Y}KI`6-j^aGU8Aj$q8DA{lP0ZHwiVEXwB zN3a5{sUG3}V@{5Ypz^h=#lj9}`4{Zb_y&|Lz$M^6pWZ45!?%XtKudiXd^-QX;Q0Rk zzYSw)ut#T%N`ObVb3nIuL>CK3M~ez5MS1jws04rtxQYM&|F>Z-)dDHwF#HD6Y4`pA z|1O3O7ZneXDh`mUY>+A@2mUEXUo#oL?R??Ucm!m1G^jR+Jv;%CUp*S%R4{_7(#xKm z|GKjhdR_l_Hi1$dA_q5t;`(?KD0PFfQRga<dyY4O@)9T)bvA)aKHdaMDG+&3<~iO3 zN=sn*_b<+VMHJ(S-#|sc5qJ^6_!U%!gG*z_UUx><*8e*}rto{7aBTkn&xPOrMJJDn zNAgQh@ge#R6j{xnEDA0do`EU>hVTFXd-Q@6hD+yeP~;y6Cq0lUE}g4E>EL)XC`E!C z(*-UnJDNes?RfJEkQz|>1ZSzv<}={B;0m-ZX#Vlfqti!)gTL=769Yr92V-Y9Xx-ZJ z<|9yTtq1r!?lFOC{uC7fpWYmm2v~{$MNR~W5a8biwyVPh6sOq%-QbMVy%`jk-IGB< z*xd~>?!~Dupd<}qYJ*EM{`KJ623$mRxTpmBbbbewg2g}n|L<r~0fo3ncXmeiZV;<` zGbrr4Cxb#9YFssvaUdo9>zhHc5aU`@K<l2sCcb*1_ybfrANS~94GPw7Z~%61-U14h z$)He!8mNV2ASjIa*Mq|pT-$&=3h}K+=NuISMg|6$uUk|KK#2=f>*4bF#m{&=-l9^- z$iU#!`Q4*;3fSNmM&JMc2M1>79F-TKLIoPWEh-uin{bCoI+9IT!bB5c6Ug8fC%%Dg zf`$A|5EmNqopV$`X&h4OgK|eVW`zFy1S<7G5eh2xL5fhKv_<6s1Gs9(;@%f~L3+W- zOdC>BpvK-qQ1t@|6Oc1r$U&Tj6sbBO5pcrm1{>4e4NBUUCrXUECxessg-)<Itfb}d z^ZNV$|7(%s&7c$xO5TW6?$f;)lrlWJeN;F+x*0vXJ&~IapzH-IN4-9SvL>V){RS#W zGeMyZYCbT47&a;|etZI{0F^|b0tdAPfhdna?L%lW<dkE0vh^*$$IDJ9P?Heks?My6 z<4!f8jNJMDMfpcWslxpUT&nB?^_Zb;ixnS01xs;`Yi}N-YwI`1{U8He`91$SHvjtv zayEktzuybb<oBSGW%oyr<G_UjqGVYHa&hluP~4$36hQ{Lbgl*$gWy~Wa!|K-j<$D3 zO-XlkL3eS9W9J`7%`={z*Sdpie3+91Tn!I6?gS}&G4aFy|BzC^rQ129+dHT8ujX0g z^y9(28dNz!l_!CeJLhOSXY>XzwjKbxo8RMtN9TK=&Sx)JKK=ji)A`A#8{8W5=w|Zh z_Ck&wkP|_%vj*%vNbG<ccc(u>8+S<`LDk*=7g1mpxT-m%_;Kw#?$~+Gqw}*z=U>Op zv%bAcY&qCLdGxtU=b;zMAOHVvJ;2{G7nHbr_eQ8OFueHx9#lZ@y`jgz@ZvLs{lb!g z;l*<Zo5Pxc;l*tTTfm-y;l+6f`vi!6_&vyjIx0TBYctqDw!HV~yyw#S$))q>i{~Fe zadz0Fn?=Q=caMew*cH!wI)A-*`vIg2Nofz*nCBjy_dwF;KpGp5f(-QNM5sb-*uL!e z@c%!oJa-HW4)Eyw?70uLo&+>10;+hwzc79G|Gx(~77H3|IG9VtJpUhq$Vk8Y|NmI? z0?>fXYbVd%Iwr$!FW5n<d#g1JzZqUKJo!T7-T(hB2TB}0n~yO=G(CF@GOqao69Yqc zH)yK|sQL#R;?cW%0uuv+N8{lRW>95)58~Fim(8HCYCggd?HC7Xi9=ircQ0ri5W>B! z5DR92Em*(=DyLaEJeq&7@VBo6M;NcaECYi_GE1We<B9(dJbUYy4Zpqchv)(oHQn8y zq5$Mq{?_TBreE_h7Kq!m-hw>r!NS16_!3mPdiK`w8h(2r4AFZ7RGoB#N<5I>(lXEH zV|);OpWeXqF~0<5G|%Q^BCiWQd+WpvzrDEn1{@$LHZzs_do~}FcrEYQd`u2(1}rR= zzxn^)v-ud;YiZBsV{Bll7n9+}GrZREY(6Fc5w3v?Grbn|Y(6Fh5l)2*vv~CGJ^=}F z0~VAJ7ku~s|Ab&r`ri*)B@YSQ7upb)B0{#ohJ&$`^H}o-76u09*X$4mlSl7v4p24K zc=!gy)aaK7-@?sHXJBA}ns@s(*yot$&0vL^2V#KCbAXuFzzTL{^vgnod7$w{sCnI3 z%wqz%&w&kU9*6-l4^%jNG#=JqgPNy^FfS9~K5H!If&KdeW*&$EGH(OKeHUQnU3>%g zZx+J5*RL@B3wGZGcBuP643K#*Am&xDL*3ViFfSWn-fS%9F@yYT!2vZ7!~mHG+6v^+ zcvyi0YMvp&JkVl3X!v<!F^>gg-UFC<AO^_12uP|q0W<I6>;L~J!180~Kac&OJp!PC zRKssCzP|kb-?Mj{JE)W2yBoBLX&0#If1wLXO})DdAVy7KVPF7@dvwC{eKyEcNL~k# z@Zl9uA=UZcb6*q#0|UeoP;a33B`9=3wGE`4@_?69#UK|n9zPCo!3kEVO+KCfUaSB` zaqn)>RsoO3!y8x`7z{7%1Ub*u@PJ3>y_X`43=GhcO&4T7qGa=X2`bt6TR_`wpk)X* zNJTfe!QBmRMT5!#{?>b-GNiYT)$rSkmoGqW1b4<ErHoJSYLI(8x>-0pI-5aEABbyw zdKW`o0~)_}H9X+c`5#mnioXKqe`wC1`Qrb7aFxFgH0liQWf`7y+yNSrcH9XX0(!yz z64XH0yaUvNXWqPliGjiJKSD8BjpGiGW~ln>FaH1ERRL~$z4z(-_M-jO|Npx{PS^<& zgu4UYvU%C|^8bH054m`Tr-yx@ERTq%r_VuQfQTnn&;Q3>yFdf^1V|DQ$S<Em0(m1O z2tft)GLVYyW>BzqcZ13qP#~9DdBO|n*608KA8!WbOi&ZXqj&cOP;Jt97?e4|OIwjr z7$+!YK%&MEVy*=vs9pk<H(+zEJ-VB3FoT31Ff%YT+i>vLY9I<;DTp3~f^HU${|7q3 zmcMR=7TNGHft063@I?FK_A^jOpqkGI%5R`z09>Ae7@+(n0Ldiq@}TeqG{1Rve)HI0 zf-th<+5i8Z-EANjId=Df+}th0fgIzVH($6s|NsBsV`j(hHc+bYWS$2~6&~Fz5}uuH zpaR3Qci#gh1_sZ@W1#W^9Qi(--=MyEdF?r@#Q@6hVEaoE_Hz(n|B+`f`x`*kFwd(1 z`3_<~sDSb8-N(TUwjWf!pxYk?w?EV|3^dvx04ggxKYN0Toic<=>YjqSTpvJ2GdI5g zQJpR-2_DB?R5Cy_L>@api5k>c_2_g_Dd_G7?JD(P?iK(gmQEj)3XkJ0DxiV@thlp9 z1ypZ?Mjiw_d$&DM2DQ?@zt95tw)0qbj!J|_Z;48P$8i=FP+s!b0h;uI8P4I+`5c@z zUuZoA#SWWiw;w3CcW{DA<L(I{s&}^m$l}Js1)K~FyFj`<I`6&6diMW+=ld7RPyhe- zXgmV)XtZM-bTo1TbbKQO6b9f9D7dxivA-M<231e~|M%(L3vzCEvjfNi=4Q~YOOTf{ zKtWM}>DmgYzd_SZApc5ufLh`IUi3W!6(l7p2_Bs-DxiGl(e0uV0qQU%cy#utNPuIZ zMFr%@?&b*)yCChj78M1M<Z(#v6*Rr&xd&uAD13Z6mw>yxpsp{d*$=gB;uBD-_oz$v z9u-hV_vqcC@&nX%JMN;w0W!d+Get$fqqjzd0}`+oAA@p|D}x7k*tgk&3mmczTnr4| zt^%FS9w2`2ZqSBRP%X&Cz_1JC08kLKKLvFSzQ5T27)1Ynu^nVm;}KARf=abG$9Q;n zgX$kp9tKTp?5l={GN|qm1nEL*BWuCyp6`$T|99+e5BFqX=xhenR-WDM?w+9W#D0HI z28P#}(1P#$BT)YV-fsTz2vYD}L==2$K`OeNK`!g=1~p*71yneq;Ol`{m5ppwG{mZ8 zPjG<z0EI(m^9K;sySo7tNsWhZAO#0_=<Ma^$DkS;Twz&2EL{OE6+x{Wu%&j0B2XNn zAOT!PW`IoW7UA&dF5%$t=$s6;sB<&O+HMyP0Z0J6ZUNf@8=nKGUr<K#?EDVO!{8wl zNNoY?)NOwV$};X5j@|x{YTec2|6$O$+Wi-Ez*24bATM^duiysN)caR}OOE3cxEUCr zCC5LH#v>r}VbS?g1Qc1l)u7%=B*=)~dRT9z>LDc5<v{7Rw;EL4n1fVww?ANHU~ug2 z2er#UA;91I8<ZS-t62=cy%2#Y0R@3$cRwf$8frLrnfY6vgVZ!1W`#KS?SudS_k$Ae zK2W{13zYhIf-CABpdtp^6OO<z%cIwYgB6rv6Fk86I!G~yo8h?!R)T?YL&0$u6;PsJ z0OeWaGV0qyP*ysOQbvKy0BJ&$OcNe}G7z&TzHoeT`yseEngUXb8m5Omnh&!>yvat4 zHwzztVznJ9$UM4TI9Okr{DW046DC0WA5-BO?ZxW*AV)TX_7`_|?*OMYaI-0Z2Q*8& z+XGa{Hy*a&fkwSY=e_8c>JQ;2f~t$=Hy+^D<3Er6pj{uJkrcykFM_}ZI~#O+TY!3_ zE-DTlosU5In)Av3{~gUgK!awz#Tp)she7GU@DjAy)FBM620+C~!-N0-cY*jjK?G<l z58RCPh<1#7x#T{?369|)7j%C1*bmw$0=4@3Jy6#W)J<jb=`Bw1>D}D{YNJ7{05`Y3 zgW9PI4`9le;nrJtfvpEgGs2~r;L<DZ!KA_Y?d4slGeAvgFb25>DLl*>K%0LU7!1F? zaJmN$Xwb+pq#=tMDxV*LLdAm_G$_0o)DQM(JPfM7;8rhaV+4<9cHVoDe*gdfT_FBW z5P=#n-S;2?19r`X383(C41tV6fGTs)_7Z3)ZM+LgFKy-EVyyWC*k<skuutz|P+Q0F z(oRrj0EZX2nf&1%SVKKR15}CQjtn_A&{PDdF?|Z6svV1}722FIRZAeM`mw0ekaB>j zs)MMSjzv|5x-(2w1Vq(*EUH$RxWQBzK~ycrqUwdCCrlL&MAdpMsx<t4V5*)$f^It& zRT(k<FjWU2s`g`1wIVYJrfL>M)p0DUUX+DGRY4kxF`!A9mzj6}{|7B=2=?gwy%V(1 z#G_aAsx~OMn%?7PV0ck^7gX!*J|V}z@Z#?sFq=W1f#Jo+JOBSj9|q|JFF5Ko-2hVk z8l(cW+z3fxI!J=)-v9rg)&s+f6CeX&N<xD{x;uY+bbbX*w>0bm6}%q3rvJD>F0chr zFSg$X6_4*a@4w&$Y3;Sm0~vS_B-(4+1!9Zdg&FRB`~UyY;4Fp!N04z0j$kW2dU<pI zgIq4^3#LqYz?5wnNUtZzoaQ46`%@Sg7+!SWhM5HNA2^(14>K^peFJhg$cdwPGz3ON zU^E0qLtr!nMnhmU1V%$(Gz11g2!PJ3@VxQ=f6a~m|JOh<jKAyA|Nps<|NsB?=>LDc z$N&GEJpTV5bYzHLN@kIMNm6`TW>IKfW=VWfYDQw2K3E2P<O;}JU><ID#buzAY#10A z2&e;{tpYk)g^;@3)Le$l;>_IKT!!3Yko};338OT#HE8G`w3yuG{Qv(sj0_A{F8=>N zg^_{b$;JQwLqPmX|NpOHWMFW)^8Y_*AV}rf|NkaT3=A$e|NjS#WM8@Y|NkB)28NV- z|Nnyquvs4b{~yB4z`*kG|NlA63=CTy{{Ih}ivW#3aj-Bjq&)urUxkH%VawzH|3POc zu{`<zKZb>YA>_&b{}n6@3`?H;|38I=f#J)O|Nl3zFfh10{r~?ANc`#l|6f4rp8x;v z!pgvq^5Xyh8de5|EieB62Thooy!`+F0Eqwc|Nj>t`qlscGHeVCDsTS(PhewUD0%z; z{|q(;hAHp=|Np_p!0_b#|NkQF3=AnB{{OdOXJGj9;s1Zo7<tRb|NlV~5YeCi|3Aad zz%cdm|Nk%885q`m{{J6zC?IH2lLQ9?1867}6poBlK@5x)0*ul;>>LvqA>yFT105Is z{|ALDg9|%oV4DH7pdT~>a^dX%|DXwf20j5dJ_#>=?sAR>274)MEn^kXo&d19prZ{f zF8u!w>fM9v0AbLv7N98)g^U0He*g(M@d-HbNjUK-IPqyX@)<O<^|1D`^fC7{G2P?S z01Hcim4Id|85kHqE4xB2{r`U(G(qNwq}Pehz>&|wk<X!-y@#!rwU4Erxs8eWC?iPB zzzNAHM?R2yJQx@l{($;*m;e9&2pXs$Y}Rci9A<(1<iW_mu;<$U|DbjD3~;j?`83@5 z4lp)Dox@ZO@}mOSzXAw%v@kL-2weaFzZbMy2Cf(EW{{uU`8F`4c&d;EyF);Jy1>Z5 z5OVwf{~5%EPZO^20i6RW!^FU_;?Dp7pwWATpWyC73u~r(*jxoV?jwbXfg$1U|Nl#& z@$JYb;mD`Z%-qMsG!euUaN^@|<x_Bhu|eqzw65UJ-T(jNLH=;!6F}1Q7N*XXPk|{8 z#sQrz%D}(?TAIRg|Nnn~kX?>^0?kZJo}dT^ZKQd_#K2&ILk^VZb8yJ1Ff%YLz##{k zn7M*OE{2(bf#U&ow^T4QFxX&`1LdzN%nS?#5B~oT1^EHh@18L4`Y<ps>|thLSn=Th z|0U2c0;emCe8k+sh?M%lL2clOD#F0P019&+76t~6NB{qWCWshN?VSy?7Zm2PFb*`# zU04_x9z4SIC&(`eEDQ{wGP4TPFL^LyK<YYJ7#MOM|NoCq9Vjh<%F-i`|NjRqaEGQz zM?M92z70&xEPc#vOiVBN6dXb22{eC!j-h$N!oV=$$^ZYEpm0LYUyghR?tBZFL1hlK zya;3j6(bt(atEAAL1Cl8%D}Ma>Hq)!ATz)P5;zS*N~-%{3&BCFz!VGPFo4Rx1Xc!y zE6@J_7e_B+z;<t7MA*%gfMK;O-v&lzcT6Wa@_}Y^7(i(nR98%S@&CUkD10I5-5HeL zL1B7_m4V>|sD1&-LE_VeF9DqIa$#W)I-`Syje$Yo<^TW9P<2iqNd-`N_A@b+!o347 z`wiF_7+PNb|KAE#>&PboGqVh&4V0Hb<zWOH1H+q_|Nmb{gqIU2X24}UYY#Zwm=7}| zm4{9sXM_DTg^hus{q_I<pfO|yS5O`<0n35vsts%m3`<}C{}0*-$Kb&y5WvR)u4_)P zF)%E9{r^8`0~$y?ijM=FrXR2|Fl>DN|NlymdtLbi+L#>qB$}CB_!OA@L6#dhfl4ih zLOz8Eu=BwY4pKXcM?+vV1aO7`>^wQx`Ej6Z4pIz0EE>8!0mQEdwP}#}pfl}2;-Iw) zAo>_o9<)&x#D|^N4ce#+;zziGNCpOQerG_OYX{os3X%b}<v=v39{{3Z=i7nWt{^^4 z{_DU0;FE*E3tkx*7(g45LGlJr1)z<{ApQx^f>`J(JrG|Ost`1(4dR2!To4V~$P1!j z7^;n-0eVgrsJI8o9e_%J$}SKeG<yr8K^uKRv;t^HF#`km+%XXU1JvVCXEA`L=0M_{ zAOc$7f|xLOfY>1X`#;2gj0~XGJp*V<FWA2upyvHY$b*zKe1P)(pz=SVd|0@F6;Y9Z zookM6q#0<3F#`jG8<Y-%(rHk-3`(~_>1j}U8I;}zrH?`BYf$<bl>P>#*+4s&85kJE zptKs4HiOb`P&y1sr$Om5DBT97r$OmuP<k7bJ_e<)LFs2u`WuvH1NVv;7{s8o8k9DJ z(r!>X3`(a#=`twY2BoJ#>19wFJv<5VVdpxctAm~E2s_6Sc5Wl=oJQEWjIeVUVdpNw z&RK+=s|Y(s5q54O?3_f{xrhp&_2~=@46t(#Vdose&NZ9>J;xAsZXxWP!U@oG31R0D z!p<FppEC$*93pwj-PzenK_fJ&G_Ryo!O$3VhNrGsDVS?iXJn#hV4?{XM$&|Z2`blE z7(V_-mjdNS(21Qj(EJTeW(=S;BG}Y}Hrg{W@H2csi#L!ykhlW4;exOQvcQ3#0am`k zWI*jaMg~cS4@l=2g5*Gb1x5x@`1zh7F%SmrMFp7;E3ZLfAPia&$H*W8KPMC<2Exn1 z_cdVp7j#}ZHh<yrFKBv|kwKV2ClX{TD#m8cF|ZOL2G~6TU@ij#!%cAAAr3EBVdC$> z;$jTw<%%pb=*kBP1_o&Oz|@2Ku%N>lV0;)2>gxzHNHD<8Ifd;m5&)mm&CdW!f8hQG z0|SFJR2-I`!Tn4I1_sFa=KKt>^b9f=WQQSGJ&1*h6~X6p^E1Hi-vE!XFfcH<;7}if zLmagG43vgYO=n<e0ITO`faPa!+a9#X0VK{OzyPaPVfrS5#2NS*4uCoi3=9mQ^a_$& z4i#qroy@?%zyKaAVPIg`3l;~dK*cw4h`+`m{vRyP&j2f5z+(iU`WJj&IX?reT!DqN zC|DfTP7qHHhqx_RoSy+!et`Rcpru_<aag$nGd~zC4l)rHmoS0S6{;AB2O0yzmadlL zFy{yp1A`!gAOq}v5oq&=;W7^OpfL+<=6?gL=VySGhv0rGC{KXXKgeaMSehAhmM~_% z(151HF3^Av0|NuB7%~KlqnZKYLGCl)XMoiY;C>(j0|RKR1FdKSDQ74Lo5Rnb06O`D zfq?-$ZpXmDfa{$4bzt>ittiA{usA=%0<`pb6Dkg?cVO}O1T2nX1en7JJ~yAA0alNJ z$Cnrw7(inm*uvS6g@HkkNdjKpf(>C{V6X+71LGlRT<7xFLd`+1f4jiu@H4>bJ@EJk z0|UcUs5q>?1eNa~TR`{8U~}g>uzHXRRJ;R+_+hX(KLe~D1&>cKFfg10i=&zW;{CuO zF2f4C&x4-<cCIg|oCT@Zf{Mf158!bC1_lOGR!}-b)L$UAAnXWM4`QL>G_W{7g97x> zQ1JLF0|NtS%v^|ppMe3Iu0Zt=NarM|`VG*83?5@<U|?7Q76++7#anTRgT~%L=EK@C zuypkqhk6M%NI1aSMKJZsU~yDCLA)5SI6uP%sK3BtzYGivMPPA|3RFB9hxi(>d-xg5 zq4^g)X2QV0FbAxjp8?j6f~BkFU~yElLA+gHaejEa3_PaDz`$^n4H92J(CX7uVD%uK zsQ4aOoS(r#A0iGOhh|`4_zD(>h#`~z!Q%W3uy!1%eFKu`VuzHIH=y?i!OBU{`RAZ` zDTMkL)-RM`2ZcXKCn`2z2e}_J|C)o<^E1HOy`XjyNT(B69K=G!@nCU&1_o$+!O~kP zRQv&2xzr67M>PY)TZlt^GY;`<U~zs1SUVb)t{y_gVeN02_#3GBT4?xy$Gt%HHb|U_ z2cr`E4iX1B3>^n27nK<5<uk;`Cnjaamn5b$Bo~zwmz1WZ=_NBD?gfc2$&F72-3(I9 z5FekCAD^C+pOlyrpHh-vR2-jJTEPIi$RH=RBsE3P0J{p%B>|c7kXsK@^Gb>;8PY&k zV}Nd2$gO0Ek4NHxuYf>NT9RLolT(%po;Hj()JtZFk9P}l^mUDQ^>YE;OcL+z7aH&C z0TuLc31WzM_wjdf^ojR(a|?D2i4Spf@^Ou4h<AzfbM*Ce248hhjC9Ec=st*$#3TmT zl_Mq=2&MsoX#%>_pg6U}0d^+===K57L?yP{5;9@8q9EVZfOe+}%swM?25<l|fbV}O zh__5MPfasSPKqxsO^P?dDryGy1o$!tNT`CM0Oc+T%o|nEFW7)tZ)^njqcOx>$UP9* znV?HhaNSe_(`{l2*KJ~oa247eE%3`;@Lf3r3Nz4E7HF59fPIPU;*NMv|M=pPl+3*N z(qiaE7hv_cuYiF$+|&Tir8e>LDTyVCklSw<pqE!b?%Dvy5tgf9K=*sVj5e}ByBh;F z$$&1CL5pSFA%}9i4d$&KSj;knM~JaGI6`n<0|Rrfu`yU3F0a8ad5Mn?@pXn~J5XeS zLmGUM3ii8D!16e*1&WVPDbA12NX$#gNrgMu#0<eS0=o(A))M%AFwom?U_LN41gi#H z2oEH1nnAtV2Yv$$F2BNV^g-5(C<BZv8RFxUii@EM10Du=FNMJpMHW~ywFy>H$PGl` z#Tew>e*+IvV+(K~fwCBAsYVK}+hSmWYHR{lPcTri-L?Y`--39PM1!=n)MQI=QDzA? z4rD!ODF~?W$9l~U_+lcM8%)eWrEYR=0ZJBv%NjGpr{+QLw?V&C2omlnE--`yCgfTn zm?5S{41T4#LC_0^JRzomJq=0P$*?Px;!{C|0z5d4%os54#DTjK6m}2?pp@q3Sfdz{ z{b25a-pG?$4EA|JCEQFyNPK{U7E1{Py(I`{j4`5MHa2B|T-XD;UI`Kju<Nhjmc^so z`NW`CT$x*vn8cu0Tv7y~GhnRDypq(S0tUUj{E}3?^t@8Nf};F_)S{9~B%!3z%$yY6 z%oM1Qqm!pDsCfZao{?Cb!JwB?nO9ty3!zJj7{D@RsYS(^`FSXu_#y_qqSTy3kOnBL zAg6>u59}4af}9e)^!ySAy^_?55(d4r%)Cqny`p?@Jn5xoKpPerDMbu$9z-jog#pn4 zV?)bH20e)Oq~c-*z2yAd+|)eKY8Ldy6X|@=d<JN&5jJlC+R+L!1xBNf%Y)Q{<Y5@p z-2u%l!1RN5ih|@|H2N43Y<>Zx282PwvdH>D+bu!jFdEvt1qp#MtUV6qfc7~;=P+RQ z!^TBnG`juh`okF*7{2}gpAXXyo2P-%F#BQiH0bV6gzhwh>4(kZz~~I9g&;qI!Vab% zHje^2IRZ443)2sq7lP5Sc_5g7L1w_%Ai9u&0d$Nk-2Je56&M`{QpCUjzDF0tgxLe5 z>lh$&HZVSH-Udd4)-izep}QX>2Ex7Iaa+hHFc2R$j|8J(^GN972lGGZtQ1fXfsSJV z>4(ir!D!e#7Dzv6?g~Bp7J|l}ko*Um$Ai(J^bIl>hCz10*dQ8oJ{TxWLFR+_3eW@t zqe0_rAaNK5FGgbk_3a=cpmA$t{TrZzQ7{_jUWjVwBpCyO2CXYY)(;z(h0(Bi7npvS z{V@JEH2=fKS79`CnhmBLe3vqu16s=i)enn**!UofzJsh7$=xtv&@o;h_rvtV#?fIk z?4Dhidi3zWgl4})5JV-M1|>X*5ST;{PmudS8)89u2&4dZ{}}8(UUc;^K8yw(Xa<@S zhUtgR<3@lM(O~f}OdpK?0!@1`{jhlf*!{|&LsUTSf_Vd`4;GKBq5g;Iht1<QKr=3A zJRPJThGF_)^j|dn@cD-Xhz{5|6oiM-4QF76PTa!mht2PNfa-_Y1Je(RYZx0ugXSAR zVrbJ~3^4u3ck6)EgVdtau(SgbhhYU!!3xS}&<Su*Jp>y2fVl@&et_n~U~Y%$1<?ke oBWghNCNK>kL1<V(nP3Vu4USE}H?(>cggF?jngMjM0F(ux0HitvmH+?% literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..ef2b0c7 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 36299 +Design successfully loaded +Design Loading Memory Usage: 20172 KB (Peak: 20748 KB) +Design Loading CPU Usage: 30 ms +Simulation completed +Simulation Memory Usage: 110108 KB (Peak: 159440 KB) +Simulation CPU Usage: 50 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..6de9ac4fd7515874e7e9b5df6e306f3a4fadd31d GIT binary patch literal 8568 zcmWg2k!R*+U|7Jwz`)?}q)MKdW7XGv=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}x3+|swAy?4>#zTU>Og|<`wJF>buL@_Wh{D%SuF9rq%FSqReELZ=XehJB0 z{s|rn{Jh*2cqC^ma7^}0%2>77t2fKv(bX$6%d^)rIm^%0yEiFo!Tb#~X3d-nSF#|( z(>K9w0hNuDVqjok0(q0cfsuh>12YpNgEbR#^WudZUdfJL{*GB0D{NHT^SvTYL4?^7 zT(i<Vv*et)3;!QaPz43dS~+Vb7Ee!4SH4xN7cO-0aCJ%WbMVT_c)aXA!{Nn>511Jt zsvO?$TPw$e%s~=|Frfx<H!ogj+t{+Gqi03mvSlh4cvAIFLll6`U|`^P@MmCP5QDlM z<XjMDU_cRO@kvfd0TbvFAjg7T%)sEVkb!{#76{0331VA7<CTHIfr&wYfq{YBA&-H9 zK^AH+duwBFd!ua&looMlXBJ>!aA;u`U|?cmWUycWtCoYQUfi*;W07qOJA}reS{|xe z$jjBwG27EG$=5L>D>KR8)xi}kv>Pn610pmPyNwD^GXy;S6TDpfT{AK~Jra_W99%&H zvycRE=vRd5=U&{^(!H>`p|{<(7tA&Uvt?0iWo9se_)`h0i+AC|jz!JQ4U1d)T5Nlv zJOMBdhfZZQor@Zgb%J>~bgDpga`voP2)3b@vuA}Nn1w@!Dv}PcZ@@ahEF3!2pgP!> zfK~Ofn}BH?s@0*YMVnjN8&-9+_xCg`Z0=apvADgZ%eEIRY6TWGgo;YpVlj!4L4d(w zJ(mE3!v+ws5kzbP5t~8877(!&L~H{Q+d;$*5V4a>fPsP8A&6Ok;lIODRuIX`Ai(e+ zBpARfz~Hc$Re-^P8D#i6kWvQ_$?8y#l$RKo99DyjTEiv4z=$ft=&%-~$x0mRMFvJa zI1^lgFfd>UI5aRYFrcdfXFV_hHW8~Dw6X+^%K-MHD7wM_|1&T!SV<t<2WP_Ehb{oN z8(k3WG%$g1AG#XkA_|$!01kIibmb72!O9s1Mm;za<}!2vu*=W|L8TlBL*fV&w&*J0 z#WtM5-~dVkqUZ`iE@Fh$aSZ>p;DM&74P!#81V&Ju04{gI1X4LnLcxx%70L&ds{9Tv z3=9l<P)pf67B?(jXuB9n8#6F4Fta*LL{h;G&OQrm7q>L5<dzVZmk}_uH5L)DbhrRY zouG=B0YyD)$D-cF3t1(MWQ`3WY8V+9{-dhl@9*txx9#j}S-7yHv3F5Fzr3uhxUHm| zh@qi`psbNRL?07|KDMmPq^!&Ywp}|n?b^8kqKX+q6+hI)&3zqUSMx(%Ei5O2<Z>2} zKIZ@b4PfCf3~E_)tZG@@u&ATI*S4j*aZv+E%mPh}fx+QCD9eH}v%@(M>mrD_1R^ej zh$|rCDwhC*DlC+lnRJ*y7?k*!z|Mvc9N=0GB<jG&$iRTmz|y>SK{J>DN#f8Vj-rK` zNu3FV@o3;h)1b%1%%lfyjo`3>A4LO8^V)SFN3CndV}~TVmh~Vl>+xxk0BO<Cho(q= zaEe^q2dcgMZ5J&B^9^BqBWRjpaJbFDz@T9O)x-<dv}ncR6<xNA7J_+(5FV-q1_lN; zhwGsDxd9?>f{0rn;x>r511^L?nZ)55C~<>HNU01<Bn(X8HV=#oDjYx<+Twu;gIhsh zf`I`k(-%Qq>j18AK*b4611La27}N@awQiBw49pIbkn$u0B>99R3$Z%jN`?;e85kH~ z!OOtta2MoPknM0Wkkt$f4D8@ggck;j!L~3kfc3*dnt{>b9!x)648ML(789t)L5+P- zvlm*ZfwB=)D+3o3xCBO036_Dj^<Ztj7Dz1(ay*7gNIMVK-fIC@<qV7tJq!#CW(fPm zkl0`wz}BJ*LK}^!hB-VCU|_I7SIW$(zzM<*k)Rqso>_o_k<A=oAJ}*#KG;rhnqCM? z)6g`I#0N(a10z<wDCIG<qyv@B;OJ*`sA6DXuz*_631fgQ1qD7R;LruRJ35;?z=d(k zB4jqyesty7V`L4B00RRHBbz1EYM5n6e6S-(@j1F)aJF6u$=1+J4(EXrB?F5+lJ&R} z8Z$UpkyH}zFswSk{RAvs2UH;kaHA3w{^&M?dI=ca1{47YP+OFN(IK9Jfx!vMhal6C z_{95zO$*cp0G0fZGzQ~=O#t<~kkS=$dV{7hP?mB<xI+wy4K@KBOz4871QR%;p&J0o zWFU;3(cBQO09%U02fKuDl7R#Ox;|(l2Hcc!0M+aajBFlgb|LXevI|`wasVT<!GW#i z4Yd{GP8bhtAp-*ghC3PAe4rX&IS`2t)<tZPpzFhEv!S)&96)U!EkCI35a+^pV2c?T z7>F_hQe=Y$96$*a)K@}cgG~ZQHM$^?*$7gIqiY7GD-cFbTLDn7zycD94|WBy0g0{; z)`*3)WgS4RMFvK;AT-;M_$1keu8*i7*9wK&4v97x4{R~0$pmpK)Zt(kGqQz2wZMW0 zi4WFCZ1AA#!)U!j%OY57-T~CI){21I4skGy2eufL&d~Irn+Y}plFlNb27%HU5*ut1 zIGv#jl3b3V8^FxT&k4c~5r_r@XaEzM+QF70@xd-3HZalkA(tn}Y;f7az{nPZ@IBaG zBtA*@qU%EsaCAO60<;pKwlg{`VPIf@@xT^?`j!6~7#%<cBTImrqz;Q17#Px^nqlb= zi4Qh`8+|klI<n>f8q;B5U^0biV6=d-!1_QvVB{v97`iMtpwI=0YS)0v9<16i%5iWf z1+Np45)lIfI7C3h=g5f&i4XP}bZiiHq!7w;01a}1LItLY(E`Q->%|BabXl;s&;>~h z6?E-5LItJ_n-eiZB?B#;Ao0OIgM|us+!Ho_+5q7>fJXg5p#syyXaQq^^<snyx-2+U z&;>~h6%6fY6)$=T53YzI=?A72o3k-PDibZFkoaKVqKx`N$A29_L!zJ%f+=RSfU&@O zFhU4j792w8f+U6zx^^7t2Br*~6EQ<03oSH|_+X!bLIXT94I0sgl`WvLVNhtm6f;`D zSYSOEp@A+74h?ic5<>$+JMrlSrWKpBF+(UDErgKxVBf+=@S!954xmAEQ0TxEGFre` zVD%WGgDwjW9dtnwLkC?uv{3`4z-=2y-hnB^=19!2$UzGWBtF=0;F$>Q^Ai}N4&ZqO z1}0OOA&eF<7T7F|a6*>_hZDLWiQ$B)9Xz*U3u}g9t<fPN2h)np*(f2$z%2!v+hbs0 zgbeN2F)}cK-H(ugc$%}ZrL(u)wt4YF1Pd}V29XENE5hdfK&F9ba^R*RWFV>$W*}JT zrorY0L8gIw?{L!)GQ^t(n<WI92I|i{z)eHQ5N{f6&Jbi8s6pcp0t+{U4DqJH<`O}s zff@n`(-1Pmn+BU-1epe^KoO=PWQaEnHs=U34OH16Ohd>JZyIbq5@Z^vutu1MkRjeQ o*jy#ZG*ID&FbyF?ylJqxOps}yA^>3;LWXG5APSL52hf@e0HGcqAOHXW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..736a97c4165306bd36c21008a133a2b51c556861 GIT binary patch literal 10349 zcmWg2k!R*+U|7Jwz`)?}q)MKdW8JrX=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_Dk+|svVQA?Nd$33pCN~{MQq8Jz${zHL-8v_G_ms@s!maG5HWLNJ6eqL@1 zJd!gOI3{~0Wvp84)tlw-=<1c3<=N|*oaN{0-J6uPVE%>~vu4hPD_M}?>6_rTfQm** zF)%Q2g1pAyz{tR`ft87o!J3J=dGSIHuVhCrf5)th6*j8v`CbvHAi``3u32fGS#r+Y zh5wHysDc7xt(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR`2h5BRRSxg>t(9X! z<{*hfm{5bbn-?#%ZERW8(X*m&*)o+2JgIu8Aqtpr=_kPwusa~eSu>%<AU7xm+j|!+ z?(1zlTWCA=zay(Ft?lFm+1atGWpTrzj{e?EyEz=y>^52uS2A!p_%kpth(Tis6fq#o zz<?sm;**?^0w&NUK+yt@8wLi4g$xV~u;_-RR1j^ksHKa6!GVcEfPsO5%^{D0fk75( zAA4(KZ+oL{3zQadXlE8+U~p(*7GPjvVq~yj0IQaRsb1W%uw#*J3p<3yp;{iQnzy69 zzo%j0;uZaUEw(KXo*{%M;xHSVJql2rLSC+Zj@h1mNxqI5S(!=xt`4qXq1|Ai9T1_Z z*xjlKHA4WbHp9y^*%Or491<V`JKzEvP~5=GU;^>05>&rvb4z=}Du@@FI~H{;Zg1(b z?FEZkfkh4BqOvG10h`3gAi&^okxPKV;Sz|r3?i<8h^rvt8kYbA1G7UAvjD??ho!6_ zl9fS#;Xg<)fLVaSVKJ)!g99_jj0+&84j_`%p&lurFfcis1sQdYOMrn9Rff^wJV=w3 zIMgQ$jCyb;xFBF)zz}d~U|?WCR|QU2U;=C+RyAlv0veYA>_<^_ga7|$U|_J4K)4Ui zgt-r00Bkq9AlPYO0^vS%HOM6mGMfP$?xN_*AufYuA_hi1I1}bFbOEr-&;>zR7=$5l z1PWVp74Xs*&R}o=r2$cNg&-F(!m1~R|ElmnQ&fjBAtgIAm5VWO`7~6_1UnW|#PK+I zGB7Y`LIVf6ya%x@pk+S;B+wwWgu@$9hT#U6b=pvk&=L_>$!Gv6Ep%Y2AtfTNk`by} z7pfXun2}n(LCw&EnjzrnpWx-<@0yX}>5-6}<lqVtn1v*OUOqzg>qGT(FYapTUfA5w z+iu$nW*dUp=w%sHmjP54@4|&0i<+Ao7Ps`Z*!Dts0$?5vorY*S7d0a51oLp{G=l2n z>{+o8Y(p<+&k92@3x^J4BpqPifOUXbICPjmb+9i1tLkMp0n<2Cn?hBCYXM^F1}R%i zlfVUu!&^v-dk-qZKY)mjAmS5<_zWVxfQYXk;v0zg4kCVlh@T*h4wXnroWbE8NCIM_ z6fFHRFfclRO}ArYU;r0V2pO;w7&seSI(yq~n-?!cupl)VL>|<dfTeGcX<%o<O+&~) zR3pqlu+U9|rGJoVVE@8RL&y+s8Z0M(OaliT+%$v?@utD@1;{jT41~bK4Ix9kX|VhP zG7TJ+aMKVn#G3}oLm<<@X##E<LWX$LVEGDU8aQ#mO+&~KZyGGmflLFZQn+ad8RAWY z<wuZd;N%WB4Ix9kX|VhXG7Xe(9N?xQWQaBmUI!b%Dqe8Aeo;%8ZA*9Kq6QET)Y69V z7#P5n3b^Iw@EVjqLA8<vv;YCAT><YAfOr;g9?qJ{3aXj7n#mfbny8w|2C5p;N++t# z4y_q%p*q2J2&6t~0ka|X33?v^s>=?l3tEFf>XQ~I4^p4t&}omR6I_G9b%J>~bUHwF z!t0Y3czuFHha-{>aJ>N50cPRQ;RMwItxsB@^$8Bu&QR5$svEg3PXGxZ*X0`^B`LID z#>@Z>MHi@k<|Veh%qF(7XgwNGQ3D#`5aJeKVBmHT;TB+U5CsupAVM5ONPq}Q5FrI3 zq(OuXh>!&lav+W1x(1eT861Q`5)c!mU?~!mzrd!$OJIZy(fLaXmSRDsft?9A4Ix9k zX|NOxG7aoskZGI<QHV+4iV<B<AUPq+!!sK((19TU4roS)WCjKXbj=R6SOnQ2wlO&9 zgDMqlDxeA&z$SwU4ww)FgA^>2fjk3_H;`w*Zb!&Klp`u%1PhXQAo8F}9hUh(rhyXx z+%$v?L^Z+;1Pk3XScU|d22M$E(-1Pmn+D6IAk)A}5^fqohIrFp85m?5IBmmCL&y+s z8Z2{zOao^UxM>I(;!T5PevoP4+y<|l5HiG@1}h3crh&38xT@nsh(b&PCmD1>jMR-G z08WpP<c_WxoZQg`F_SyG3W#$UuqStTO{?J!E#L$@`g)r?`&t$*>}c#=)Nk9k5F{du zBqC@CNtfUv(&07(1A~SKR5MSOx2s!*mt%sTgGUCKvjfbTu>(U3sE!5=mhxp}cqV&! zIcB-~yE=GeK)DmaTrAqWpf*9(IVO9cXajSxX!C|@<MPeS02}R*!R4E|1I)yt+y_ZH z*aHydU?vvjzEI^HsbCcz85~=|3{0iwfl#Hq3bvr3N@y1u!b5bJ8FXOk99%&a1A{{+ z0|Nt03?8G#j0_BV7^0vt5OhKCfF_u501ab-6DPVFP@jDT)IrE>28Xqvju5v@Fx2gE z`$0CL3!*idS{yclT4Y421P$Ub$b?|C1ziw2ED9S0XmQvCvxT5a&?q5;Oei*6&;@Y~ z9-xjVIBbU5O{RX(XeEP87&aH73xZlJ$juk<Py~vA!xorp(Dj1yF=oz26>+cwB{!LH zY;Hjp1UEe)%}~g&29)iv73KnT9pDlHrFejG9PGjNMqslST@c!Ig*0Sg10ztL!#0@R z=(=D91~|*Z%Nba)<KO_cKN6e$=z=i&!3|)z{ScnRc9{L>x?uK$ODKf>P_Ba`*nZF) z7pPD~$zkY%@J2Og$OSrF<FEr}FS=^f5)W4RIXHpsi^k?(bU~1P;D$KZJ_yTUC(J%{ z)gb%8g&(-cgvYNl*uEHS_Mr<x8}z*}+F=*WE_9WM@)lO`I=F!CiN$6Qx*(pRC5!<l zhuttYP-F^tHUd(dn#V)k$PcLr;GI$kAGwptpaWCukOT4_q&9$w!P5%3Hoy=CmAvSJ z;EDiDAZi12HK3j{YQGu5V{q69sSy&Pet^3HWE;95MvZU?7M286LTZF0Y_^~aV$=wS zVYU!d38@i4Jq(Pzhc1Y%(+=yxI~;-8NRlqlgcXBK3N}BY3nG=ckd8m3#BFgn3bPqq z2f-3I6`Q^2g0K<~JRl4k0DzRZEe^+EcBAWpm3Vkd+%#<VqYJ|92bZyM`yo7s<1qWt zb;0b17O`ktXo(A2ihvR8=z^dU5aM?P%i#pfUUb!<5)kTVBqp@P&A{egbU~0U;Bpo; z2m*`alQ8?xRf8<SS>k45vkzSmTGF<_XopiUyU<nQEOE22*@G^K-g*HKz96?=5*$v$ zY)02hLhB_Pn_JKY!2>x<Y<nHfz-&ZUA%J~a8)=@~0W`%7EeshL7?{9~b#NKY3|<1@ zuo`6c9uOf2D!3g$BxDL1Hn7RS1YUgr<AP=~K^VIB045ABtHA^V1JVp-F4UtA;5l$m z*$dMEE095J5<shHU^D}#!!M9?<UvDA^BEWzVBJ0jMsU*)+%bTQK}|snNFZ2{{v3k? z$P8HTkAV@~j02ki7bDUPSa*<t5!@65n*kRi(hOMNkbx1Lxxr?@#fUTm)=^|&1ZP;V z8E`Qo&4BeD85kWv%^t8Ba4{myfORPu7{N^%uo-YM!e%fzxPf9g7nJJQq+m->KqD5Q zD%Al#nu3sln2CsB1PdC~5CsejQm`c|Ak#oqC&Dy@3`8-)3<L|^G}sarkZGW*5NsMJ zLKI>W*#GE)7=tGm0!X7N=$gT!Dd>WjqbcYrAkM)tnj!^TRRi)2s8<K}4A|`m8Hfpp z070<Og9f&~24otjq=5`HAw(f2fsIEO#0Uut0i>Wo*9;CCbV1CZL018B4z8eqE%pI< f2Gk4&dj{-wgbc(4M1UYzXh8!}h)g<w78(KoI^2C3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b98be1d5e8c09f129116de128f505b83bbc29937 GIT binary patch literal 14608 zcmWg2k!R*+U|7Jwz`)?}q)MKdW8JrX=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}x2+|swAV^z!IhD9Cyy_t4%II7ugv>c)s7#RLTfrAeN1A~`ac7K+u|4z?r z*DS|m&!mI}eqL@1Jd!gOfOr|J7JKz(`8&FLWoCKydM0Q2xq9~|Wi6P$VaBYPbKy!B zWO(`}xGkW%feH)^3``);GB_|YFl=CEVq~ypVs2i%ki#q4(aYa4D`SO?YJ0v{#3_g{ zTY_s=nrD`rGk4+t;|Z#u;8`nY&BWsA>FLV1YW2c}4j!&934RV<Ss9O)y=OSQSn&Zf zBSe+M`+aNWn2<S0;t(d(AnxYH3vC-)7IpNj=v%f-<pNKt-f4&euo(;t{0{yM3=A?* zw}YGu!VC;3!Yn??2`OL#T>|7-u!|WO92PP#Fu(!<HAX=^3wZo8FgP$V2rw`(a69BN zFfb@X?PhOn>}_wfZGqAv4(-eW3=9q}%mNHdOpFW`3}DqNFx87Y7IrMMZDEJdI8>`b zRr7YV_xCg`T)d*cuf?_n!ZU>ML>y*gvquf8lY4PjOZUR&hTeAD7BJfo%*J7#I#d_$ z!i61+nwuLIw}9<~@C3j-96B}7bS`Q{)(Pg}(5VU4$=S1FA=ri%&Yl&9U=|J?T1Yy; zz5(k1vvBCphU#En0#?<+ZUUxpsMdk17Vz{>@N)5Y&B*ZdNJvg{NB{}ULK4`3635I8 zCXhJRh3aQsV%y7XVk?UhLts^m3<3-e>$wCN95#T6jUZwZh}aAwwt$GOAYvPc*bX9g zfQX%30t^hy4nfQU4F4ULvVurf1_6fuAi)4;0S1S~tO5)U%pk+pfs{IcNLGh>q#VM) z<gglK)EX`U21ZmFMu)W^O;)l{=QA+s!I|K4fq?--z@dSGfdO3=I75L6u!&gJpp_74 zTn4ZoMbQoZ|DS<@!AcI{J~$KRK6C-F-ROc~r-2EC`_R=O7d*&p25`8GqAQ2E3|4wD zFzUgXFqfeVfL(?z2r9ck7!pUIutiq^FO1;~1_w|Y5JguAauFk}{$luV01q@pBN!7> z&@+NcdT?n9CXh;35{hedtx!IwoD_3#VPIe|fm+Jr>F4U5?3tC}>f)IU;>?C|9HQAk zg(@4PLmHz11M~m?1~7*TWx4ui!s|>2H;-gTkPxC81q(4SIGhKiY*3naIL9TxpbE2- znMsEUgh5fk<ZuC`2uyH*OL35>10N#;1408!^V$W?U;-qGLyI_y7G@@PCJ+W`U<7*w zY!Qgdz~I1+rk0C|nTZQr*&-VbauiepBOVQ)Z~_|+CWz1gG8e;11Z{v@gKUF_DKsAW z7j-ON*wWdtsH>y5(RR^77~crYH!_5{4P5&<+-6{4&@h8)6oAEdmba^0hC^}&Okgua zVDk<Ptrk$N{0hx|;1<^6_7y$0jSFFXSukJF5bQ@tjSI2U5~fiJO(T?#Q==7BBk$t& z6$?8Sfz5AR2;mtmZeJl|i^Vo;s3x8)zswBJWG^pA2agO0XETU1V+V!<ZJ=7%d^15o z0j4%%Dzk+uV@Y*L&S2T<fJKQNR0&@O#A+``&t!+>3@CRZn7ergIBPL5fJ4VT0;+`- z+(dwo43IJbS_pvh6D0N-93F8AFmSQJ^nkex3=WUE1Q?jWMHx&6s-6Mbuz@vjS|BwY zs7S+5iP~^#0auI+j1D~v3=E(qG&r9yFo+?s!IprnMHj?w?E?V@1`Bkh%$y3GAnXtc zsygGD1sE9FqM=~|>H&b<io^%o2}`WWki?2ey>J1CTcApm(P0Jy0|UBlMu*v0MVDX^ z{r{hV8fI9ayMdXLpA&=~B0#>DWCJw=LP1PWDZ%If5vXEdV2FVR1t*LF4ggrdpbK($ zbT)U`cJ{R_Y*~cNW?%$I6S{I}BN^6MhQztU8WsTt1{O%n$3m@VU|<kKVuKw4HWFPB z+`2_>=|b5K;D!}A1)ysI#XbllQUDWMJi=a1BsRicbU`AM1fxSO0|NuPX0WlKFa@O= zaKXpOz(}0EY+j!JF0L6+3S6{6!W!KUNOu9X^8n*HfSaD+q8>yjuq7aQABhciCpg&A z1&Q{4G6Mqxx@K^gpbLVkK9GG5d5jDUSXJ<Yq)|eRiGh(5-5feO7wQ%U+|K2LDghS_ z;7A4+Y38ZWu;zi5*$&Ab{;nt-+{G~;H>fy<ncx88GB7ysbHj>bm<-fvJjF4FN<76e zXfOoqb_ND9BsSO*aB!mw;wg^Nm4Y%N2qQ8hBU=VEgg|i(Dvpu(U^}7Jn<Kb-gV%O2 zuERq}Q4Gp>=sLmL85kHC9YAGWCe$8I7y~8^_7u7xa`}eLhL&~c$_bZsSy1aiWgQY5 z><F+6&;_yf&!N3_2XG$}T;8E;0|hS#BL{Id!fvotNPMvU#0N3DPOx@(5a&Sc5rZ*c z(ij3@XP~Qs`4~Ks(1OZy0C$+dK1SCD@+}A>eC)s=#K1tDokV!u;R0xk2b93jZHAXo z(-{RA9C#T(t&3b}7{HP<5+58IL|DkcD2A>J9A4;xL>T3e0CPLKW_Sraml4z@V=%9T zI-I?+vB9=y#X=a3yL>VNmrpQ#4j?WAgM%@=e1ge<{msCDr+mUtiKl$3hPo4!k&xJ6 zOTfW|E{LamLRSh(Mj(txN{nnZ2>ZasBk{p@f*bV78BogMKBPPXH4V{KLYjt{qM)WB zy6FG^3>N5Ope7v%qc`gy<r^r0g34`97z6A&STaNxL@v{i+0gP0T{+?Mtqu`PVn}ST zBf#N?E{HM~2ptu40FQ`)b2++lP_%$Ba@2qZy+B@uc@l{awig;FPzpI}&{aaB22&Ii zHRz(Cs6iJ4MGFXHj~Y<526Cqui~)8X%+=_Epnw4nU4n)@VGUgHC?Pmt(3OJ%1%#0U zrV$Yt;IK#HgRO>!2$X^a49H}3m5{zIN=FyUakvLc=?o0!ZBWagN*z3t6SAOv;$#R1 zcM%c>E<#`?IDohe3=ZM&A_OJ_b~WB21VbgBBBTTAVo(u+#0Fae4li^;JVgk)QczTY zFmiNsBJ2YjkHiPt3F-5LI{pq1K!FPBS)!|gq)|*!P#Q%S1*K7RF;MyhVeDzN3+hHr z7z6AwSQ<qaL@qLr+0fzxT{+?6qZ?{HC`ypnU`K$%3|$aD%nu#zcK{EegL4SFQc!e& zFjAC&2OdC!51?!bvJ;67wv!WG0PF~KL1>Z(jr_odfsk_tq(6wRi4AN40|Ph%LHl%I zccUu=xfz6!+|AaD2q&<MkoaI@F_MxPx(ZHo0j%Z_^ckqDhhY+^+CUeC_l)#mT|IOa z>|mE82M80Q-GZ(d-frmy4J9+O^`iv{5+7_VMu2dls{s2SU63gMqiX_pWYGmN{g18! zi~qq+2hBcS0*z!}1`$_4#8nV+4MbcA5jQ{tXw(keIRv-Bz-1^Sc=poaBB(F{laOg3 z*kC&Y6L{(r#s$qrfG~986ebLwOa&7R3`nyK)1d+G0G{9i)fq4iP)9R>rcx18<)}Oc zE{91-Qw0p*t}+K~6rX|70hF%bT&RiQa77n{$|3d1(N(~j=bY#g;21|2gbuPmDQLbV z+BNVvgr{<J^Wp9V4Z?tp=Wv+Mz`y_-ZD(L~xCx3*P>90CpqfCFC@3>3a1J>97#P4N z!G_-%7$Kt&Ad}!?B$)&ojb~tVxXmTNzzOF=O#ufWx*#;<pcL4Lkmy8L2#!v4LCgR| zR{^z~0c<ju;DCA@HV44K=x_(*TsR-91?*gOL8v>S6xck7bI}!oor^Ar>0ERbP`j}> z7dG?2!02!n<Xku(ss-#^bU~;)p%jvH(G`N7i!KPu3J&n(iLL@_Hx}o@<~$e}!8H~q zoDbCkb}qUg)SXZY$+_qX!Ole&6owfMcP_dLr~)j`h0V7xFoN5)oNzu=3)s2nf>3ut zDJ18jD+D_iT@cf`=qjLgV{tBQ-iLt^-1g&y^PyV6&P5l5x)VwvITu|a*tzI}m}wVX z1=MaV&V|iEF))Hh-W)(9d~h+iHc-ifF3P^BqqAdiUki)|&r^YmdvujxN23eE&4!N{ zqpN_YanRf{sP0_Ez`)=Jt*u}UStLH#MA)1r`Wz>W4=$4#7#K}q8X;|S7!Pa)0|SEq zC%OnY-p~a(n>+eCTNc{(E=I5zn85x;R|;*ILI%gtB{`t>I#_XnW>Yxb5xxY=fGtNC zL|BPnK`lpD$_zFIIx>$giEg<EHp|fk5mq8tP|MMk!rRB7)+D+ly5*kOEJqhaSczak zEk{?%h3s>5Np#D-uvv~Sh_DjDf?AHQ6rO@XK1Y{Cx7-_><>-P4D-kTH<>*R9kbRCW ziEcS)r6Vkbg7kqC9J(OFN(2jPIl58_kRhNv3i3I+B)a9k*nEyIh_DjDf?AHQR0`R0 zbV+o}{jgb%E{L!a!Gc<jt`x;`bV+o}LF*tff*oBDVI_hEwH#e3O0c6#qFWw-&FAQX z2rCgRsO9KNQ4$=wB)a8+*epjEL|BPnK`lpDijvjPCDAPp!e%+TAi_!n3u-yKQj|gl zT@u~$U~HD73nHvUu%MQsD@7?@(IwF>55Z<Rx*)<z1Pf|8x>A&i1zi%|@=$D+qYEOe zM6jTiqbr5w9B_S$E{SG2xL+jzUMfLF0<`8F)W;Lx7GQ7yHBX8VUIZ7RNPKXi1X_)X zu{;$;zyY*sl!1ZC6sDEY0>%QHz`(!&8bbnM@Hmnfx-3?EiJ4jgjah+%65T}jh{se$ z0S3J8LRzN6011#1v;aZkgS`V=57rA^uZ7MB_g6vfHJC<5P8bWUA5_PHTBqoOL@#l` zrkR+~x&?}N@cJ95PfO8!io^%Ilpnf)4enDkKDet3@+nLsBPWan)(`V3x*$<4UdSpF zbgjhr6Fi22?9VbZe<Jb0?u4wkLtTFd<AM9fAV0!1F^a)hV7)Luq6?y@3Uod=l{f@| z=0-vBiJ=`WsL`VW9P|!{K?9JGR9lYbM<hPjodiY`K|X|OVHAV0z&aTi7&y@dz&RFO zkj*pQHN!I*N<kOwp(`R7)(%S<7#PrXVYQEdm$2$#bIWq^_w<8O3=9sxm>Hmb%m<(u zbV+b;1~T>wiWhW;K<BHV6u5iuPzH$w6Htj70U|)f>QuD&K;nZ#6})PHiEXa~c(pwP zqbW=QBPWanRtHO8=z;>t30WSV*@)dQ7y{t^0FYdSt{H7a8mtmbfR=Z2OTqS<fYz;m zw-4BX#?3*&fslbXj<d0)v$x&0dGSI73$j}QBG16UAO+it0x}J}4*_l(LI$E5VFrSQ zZW?T_3dl6@sxpvioCr~fN#M9g7sLo53;}Q$K!)JZHG``ebV1Bv40II`=P)pUO$HON zS{@WMu<bJ-&w$qtf;<CuJ3<Cx0wO>VEcBp(ZL0y9240;5Hw_^}ylJqlHXzf$D_`KI zA!LX*4YvITWEyye9c~&zhIrFpTXR6Bfv2V6rXggAHx0IJ2V@#}3J-1?LWX$LVB2~? zrhytp4k572gODNKG}tB|kZGWj0&E&5LKI>WILV+3Vx(>i0dRVRBzJVp;N*@jh?(5c SRY07BBe}yQ5wrtnFC+k1+P0|x literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..7759e3fde8e32243bd2b8f868884d49f5dc6e198 GIT binary patch literal 7426 zcmWg2k!R*+U|7Jwz`)?}q)MKdW8JrX=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}yQa`n$lcJ+2}&eE$;4{x8py3rwufq~&a6gYS?FfcTCui{(W(r2-#rOUQy zF>iZwH*e#jg}e=mI{FtXD>d^h?rUgiUa`1?r(@CL_LeT5{>8j<vVy`AGH@ll3p;vx zyLqW=nhXO212@Qz3=WJ83>#RO7#XaYn41?b<nT&%^zwJi%2;8e+Me$faS9^Lmf)I| z=9wku%w72Zc!DY@RMyH_GqHGjdb;whTD@?ggNLh2f}ev|R>tFH?->p+R(!zB2vOzm ze&1R-CS(qhID`o`h`V|5LfgicMIAjW`j#zIxxkaEcN(IA8JB(%ECIU%Vw^P-T2!(x zZs}XGsHIE!;~v*mCDsEFwG1o{{tOHZ640;$1rG=_FrWyt_#`K!fC+R7P~d<=h=IXj zAp-*gEYOh>4v4uEl7<)<9GDmcKyl*02%?!Cf|vyu{yQvX1(B=_0u28d7?>Rbm<1Rd z7PAU4I50B^FgWbu5@3LktPb@^j%8qS*v=)u;IM;BfPoQJhS6asNRyQ$)L#sYdT=Jl zdIkmt3;~A*1_lOnRban?39yM+)u1H~G%f?!kD}-X|Nqaxz+feXa37orb04|@*lu(| zu+zW<!hPs!kkcG8n*ki|qUg#YE`voR1EU_C33C~`0N7>df}mss!jL!ug)O=Ycs_(P z7#u)pKonge$VH5>5{u!#EIiN@<zY-n9%rI*CIaUXT9^qo7?K${!KI!8)FZH53Zg*; zDg(r0kUZ^h0hFlO9P$_#7?fZdS{r-Y8*N+IA+(4?J2NP^v@i=WFflPQ7%+fUE5lST z?pWBd$hL(YLgP@a0#(i1(ca(FuyFB;{=OF576{J}!V__rjm;ias7@g-S3k#WProEz z$BeAZB!5>2SFq4-u+R>O&{XVhRfC!#09Kpf<(cf6<>%_{kN^?b0T<YS;s$1zU)7=d zMVnjN8&*NQ(A=@81623e_JT#Nz@mn5QCSq1fJ_1>b%%?P@V*QxWv+mTt03YUh`0_S zZh+(+Dj66UVDZP`a0w&<(I^FrBnAdX2e2V_j0_Cm+=7q++r+@x*wWeCZri+gA%X>Q z6hxkZfk6rui6GO!u7R6|kb$U1n1NuSn+A(ykZE9VflT8>h(b&PI~H9~AUPq+!!sLE zA7TiAV}{WonSp@;U9&?i7D0B1Z43_jpa8<A0;+%kY%-YOfC(`$NWsz_$TQ&R0eJ@O zc7zN>IU+z1EcBp(r9hBr;CK#UWMJS#h(b&P8;>rC5fT^zV7Ehp23<2aXwU^Qg9cp% z#5oK&f(DiyK%N1mR|k-1z-~v#Kukac2!e$cH1I;$09Nt}AX<nHu5KR5jvxVObq^6> zU;vj};A-6AJeL52h88r3a`<>8_<1;ZWI!1+c0jCi0F_=2w;321G_+w#vph3AlR-)$ z3@l1@pi225<p!jT@yLL1p+(M&9pK<+K(av>s!bTFxPX*#jSInI(82;DCTNJ^L_Meh zg5W}BA-qs&TnG_C6f=T`$hMyZ`A;9JSq!Pbgcoog8DL>(p$Qj8^*hKIb3dptoC>zk zW*&qEsX`cZVDb)MK_wQ0Lni|R156AO`3wvW#*7RMdKjXhnj2jZ+!O>8;Kmm?HKD5k z)nK6ZCX8lqSPQb7O(p>9R=B+&8_)%z)fuc>YjM~JDps+ngr*&MBJ#*^cn`8jCJ>uF z=z`FS5LO|!IBbI1gRYVTlJGn-;0%WkV0(hF*@G?!sXS4uQW(!+Gt5qOU68a3twCW) z8P0Y12(~{MoBim5;Mx~Ug^ViXum$E4bp7C*0If_RIRTnG(8L@*f!!2>%}wZnkop{> zf=3c?*a~wGx?V`u!pv;QA`YLyZVAQa7IZ;yvjFNBcn*d%7BEB|w!vJ5ZVEWNLW2~Z zU7@)cQ`q4P$bFD(!2l|rL79^Y+(U9$4YJ}LSRO<|x;L;gmw^e~JA-jSt!xm6_RV0z z;QR?D7#NUR&*9ME0QbPaITxk@mLWkMHfX0GiOIn3a1-RH`3wvUumYTc5uDe+rohFZ z#vtkt1PfAjTA(Xs=H%xDVTTA%#}8xytk`E@1ZOg^1#mHf7BDz~%z)Jg42<B6!U^X? z^@9yX7sRNpF$BOxIHdkY*9@+|(FHN<Z*&z<_n_9_U@ySxCI&`uz5=@#E(X<x2wemV z8qVlSL17HSh;WA0T?~xi%)$xhLk$Pph%Sf`Bp3onVT7(397gDZm|=vj0_q+tVFar| z85qI&3G8CH7*rb~WDqP!7%{LqEMj0_FotFd(D)drl0o8wjlfmOFff|JlrlOrqZ$O( zC<c=T^GGz_;erTA#|~xz23-tuK#gQ{K~|_O;6Ol^WXkYo%J6qM#0VOI0sGnn&DThL zutD&e4$c7QMg|5(Q<zd_3m6NmgMonol)yk3lE^?|g02FK76ndbP6bYfNRUs_m4j0Z zx}Y%BI<QaCC6RmzjudoxEDk^_fOOH7V|9Qfkq%&B00*@>T2LeL!3L35zcMhI!VG{U zI~Wga76Su=7`gyBoX`bHvJ^Qnq8kJbA#}kMsAI5NjTA-D5VArGAtXN7AY$4=42-5Q zZQKrXKm%+DL&1h{H7|mKW+W!KxB{mXYc%y>L(m1enioRAqGlu}*brTGwcwCJ7o5ll zb_`@B09_I(WWY|c!R91%K_t&0F(FPuR||F$x?m&|l9SLS(Vb+A%}MBjAa^WWv}oZX zP$+?E5EJ4gbhTh7p$le$$|+F!2P%-!CDEN^hs{aof-om7L^x^TqGpJb(A9#Sgf3_( zh!jfblITvd$L1t-L9S*{2rXK;Xdx&;E?fi(sD)4`p{oTu30?3fvXjsy(VgUg%}MBj zpftT`;lf1=K~4hGASNV~(A9#Sgf4hc2q~1%CDEPah|Njpf)FQxaxOT>frAVdap-En zPC^&#gw_|}vIt!g-APW^oP;h2N=qOIK_U*!S_F$YbhTh7p$i6r>ULQ2Mwdi)k~20Z zp$mdS30yFNk~f&K5T3lz)q<UbE+{FA6mjU1=uUFM<|K4MaPnRVN<@(44Pipk61rNj elh6epB0C9P63Iyn3=ZEwy(NeTP)G;R>?Z(ptX_%$ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..4c4ec07 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,8 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd,1740563148,vhdl,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,1740560308,vhdl,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd,1740568198,vhdl,/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, +/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd,1740560308,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..965abdd --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,6 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..797528d2bc111e5e36e8af7d69c879e63dcb47fc GIT binary patch literal 793 zcmd<u$jGJPn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms<j3WspOK%NTCAU9WKvv^T9l~o>+0g{@26i<pqrMNQ&N<w zn_5zul9`xSq6=42T$HSzk&>gI57JPQS(X}_msz4$mXTs4z{OXQnG>IqnwD6aQ<9UJ z6vbv}plfJmoWW4QTERMjkwJio%b!a$5$@>Jypqh4N(FV*Vs!ylDHbC$OLJ5w@^kT` z*b~KWXrOCsXkd<P<Z4O-!h_3=f`CZNEFvukJV^=yPA+z+4Ol(Dj#AHib9qwW`I4k~ ksOyMN0zM@9pNESJVF}hC;N#+Q%}Y@TN=?o$N)ccL0EL9`F8}}l literal 0 HcmV?d00001 diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr new file mode 100644 index 0000000..6a334aa --- /dev/null +++ b/proj/AudioProc.xpr @@ -0,0 +1,305 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="aba1f60970074a888c9ff1fd6b7debea"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="4"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 705905d..dc2847b 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -49,33 +49,63 @@ architecture archi_operativeUnit of controlUnit is begin - process (_BLANK_) is + process (I_clock,I_reset) is begin if I_reset = '1' then -- asynchronous reset (active high) - SR_presentState <= _BLANK_ + SR_presentState <= WAIT_SAMPLE; elsif rising_edge(I_clock) then -- rising clock edge - _BLANK_ + SR_presentState <= SR_futurState; end if; end process; - process (_BLANK_) is + + + process (SR_presentState,I_inputSampleValid, I_processingDone) is begin + case SR_presentState is when WAIT_SAMPLE => - _BLANK_ + if I_inputSampleValid = '1' then + SR_futurState <= STORE; + else + SR_futurState <= WAIT_SAMPLE; + end if; + + when STORE => + SR_futurState <= PROCESSING_LOOP; + + when PROCESSING_LOOP => + if I_processingDone = '1' then + SR_futurState <= OUTPUT; + else + SR_futurState <= PROCESSING_LOOP; + end if; + + when OUTPUT => + SR_futurState <= WAIT_END_SAMPLE; + + when WAIT_END_SAMPLE => + if I_inputSampleValid = '0' then + SR_futurState <= WAIT_SAMPLE; + else + SR_futurState <= WAIT_END_SAMPLE; + end if; + when others => null; end case; end process; - - O_loadShift <= '1' when _BLANK_ ; - O_initAddress <= '1' when _BLANK_ ; - O_incrAddress <= '1' when _BLANK_ ; - O_initSum <= '1' when _BLANK_ ; - O_loadSum <= '1' when _BLANK_ ; - O_loadY <= '1' when _BLANK_ ; - O_FilteredSampleValid <= '1' when _BLANK_ ; + + + + O_loadShift <= '1' when (SR_presentState = STORE) else '0'; + O_initAddress <= '1' when (SR_presentState = STORE) else '0'; + O_incrAddress <= '1' when (SR_presentState = PROCESSING_LOOP) else '0'; + O_initSum <= '1' when (SR_presentState = STORE) else '0'; + O_loadSum <= '1' when (SR_presentState = PROCESSING_LOOP) else '0'; + O_loadY <= '1' when (SR_presentState = OUTPUT) else '0'; + O_FilteredSampleValid <= '1' when (SR_presentState = WAIT_END_SAMPLE) else '0'; diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 1286aff..40c16ea 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -40,19 +40,19 @@ entity operativeUnit is I_incrAddress : in std_logic; -- Control signal to increment register read address I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; - I_loadY : in std_logic; -- Control signal to load Y register - O_processingDone : out std_logic; -- Indicate that processing is done + I_loadY : in std_logic; -- Control signal to load Y register + O_processingDone : out std_logic; -- Indicate that processing is done O_Y : out std_logic_vector(7 downto 0) -- filtered sample ); end entity operativeUnit; architecture arch_operativeUnit of operativeUnit is + type registerFile is array(0 to 15) of signed(7 downto 0); signal SR_coefRegister : registerFile; - - signal SR_shiftRegister : registerFile; -- shift register file used to store and shift input samples + signal SC_multOperand1 : signed(7 downto 0); signal SC_multOperand2 : signed(7 downto 0); signal SC_MultResult : signed(15 downto 0); -- Result of the multiplication Xi*Hi @@ -85,43 +85,65 @@ begin to_signed(2, 8) ); - shift : process (_BLANK_) is + shift : process (I_reset, I_clock) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif _BLANK_ - + elsif rising_edge(I_clock) then -- rising edge clock + if(I_loadShift = '1') then + --SR_shiftRegister(SR_readAddress) <= signed(I_inputSample); + SR_shiftRegister(0) <= signed(I_inputSample); + SR_shiftRegister(1 to 15) <= SR_shiftRegister(0 to 14); + end if; end if; end process shift; - incr_address : process (_BLANK_) is + incr_address : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif _BLANK_ - + elsif rising_edge(I_clock) then -- rising edge clock + if(I_initAddress = '1') then + SR_readAddress <= 0; + elsif (I_incrAddress = '1' and SR_readAddress < 15) then + SR_readAddress <= SR_readAddress + 1; + end if; end if; end process incr_address; - O_processingDone <= '1' when _BLANK_ ; + O_processingDone <= '1' when (SR_readAddress = 14) else '0'; - SC_multOperand1 <= _BLANK_ ; -- 8 bits - SC_multOperand2 <= _BLANK_ ; -- 8 bits - SC_MultResult <= _BLANK_ ; -- 16 bits + SC_multOperand1 <= SR_shiftRegister(SR_readAddress) ; -- 8 bits + SC_multOperand2 <= SR_coefRegister(SR_readAddress) ; -- 8 bits + SC_MultResult <= SC_multOperand1 * SC_multOperand2; -- 16 bits SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; - sum_acc : process (_BLANK_) is + sum_acc : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif _BLANK_ + elsif rising_edge(I_clock) then -- rising edge clock + if(I_initSum = '1') then + SR_sum <= (others => '0'); + elsif (I_loadSum = '1') then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; - store_result : process (_BLANK_) is + store_result : process (I_reset, I_clock) is begin - _BLANK_ - + if I_reset = '1' then -- asynchronous reset (active high) + SR_Y <= (others => '0'); + elsif rising_edge(I_clock) then -- rising edge clock + if (I_loadY = '1') then + if (SC_addResult(6) = '1') then -- Treating the truncation + SR_Y <= SC_addResult(14 downto 7) + 1; + else + SR_Y <= SC_addResult(14 downto 7); + end if; + end if; + end if; end process store_result; O_Y <= std_logic_vector(SR_Y); diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..4cf52ba --- /dev/null +++ b/vivado.jou @@ -0,0 +1,49 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 12:05:06 2025 +# Process ID: 65458 +# Current directory: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera +# Command line: vivado +# Log file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.log +# Journal file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3200.058 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16649 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :18404 MB +#----------------------------------------------------------- +start_gui +cd ./proj/ +source ./create_project.tcl +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_firUnit.tcl +run 10 us +run 10 us +run 10 us +close_sim +launch_simulation +source tb_firUnit.tcl +run 10 us +run 10 us +run 10 us +relaunch_sim +run 10 us +run 10 us +close_sim diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..7041703 --- /dev/null +++ b/vivado.log @@ -0,0 +1,403 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 12:05:06 2025 +# Process ID: 65458 +# Current directory: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera +# Command line: vivado +# Log file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.log +# Journal file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3200.058 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16649 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :18404 MB +#----------------------------------------------------------- +start_gui +cd ./proj/ +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +source ./create_project.tcl +# if {[info exists ::create_path]} { +# set dest_dir $::create_path +# } else { +# set dest_dir [pwd] +# } +# puts "INFO: Creating new project in $dest_dir" +INFO: Creating new project in /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj +# set proj_name "AudioProc" +# set origin_dir ".." +# set orig_proj_dir "[file normalize "$origin_dir/proj"]" +# set src_dir $origin_dir/src +# set repo_dir $origin_dir/repo +# set part_num "xc7a200tsbg484-1" +# create_project $proj_name $dest_dir +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +# set proj_dir [get_property directory [current_project]] +# set obj [get_projects $proj_name] +# set_property "default_lib" "xil_defaultlib" $obj +# set_property "part" "$part_num" $obj +# set_property "simulator_language" "Mixed" $obj +# set_property "target_language" "VHDL" $obj +# if {[string equal [get_filesets -quiet sources_1] ""]} { +# create_fileset -srcset sources_1 +# } +# if {[string equal [get_filesets -quiet constrs_1] ""]} { +# create_fileset -constrset constrs_1 +# } +# set obj [get_filesets sources_1] +# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj +# add_files -quiet $src_dir/hdl +# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] +# add_files -fileset constrs_1 -quiet $src_dir/constraints +# if {[string equal [get_runs -quiet synth_1] ""]} { +# create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 +# } else { +# set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] +# set_property flow "Vivado Synthesis 2014" [get_runs synth_1] +# } +# set obj [get_runs synth_1] +# set_property "part" "$part_num" $obj +# set_property "steps.synth_design.args.fanout_limit" "400" $obj +# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj +# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj +# set_property "steps.synth_design.args.resource_sharing" "off" $obj +# set_property "steps.synth_design.args.no_lc" "1" $obj +# set_property "steps.synth_design.args.shreg_min_size" "5" $obj +# current_run -synthesis [get_runs synth_1] +# if {[string equal [get_runs -quiet impl_1] ""]} { +# create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 +# } else { +# set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] +# set_property flow "Vivado Implementation 2014" [get_runs impl_1] +# } +# set obj [get_runs impl_1] +# set_property "part" "$part_num" $obj +# set_property "steps.write_bitstream.args.bin_file" "1" $obj +# current_run -implementation [get_runs impl_1] +impl_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8100.137 ; gain = 67.301 ; free physical = 7432 ; free virtual = 16532 +run 10 us +run 10 us +run 10 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8213.008 ; gain = 88.516 ; free physical = 7309 ; free virtual = 16466 +run 10 us +run 10 us +run 10 us +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8213.008 ; gain = 0.000 ; free physical = 7381 ; free virtual = 16474 +run 10 us +run 10 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +exit +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 12:20:31 2025... diff --git a/vivado_10740.backup.jou b/vivado_10740.backup.jou new file mode 100644 index 0000000..6b18d45 --- /dev/null +++ b/vivado_10740.backup.jou @@ -0,0 +1,69 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 10:00:10 2025 +# Process ID: 10740 +# Current directory: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera +# Command line: vivado +# Log file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.log +# Journal file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3491.727 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16649 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :18759 MB +#----------------------------------------------------------- +start_gui +create_project filtre /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre -part xc7a100tcsg324-1 +set_property target_language VHDL [current_project] +add_files {/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0/clk_wiz_0.xci /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/audio_init.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/TWICtl.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/i2s_ctl.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/audioProc.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/debounce.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/fir.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd} +export_ip_user_files -of_objects [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0/clk_wiz_0.xci] -lib_map_path [list {modelsim=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/modelsim} {questa=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/questa} {xcelium=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/xcelium} {vcs=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/vcs} {riviera=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/riviera}] -force -quiet +add_files -fileset constrs_1 -norecurse /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/constraints/NexysVideo_Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top controlUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source controlUnit.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +relaunch_sim +set_property used_in_synthesis false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +set_property used_in_simulation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +update_compile_order -fileset sources_1 +launch_simulation +source tb_firUnit.tcl +run 100 us +relaunch_sim +run 10 us +relaunch_sim +current_sim simulation_1 +close_sim +relaunch_sim +run 10 us +close_sim +set_property used_in_synthesis true [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +set_property used_in_simulation true [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +set_property used_in_synthesis false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +set_property used_in_implementation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +set_property used_in_simulation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +update_compile_order -fileset sources_1 +launch_simulation +launch_simulation diff --git a/vivado_10740.backup.log b/vivado_10740.backup.log new file mode 100644 index 0000000..09c93f2 --- /dev/null +++ b/vivado_10740.backup.log @@ -0,0 +1,808 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 10:00:10 2025 +# Process ID: 10740 +# Current directory: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera +# Command line: vivado +# Log file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.log +# Journal file: /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/vivado.jou +# Running On :fl-tp-br-637 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6500 CPU @ 3.20GHz +# CPU Frequency :3491.727 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :16649 MB +# Swap memory :4294 MB +# Total Virtual :20943 MB +# Available Virtual :18759 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available +INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +create_project filtre /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre -part xc7a100tcsg324-1 +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'. +set_property target_language VHDL [current_project] +add_files {/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0/clk_wiz_0.xci /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/audio_init.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/TWICtl.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/i2s_ctl.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/audioProc.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/debounce.v /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/fir.vhd /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd} +WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. * Current project part 'xc7a100tcsg324-1' and the part 'xc7a200tsbg484-1' used to customize the IP 'clk_wiz_0' do not match. +WARNING: [Vivado 12-13650] The IP file '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0/clk_wiz_0.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands. +export_ip_user_files -of_objects [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/ip/clk_wiz_0/clk_wiz_0.xci] -lib_map_path [list {modelsim=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/modelsim} {questa=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/questa} {xcelium=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/xcelium} {vcs=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/vcs} {riviera=/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.cache/compile_simlib/riviera}] -force -quiet +add_files -fileset constrs_1 -norecurse /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/constraints/NexysVideo_Master.xdc +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +set_property top controlUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'controlUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'controlUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj controlUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot controlUnit_behav xil_defaultlib.controlUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot controlUnit_behav xil_defaultlib.controlUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlunit +Built simulation snapshot controlUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "controlUnit_behav -key {Behavioral:sim_1:Functional:controlUnit} -tclbatch {controlUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source controlUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'controlUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 8352.270 ; gain = 85.234 ; free physical = 8094 ; free virtual = 16652 +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:92] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:94] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:97] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:101] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:103] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:106] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:108] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:109] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:110] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:113] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:117] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:118] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:121] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:123] +ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:50] +INFO: [VRFC 10-8704] VHDL file '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:92] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:94] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:97] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:101] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:103] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:106] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:108] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:109] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:110] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:113] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:117] +ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:118] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:121] +ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:123] +ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:88] +ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:50] +INFO: [VRFC 10-8704] VHDL file '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd' is ignored due to errors +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log' +ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/xvhdl.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. + +set_property used_in_synthesis false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +set_property used_in_simulation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module operativeUnit +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1322] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1325] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1329] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1332] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1386] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1403] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1406] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3161] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3164] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT5 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.x_lut1_mux2 +Compiling module unisims_ver.LUT1(INIT=2'b01) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +execute_script: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8369.297 ; gain = 0.000 ; free physical = 8223 ; free virtual = 16720 +INFO: [USF-XSim-69] 'elaborate' step finished in '6' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8392.289 ; gain = 22.992 ; free physical = 8173 ; free virtual = 16772 +run 100 us +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1322] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1325] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1329] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1332] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1386] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1403] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1406] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3161] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3164] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 8392.289 ; gain = 0.000 ; free physical = 8235 ; free virtual = 16761 +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1322] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1325] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1329] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1332] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1386] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1403] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1406] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3161] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3164] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT5 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.x_lut1_mux2 +Compiling module unisims_ver.LUT1(INIT=2'b01) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8426.121 ; gain = 0.000 ; free physical = 8244 ; free virtual = 16743 +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 8426.121 ; gain = 0.000 ; free physical = 8205 ; free virtual = 16714 +current_sim simulation_1 +close_sim +INFO: [Simtcl 6-16] Simulation closed +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1322] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1325] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1329] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1332] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1386] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1403] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1406] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3161] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3164] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 8485.547 ; gain = 0.000 ; free physical = 8060 ; free virtual = 16676 +run 10 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +set_property used_in_synthesis true [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +set_property used_in_simulation true [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +set_property used_in_synthesis false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +set_property used_in_implementation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +set_property used_in_simulation false [get_files /homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:350] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:352] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:355] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_Y_OBUF[0]_inst > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:403] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:430] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <\SR_Y[0]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:437] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <\SR_Y[2]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:452] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_Y[3]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:461] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <\SR_Y[4]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:471] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_Y_reg[0] > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1208] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_Y_reg[4]_i_10 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1248] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <\SR_Y_reg[7]_i_23 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1334] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <\SR_Y_reg[7]_i_33 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1354] +ERROR: [VRFC 10-2063] Module <LUT1> not found while processing module instance <\SR_sum[0]_i_13 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:2517] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3268] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/controlUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator... +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order. +INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/opt/img/Vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log +Using 8 slave threads. +Starting static elaboration +ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:350] +ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:352] +ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:355] +ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_Y_OBUF[0]_inst > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:403] +ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:430] +ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <\SR_Y[0]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:437] +ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <\SR_Y[2]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:452] +ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_Y[3]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:461] +ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <\SR_Y[4]_i_1 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:471] +ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_Y_reg[0] > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1208] +ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_Y_reg[4]_i_10 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1248] +ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <\SR_Y_reg[7]_i_23 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1334] +ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <\SR_Y_reg[7]_i_33 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:1354] +ERROR: [VRFC 10-2063] Module <LUT1> not found while processing module instance <\SR_sum[0]_i_13 > [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:2517] +ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/src/hdl/processingUnitIP.v:3268] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed. +INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds +INFO: [USF-XSim-99] Step results log file:'/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log' +ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/d24spera/MEDCON/tp-filtre-etudiant-d24spera/filtre/filtre.sim/sim_1/behav/xsim/elaborate.log' file for more information. +ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation. +ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors. +exit +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 12:04:45 2025... -- GitLab