From 516f246eb0c7d2afa20b8805fe9e7c4f2dc4dec5 Mon Sep 17 00:00:00 2001
From: Grazia OBUZOR <g24obuzo@fl-tp-br-634.imta.fr>
Date: Tue, 4 Mar 2025 16:54:24 +0100
Subject: [PATCH] update control and operative unit; add new simulation images

---
 docs/img/ControlUnitSequence.png   | Bin 0 -> 62058 bytes
 docs/img/OperativeUnitSequence.png | Bin 0 -> 55542 bytes
 src/hdl/controlUnit.vhd            |   4 +
 src/hdl/operativeUnit.vhd          |  56 +++--
 vivado.jou                         |  53 +++++
 vivado.log                         | 362 +++++++++++++++++++++++++++++
 6 files changed, 456 insertions(+), 19 deletions(-)
 create mode 100644 docs/img/ControlUnitSequence.png
 create mode 100644 docs/img/OperativeUnitSequence.png
 create mode 100644 vivado.jou
 create mode 100644 vivado.log

diff --git a/docs/img/ControlUnitSequence.png b/docs/img/ControlUnitSequence.png
new file mode 100644
index 0000000000000000000000000000000000000000..a01d856e5d429acec1144781b492a57448d579e5
GIT binary patch
literal 62058
zcmeAS@N?(olHy`uVBq!ia0y~y;OJ&xV6x$0V_;yY?%Em0z`(##?Bp53!NI{%!;#X#
zz`!6`;u=vBoS#-wo>-L1ke-*Ho2px!T$GxcSDcYw@}7CW9RmY{21t=}QEFmIW`3SR
zNM>#-LvCV`o`QjiLT+MFv4W9-k*R{Ap_Pe=m9d%ns-O-A1_cIB7srr_IdATkSA?YA
zJNB`9l44`a1P38Sfn6p`wIaj{JsDg>mb_M)cD2;8taRnOQ%t+pb*yJ~eY1`=P|(FC
z+=WL~heOFDdTAtws^Y;bo=#4OR6hTk=;Sf;i>12peg5k^^`33ndER*coXqocjvFPt
zi)-hq{a9ho$bbL`YAYpW5nKiahIP*Ag2)2=PH6lj-AZLe#e*wkkX02huG3*c5_sUK
zw`-F}M8u3hO9qBXGJMBfx9f^^J9<KuObPq-+4>64{AwnKggHNcRJ69V#N3Hwfyy#4
zoV##wVcClUW`+hy10G(uFatva`@>U8aQ@Yhiym5`vMZN;`Nntr=x49@$8T=x`W8NQ
zj%Y4B1H&EZxvp@ft5)tgCv)|sM$o-o=d3C$qQma}>w0E+I&#bUN!wQ!%P=r3c$g$1
z1y?R^7@giTDKe`nbjqi^>2nwu8h#d}!o#H@YK15Jgi~!(SN2_Uz7ev;Ki%^6D&g*%
zR)-lF8a`b_c;rB<SNVz+x$NBOhcASL#+H51u-(JUz+fP6)eX1mN`S9bmBhu)L!se+
zH12XP`(neukiZw`#tv6e;J&oI&2aG~$=6o;b6pr14D^u#JAm1A?<$X%ev+=gHP%0N
z3!P?pd->)$uD7S&*`{?o_}<^C=fdXxWn^HuzIZbS%tQy?kZXdA7CpT>H-7f-Rd4H7
z@0OkG%)pQ#A<+iP2ry*_nlB){!oa|ApxJ<jHzw~$hv23NoloDsnOSvtKY9A}<(-|y
zx3*+Xz8DD8$iTp`U^7RIzP`Ty>+AayOL(SB+5PEvbBD=a;cUMMN`+ay=adR8<KyEO
zEAN=2E@upt-yz+7G^vDzfuX_bVQL9fkb$A0?a;wiR|bX#=>-U{Fo4p;LelvGv6k##
zFTEGnwz`^FA_TXCfnn9ztP+L?OzoHU)i?=WHrL)=5jL%^m-o_{|Ld;a|Gj%h%xAwB
zz0rAB?<gx~J&ZOuZT+T9xBJ)Pe}B$BZPk9NUHE=`*ZY#*D)z{j+58A&8>AL&=I}YP
zXu<4fS@(U5x$~dDKJ)yu$)C4zQulA)p5bwCuF2=g{a>%wiT+vnL_PB}_j}FP^TSt`
zPjHk31r*ev6>Z)781L_?+#G26;Mu27pQg;7z530YoJgI}-AwJuPo-^tub;f@``e$d
zUL{X_5^(Lj^w&3gu7CO1SW>xtpVRkqa~HC+$1BONe3ZI#x9Rik(Ir21^w&myS^Di(
z)XQx@KYw+YtpDYPaeP+zp8ag+|4g4Z|B|<3=*eljz5BmKEnU6sudm~Jzw7s}y$L<{
zEwM`Lh1lMYUrS=|?|5VPZs&8kyS3l%W?fp+SyNN<<n7ykvffHcN_x9wWo2^%kb>92
zGgWVT!PU@k$%)K)=km5|tE;=uoH^6W$7f9qv-`3#y-L5`$Ie{0ulgl&#=6`?-~Pj{
zb7w1E@AK|<sWgnwdiM77*MnWtzy7}Ft{o?)XPFe9@$}{Qum85q-1P6uJ~h65!I$N$
zd`~Z4uC0(bcNt%;^J}+#3p#UG-RnI3=&qAs{rvUq|9{VEx>x18|9!;AZMnC$1ZHHc
zm}Qo$6(1iTx%ORc;QZL#WmB!^f9@_8-6{<Yb_NE9D@%>;M_9}7DHk0$Ybn#$z3kMS
zyWbn1)s^;&)!dBs<zD|S(f-rcYd`KSD_7E=*sl|GJaJe3mDj(HAG7%TZdI<R-tO0N
z?N6#(-R@uVJ-bKu($es(gyrWxNnQ;<7}hOvExfsE-{KuA?N^qCOxTkgW%7(|@8jr#
z%kQ@x-|{rxZmV6L|6l9RR~{o}PGimLO6Gm1U26-b%+Q+rdGoY$|6}(4+v&sHtas*|
zzjxRn)!eIlDyE3*o90Wdia)<ZTVFSlbNUwT^2mKEmFD3A#_JEI-rHox7i4Vj{c+d5
zYc)@wPoI2r_vEy?PaFT;T7P7|S03;Be-8q_-a05-`Kpi^9uy6oQ}%A+xc_XCoQ2n)
z;&bbg<?WW&x7#k!Z#SDhy{o$Z#OlfoQR*sMM-^k0JWmA|IW<MaUh=tj)>$j&*-{-(
zt&Qr*7G8fpyUeS)12>Z)K`m}+x`m97&sY0U75%cka?v?g-|Tc2c~)EaoiF?Q!C4Q!
z%I}peb+ate_#H9jUesl`#Y%^--Z*KLU0Wu+@yF`QfHi$G_OG`nw_JRiJMH-O7cpt`
z5vCsyJrx((lCKlUFT>~laS4}o=#!Vr&ri!f`*Hr($H%0<RDArSCiU|Xm#yiV)&G9{
zI$V`_@354Cab(=ubr<fQw^`;ncdtt6>WaubTe%tK*>}G@m)`nq;>BHetYY+C&jzJ9
zRL%{S5#QRl{NG&EkeV6ccIIyMpSN$<oG^(kJSyt;ta0|H+iy>uJQ<jlwrtYm$)#_%
z=JxbG3A$e+y!^p~1k>DGA^Z02+Z1*B%jF{N>hJGDU0ja1eqOYAvE}}dMSIFNNA$=!
za6<g$;K|p1<aika!-9of{r%w{9v+q9Vunh5cVbT_n%QhT<|VgVo!|1&%Hv42XWAhH
zlY&m}!|Odn`JEr1lWCpnf>Pio=&Uy?mhth?n_<%n3C9MVpDSORt}QMuo%eI<rO&d*
zw)^_tLNf7Hz|3<>eNz8UZ-0ONb93}c*8=UoWe3ZaT7Tkx{{Gtk*t=e_&+@PCJXHDT
z^OyR0%NOW3JpZ$LhwQ5V`uFR6-ru|EH~q{1<@?U<D&VvHfBLr6^@UT{)qavrwY1zG
z^P)AeWc8IjcOl*dkqh*uzSViO;^CC|E$uS0b)PNQ{`vUl=;!QR@oL*=+f2RqHm~jY
z4!7T*vsT}~W8vWI=l=3_vt^ORl0ScJj@IrD++BS8)w28F!jdDk?(BP!q*Ubb^XIYc
zy!A-AyeI6OfyvgN%PvR1ZM(Z<_0~}R{a>aEefs2G!yL7tPg&o&-7a#$%E#>)4*lm|
zSwHdn`}wi+sS~He>t0p)zP}V1d_Qvi_OzahUu&yg{JQ_w`M>3caP4Pz7Iy5rTk`dV
z`<IIkeV#sletP2k^=B5(f4S2B&We9`cdhvU!T#0F^+{85zvZ{gjEQ=(ETN=&_S27R
z5AM_X6!yFEaOu+XoVhpZLU#WA8T3!`?Y%wDJ0F^BZz@k-{(Ne;-i?O^8u}mYe+54m
zdu65`b@S)XqB-`<%GI}o&(8kmfB&geP29?|NK3t0uac~db)63f%|3q7r_|kdm-xBK
z2GUtVX>PHHc)aYVT~Rr+NUeY6%vXK0O5~r;RbS~jgYo=*u!^9Yk3IGt@+dXq>2+qk
zfAU#;+4N=q7w$e+^J~tuy6G$bJUS@Kb$#;>tpn=u|Muox`)j=X<+1~Nt8%~DtnJz;
zzHa8K{%8MurJ0pX-W~HURr}?7xBT(ksEOsh$9mtaos&N;>)z?vQ{EJ4-2b*!%+|nl
z{ogxrkJHawdMn$PadL|N>$!(5+xXQF?*H`vWYNd+@AD2RipuRy2c@E{Z|7GZF5R_p
z{r0}RKW_V<SWcP0Df(jcxs_ji1z+7g64?6L>*C@E6Q;y#`POxs_SmiuS-p&FevYnK
zw~p9p*UwqI=FXh9@S)~Ui?DXExApnj7ysC(Gt0vNkjE93JDoGL`gfJsFFijsG;!Ik
z#cFr`E6+a+z3FD;em8LPyQO=gmZ&9~+<Sc>XNBjC-p$u1nAWa28#4Jy_TjMd!xuaz
zeVQ`&?PBfsGuPdoC4Xgqe8k%1opGN&O$*sEFD3LugW;~PrwUkqnFUw0Z~JuepQGW&
z%A=Bhe)(S8v)}*f{D1$_dwI5;+Wz|e*YEXqOEmk>UHI|s-YL7nsLsluZ;fAnJ#o)3
zeOJ6Q$~1G0_pa|D?R(_6{Jb4(HUIsJpBoLXo>qxW*;o<!^RQfbP(jm|NppCnKk^H9
z&D!I?-cQP6&+;#a-D<0i{I|VdBs%wM&|&uPp~CGUQ$KetX?myBx2e)g&-~M#pqZEa
z*Qx%Ww(4{F(;RDK-PIm1%QW7Xo#gzweBG_<pcG`5qk4P0*?RLcA>~(64!ik^El@kV
zbdQ<dFN3XCXF{s4q#iz&eTwPIlFBJL_h-$K@1C*p-HMZKy`NJUvo6J~IL&lrX=T7<
z))k(gSNQ$@6zhL<`^xLT(shlOFYBEfzaw^DY*yvse}5k2e|h>?|Hai_|CPDPo~?Ub
z-yFBU<edC}k$>`ARWrV6UObVHZ)?V^)!{pNJf5*vb$(y&l~cDBE&p6uGjn^&`RU5`
z{xdZ@Pi;xpeAj$@%m3y@wLedqE3bZbys;{A;*->kCvKnietv%b_3QETKg~IQA}KJ>
zuW!%ZJs=sS%5xj1haG;r?L_o+KADAamHw~y@2(5G{oY(#_e+}Vw_K%emFhF6yv}Q%
z3O`u6O2aAeyrBEMPv^wX6g)9K^V!SSeoxW1xihDQy2rj{KV}dNN;S*X@-;x=wWPY>
z+Q-eSZqHu&ZiVOfz}v?U_-u8L-Nk-x>eub^S7LIl8fL8o$sBs_Sh`>SO3%@tZ>xCc
zXs<lob`BKuRebYqzpk@i5i|3glIdT)D}i5R*EpY=-oMLd#<Jb_f6u)a`KN8&Io&z)
z=Py4J`+BS9w$3)2e>3BDZg1aYUmvi4?yj{71s#iOBcw&Y=uUicV)~P(u~)7yIr4o^
zT$Yf8j(v6mo9?}3=Et^8D_^<D@a6Yia;KE^_4Omy_FnlkDa@)|FM8+B=ToQ8f4*(+
zK^?K~J$v^&eSF#DpsrKOR<lXdueYx5u2^(SW_$Sa*QJ+J{a-zOt9|8_Lzh#V`>Ou=
zu0MZPdaXEBx%9*TpPweV2GxaaJNMLk-_HG7W@gc!rd@G3uWed;s018v;TJ7i#f5nL
zA-U7EmIo4a8aJQ0?(bUhQs3>&o;ABxcs{;zwA#@4s$A*K4^#8<&rKB%n0!n#w)sj5
zW7ejJM&NK?xaYId^HWS$mKvUVeIR6Vtw~GjRaf6x()Yi{^i-AhZkp>FvRQb=;xB6(
zvP<vB=UqI`zdmeBELWdS#B$Hg4yN0lz4~%*{T8kF!MX7}J)f_&Tl(&HV8!c0Z@K^L
z-O0Q3KFxQ>pW3~Dj?DkMeaGyp#t-H^;yd>0(#rnqn&+FueH$BfCLKNPea$WG=c({}
zVfsIBeV#IZ{`+flUAe4IRGiMrRmoOftrHhFY3Z~2bl%w9zT1g=L$2Sw;_R>g(?Nc2
z_BzAj^#Q@%&U}%pO5-+~<Ss2+TRU}Q<;=L~+Bn(zp8@joH+jo)U3<9a(uS%37}kHW
zIdFA_=i`g-#22fbU94xfr-=Q^63Z!TT<i8a$Ijve1;dh!8Lv03@O=ESrq(b^D9t5S
zYV{sIpLu<=T%4Wu?((xdeBsK$IN3=@AFaJ+{x>q1&E;ihzLAQjSf%ENT_>yF+?yP=
z<(co^$ySb==U0X4%FT%WVw-m~(o4VGW7@LoFZ9>{jXpbV&$|9;dRLBroAye$aCzPB
zGpqCZm`jf<MurqD<@HuRdHZy9@0xeF1DALNwhQLx>sMA*o({SYe5Cm5qZ_(eOV%~#
z1x<J5F4=HY!!_>Wnh#QntL{}U4a~p$^M~@U%!9Y1zFpzo_2`&q)f4}fzkZ9ie!tvs
zPFvMpLgRh@%0>Kqb8^#{rEWdSe)^fSZ_wm*VK>=VTv0K7=@t6ZVg9ToYO!mz_A@Ni
zTj{-dq1sAM1Yx{LZ>6{LN4w=ZS(jq8s@JRxf3?*v64Z#hvum@`<tvMSKXrT7D6Rd=
zxy(Z5=w$T`5jsbMFXon)|2X03>f#b(qHkq2>$A7&sqF2S_Nt$L?HhS-*VDD}Q{SH1
za%N`Nw$(5H1sG4=%dkXkrDyo+Q?rHApI@Eyd2Vj<wA6C}lb;<Z{?2-3No7b#x~T4A
zy_Khb9m_t&y~4Bj!O!1JS(ju^t@cdM_4D1eOih;W<Ye#p#ZOt&R(bnBdt;iK8oz1!
zy!eaT(xRU6m}c@_t(<?@a?6{tE7^a$A#KCEyw1!at3JHHk+e}HCh@Ry<)`~I)a9#d
zSG+m7anaLr+l((8rDql8tcVZItj-cz=i(bQIqgVs`Q5ktUl;E=-n^?t`{N&9-&um^
z@0V`3zrvff>D{;XUCy!B?*0p?-m`3p+Sz5xo}V~1ZT3pFmDk%=*R?HVZ1_}AhtzXD
zJazA;j&>eirUPFs_8;KU+8v|)AnS_CnT}m8Hv=FI5trCQpsJMDlymb!wM4fost+Ph
zz0^r^;bEB9@R=Lb0EA$P^=}MJdW0Dl+(WTpu^|sH!}N!L5Tj5Fv?Qcf)<K1n*6gZq
zTKm@S%!Gw{JDja|I9p#k3gLKLUzqmnWI*)0OMLGxOiRmPFVAq_{HA%EiGSO!2YhcI
z@V$)?|83yhwkx6SF^EDDd!YB$A$pd&bM8)W>mAO~vlhzUStxsU6aTGCHj=NJthY2<
zZ%K}QbC^d`>yUv7Lre#XM|!op844uN=|lVlA`|u)&2_D_{I*!olA(eDMaJfI$Ij~R
z`q%sO4vM;^F+OmUS*oX;^kqg0Z|mkV?Y%r8?F<YJf;vejav2ydTo9>!FCj0#e!_$a
zo5IBy8D<2Rlx$H_R_^U%6uG^p(%6(Uc%fRN8EO<b`_7tt_~A|azQuZAskT`z&d4J$
zAUk&OA&sgrFfiD3q#n7m_bBu0wb2Ew6C)XR2(~|dWN2;sb5qaNhg<GSu!(|nF)$d+
zaTc0i#>CLTw)_LDPfg7pCnu*Jo8UuIpm7}ajk_NC9da)X`|-lNJ70dr=GdEsGqWx&
zTlq^zCL#?q%nC7zfq~&wyZ1VsNdl!#zti%rK3u+EyS(zu?)DhL#YG1%Z&9r67yGt4
zQGV8g%v%%wt^IfPvTAJsTq^@;j7N2@>zl~3rz)x1=DN%8Jbiw-So@~)iZ+{FUe$go
zn!1nI7)|caK0o{Ws@RX8rghEu*tbo_Ga##K*}1f3FRuLi{xyN$Dwlz`Idty(h(&9U
zPntS!-l`k6r@vlcuwU$qNcIiUMV{#sZ+((BiuX?29{z>7Rzyf()w{##udaT*|GG!z
zWcc+n(M$W~Uan&1&JX=A<|}%uwk2)vzOdc>H+lW|7I-=3Ul%^#Gwtoqg;}>3O<(rr
z#k!r{=_}{2zjzSgodbU*?nNF6YAvm~y*13^nBdz#YJO#@nq}X!_Wt}R_tYckh0ED_
zw|sYt-B~;HblK~k*i&71S595O?n3#~_!BOZMCvZvZ%O;Fsk(fr_S-XYdo7F>T#*Xf
zy8CNk?Z3mBOP4IwdU+&IcfO^^!O*++s#d<Mdf(yadj9HxOS{<$CN>;AT<ZI+YVW?1
z`8nSr*2Zp$VXwZk=3?wlD*=Q9ub3XT>SkeM%e*pSqSTzCscO74SDb!ieQJ5&&*_3E
zZtQZju64_N_^EZ4$%3b@r<vBtE~)GNp8a=Ke8|(+9W8g4x~}&RyWIc&N~+`8D<6MV
ze|c1I?6uZ7usd#N{62Y~fb9zczRs0d+t<Hmp?V(QnnT~tn&up=uG(~7%y)No<F@Iy
zOdGmc%ktJgXo=sl|3$v)&lO2QQLDZE>z#{{V#?a+N&0WQ-kOr*vfE$t*YEJRv$LDD
z<V#P<&H8f}H<{np+`RAa^y?+}E%I%5ChUs4^J~Gg-0E=dwDT9M**B%|@=f}GQ$%&{
z>R*4^`F8tWf1Z2ciug7$p48$m)l0p!_T_XeIgqGaI=8lY*}gAZg;X>D23LKaU2T$n
z<|`L(*}mG(f%z}K-WL9PWySuC(wwii*+1xnvHtw2^*?6YrtY+-b3@D4t#|svyZ;A#
z2%3Rmhph9y&bN2hZa>y1yLiqVnYBN^7_W_&SFN|N=iO=NlzXdX`flG(-==>(-r;?<
z^upn&*T#<e`q2wG>`%^{wNB^%Gp@XtufM<VT++&vlP)s*b;{femtLp5{<7Z8ly{$t
z@0{HCSDnl4OhOdDh5ViMBt=Gsq4kZ|`BM{@77Ckc&U*TGy_%inDXZD}DF%qBF7R$E
z5zCam7PfGqqE)F@*vXTcUA^7GUS3^?ZnvzC{axm_-S^Ks+q0D=JCm%w2mfc#O#ZGX
zd+PP-MCH@(Q-b8Gy=I+bi(6!7C%S&}@9a&Nnxj_Mezf|>l`pyE%Ms&Kh1>Qj$I1VH
zm}XmAwPRjQ*`zu0ybPXRwo;3agw4HbzM#PEbKWb7ISZ28&r8ihsxt0ORGjNNY4fD1
zb0#hFn`^bo`S-V1_twsKa&jtpcHP+O@9URFuiN=#)_!c>rFBE=<o4#aKFJl=miN8*
z&Er`p|6(b>uxZ7=AYGa3!Dm%ZO0AlA{abJaYxA2Wc`?gn_nBwBy3)us?aB3zSzq7R
zd_1*n#nrAdo~u_fudiOZhHL-J^}i112W|Pe`Twh)&2MEhy*h3#O#i>h!*C+^*E@gb
z_Da5H&u}m@t6!CS&phMP-oGLVyw`O%tF8LJF=MTDj=2?LP68C;R^2H)<r%N<tylGQ
zZg+dN>vf&-Y~5J)B!12H%gbke{af90(LU_mrIkHCOV!_8>uyf^@};?WtDX0xoL@!1
zSbXCqt`$6=e|cq3$<pOA*KVmFUzzP1&S$wZ#Q5Krd7s|>jIdw6RJ-oE_N*(5Pab_e
zCvsur<oLi>H=bI}nYM&E-$mouOZ%*Iw|ca4=WqUR>HB;CVW}VMpMO1`S0c_hXVcn?
zcO<p1{CKEwbeYVR=!xoEGoDSpb<V>NkvsyP>nJ~aS1NKhV*7)xUwe)7yK82dPg61d
zoWJb0`~8I*!V-&~SeE<==?SaZ<@Eg0=9Ke~vX~s4pBGr@?aJL4!Q*vlgGH`+JJdjl
zGm4%5){G1e&A~sI)a>ly6crWM$toV5_&mTbXq$?rp=PwcZr#GV_Z#l&xW1jce6{q{
zIhAqN|F4Fd>vgTY|C<eJ4u~>P{4OW|^vUL3iccaJ2ZKalct+dwi->Z9fq~(HRf!m~
zzy}2^{06q9;xB0@mDJQO`&?0(d{ZrJ(#afQ(~~L3I(MZQ-FERcnwh&uZRQ!Xz{zTJ
zQ<`|#{H~}Xsk*gN1Zjqdfq|hyfK>i!kgH7}6l^;4Xp;!cGYkw;3Rc|=4$fOQU3oiS
z<*ca^q5^JcJEA(*6;JiMpvz9<<Nwt>-U#0>VEkBgbgqia+zb0=MwkA0`TkU_+~;?S
z;YSb_GBA9o(0i$qbbgbzx@PpwOv|Tw_VedOpOkYleR47MR<V+2reylmnKd!h_x6Op
z?V7$l<Va8XmZu&~L6?n7&5T`_f7`#Fy;{QZRNwkv_szY_zojgZUr-cQx-YqNo1Ax8
zRn@Ab?>g6=ng8_gu_A2EJE=O?HS2W#i5lIRcjGSde+l$(Sh0(L{>t#{_3Mo%sl>+`
z=TEh(TC$o?XHV$eXp_*4?}D?w{)&2Qmu9ZFCBOdfyM@0^H0~VV!Q<d8ShagzMcS5}
z^ZcQ=#rB${x|g4gwekL2)60jHg5Dkfa87BO)|$t+*KKt&>dSa;Ubsoyd*kl#*Jt~`
zYq(ExQqTH!CGXbO2YF{>wneAE2?~4Tx3;FVb)WWsC$*ptCay>Sx_@4_cm3YNm0o@G
z7JIJ^y3zaj>rMWTUYnP``tp5#hF?m?!C#5nGY;-@?(+8yud15Vms{_*-Bdp&<eu5S
zzb4sVi+tZ*4gJ2RYr1aX+-2=o_pN0p7d5EZvF>Et`s>r*O+G8PdTaNz*9rZzO?PRB
zKSM-f!>+W5^oa)!DD+Ah1vLk+yR<}6xHPiH{ili6e<LQzX!DHa=QVU=_61C4+p;Gk
z^1#;SE9*bjt&RWMy{cGN&^uwtvL3Gs_Up5kKImxuwe#oOs%Kj@xgsie?_w#xdgOfc
zOa1Qu>p1LJ-d!^DSMh0mlYPO)TQlnS%GG4`eoOJ-TALvKzP9Syx&1Fc|Cs;v-46rS
zw!RCWKbq!iAGiyvw(S=exP1ydx@1e(^m+f<o*|m}4CN^v>4Nj!>~=lwyPtW?`EsfI
z6N|g{ALIW1+htvP`@+Ph>+=6UHN9*8E-*LK%27YhcG2R%?$U61d(TOx79Ri7gQt|N
z+od^a>&K(Vzs#S}bh@AAo<-QixY=J`JzDFvMLp}Zc6P~%!wPpVoYlJhak3Y8|CPV{
z_j}HL)BfdZ{Wi^O?`otOLQ_L0%wPZMe5&^DjIeu=sn36%I)DE|w>(k+-!^<Q`^LSy
zRoQQD7@j(L^5QIi`^&$+27dbf`TCttFLPjP0VcdwzOu}>V&`p@{QAiHo*f~v?6zyR
z+6jO8w28Ir!{j$BU!MAuzwW`Yt2`6uFS&fYYyYfi{-*`DX4r=1AH9{b`DTi>g+H^7
zU6#}%<=d;;O{<=%na=)^|JG)cxBMBIH5a_LF$RQ|Elq!V;?j=JSt4gYeG3<!FOxd=
zthtc{BIoQ-b++o>!kfMN!Uci3R-&t)JP|p1?5L)ys_VntLs!?;S}(t?R`-40Gn-v8
zhI4<ftZ$gIv3fe+)2~-IOnh2yRCp}#(f^$%HNAQ({e=%PiAqSUIB*~>OX`9*f9{D%
zDVO(sm%n)9Uu@~!Gqt;F&V8O$yEb3-Hp7ZlR~8$3<y?)dW#&pZdB3dhAg5K?k2MQ-
zBAj(VR`OD$iluhsNlndHS5_{z+LFKi-Q8W9Dk?7Nb+(Hy@1Li)x$eb*i&FM0RyVDk
zRAZg-Vn$<D?W>M`=O>7KZ;bn85ZL@&|LUPa+p95?)+~HgnQPw~o@^p<J3M>K(e#!y
zpZ);p)hgLDe!0f2?hDhbc#zS%+bX8+)TNb&?O$DwSzRMtX?@r2dGM4nGnd$^Qi0}6
z4ChjF{)OD$lYik!^>57sQtP7Ad_&$RUyLfhkym1ZaCw1sTZ!07iFX&S?Tz=I)W+9)
zrS$q=H?!znd`IMh;{4wmz5e}OVsZVNJ4=HlXL|YHSS!wc^u<f|=UKJWRBnEG`h|1W
z9;ImIdH0tEOTP5-w_LN;zc2Xus~$Gt|BY!jA7<qw-@Dp)#AK=JyUV9;I*Yzj{#mjw
z^#6}96NHR&tt3q&uZePRNpYWcId{rd*Z2GOI<HNC9}sjXylRPdtXl)u&1)I&dPKAS
z6ii79=6kjF#N;TG&z`yG4Xuz<g#7ex2^P}}!hWaaO;!2(WPiA3p(a<hsG9pc=i~lI
zGmb9TF45cjMnLcQ{XO2c_e(Y<|4v*xqjq)JnRQ7Mo*b3BmQy(M=11vy7k=>iPl>I+
zS^H}1n|(#)p%2YYT|Bqkebw>Hp=VescHB7sZ&l*_zgJ%%S^eN!%&o{H<W}g?<W}g@
z1dG30b>*#r_h=6nUd-)2niMdp?P%t1ORu$i7R-@7v-YzGG?u*zJRV*4V_>-OKxAJ-
zCwR!EW6iN;j0_=HpS?KS)zee5?~={+6h@WI&(E~3s624GqH?BfR*Pidbnpa=voC1m
zWAdjD_mr-vf+TJROwQ1dAg~q#eQgFhKcbh9fnkMW`$fi>6OU46uD*4UN76?vXrf&8
z8w2$$p)_aTLp?mJy^Z%wQ(~4pk#LSj?eJ&wGi|d>4EgI~R(Q{V4#Y$)y~lhhl7&Ia
zC|&-|&hAxv7OZ+-X5h>QT90vxXZ_L>4s1p<jF}lsLih^%SQr?1=XqOon`B>mv*`Bo
zb9009^46)GJbv80SK54EMrBpiF6|^nhr>@j^F4G|{it}oKvt%&$=^d)Ox=3bbI=$@
z-dT{D0m~&pQ?;+3Px@b;+Sc_+V(P+M74w-H8cv7&-pa(lAa_W0u4`TS_u4EWp|Be3
zmq*T5{hwR!JxT3OWxvy{_^3TP)|zqa_ix!z$Gmk<^yz(b7QBBixio#>_UrF!XF7f`
z$?e$by}Q18>$5kX8y>qJcJ7@Obg{kL)ob6vqHSk%ywY`oep#HEA<M98)x!C!85bCZ
zT22pr>HV?l+`}#&(T__$@0IMk`r>)qz31Qr@Tx1^*KF6XSF26a&dktSe_unv!&WI@
zciyfT;e~nAW7mPO-gTFlEo%ZD0xYXJu1;_d&ph9$vNUy0XxgX3x0Rpcwra=S3lcQG
zcX66Br<d>fD>u)G%)Rx0pWK^3$M_k>r%S$zZoiW3E*SrHfBv+0Zz4<nPkn06o_+o2
zFEw@d^xVv@-zl4v=HFbKGTV3CBhBFF=a#?N%sy-Sy&%l-2~SxQYc0=hUpAjQd22!D
zK{pxE2W>GQxYtjTFPr}7XhQ1gSH2hZ@9A-AE`J*^Wqr!IsM>_6W*&xs`99_A*cj5Y
zK18j0!To+x@Y-{!rZu+VaeU$FEBv;3-9EvyK07;tKS<VY`_)3<YZ~(Ji@|}zwkj}v
z;*A>-v9({X&N9gi>V3B*^t;`zybl4f;)Wq>cYR-3QoCRA^VXEIYFGOU?uv{HU)j|x
zi;Mlg_ngP1n$oj-LVvxOxXx<Bhp^K8iy;f|x@TN_oxSz<499Sd4{z7sw=J2^*7`d{
zasN(>bGcXVTeGe_JLCG+%5My;>}*;a3U)oYW?o{FI^8V)+>VOH7jAz&V!SxDx^Bg-
zZ7-H;TJOq!_bBq!^Xi!McLWwa_~)N_zS5bGowsXo)??Mfsa|ytB7PlqPd_ci)PA_|
zV*9R|My>@5i=G#oGK4(66M8>9V8y9_u@e_Q37MpQ{=I1Gik!&UqHlMet>QT+@%PT@
z-!cpgT45KnS<}~VWnd^MH+`ieBrd*O)qC2C@bz(~uM8&1i7(y|rh9c&sP@w1M?-e)
z%in#C>!ih^x%ZEpT&HvNUEEr8`+JLJJh|qsxEZ`V^Lo>6)8d<Xb2oZNZnWO|>8j{2
zz2!}^Z2p(qzSu_HIIqR(9~@FMMa+I<nEeqoO<P+}_kA;#pLoXl+I8;D1>*B!mLFao
zJY}BP>e%;wf%f+gtu9FU7hazmyeHWA{)}~V`+2Xgxq8}kXL$JC&02BWj+D;2b=0$t
zHS0(6>n;C(NG?rF(fGMsLwASf7K`){rzz8H_Qth8zC2}SRZO(F@0FJ0*Izz(8Iqc&
zlJWkM{R^YjpVusHy=xIa|F6J$ljv1`_5VAcxwM5n4CKDH;$*VOmdus+{vXwQo!Xyq
zc&6~Lf}mnn9=Ubdo36k9y7YI-=Hpp!7d^GQ@i?vb-K$A~eGkm`T>1F#JooGGA)UWU
zKbb%3<oVG$eY3fzUM+LzC-MBNIqjF^A58xz8aLJc#9CK2h86zHEW?=_jJMY4hTdcU
zef6KyzBb7@wL1guORg$@Izemx=hZV8ei1dDle%U-&(hBl6BlOOS5;4UR=(=qcIo?J
zP{gfTw&*%nX_cxq1B28IsZz0tS@#lEzMhaP<@|K*$L+jpEfbZm96Z19N%QVGd6PGm
zHkbYCxpe3$w`r!s>F#N)G1eY`rQTov6}o@Lvg?8yS@^E4c=x*G`cp-&xAxxbdb8Kv
zEN>Il;ocFqy(D7o$GcH0&OT5twMtqj=KDJE<Gzxh^Y?z5*UJ6hd{0(>*?|j!QF|6X
z`g19A?w##X{ync(hn=m?es#{TD&tu!m;SBz&G%m|yC$Cz@?0SH+X1nyzb;2t?fO1_
z)|JIOZ}r#s|6II0^HctxRr5^0l-Yj1CBnL9Pi$<{?yN`8*M6CPv_8CL{%5mIW&6Cp
z^Pas@fA3HKBvnmo&%)#WFG^;`HqO1RZShe2t^TeD9`NROXlC7lhOb4jqEQk1o8_*b
zd_DidqWxb2QtOph)St6UcryJJLjvEN)r>oKPjarAvghrp-(_ZRI#wHne9OLmDfh{_
z9;;)k_<ld;>}O<Pc-Nxc&A?z2+H2kI!7A%!_w$KxZ0XfdDVvIdrLUhaS-z@t^RZVK
ztbBfdm%p&D_V?AL&e5@t?t56iTq&BDj;&okzv&+DwFe46=lcd;+8HI|anI9r@7|E#
zIYH<8=Vw0t|0e6EUQC$hDeHy2-u74cC4-LrEB|szyz`ZLMaQi0<nQM`d6&ul`l7q`
zmtLIZHwo8V>m_%@){2CcxK7w3eCx}<EZMW0UO#E`{S}`zsjOy)&+nX|Pur!pye{G1
zns<Li#obSv*ruNls(2jXT9tcgb@z6yi}P0Ku3vL;AzyQevw3<r<Hykd>}oGh%@2Fk
zyEc+_W%9jid&A?`&&=K|`sDfQKgBms>E)f@eCpiSsq^dCp5mUT_P)hTFE%b<|NZ^z
z!}tFP{mbUAwPVwML4F}g!IS!Xy6QfLb;sY9oCxY_v}XON^3(dy{o~T%yesZ)t9YD)
z=SynsUgduB_u6Z(<Ba#L+dAVxZJ+0@#_yI43=)gAz@<|7)?a4(eP^3V8K?C;di3ba
z+wJ$mcEqjIjr?sFy==z%{UPnwbW4Ag?AdpFb9nW)cb8`xr(fAu>izfAef=%(H>aN3
zsycml|C6`+uloh3uX??pee1RKrntCm4uW-)Y)xY$|D6@RvqOyi(FV<*C3zpu{;6r-
zvxm#t-@<6hv?<{wDpp^!XGxi6uS<LS=Ge{G9bKQaA4vUuxmMRoWcCu1*}li;?+!e>
zM@L*v!o4l%yh!Ti*L(i1xpeuQ_&vMzdu+P*?Ag0&&ei3q9Z_54R&P(r-E=c&lkA-3
zjAHVpydPIu)I2EgmAfByf6xEEefq^e#dcrXT$GY|$HliRt@8KkPXE45=bnY{@n2x#
z-WDXRf93s4mDy6P+X6P9`sWsq?^Smp_TJNN+EHu_1+wR^GTezh$^YJ4tG2X#QRTXn
zHlCoPxlj7e{JWF(tp;4nO$mAYKKk~S%*E~UbrG7Hno*llIyY_JtR3^cH$3i2cK1L3
z*LHs+Bqe8Z@#QUCut1@;rDcU{x7evOC$7|ot-k<LkiX~CqRYR1aSV@Un`^kX=0DVR
z<l@wR<?(Q_U!rcwy=jZ4*tE-hnzX(Ap;ESWD_dpwmgz;G<6q`qT^c<9${|I~s?r+y
ztvoy-%lGG9y16QCb<(vhLaU#sE!Qx8X6wtr9g>i+fQfnb%1j4++bxQ#58d51`$vOY
z#J(%H<&RC<ZSyswM<}{9?0&-`$+NpxCKojI$?DarGzd>qV4c3={s-@-DYK_7S{C|4
z+VQS-W##)z`-4ihoymFi=Xx1Kg3`O9b!-h?Q}#r)2To_xmr7gmB*kU<l&-$}p}9|V
zoL~N4zy90GH#^UUN@}fQ54ZdN$&Q_&;nnIFTb)wZ7c(#vq?^9d37Xrdr26;E<NjN_
za%b<^yVo@DO-Apow_zufE`F1>E_w9hBiD9rS&OL0?4_X_LMP?3^U4|rto4_@_+4_+
zBfAUU@@iQh{w$5MSeJhAgTb|cuY0!5KQy;@O;G5)w<d4)SzlFm;Cf^4TEELOqS`z^
zB<mFC+;eXhy*~bR;`~2XO8*>|Dvf+7JI_Dx)=h!ZmscIb(tloFs^<ImNv`$E_x(pa
zW!tlF-O$#LS-5!JrfCsJzg?Be{V2Im^0M-kV_GMrmeozXzAD)@_vvl_@Aqv3KCZrh
z#p-tJ&1-$%U*C<r{pHSD##1-`tlU<4f5FM`-+~)VW451}wc_6KMO)t$%sf4TLG*Lp
z^2G1|{Pr>}X_z<Jq|#-@H`n|ruRi53X}m47cc;|e<5}zX#CwIMM()+xrBf8T{#~r+
zHmTJ0Y2ez=22}f9t<VkqW%KM!P1xGcF}!iXVNYwaT-si3nYh|0q<eAI`{GyH&aqdW
zdy7ASg1##BX0gfp`HT$nR=Le}J#_f+>brq1lRka^{Pn&0y$he7%4Z!nxBmL|`t7ZM
znx9sEzW+b0uI{Mwv>zmQUBlItSBK2}yI|kn(lufKW~>UHou-j;c=4>Cj{-HnChJy}
zwIBMw&G%zEU-nt%o4>M>_k2>(%<jHdTiqUY{@%hRE$dBE9e)1Ye`@3F57qiF|E@8N
zDn7Jq$BUg@_qK$&XWcr!Xwe$gqxB0U`S+;Zo>5+^VCb9K`Fl&zw}9603t^0c!6ok7
z|Ni>$cJ3+7oeMm<Tes$}4m-PMQf}|Fa}f)V@w;o9Jza3G%Vwpk@%$^_=6w3jIYr&R
zZf@Aodke4HFW1VuZL{^Zk-KrcP1N>!WpK`i5a2}ue`Yt9a<416DO)YxcYo6K>CZR)
zUbF2%<}CqZ&)w_yyA^+%{pa>es}osot>UB0`7gQ8ZObeyy6d`n{og}(yy7?Y%iRop
zyymW1{=GFPPMqN0|KpR%(*D~WD4nf@T|903&Km|=SJbdEWF*M&b@qcsz@0@QQ@yid
zBbVqsdHVEfz5B$n%_37%CZ7!}^12jme0cRnVQ=QD=9trKepXto&;GhTIn!!yTG7D?
zc3UqwZeBcT%AV?JRnMQEdU7`x;v+Emfg@}&^Oe<;&%bJ$klyQeG2upiozs5anniry
zE5MEB^j8J_3?+#&FWD|nT+275e9yW(cH<NV28N6kXFf!A@uVm;TSAug^E|va*ELJK
za$}ombWTavs!WeaP_+fNhJm3Wkw?0XjUj;Bb^n)7Ea&PjK3j$2Z)hhIv<bl=2HYF9
z+oPS(hN32*frs@(zyTgfh68IX+?f~}+Lm46X9LYKn}mq*&pLB~FYQFarp)3mX@`vs
z45!Xn;i<OS_lnA7N%h08E=d|qXylnU_h5?f`TIU;vsZXd_A_#1V^)6!9k<`sIV;8J
zZ3q|I<TnS2eDGv>-y-SPVljy+Vhnjl9u~xu+)mmkF=a_&;kCTk=J{bMDJi;Tai4wl
zgJ!o+;z?0pmYlP)TLwg*NO;B*bl5(AawAWQK|0&X+H+IE!Vh{p&t2zh4_VxAeRqv4
zs6&1w5hQyZBztD2qt-b=aPkm{e4VkKp`k4yRd?H8&r2~NFQ=?H&a?HTBO8dFb}nGL
zB}n^(g?2>~8bOga)A&j%A4o36pt$YU69s0;6Ao>siq2?T-zG3wAXgi3`0YB-(1HV-
zc*=yVY0Y52oSEZTwEwfI<Ov71;<O1;?{-4HShyV`Xk>9Y;5^LXs|?!1dz(X?ovv`c
zoxL-(eg407cb*!)mn(AZ;f}huHnsYCR+&Ek&JA84OmaQ$FK+$&>ScxZyZf29%dYKt
zJM)&=^4Pympa~32O)c_F7p$HZYw3COl<#TH@}EDy-0}J*uu8Fi-KBZLo?fRdR-bwD
z_}1rVdv{%Ux?rhN+MdO_?m|;$&0D#7rl!@_|FyO^7B`&}OrQ4hop#<u<NLR>D_o|2
z4E_H*?d7?7uHWM$>x4h-_RRk?V}<^*Z96lzy+4q?)joIjv$(LMeQICtZ7cAn;k-pa
zJL~;_zwSDJ&kWe%FZyY=%eDHiC!dMLmVVY~6+iza^uM9=)qnM`xBfc5E7RM3?i<!T
z^)F?%AJ1gmoF~6(n{HL+slIo!c2`XM^;1d~G!g?2F$RV^{SW85>V!rd-yWOYnj&-Y
zT>hh!@M%fq>#xn0e;?SV(&~5R&C0u5vJc*!wKHe?xf@H@+?X5n^A%TZ_`g;kJvlSg
zidl;uE#C5@bL%ffGtZYVJ*_?+wQ)7mkI;X0hHdYMCswj{a~FNt67jaGyzAFbuWRc*
zfAxJ<|MmJK?n0LAU&l(`*5vF+TN}6T<lbGc=7oOTq`L0%6+ORC+5v~<qnH0ZeqO^=
z+4-csYvw`Ug-0zH3oY96<mFc@nfc4kTzPzJSH>bWn|*%l{>!zDl^v_6|8txkIX7Kb
z_sy}NzuvT0EWH_2`s=s9iMmlp(6nuJn<7t!-(M5xy4SVlclMbJ-+tYnF8_MNUC(n}
zx5C0=%ih1fRc90WawX@l!o!DOB`w{}af(aACgys|`s=T~zn@I&zk18{bm@lUXQl35
zb#CiInxqgnTC>?BAz^|WujI;un&Fw3CUox7*|)exYHGb?W6xUYEtk`$i0Q?z@MMmP
z+oJQ}mgB4I75lHP|K)1B_gkxK!3MX|_~pCx_yaTkM_Lu!EIGT+cuhj&fv>xk9?t50
zf6XbE&3@%&LGG;?QT<)tmrh2XOL@dA`h5DiS5Nj`txAfC-<owVI=H;-lC$x9t$n-N
zm)^`T4SvmUvZ}a4uR5VS>hDWk+q=KLeP3OSdF#G!`JaoIO@5l!g`StH+C8r_w~Hz4
z-a5U5v8EqChy6PJXutL$|5Lwy3Fmt4@lxih?*8#jezD@)Z`pTW#cQ37T*o*0>zT{S
zrQfbT%(}m4a$fW`r|aLouKe_v>Ac{LoqL;v>n{H5IV5?~U3BuLsMxAs(zDQK>}#Zc
zzUZ^ATQ14(dtG+o<C6bJs=xkz{q9Pxv-`B&kmVRV+_x@$$+CCH*N#3n-D|P6Tvi?t
z&CO5h&0Ia7X9;S&U$W?4+^SkL&F9C@Z~0hXwlzmwZ?($Pxfj}&%D-yo(M<ktUG=ov
z<*T%f%h}Z%tJ8m~?&hogH7%@Ge7#I{&!TOzL3!O_T-vY9Czm_Tz8AlCab(%tI~zRz
zd;4DM<DZ^6-`DG3S##OkyoJ}^N{g<Kj=T0iD3U2(X0^w9->TA&VXC);w?3U}YIP-X
zLf(tCshhTCe?1modiCsLQN8%D^2RQ2ztyh1di>4Q=Q{46I}~5d-|D!2|EIM<Q<ol=
z-@CBXUed%wz~{^=1^f7?^G}DBU0kyV(l^}yP~09Vd2LTVVZL$i?yuKwY)qaqdGcb@
z`E|>_zFz#~{qyyT*WFk6&i=GMbmE@BsecV01(nKv*>LTb&xO;c#WW82Z(6=g`}F>u
zP14tuCLCV&<nqp==AS>ye)^;(^Y75ag<F>FIi$K1xsUcJ#b+)xJ82WAES)<)=h93@
z^X!u2j8ev1)_z+Xy>|ZF&=Zk-+1(01m#e)<3H&oB*vltXLTXA{cvV=RPVej$o)>lU
z>rFqN47_%;M!6Z$ifD*7TC&;W_J(a-yu24z25<E8^9#(0nG+NgByvwJ=IyQR#k+DJ
zmA}tCxA?T^<(>0?v3p4FE`J#i7tbsGvczGESLlt_gF;ItY*@4~xaNxHn$~l-gQiS+
zKEXNe(>J}Cl-%VP6yA4z3(EVa{deuIl2?<XEL2?A_q|^{t+Dadm+QV((N}Xq&&&!-
zntJDn$kJnbmUAXHa`EtlBqSJ{^1fKwZkFOXY16*grM(~jn*904DRn1p{l195-Ah(I
z)j2ya>+;Ps#jIG7ljb3fzN@PYKB%m8Po8pP)#hJpBIh#h`N}p6m%J%R4Mm!9UZLk~
z)vc1Qs%@$2I@hW+r2X65t9zr(TU%OQoLQe<^6UEL)NA}C_Kwz;3S8Sgx9x8E@?R_-
zjenQF@Hc1Ae*di3%hz(@<(aW1e|Ok@nmm73Uwy=4J?%Y*KWlnfOE1#fYjxt4PW`Sc
zOIFU`ldJyq??j80j|+UItDm;6*1i&YO=$IveNl^b?UYTDt6FYFs74;XqW>wXR3~fo
zn*6UHkFms7-e=i*`**8dr{;{<$#WzxUc4o4;luyupBL+?@agx=T+Pe#LoP3FmOhQ6
z$7FCAX+efVtnre~9>)sWx4rutHOZtTW68SR@60#dyi}_2ZNjIjX?v~O>-!CV9X}Oo
zHFe_3FD-Yg3IlrP)fKF}mhL&pH{Nglf|J^tOdtKswVFC{-IpncqkccJDE+FrdG)K!
z@>jO+@4I?RKDadNGEZwxMTl{{jn>k-HLmC11^l?bP4nNG#I=<Q(eb%G;qz2db1&}B
ze;@GrruCF5AEqwj(Xjs?ZdoQ<_g;1Hd{eh<zZ)Xrw&4e7Uwh@@yI+32Mz-fi`HW-C
z>ra|Ji<R~8366SXw|0(g$it(#Qy0gsHh*>f!LeJvkE&b6ZFns8bj$HQi*DBU2Az1*
zYc;hhDBkbnm0!K-M-C`y9Ny+uoW3UDar<JC*+l{QuJf)en(3ca-G{nh@XFDx=BwVs
z9Et?BswRDz{6B8#k);}ILTAWS^2yqZE*71??!wM5Ym9Eo)_>OfT66hi-`n=BN#E8+
z8Sd_KoFtwdvH57Lx!1q=NkLz)y_i<zJ*jE^w3O@T*WX>j8SM4F=foxR^L>Hm(@*d3
zp6lNq(!X5)PF+pm&(}BWYu^9Ax%>SOjaPsAAHVmV7BXpq+jK2gt0ob~)&SP2Y7Y{v
zKiUM;YjM5mR9G>^TJWjE#yNWvtV3*Acm)JlG=oG|YMBZclnPIpkTUu2UqL2M4>Rvg
zH|M6rpFf@~w_&&O=i2k-cGi(*@%t8g+S*@Oa>)Oj<^S}sjXBf$zfRY-(-TRYE0+_l
z|Ns3%E!*ske~+x4x7cv?^A#qM_CA5^_cfoMiQKZ*HvjHox%|7q8H?M!bH(TBmi-i#
z+VO|8{5Z5d1X`$?b$)5HYtBxyRMix(Nsok$zJ5AXAD-9TyG*O<IZvs6(eueNxs}@{
zv*~w~7O1P84B@<~<r;d53t<Aoor6o8U1Q$;;Fb~zJat7LBIDe?@EL3^07OJ?MHRo;
z$`wZ*ZC_!yVbv7qQd+Rwj^63J5xX)$9i!42kt__WI#$#LPFmY!{nIySJJ&l%qgzY6
z*%;cOpJ;5M%noXO8-=7n8}>zP=}!vM+CHVN%=_!WW;EeorR1E65RH1xnJ>P~6<@S=
zPVLs>?|cW6Z|1nJuTq<Q(${m9SoL2qVd2FqR%nE~GkLlC9?DqZDZy*Xsk}%p(d3Fs
zPur}Pivg35rEK<`_or07lymVyHL$pzo}Qnepx_<U>CMRo5s2;o;H~Ba_%qu4?q_OO
zY$*D6_1zh!1yUPt=D5nu_E9reT^aS}+ic&Uhj}`?w0e%ko!tHE&O}g;DUEHhfm1xF
zUt^HQ_Qd$mP6cMko?{$;6IysC8G?hSAiC{Q{N<g>&9R`K69a>)WxZ`*cXzOhOUs#e
zzRV0O1dctBn5_K&z{)qp?x2vGA=z_?qZZVAIygge!g-G8O*|<EQp#VN1&ut8odApP
zmGqL<eUu3b*^sF`3=Kj%Q#N=M6ckK64w@b<E87O19<8gZbB*)&^(}SPVOX*2&A;!g
zJLY<R+iy~Ebf+?CcJ>U9TVl&TQ1>h049|)5mSRUXBZ*$YUk5oPdnQ5R3e<69V9+|D
z+U)wT=sC+z_ba<USUkM({?~`O|2-xhyH_?{Nh-eb;np)P7JL7FI^=a;<U;bQY5P9&
zyP6uj?C1Z#Hom&#vVM8*g+IanmtS>%cj4RX-PyPFvX?&Jv0`Uh&acSkeVL2xiu-qO
zxGU7+UluIS@WJKIrW1C`U-|`&c38PT+~$>aTr~1cs>Z##)Kra?YY)BM@oJ96CBK7K
zZu{D%l*+hOuAh38<N4vcrI{_?mkX{7URqeLyL)%-;uHg>Ukl%SUu9k7G4JQTf~U`y
zp9;EU=n?wfLgo8)=R~)kGA~bF?>_xEC-hR)xpRR#c$HH$UT-}WaH;xm?0-G|jMJg-
zg{z<cd6d1bHeY1R`xDt$b(tA<bT6JC=(YP_;ar!{2ch$Nca^_i7r5BXa!+0Ff~Z|u
zua<Fpcgy{~vdTAlYu4p3-KsCzpQ3sfM5(?}4Ej6&PmFb+s)nj=Y`SoN*74c(p^LfK
zUk}{xe*fYx=H*l8RE51vpInmky?xjFRhNz(YRUL<n1A)@d&jRTuIc<`XBl1|Q@i-t
zdrdooL*cRNK38+!#?)?~x2f;C#2MZB*ZLzPy|yoT@kCr$)U<xLkgiyyo=$qHp1SAh
z_q@9Mmd?7r%R`z^UCY!oa-;RMR|m71R7@`>U*z1hWSO>h@>aF>-H%s1sN44{I8B#z
z<?CAcSJ$Vo=>CuX`0EqH)r>8r5B23v{SsPsN>jox{zmFN>)B_^7UpwJJN;^Y)})17
zWTwtM7$^O7RkV7##-Ck>{|5H)d^@*)^{<78OkcF{oC~;C7_#En>D68H_?53L6}&g!
zZHM2~YumKfU+>%Uex<$j-L+9Q=ZX*QT=Btl@2o3J1dTkN?yw7YYU|R_+<$t1!8*6E
z0f~O+5?glZT;I9!N%m6b()g35nJqkgb7y<i<?k?Z&YZ6)TQ%QeqnfwX^L3{ZTB;13
z+PIXNB^$U3o)~*&-uV<OAHeriN=HaqdiA@zyI+61ov$ChxHP&v<o!P8E4%MAT+O|^
z@yu7|U-oNV`%SOys<zfr(g<4H?sIYV{dX57JtwWNI`boDzU{pr`}2D}*B;K&+VnNR
zx3;!*o4j6bSkJ7i5c?4Ix_@!*Ycn@RctxJyzWU#%2P|JRUj(mvyeEd$Uj427`i(cv
z<z5Iro3--bitD1EZ<uoNa|WLHx%8WF{)>c5W=D@K5xuKXH0RkFdCk+_%q6Z7)xNjx
zL|qp53r)Yjc$U3})5F;ROJyzdEM+8|rkUMZty)~%`}2GGulLTSQ>ILK{%%7-(;@ju
zKMsUU5&U(SLz2rbLb`MLmE6eV&${XgQue#8nfOTN=keswgqF{VExXom-MPr`wj#BB
zd;Sz2w}ZbgO7vX#?skG<$`KCx-3dHyE0~p2G#;G$&i4NFCdq~MJrfuidb;MPuJAf#
z8u8@G$;nY$GA7>Io*%!CQF*0$|BAS~R;!}7uM6^*(=4vN@Ag%6Vwpp9osH&dBaz*;
zGw=O*&zI^M^rXVJtX?~JcJ1<Id-lhsuL*K{BUbzCmHIEu)l24<UU8mZw_Nk?pQ}&h
zt)~{H?Nl?JwdU$dYm@Dtk4n1a#Jx2wy&2@2b3drOR!-gfkC$zb|0?qznY#Z?{WPbg
z%)Rxwb(yQ{vwQ)&$cyUxul1ai&w73`MRncmW!h)Uu1$~3{r78^nXGSE)~8I_sJ|1U
zX5^;IuXi$eGIQ#!+AlqmE?=7RBYI2P{Q!-_FEezj{zbp-_r7@Z$>LiZ680Rk+a6Ty
zulhRYR_5E9@A+BDcKhGWy!z|=o~gI$wLDj9ZoKvFo=WMry<1Lu*|Sw2GEI7<aW(4q
zI=erIwTfpS-}>u}VQo$Iil$$Df&FLlzy8|2J7wdstfNg!Z&hD4=*@ZgN-`$ERAjAc
zWbo8)$EVM-scGA`By8jVnJ;**R%|Jq`g{JeJ`J0sdz{bL#ne7&;W3-N_C(Oa|8K(%
z{(l;_A;wI7e)pl7ccw1<QCaBPcIj4Os=Y}5>A9wnf~sF5TeJ4;S#|KIfm7_XE8AAz
zpZ{%>#hO_$>w7;HuP&H(I<4XL6ywmB=PTVT#IG(<>-}&~=la*1Cne_`T^sKZzCoVr
z-}jw@uNEGv&1jibkknQ*Ut0WY^IaRgU14EmZI^!Ce^{K{^4-n!r%$AX`_5m7Td$uA
z`n_V({n_hQo#awxmSiw5JY8OUAXV#ng5b(O%x;tT-vo3=-IFq|nQ`o%O^7d3j@O;t
zXWyA+N{3~4pXWFmYZbmdK6v?wY)9MQD>mQHtNr~`UMp8_qSB_iYl{k<zg+s}lRNuz
z+}2k-*Rx+vUzc|3_G0n8-|hdzzwf>$9qg|-(fRA7(mBuen|V6U?+fn!Fiq<J{#{iS
z4})Kc7u3z3py4Z37`3_TdT7P|oxg0aocragmi6zmx%S_yidizZd=B5|4?gc_mnye@
z%DTt9r)8egof~4lrTN|cOFsO5JDqvCi`e7WOTVgLB6wE$%Cmc4SN%Q^UA6D9*4?_$
z6;k*AG=6gPyLKe|GxO}Gb(ed6r)B!AHZXl*?Z5oj*{e+_!$ouTF8wo{e(cU4K3|D~
zu=xEyw+g9h8at}@%Uxb6vf62udDxGa_FHx*9GZBf*=kZqyzVVlt0K0CiFzwlkG{U`
z`uXcs!`TuuBKI0xy0-7o<@>v$KCb+9=i|d|Kep7m{cL!<ZkKK**Wpv|gsV+ooQmY;
z+MYheYDbLf*QJ5kcjT1k@GD<Q^?WP!a95;7zqaJUX}wqezx(quS7lz^AGb#*=WF(s
z)qYFiySwWEtK^-d9Q#c)be&V@ODoG)e=J>cYIoSJ(*d_iPu+ZeERJ`|vLy$(MHBPw
z*ZuOzd@`%#%N*;)ao(o`u30{RuGR2rkx`wT#KYf$2LzwW=_ozrm-zXpTmQ=1X!Eac
zZ*M>Ib#u_<Q2QJHzOSxdes|YC`}(@OSr?yhUFBP|aLtt`LEAU~`#4MO&Zi~UuFI@G
zD$VyI;fvGVi-z@Enk@HBW4b(fO2IlM_eV1(99%5=bZgjpy*mpkviDrm{~7dq)|ZP5
z!dQ)yRI<)KO8@e8;u@pt{BN(v#~O#d{;C>1bq&wk|8IEL{y7`<?4wuM+uyHrYySn$
z*|f%8WN+d8O^08tiaXe&u=DtqZ--N@W+Yzycs2X0cTtmB{hxhhkxP!OUA^^f$c$I!
z>k1R^UVUG;CjRo0EqC8%oHYOV>dyB4hxA|1@P4#<-S>Oz^!C@TGB-<kvfS>|wtu(&
zTKPs!Tp7i<@09iQm1ml+7GIt^^X=+}16)&;S1t9<KKb~gZS~b)gQR^;|GQmR9kBm1
zcf!L%>+VcBs2P9Ba-)3Dw1=C1Etip;^DlMfWMh$k$LAdW|Dh<@c1oE{-e1=&QCoSp
z72fS-(I?;gFJGN@J-hth8<R`t^Ft;Go^v%_?P(O!6P$Krg$DDN=FRuSr~lo#w8^sT
zf6-OVRUU0!TV4m;wN`YFoL?L}ap8xmr;nD@X}sJUc<I3IQzss*IzOfFi*xIy9S>>)
zH}s!6_27l&`iwR&cQzx2vXEox%G>MX*kwW%-aluaalv7+TkoZllhwDDzP=W8{q#1K
ztm~h?mp*9^%?jGz=Qp?OvcGj%*70+(R>jYHq^_@DY`^cn+wN)m*XMXAb^i9a^QG(G
zz4u;Q-+Z1B_M_*j_WSc;`x#EonzO3sKtSv7&?g=;kAu~n62H2?UK`P^Bi5}`o&G{<
za(|ZFlk*&l_OQ&gn)C9)uV)*gxP0u^KEC-p{G8RoA5XgF_jp^!v3|Y4oWJ(^z8fq{
zBXq2OJxXA$o~bv_BYM`P^CEAqtdhyz6%<@_&i-6r-a5~?dvW5|d_7Ot{*T(`_h;rJ
zse=o-_RUGw^E@HoD^uKB_veG`+np)9#8(FyZ%X;}d3yc9;CqdqzMl+otD3j>o%>eN
zC5h|b1Wr1t)UE1hcsc6jp#YWLTU%Z&`Y@fZXWibAhk_^GWyw$fv}D)T@aA=|+AkSR
z`LcBC#Q%!V-hJP^S>j=h#6rE^bHTq4Y8;P>z4u=9>B`a!mv`$t&)N4ZTUFcFl3lQN
z&z|pXifrpD4a%=%KP--u{QBxdkNx!DQj)9k*cckJZ_JiEw2qBe>czr#xuBq+Nn*ND
zOU|4*6Lh^c`DBu3(CTYzO&6Ts+SS1kzBX!WirH*YF|lPgZcP4FbyMA{=tsfKx5xf}
zX<TM|Gmtr~Jn*_g=G||(x2FHz!glXYfc}5=ZF|}uPk3`gMOFT%LwWhE75Ux%MFn+M
ze6yQhx5p(0Ru#0o`t5OQ(i7>G6J|}{7Wt!MH_NQjq(e4m-_Bq1GTG`?ue|@NXUy7P
zZ|`;8Uijnq#+B2QUWu}N2;5wicxt1HrmLxp6<hJ?N#(aQ{_K^?idyO^KfT6o62DyF
zpO$B@L{yG0^W@51y6oZduaEx;y<=zLpRg=nE@S-$cD>rAYoeU4hF4gfK6G9&KJ)+K
zvr}tBE{RmGvvYrUBH)t#cCPxsXI;CtPG7j?WtX<Lb7t__U7O_Z#I}n*^@ta%zt_=I
zVAm5ez4iaQb>GuHCGULwe3fHsP79A)#e50I`JX@CtX{v%s(E?+vXZM`cWw%8k3T21
zP_E|$$93-VxwAL*-4!j3zqa*~sN|ck`vvcrugkiBZ{w~{wL!Nm_s=*OvQkp!W&0^R
z^>5$uTV}B|oO<@+yZzEy{a}gf9ulED)5LbpX;f<cTlQjtS<W4e{QUg8MpaAPc=mf5
z&D@gtIZf8OYRi?kO4YqrX4vV9=1qHY+lATfYtUa|_ATnZmrnM7`E>l_tKVOC&#Lye
zZuOdE8T7WQEbMR*f2*awx~Jw#!K{n>I?qhfxnH~TtDW=L7s`LH9MCx#<3D$C)v8R*
zw>P5gbMIQc<&s=c{r=q|fuFBVJ)CT^rj+;h@!48Guk%dU{&dGT84FEQ*+nN8?~a+X
z@NrS4`K1G#+gBz}5V=%3Nlrp+-CV=$kRNRh)2q*Xdb_6j-J7L5QuDKxK7Dlg*|P61
z_U1}|edO!t)&0{;dM|tCqj+oW6L$j4{bbkkwO`MCTDPpvL2>DoNyk^WHE))kdv4Py
ztr=k(WuC9AxIblgzt)6>OJtq~9W|PA_5IUxkC*U>uIlDW+c5pGtYp{vXi3RA3ore;
zB)RIwPlK#jt53658%_CAm6}qZ623F!Bahq9pSdhopB2xW{Q4k=q|Ha;rGn>LjU}G#
z4LSOH;S!st&z8un`^8hZ(=uk_!HMTh-<=5fV;q;7uA$k!c)g{u^G7rN-L>i|1*g(;
z)l)W1U;E>}ws!O4zX4f%>ihKdzJEP^HX&dBX>Bi0s5x^2OKPoI!Kw4P2hN@{jaZU+
zxNW*^fXk#$pFVw=Z+`E>rKR%OpZlY~9Oj?B<;&uwRiEwu2gb#{+^)d6Cu>St-7a7C
zOXsw{eks#^SL|~BzWLujKH_3)t5Vje)lFKtP(Sxd=a!JAYHzQ1Rc>FgbguPM3zg)(
z_o}Nmzp~#J$+>+0<;RZmUtO$@pPYK7`j@4htLDeuUtT6NU)ivw?2(;TY3=KYs#R|~
zGhA)9xv5X;&%U~3^RKeAl2311-h01k&8`0jPyaGVp0dv-?c&MO%cA?rTKT4w_q3L-
z-}cWkYQCJ;wa%^mT1j6g&)W23!QtZplNQuXF3Dbf_t%fNx~H`MG}X^|6<gT)ify*)
z>FKlny-@#Dtr4}+v-)e;l|tPaM*g!mdEHaD30}DsY1Czfz~u`st@V5EM_c~Rnr`~$
z?OR>XQ)kbXM$Xnyso4}Jzccps`qv9Hcb_)Db>z;c%-kFI+~=E0uW0Q&*Buu5yEWVJ
zXxPsiZ?_*>yzb?>RSSRYEILl);6-z^(T$u*ch_6nE|EXs(55w=kwL+;s~a@%;_@?1
ztg!9Qoja%GH7;eWS|qHqDS}I%^H-kN;ZwQWPZ@;1VK0nZS9B%#yqw>~mHO(>?lY}$
zn)PDi3l`P9`K$PL-h8wSX)gwY#r&Ih8MUr{6gSa$#9F?5#kMv3&tLqiSUhLP@x`Fd
z+vmAE+!sV8l}%i=a7po#R|H3SBvc`TX`oRh28IxauW~v~msItx9C*<B&JQs&!N3r6
zCU^TnMurfFgDbKnChryexX!8^G|1F5^Poo01#t+I@n=tg-U$b`#fDDy5{k`%;6W+S
zppOF^g9EGKW)6ms)S3zFcJ&mzJ29c3=jVwD=Q$uFP;E6SC*0d=+)gyqxLJs&oN#A*
zYydVRrAG!VlXBwu8J?$i!%JVce^PR`GkRj|#K!P}<tW>Gu(5)Muamm$5|a$SB>9`3
z6xghH?5$+a1^yHRzc#Jl$!?K77sRjHG&`HVWc=&EmYiC!LoZV6Z_EV6`jtlZ`+FxG
z<meXswQ!R0htIQl+)g|AN|`k8{afi^58)r!UmVf1Enu=vTWnY1$umm!vgb-&jXwm$
z&aT{T&2&of)z<jCvPgktBeZ=X;{&tv{JT!w6$B4<f{6o<3#6sMEGWT$C;>^Dfq@`D
zsmxVbyT5kH)Z_NMKKxjzw|-@ugYwZ-nd@_8C+k(pL5&4dQxB^ecYnz?h&dngxlb;~
ze*2N@$76psXeWtGl-?hgfB$}{@}hOuzWiTzMZMp4@msOkIhN92i~jG=jNNp0X=To~
z&9`hmd+ps|czEgCX)~5i`n}-8zucR<zutU&K5O^WOWS&`sDrJ65Fz4vk~)v_*R3qr
zZ^iYEQ~TrB1yWnz<mGQpef@=>`^y{ISkq<s!MyTjF6ZkuML*Bk_IZ8!%QM%mf4x+@
z*F^1=7w_(Mlhtg0ZThxks?CjqX`5f2=z6|o?PKX*6>rVXN)<Ne&9x7{-ZG<W?eyhO
z;;+Bn9um$kv$1}UTf%AUMNhw%U4CtC+-);o{Qt}}o;hpeW#1T2_E+z%{rA%Qm)yLg
zX?K^FyZ2tYzHz7c{LMF~Z2M-%Tc6jvFiRfdb`ZJBYWkhFWs5#Nvb((hxlGWNdb6x5
zX8Bb0zv~WbKKvB;uc2=V@Ba%|Tz5+Szcan+!77i+^uH??{}vVzi?}6MJf-B-j9K3@
zHR~tu{1Ufe?!|B4<F4*(|GmO>L-|vg7)92@s&8c1C(e*s`T9ZIuj6fZcdahw|2fm=
z=kui7T(UdfmD$QYS&}wqvoy#hP+Tz2J56lk@ref+wmk{%>VC08?CYN=sh_6BS7>j|
zlvC87?0M>E<p1a|i>vHS!<*N4P3b?WlE2?7>)y__PJ8w4?$*`!+<kx6f}`>CgT4gc
zHA%Y?^LNt5hm&rvyYWeA>*J@pw^Up$uX_6J+pee2B5%!14K915wfAd5ey`+>!1~#L
z^m98;pNqFoUmPcC9zA>e-Zhbrt+M{yxq52D&B*&{!ME;h|N3XHtZ9~qzVFQcTa(tt
zrOK^8n|Af&*3Bl5&&f$$-oEtM{ohme*#~MIezAN@*44{ZH6_-(@+L0a`))2ioSpXa
z<i@w9!S~~4eSPTfwfX7&yysH`FK^MRnkFZI*>f@5x65z%w`-}sza%N+WyLo6WOQlu
zoi)3%_gy}?rpeEG@!agPmFfHCxHdeUGHdgRpBgpZQr5Ld)5#2T4(IOg^xv%V>cIDl
zuV!EIJ!$_oaQ2R6r%s;IDvVvf?D}f|V@bMEn_~8>ip8qGzf$(rLwd!#w@jbe`==ay
zBD&1)`<}Jzd27~7Kl``j{QeoszM1OXJ@-U(o!<BTE26ExTxXVlcd>r|yrt)s{r&Z5
zWu=w%tKeFZy|Kk@$FffDpZ4<1Pe!qAJIcSDdv$BphjV(mbIk(&S(aTpe`itu^1W*h
zcwYRKbCu1uICxWCkDu=63e|j8^WC1pV(YFie_i%+=e_DJ6)*e6^G_E4(si9Lxpukz
z{l)(AvI{3~&b!df&i<-%>HZhp{%WUx?`8e<&?oNSuJn7?$~T&4{!-ul<!5?vX#G`}
zy}MOID_hxmZfyEKwTdV1>+hGp+_b+u-dFtdwOZblV}G5m><*r=$H(-|Go6WZ?U0Hs
zhI0?69aOsZM(6LJ!`HiBT@2msc6X8E-m2H{?rnehUH<y%utNz<>l@zf`n+{}Y3!}r
zv&xdqeQO^k%X*!hs&ezv<Wx@na9?p5&v){xx2WaM$$J+YZ>J`Ibzj~TtN)wAs&!ej
zO|CD9c3&CT5I*zubGe%f&MH1OT~nPq)A!!0n^{ZPVqeEU`#8%-jn(w+qGgG7pNv>n
z6+X}V<lhtS=D7Xpi-mQ}w^lBj?zykGdR6e^uvexVc4y7>HM+jfZEn<@Ag6;oTBcQ6
zSpn*-)w7?3uAbf2le5e>`rD~jFAQf(y_(f{c5C5Zzq!`++_Ubl+wb_6N%ll!(EnR6
zg`qhPL_Ls6D>soU&zNtuEqmdaGd^o0rIkUaQOMkg_kDdeu;xzS)phs3Ov=r2`F5ov
zGd}yplKETzU2XsJ!#J+0_F#l7ON)lZ$0?USYuT5_y_#?J@w9qX$_ee~)_UQVm9bZ~
zl>Jv}u5?pwZLhT6Qg`pBit6>9K?hkU&zj*RB2-s+`%>TbZEyYG{><5D_p53ypL)uv
zX;W3auOAh6u5w?ImT>TdWPauEYe5MOFCQfruPfqC<NL@LeD*-o^*tgYQSQ&bEjhJ{
zp}p^*$g8y-^UPOXjK2T!z>;OZiqEcpWw~xPlaJcXqVqS-M+W_$c2RxBGoPT&<W89x
zh9`o*bazjBt6aXEfeSjn)i$d|FmSqoRF;sNGk8+$@TV7_onm3rW6ef)!@g{cX6sIg
z3<_Bt8Z2v35YXA_Icdp~B`U2~xdLlGy%b{a7Wu&WdIe*By@Rw{{`JDw5m(pU_mr)%
zyWr10FXTAiRBP_m@Ut9O-x~&Rj^7*2yQk|P)9q=K|1Upz@ax)>3%NXfu0+ljQS;nZ
zlDZ`J*XmD)j#ukE7h`=};ds7IdgaURx`1{L`={@!+{#6E->~?z`s*FzO-r76E{vP>
zDaF%bX+xCas)aJ`eU6j%WPQI}8oqDM+S$=BzvMfYB)2=<%rQ&N)3tuC`kSj{jeh{|
z%%)In9Y@V+F)I@fGAy)Nsx~*QAfuyNFNAH&y11Tye~eDuHEiJi_4W1g(%08^TC)`}
znu4bSU9PA?rw9$CvM$Xy);rzq?xS}i@0{TwwfN`TEhdjYd(SUF{XSuD)88pGrYuQ2
zJL}bzl@>pL{?t@ba$@KItM-3au3n_Q^}h*z&#s0ZzU63i+o&Y#_IImm@1(ApdmEKr
z-LT*E;^EKpLO0*+U+up+>Qh+XC840F!h(AHyUut$2n}{rJYMp|W!5LDmJ`2EDN0SS
zjEv8fTCx9Yo`!tt$s#LPyVBPymLzI@yY@Z%n!D})nyCBlq?U5V9-2~HvGZDvNBEDk
z-}<l5nz(GuhSj0^SNu=eEIWJgqSv;qy7xam%?bN*O1A4)wK;24-GwgwzL&S$Z=H(X
zEo;@rFxk`SYVh=bx?gU-_D*^G+kdO&eZi+EU#2ei4>((C8g~8Q#Hvq!{ja=U`7ulG
z$<ku=@Ry&@Uoy!Ei=H_%c1cIS*-mT53G2^ZVEmOKEMJi*1DS9|O?b&t>gWIU_3Z-9
z!-CV}<+YV3&sR_VQ}Xne$!F%=8$X*>G7mIpe0^8DR%cqsTbpm)b?5FDp8U0QdH8F4
z{<zhG*SB4Gq4@X9dim&=3zr{X-8kJ-ZF1z-cKwU{^Y}0PlB_k|6`$Sv=6<mMzKx#K
zy8OHnvzEk__gpwx|Lxk2=y})tE#I1?-4o{ecc101?T)?2?p=sKU$-YZ-8=L6liT~Q
zeD(cz{nfV0?OGp?)=5=6=i7d*T=47ey|}otzU>RQZ_oO+!~grWn&|)LE7s=if3Ldq
z+pcKa+EbM^b>=T`op?IScH87UNpo*b^SulAmvK)DUnuGFMz&dHrcYegi@M2DGFw+X
z{~G%w-{@qD(Nyi_d+i**rWwt=nsDTF)t0+uy8HGvALGedUOg{n-G}yjS9X}yz3XEK
zm0}QlwaYtQY~|bdcu@OKMbp|g_I6qRq&1PBzO7RH`Qz0u$NJz2^=rMiea`>i@T~5e
z!^+>LGo7x~+~Bb_&S`VD^iiw-I^*N+DiND?7v2AF-**0|d+YzapdVW=fBp06rMUSz
zOOJ9JdyjIF`zymAzSaAb8F1>?q^8^VSF2CI7yV-9M=7V+M{{9o0aQG-{#NYGD!X#_
z{MxXGC(ZJ-GPXsqP2M>-FM8LTN6G88O-cg)Da`$I``M2biT^EkJ)X9+tpDgeLyt+}
zZ#T}`TbpB9ES9_ai=AU>y3zIE=hkAYi!)o1RuUC(P3IH)Xf{*yUAX%H#`z)Z>o<Gl
zo}ace&imM%^`()m^LYcVyy@MwzuMEh@1u$6+$yAlHW)%o^<;G(WvTt&SCw$oZmOL+
z^nhY;FgB#Gyw>a*uxruwd&m{#yhEq&BJ&v@Se?;fVhBBvzkF%l*}HR@PKeJbZm4Qm
z7%&-}Ze0*%!a}v))05A0!<Q4R;sW(qb6NSr&h6V3B=z%ULEh3g;uDsvJG<wuETig%
zo8VOe%ar;T$Nj!KwQyC>R^i)Xl`%W+CnY6`h>AXa<>9arvTgyiJ^`&z$}wAc<N92=
zRzwaG7Z+dN(ZO;3jLxP<weQLvuS`4p>4E>54X<}y&OIH6<n;$7dNU(auIWVI)Ls~s
zw4vj<R(6`;I&Rh3mYZKZKJ?dZKGgs3%!`+Kp0_z%Udq6*AWQSd-P(g^lze_dWx;{?
zz)=G2ZUs{LHbomcbjufC)7j_#+c?MX#`V11zpo@^&*|LXr?sp0=I`=*8<84-4Xf6B
z@riA``Du#WcE9v<mdP8A`{n*Q@A1~_obHzT+2>^EEU8}~wRVotEQ8AOpznglSI^uJ
zSr^l~9Vz=TtjGy1+R%}cUXpTpwbj&_&)=!IN}7s;{R1HmRHlWSPMN$XDEiv@>+6^M
zX`X)`^;PccGOL!#le7NV=k`^`{XVC1diArduPXi;?^;$^TlqBi%B}K!p>yxqS?zeL
zeKgW%)!o8Aw(qZ&P4O+y_}Z9z%KBcI{g2+OzU91E-g{qPUHCuh*6we-M@=gNh1vKf
zgnMcJ-goBBwmXwH^Smr>ZrU1{J$=vWU*-RU8SO>SmVUN9zv|;pxowgEFC66cf7Sli
zUfWhb;Ne-h>%7i+p*McMmA><NS=4Xuo9Xwi&$_Drq9AKR*7jLzzS-r!s`$I!WXH?C
zOU4zEQ;S|iuD$<lt)HsuN$sLFzwR1%uXV2Nl5^LTJhXRiQ5RCgI*2})bWq9c_4!->
zzS^XI{pC_Gf6?KT-?Xc5bf3Pvwr%}$?d9j6|Ek`+>y&roviJXfPpI4ZRJfFD+LUjr
z%8u7BzF2-{%KXyl+iJ?Dm(9DktgcpU)$xnVpPK(EF6H|=O}Bh;-S$0ed)fajeYpMq
zngV(Kn`?G&y?Jk+emG}j*_>C)>%M-DH>-4AQMfUtHL`#Is;w)NOP((|9}=v&X-SI4
z1@EATo*rxLGhcU^KQ&KS`z~K*-5lffSwG$PYgAN7N=p4YWuLU8s(;q94-GM@IlDvW
z|NF9d7XOZYCph&?k(VWXkkFIVnlSyt+;5eCUFXg+o3P#U(>LC!>$gvtz9H)O-v5jI
zd|#csoib_XwX?f+g|7J~XSXV5w#xdrtlR5mGwo5G>~C$F_hj{zEg@4YCX26smUTaM
z%c0sm=e9>4+ovC%87XsTdU!xE>+$;4&FXyG(OW~b_w37>(e*X>k(KPHzui`GfzkKW
z@_$~FTm9{9&FM1<lRfJm#)?S3P}dJ$a7emrvVYZ{O}W!ynGu{aL-N<n41W}}=Tg?S
zY4sl)IiEk88uz3!|L65Ji_est{#mm1>HBKKMJG>3n?-jzyQ-`|wn{SKMZu|C<%w!5
zj~L1?b86IA_xAj#q?Yx?*LmvC&v&bS@7<q$`r-|5_O)}o1FFtii5t&udip$m@fn_N
zdyK6%CU@CYZ{Hjjc_+%-E?TGi?Iq<R4dftPaV^F;;)r>S>G@-?V?H)=)=lKHs*aKr
zpLag=*v()ro;9H#&1CPDcQ7$Mnp&y1{X%i&DIa^?{S8rmuN5YrT+^^?@zvkYB<|KG
z^B-MX<;8xvo7e0kZ?Hqv!@5%eWnp<AV?`&XZ`OSNqNaAMevob6&%p10?=#-5Kdr(#
z)f~+oMiED}lNzF)>s)=8TzG1$XXVX$E!*2_>rX7qTI|2&_Xp3Xw{kWut64ht_xga>
z%Y2{Ye*dOn`t*5y2<OR6twg5YKW4Ibw}&U2?p?L5?(VP7e>Uk;&rVa%KIN5rd+w?-
z-CI*9E|v&>xwGgppO&3%uvz75w*X_->n8&9Hdf!LaE)0nHd8*^KW9%-?#@%5Kb-c<
zozvNT^UfTTNM@<k)ArAwQtYx{z9Y=d^R?v!q<qE@lAm^c%O%&l`SaGuC%L`e8rpg4
z^UJ0C7XM%TEiw1%*JYBw3h!3z6_nLy{T8ykvHPmwmFP|84_jAGjEXT1YQGk@T6I~V
zlu_M<rK>Bfx8+Yhx#j}j`WI}kWnA~0ho8JT$#TbbtC;V7yRLuTz2~vcwAXFc^MZ}<
z|GB8P?aS9+bw|6cCgx4@cgWuQ#?pOlQ<v=Wo$Xb3Dwbb!`F{0udb7yQnnknBxC6T8
zs_per`)Rr}zP7$TM1Ieoo->KfSN1+<F}+>=a@W!{aMFYla)(Vyex2hE_Fglmwsfh9
zQMTCT@G0$Qr>$|;u6j|?ZYy=;#__v_r&6!2IX!b0>($P+H@;Y@1z(JMuq$BGOyh1l
zy*v3|Bq3%!s54HV_2rClO*lIP!>Vab_wOD*dQ?+M>5%F6g^kHM+d`Hek-xYzW_HfD
znAGF-%jWmTuV#C=ZYBd#>wzJpzQ@TxD0-RDcfX6f_Et~ToP4J7?$yK-GF!P)eE1>u
zfXM<rwr+`zldJn?egErp_V+Gpr23L!#kv`rkSZaD1I>h11kQ-Pcw$YYTDS@G0<MQ(
zujRh$16u?p7R*XcLmeJ`G-;+Q1A}P!-O|^fs{ftD0aimp!z(I0t>C4K21>7BT{a0`
z(@Y=Z^r~-XPQtq>E3`oCE5SyCi380uurEQJXMO2k(T%s}v%$(37<SC`6}k^P`Bdxq
zANCnLc38N&xEP?`Sfg&Zd(p%;<yosAp3v5}x%czL_fvjW>hlfkWB*?II^7025F1WC
zoHWzb&t3lVI!);<-*>K6-B*|K@!hFxo0?5w%imqNbMC2(xUgO1>fr6KZkFjuh9hU;
zfR!;u5l3bvhK4yU+vGLVROR@j)b#B5ue0_A)vKgyA1?}d{ogWzajLLz!|fSY|6Fsu
zRbUaga^=acyBkCJ;|^ORT@|I(?9J94;xo<ctlV<<{Wc4YS1-%_VZSf9ZMQOG!MYQL
zvyAJP=;-ra5KVk*npvFf8*dRhxArdb&Z1TGwG1MT6!PZJSgBt=KOo6#RnWONS02r7
z?pm7|ws`iu%m3?amoAx-qAGKH-O}J+8h0+fJsbY2kDu?wkzFreh1OhWIHkMdy};KK
zf5O)9-|a1yy(Ua2V%6So-pePZ-T8-<w&t8w-QQ_C(?`m9MK=?3PFKhGtD)DohvtQ?
z+f%z&RPg=kYq7Uqe2;nGWg7IJPq(6WQP#e~)wj;vTkt0At!Smy+82V?q}Q{0znl1e
z(#9;){O+3eGgqI#-@DL~y(;6<x!I?0*o82s*Dt7)z5e>)!p&PX*CrJ*#)w?nv+<Ds
z`YZ36zK1??l=WP-UhFN?Qd_a@X^04Ecy%nb*|n|w%f%JT)JqgJW2<Med43AoJb|Z_
z^Ul(EE0-6X*%dQ?Z&XitP!KzPchvX))y?NTE*-S>nzrP_q1SiT+`D#l*Z-&TBKn^9
z|9R*CtC+s5cbDJ9hu^mI%YJN&lNVoK-&+xJI!RkZ)Il)qD%Xe5dpmtn#cZa|UUw!Z
z-jyY6^~ru{B4uD;I3N)7Z}yD_sY)-aaOdNf{)mJqU(fvgb*1bwh0~Hp({4wqZ~9?1
z)9UMTSJT7Ur|;kU78m|<8#}wkp;>F}g*VNaCfT48Id#@V)yebs|KXnZiEqk&|7k{-
zKHrf<+D*1fbvnOTrpT0)*A}K<)v*ZHb-vua=b7{~^<sygYStUomTK58*(UX4%09nu
z^E<uPhKBd*uMu9+R+^=0`mblxo80{F%k}@weEwURx_pk?5!-ues(?f0B!0QTn!C?l
ziIn{7ybzWpRTtI%efjOXd<d@<{NyR^Z{KFTYxTPY$DZCYt32zK`%QMq@AvPQ7I-rH
z?p~e!c@eX}&c)MP&Y3xU_uuDNni6(cdftWm>wJUGe0pDc?B2I+B6ICFMe5t^ad2Ps
z?A6igS-YS8vu$Yiz%_lkdiP>P9ptd}@u!1I7mq7{`PazS)yaFk>+r-$DzklWndk2=
z^S!y?^2Os_xer&zUADj2G%<X|Io{&0SN9y`(#Sl1{QlL&{51>i?Ebg<esGZJ_SDY2
z{ipW7uu8YPc%{>OicQtZZ_8C)JU9RK^2F!*>sv%K`EuuP{q5@e?|I$Vs&A@)_lh2N
zWmvwkX64KNI;H*Xi$rH{3D0+xz22nxc}wSRq~Xhk%QF$h+0;uN)2B~Q^Oahq%`EI)
zV|!0(&CK^Xp<Z5IOSkYJ_?lxDJ@Ghn75MJIX|ORs^Ua=*Zu>DX1U#MdpFtSfQ@Z1;
zs;}?wuOInv&Ro-3t6t1CzOvWtp?dL_r#Jni_9$GlMBZ=A5E2~vK2Ga+{DlP_;&<jE
z*9Qx{J{6TCVw8b_VFzEcE3$wM2NwQ<u8v4?<(WqgCCzA^m120y$#;`P?n1T5o*e<x
zb=;y?c#197y`s{6Q7r4yf^MD(2Yb0oPbO`FD=pJ(JE(+giChbb{3CDPF)%a~ZMa!^
z_6hPqoU0Vrx)~fozh-VczTb1!yFLYkEvtm4^N3|yEZQm6x98KL$8-B@*1uU&{E74P
z<*WL!^(Q|wFtFE#ym){2&NM^-Ify=b+U15`E#zUG#3`whbasQ5wqkJP(lb(%l22~h
zymft*Z~RL8Nt>r8Tdw;0UuI3ism_-S@uhPOLbq>y{LZ$acqT?o@OWRj6~etC>3Whn
zL57jHOseO|{r%K(Zq2M^5C8x0lYH0p=yqs*WkJ&~lP`tgObe>IWR_cgc0cv$5<{%u
zwYbS<+pm3T4ZeEw`dy^+tQY)R$<*vBBZ6gxXwcq+{@Fj*7kX_}d3|M`zKO1uQT^X4
z^Q>>DYP-DsLbI}(`0}d)4?CaVA9BfT-&~BPsOM|jxkJ<)Yui`2KigjQ_ipT_h~%wF
z2ZP@3&6?r1Ekz7zN~^$Z`X9AxDMp!R7U*&DY|vD?7aksaKWt~@&QIUIhPmunA61^a
z_<r$T?R$P6Q`CAZe7}EPw|aelis!_~Umj*p7WwkcV(X0U@wxM3*ZGUvEtRkKxhB8e
z|Kk6vKi$mDs(qrbxc&XzlAS+m$%(>Q@u$r6?|kDg{aLz9=X=~*&-=S_>x38F;>^4k
z@o;%~R{5=;>O%alJc4SsW{7LN-MGUPX{sy4TyJLNkrboM3U_&3$uG-~rOO8GUlu2I
zVOQI`mnDAZ+1_2Ml{HG;7FM&NW6BEC?)fL>HIy_{K4iF7uD!aN+g#(G)cUX9`>yO$
zt2J3MHFDbG<5MpgczwT9+dM1s`^(+y&9$y&)k`x>J-7zGMs9f#bdB6A<DW<kEQ!|B
z^}^Bt%Ko0uvtC5Zn%|W5#H##xC8t&Ck3;KcKgGUA?8k{uKb@s4Q`a^f|L-2nmvh-Y
z_?OnEo?M0yHf#&ItdVy6Ic$CM>7Y_?lhIYHFKcG8rmsrPsG4}a=J1~sz7;ok<hD80
zpZD_#`ZST>WLsQ^larH(kkFc0j&Hv{c*Wx>_V(9@!rphcg7z+d6LdRM|K-Mc`%-h5
z7Z|C?+bsIA>+Ds{7k`8ouDxRNXA9qZ|Lwbx+C2|GNxqWf&rL50zw6jLcbom`^7yR1
z=L2kidDxp8c!S2*R?N%Fns%tV_loXaX)OmytBwCB{5xZIdVAaUySY;)FPZX%V{68J
zmpfC~3g&H@v3|?N>IrK9wr*}Z!(00J%Ewm)6?4PhZQo^$G}9eottYP|RBPk(UOr>N
zj2i`OEo3x3H{D!P`*~aT^(nkovbAe&i0yl2`d3(2qO$eX9fR=rv(jrA+@-sJd-UJ?
zJxgctz02o6YI=3vU+OO}y`ar*lK<z~CZAW=cG+iN{P?2NsNN{L+bsRYT{p?sU+>+X
z9r}OcSucyfbLH|+P7pkxsS}^|Yw`RO?-ynqReO6iI`H_`gi|)Tr;5sv4*p(I7h`to
zVgKgDU!My8`6lJ%OjGhaHF5nun>zs?uO+0a%Lj&erEx~5WnO-hxT~m4l2--1Mq;{+
z?Dnd@V{7IWzx?&yKj8Ow^(i}62W=0Ww#}w~^SY{iJvt0}(QiJfn-u&Me%FVnPZ_Sx
z^JeP?-97nIReR3n&2GV>dyCt4UDx=N(zng#wr8{W5v%1$_mnX-WUYF2*ZnDIztzX4
z-NA^mi-94;GwvNyQ-Ohj;d<f*#IeYr`y~x|=kB{4_95Zvo7Hz`vO1V1ZNAxMV>Z(#
z&nsx_jko5}8>gmE-_ZQhuOGUYW`V$Lm3?nn7#Kp&{p2?&EG+Em=$LSGZzcmnUst3!
zXv@@Z?Xv~GVh)p%l4MqR9%zM(Kq@an-Z{ng^x<OH72cpNRf`v@1%^_*_(965cKO-|
z2T$D$-)+p@kaZ+&vuI_G(abwjmSk<bo^NPq*wxjw>cF|v`!fYaEg>gMB=jTpjd_Ir
zukwX#dRp?Tc&!REXct*EPto3OH<uLE-i<u_3w&aPu(8M0=WvDGmX97i5)l+s+&sCA
zn_&f)-P!}&_Iu9q1D`iBb;d#^$axb7Pe{(03E9S^r3_h7BVpNWTnt)PqtHCjI79^^
zIAv<`v8DdIQ#N=U7Cd{k;K@{Rx%V|ss#DeHPWGQMe_h?nU*7ZB8A2BIpV}E~4nDS`
zz>#fb4cw9?ugdqTLH1JxUELct3G8Cqt8c;XZRGhDa`iR%Xo}>t30`L(hQ3pn{;=eW
z;oDi89INNwj(YUEUF`k33gyywSAJWEzu5Rq&M4CBN6K2iy9;;D)qYvQ{r-O5eY5L5
zzUf;FqZXe!iIiR$=A2S(c3mSGma3YfwR%dVbCFJD*|u+?>tAYrbuZdhq~pHz-~49L
zfJ<5F_pZ+kzrW&V^_p`XF-d*vgqOYAR>sP#rgZiH$@5zuM>GXpx>RcRaYfmlpW%{O
zCtMEjRy_{bvv22q$BD~ptM@Lrt0`@|x3`(uS8H!R<K|iDyNSGNmLvKfklkWhYTmQc
z`Y$hzpLbzq=w*{X^7l0l%No|~ex=Xv7cjrgZqky5fBxAQlY6(myVuvn(G~JqG5E`?
z?bRj6WN&&+{<wEvhiB0CMCB_V4o%+o$||ct>i?&;(`1XzJ>Z`m{Eew!%+jy;S^t!$
zOBr`5t=X%Vo458Q_wrSn*Y8Fy%fAM*vUOXm_>dcXNL|}XFN*v5nhWbUa(emtUTDlu
z)6g=h-}}ON_1E{6+FSpJObQB4+WK~{=c_l`Go$U+%Ac=Wude5FL~xz^wIx}njh#(U
zx1ij;zi6M*CBBT){pzWqZAshpFVFseci|t)Ak2Lw0+ovCUkL9k**1Ucj;iMQ*FMER
zo|5EwZKZ8|MpVd>B|N!5l-xS+wr9E=%n-c4PRew_#=6L_@o%@^IvE*t|I`|@`r6pp
zeQMgKKezC$t@F@5!z1o^l;x}JBDtCOUwthvKbh2fwTsnz>-SZOQa3L-=V>F&v*<mU
zbWllcvS%`T`PJO(+e7D9#NREejlOHS<#Oxs#k;pnw7h<MXKve<s~y+0OWkjCxR%Xb
zHUGBFqT_k1r~i+-P`Yd5Nu%CO(PeD4&tHb@-x+1_bJeBqOCMJ~+*bdhzHtBRy@p>!
zge*h2!`ELEn=d$PcgecE{mc9|>Ru|Xxo&iJ=hDfq+R85cjh^-Lpk{f@jJt<LYWC)>
z_&?Fjq(5MG_4U>7nDbx$<Xc_xJ9J@I&1d#g(w33J>v;=ovY%L-t<Rm*WGA~^?4hpd
zzxvXz9}X?QqVI6($3yP5z3-PTeD2=;qfB<`zuvO!r<?3gorzqeCT#lu=j^HfUJ01;
z<t}^pzI|o7x_tY2?tn=Xp7n~>{o;S?XZ_y=-lx8cd~U=l<>|a)lJ_pUd$avr`7yHn
z%KDYl*|w}(SZy`;^5(|fCGqV(GuNJz)me1saaY~%*teTJBHO2BpVbe$nt3H4&GVG)
zGR(aLPWs8;ui3|4Zi$|E<=3p_trdqEWqaaQSX;4)>{(SCzjx{R`mW$<Upp3=zY8vl
zh`p*kLr~@WjB9l}eAtcGSnaD?Tj%L_;aUIv>w4W&c9d@2HkT{-?=e%oHPJ!WJ~%}>
zvGs>dxgNE5S3&cmwI8GQKk<H>eaXE!YUa`&->7ZR{7a?Hf_R^<Ubpzy>Wk|_qsneX
zm7No6`SyDKI?2^ve@$BJ71s8x{##qvzh`r9-#idJGgSLPc;$1WsgWN-@^%?*t`!xC
zs8vHgE@E-P&-^6jtS6c;V|Zn~KL-c&-Z_<hA}#RI$~WBnpFib%`eaq_%^f`}NQmp!
zxoe&F!6C{PAqQ38981yK+j+!y{fqa1*M*$6<Iik8AF=n-znrh!6GW~!^Y7Uj_d7{=
zO47y`FS?sW-=yxkadVrA>U_-;X`y|lu`4w#pUXejFu$&%pReD(`PY@sfQY{rtF*5v
zbstqaeNS@Nu_udX3EE9E+VZq}t5xw?gWjB~UN_zyPP@bOF24_BFPe5hCd|9><nq!z
z3U${wkE$0-ONF$Au9S>4`uX$p{s)@x9e(~!(NwlQKNq<x5modvVWOMk%q-ceu(M6Z
zSB*^ep2*&pSZBmOWA3%EmCh1ATSWrbKe(o8mldY(V>wMl_35pY$<z06t63VF2c@jt
zly1}c|0T0;b<xT`llN=%8q;Dc|AW`ehaWEqnL9ziv^r_+rS9CvA3k&iZCWN0rD|>H
zdD7kP!i_3LE>6xs504F5Y+J84uQpckl#I5&K5NH0siN}{UvoDE-`Dx=JSo|-d$U^f
z6gioNzgQ>MD2oPNzSzv@HLd1D$D`L~;ma3%_HWr1bos+&t3CVIbU_;zptehlp7Y(u
z3*Yv<TV=hX@TvKeyB^Hycb4@z26<fftV)R3QFd%iV9_PRxmLHGuHU^B$jB9N+K@lf
zW%lRh@S;gmCdn-ndprAtj^?K89=$Iw2R%&l+~;eO5Tbw8zR;mMNcI0L#!uU1uFqpu
zU%JfMq_Sjsx3QXqcJ{(h>`T=T?wH-P_SgGamtPqru915C$1PswWc@B1%gfi+US2n$
zao6{EdR!CNuamL!JREgh<NZa(NlQ@My<h*oxmy`=HTB>BkJlTOIk?=n9c+y`bj8Hm
zck@w?g7_le->Y(ilW#p+kuhztsjr^Bulwa=n@&nz-ZIB%^BEqWGskQdkH=_>yEsPj
zJy+1Ec<|?+15Zlm!-9tAk9WQEwwwRE=H2hoKJ)hCgTHs#|5fk3GWYo6EgucGTQGsb
z5{w%@J^PR}u_K~>t;Hpe=tqCHJgjI?Ihi+|@9e$RGd|>cby$?16@6Ega7%rb{SPtL
zZ!?$br+uIF{A}9>*(>ipJ8yk9BXarv*&6>(gp2O`u_EQ4&*$fF11@OWYy7*DxK_G0
z@P1xj_%@Z)oU85sZ!K(_T&|({FuM1xiOc%+Hy2;d6i@NJvHB?gybE`pFME00XExvZ
z8h80ro{PJJ#pZU-Qv1IoaRY2E!2b7UFHZFSJG*<wnx9A4UpjK}{Yj%I&TsvFLbH;3
zuKB!Mw_wj*`zb4Jv&~=ciO+Sd|GU%g8DEXFWv=?Pj89WIe)`{AbNN|Bt?{=evs^p%
zJh7(PNs-Glh3y0177BKGTdqh=+&D|#F8a2ywl&f@>>>6~%g;{_`crl={B_^+do@e{
zuia@LxKpQmt+m_|m)YAlol&1Mvub09w(-_lH+$3hfu~qba$h}M{cvjYpLp*{k*C?%
zvu3LNES;8edHwsZ7e8#g9_E&k@qCZsuH?9j!Ea_+J8VDy_wSFht*-Xx%5s(-ySF_k
z`SbiaGryOtJDK(~LOJiJMSP3K-V*obJL~_3)LhFx*4aO2W#UBR&^c%Rx4riJ{z3Xo
zWWhS-)AKIwJw5Ma`NjF&bHz1Q@Aw*gCHe1^Q>Z-$=PuWxqM|RiCh<Nsj(F_Z)iH0~
zIo5YIMUlJzUGv-f?D*6|f#wvA`4*z<wNjC4V1{$&60N(Z%)U|i>Sg?yYx3Z910^&9
zuWF0!j68Y$-lAijp-b05g+bH;uY#3tKnyr8m@{iTXeoDK;=;s9f7RH3x?Zw1w`2n~
zr;S2F+CG8L4QS*!H)o*@WC6Id>odqA@bq&5a+arz5BH|6%v%p?f46-)9Uup3-9EIE
z_~qBVPb&9u58qR3s|KmldHO%rr5i|SX?k7_y7YQm>S?jo)>ca^p#Yt0Dsy<Vh0e8^
za;6{I)v`I!_t4D^Y7cWFCZ9W*H$Cgqdh5vP1!ikJEu^oh%!#e3+4JY`-<`b3-6;oO
zr;W&6BnMtX{G>H+7#JEhadPucelv+@>f9wF-(FYbxY~+?Tj?P01#C6)NIn0MM{>!l
zzyCo;Cx9B~%#y3Z*j8rMPAh8T3Hll*3|c1-I_O}+!mQK@T2D8N993dy__Tf5lz;Im
zzMzv59Kbz`lpTFC=O!Esgai%5pi|cDk}|U)oeYQ(dx}FG*xE(9S$Mg^E<AV^-oIGA
zX8oEe-bJ6+uI1yF(<+f;2;g0#weY<h+>s7#Ng~q^OB#8YzW$FeDr7Csq~dz88_!0e
zx>4p=<f)~9+I4gkA5BR*wEg9S*}J>n*l+ux?tY{|uhBol^$VMC-sxw0@YKP;aG+2o
zNGIv^22JCai;TK&nm*a2y18Zb-LD6>^!_?KXX)u_lW+a{Uv+YGQj*oRouXBXoa^_N
z9w>X9Tw%K=_4wu~l?Ro&udXsVZ7F+uqgy^t-od}E0Y@c7|I~MHd0Vk*P1xo~-_~C9
zp1bNO@(`YdY`aMJojE?a^FOXoTW7tt_`c881L6BZ-BR6))V_)2R%~49bMM}#%!}dc
zb3a}Z{vWlzTs|}4=E;Ul+!Z0l_oZ}BH@(|+bo<(4?=qHVa;vZ0Tb;^|=w~#%TFxcX
zJ!Rtk3fDQ^S8i`MfA!&}NM!KiEzb{c+*zOVO?rKYaeUUVAN?Y=$JRVqv65?AV6S{#
zaQxqzFwK=|s&j9xzWR{=)caEfj<s>ucg^?Hw!FIPO7X=gn*-jHt}M8o9reF>Pmpff
zT-y&jm$k7ziMz01e_D>sl8VitVcC=V^8fE<`!%KDUj81XwZBbP?=>`!uW1oGcW1%;
z$CFaFs=YjM{j%sYWly)QH94Kz=9`?(>bpL%qjJ{gqn@?)TMHLXKTwu8-)^RvlYZT+
zRqpC`t9SS8ye|IR#(U28mgihkjMuN*Tl)T}Z`3XuH?inBW@qBpHgEra`GMG*iHHDT
zczRaxs1jSV<KgPkD=XS>y*TJn-dnYzcixNJ?_Pbp(6=`AOxfO_m3y47?0*@>oBeXN
zTWtF4oEJK0<?E~S=4<*Sv#Y*XAN%UGe#x}DY=fZB(`}T$haSGVDX!sGZ)ecv>G!Xn
zsZ(2d@bRquB|Wd|%)HjVu3Q~?Vsg}<+F2qWR@|$~U$f_$&8pjfqB5R@fBpKrwLWmg
z@+&_!7VMSyv9;~)E8Y8QidA{%tloNS|EpQ}X4yKaD_M)>@*l5n=$pJ{|5p}YxvLIb
zzZO5+W9pIl{!57Pd@-p{feYBat%^TXKgad<zPl@@+_rwrIC;sWdFh^?r+tWN{FKI(
zr?kK2{+Hl;-`ze1&h^M#ar@nh;FU->x-76Nir9GK!0tO+mOQWQJ!7-%LYm&Id#k3+
z%PjY-UoE`&`MW(Di{C!$cAZk|HSx6lwey)lxBUNKo3vi|>b~sl+Phm-vOhmE|MIlc
z$8*xk;|!%6U0>ZT|F_!ZrpWfpKD!$)SIap&iCjot;Iv73>yx*+R_Z5fzBKejssyiJ
z$W{E)@4eogWiHW+w&_JKclMPJIX}%U((jm-sfN$_KO6h=w#r`G9{+EF#q2KIc#G;a
zO8>UT7>a3rzEPF?Z@zD1Z}Su3Yu<D9U9(<Z+H0ik+kS1&V*UQK>3@t=mTBEx+qWlc
z`X3ux?^)mkqQCf6<u2{#mt14dSWlh1t~>JGr!~Q~X2?zQ^oJkzAE~-_D)7|OD66Sq
z(Q#Y7^s08`eKT3A_Oy5A(#y|-Lr>p!zc*>h<RzPrum7hRmB+a6)22DWF=6*+c3V~7
zTePdLe!_A2b#HeU`7YRBBh7bplLdGyF8lJ;lMniq{=J*>vt$lid%gPmiT|&xQ?A~k
zwP!WclBDzE=kfwS-Mp`U=H$lHM~`Zl*T+{beRg`1<Z2afzfOJUwQP)^&KYQ1E`IVn
za`lo*?}~K}KR2D6HBr+!m%sAK+@iJ0{M&s}mrUPt4QZ(3`uT@Pm3sH;t(tnC_3o?M
zK;4>4++TM7zBcXRXSK3*23IE6H}6SeGrxQ5K=^cBO|QL0$L76X82Nm1>e}#l-*wmQ
zt^@`o*hUJU*ECiQeYwnj#YNLn!N`-EM)M<9KIHW=n(1RSb5H2?)obIr&P+%NJmapP
zopD_3m5|(GvtaRzPqpt<=jfk_J7PK8GW6v#2GcXAlm0aO%xe>hYjOBm_oMEr?S|Of
zm%QrcP4}L${mFB#Df*u;3VW@QUsDzr?)PI$i1B_FS^GJ0k4g~*a>MKAA>S)9jQ4JS
z^*yKPG4l?yu>R%lFZJ|KUMXA?_u~2T7rL`k^&Typ*0Hr~Q~CAJkDWy{7B~I!xj6rw
z|HWxv@4vqDtoPQ#d%@@J|2O<msWf}NrthFz<i6PDYx8PN3!PR-NvcXpuc*CIo0T@@
zkDSCBcPsXj!ID<BKW3aumwXy`dh3nPsi{BnHh;VJa$)-aD}id2tA0)pH`(BOk<~Qr
ztkaj}+y5`#|K0cE{^xZIlDAEopYE9|pQ&mSzF^PN+@DVNJHB0+vHaHQ(-KSmO?G>A
z?s4F)iXAJe&5&X&ukCQRt5VY6t&cpEb2~p@ZhO4bJZ0nUrOunbYpK=D7E<zyEpFW{
zH{)C9GyZ+9jkRenyKnA&5_#vrw&q*4bt|sP7{C5fF8oyf=c+^E^18n^KDWEL#LzoM
zZdX)$@Z>H3{|3yR@q5j(Jdepq)3YCOYft_AB>k7^)m1-Yy}#UeD;M-f%U9;_JRN7d
zEB}s!ELA&}arVhOuA<3zn&hv&j+Y5~#M+&teC))JlRUb&CI-IwY1CaCufKm&;67oc
z(pxo7v*S)&Y;6AduOaV4=>KxRQ%PH2o#|iw<+<7ZSMLwYT|46Y?9%*n&KOYI-MM+q
z<t?4_SA5&SadfH7l}#HW&hpP+`*!A3lvN4x?QexY-e!$oYdx>}@Z^8npWTyqw&~8+
zcNG(aa_^lonjet%>ZsDXGgrPz{=HJ;7MZs3<@N8sGJf31E>k`cvqJ73H@B^oT~b?{
zn~3QKU-fIgf%8j)x#EQ_gAXgEi|tD}dO2(NqnDfJ`=6Wt@>WfnuC&aG)bKvOzrQ2z
zet(}{%-@sy_1U+HTstZPy?%S0zw>HYdRGM-tC9G3o5|kuw%>Tp71981*493JZ;42w
z4nK=LHhTE^^~FVRT3ExDZR06;@$%K3VgDvi-&%al#*^)2fZb&a-em8uGIxB^x943u
z-<g+XnA6m@<xgn*`%PhGMhLSQ0$43`(kB)PTl?GoeJ*PE-aKw%ePPWUuIH~7@17sC
zLiD})l;sEYZnr+ZWt6_?*_$W-WRNsJ_!YW0Y2qW1T}!=8C+_s#{CoSQH>b`ar8b6D
ztkX}S4!9p*X=mNd;NY9M{tZLwhwdfSr#|fHs?keX@qs6iOZ#@|)jy>Q&7V^ed?y{e
zX|zF2Tch1LWyK4bHQq*77Vxa`G_r_hyQU&JN7s4g^_0kBi^J)f=_dl@EWLKkD~)_8
zd8&uUREarz63@A5ji#P$TxSc7C3&vPe48s)Te_py*y_%a6AT}$ckg{*J@sIM#<Phh
z)-So9dwQBK7dLltiOPZqXhRs(V(uvAOg}se)?%JJ`L5p8C-LQ*^gwNAaEp2Oj~^93
zfB!B<*{Ikst0f6(qKu(IiV*)u#7#y92ELT@-?u^<uO}SZgq}PFHIpCAkz6wCubnHT
zovSg|`0pJhX306a%^{obD}&p$jXX<>m(7n(QE+BWO-M(z_=uu4zUD4cJc=GxwC+}M
znG@vm!%ViOxP0Q@<6{3q426I9hA%0dpSD&1{Hz%XzW3`K?R|1<9v8FQEfl>dZL%{r
zZ~pVWlfN8&s1mvM<gd)a{K{#`QAox%{K0J8esS#4HLW>U_11c(O7~T*?4mV`uAjg7
zVH)2}nWYkpufDxneyd!|b7khsT@z}8e%n=eEuAva<9XPNPQJfiuQAS6nauX@=lNZ~
zADrHGdHYJaIZ{in`TJj5VXgJKlCdu><img6OWPiw+!S@T>-P32{nAA_NC)w0H92>=
z=FRi06{}gc^l;49cdgZ;Pu{L=_~xJWbSY!hrf<1lzZd36{hnHHyL<04*U7UrvcANA
z`py-w`rgB;^2>~KcdxtnYE_}L{M>v0_xjzsG-cY=K;^iqD1Nq`Q|k*3M6%kfnwif#
zE!DC7?xWjb$>m!vZE2l+<=);+sDt08U9M8Sm%5c}M1KCtIQ!#Q_)^bPJ-wHjHU@7!
zSln{V_Uaa%W{Imm9-4o>dOm&Xq^z(@EB8jp{#)=z#Z&ETUGm~Ot4hCTTTE2{3oVqa
zWm*?^{nyH+x9TqGthRJKu5|pRS#sXaj_Sv4pZu*C#af>~v5e>F+t}6ocDI-R`Mt!X
zu4XRZ5!KUKzE>WuU#@+|Jv=|q`18BnCV6MKbL~IwT)tmOxA@TZUmreSmAbsGb>1J7
zxM@dvXI)tEC(i9aWM%2wwblB0mo7au+;rp7mc)NqvU~Q<XWO38jx=Ygk<X_oR#;dV
zcs{*aBgIABbXS1CqVld4w_j{neSEHy)u|b8quzXtoBJ+M`ug8nyEOMDv{$a)b}S}r
z_POt;L!vs^luheT8W+Wz#^}CgU2%7YhH3TB+Ou!cCrw#*W5(85KRv9ke%~2<{{5~{
z{Z{*R3Z7Y3Zuw_r7knwNUfp?qt<;V~;orBOzWY@<H+o6gPTosvu5SJkvHZZHVyX85
z(~n7it&p~7Et#|C|JHd6PA;xn|Igrw%#}s%)!7H{b>A}Hytd}m_e+zN<1dDP-2duu
zB5w-Ui`A?jTiaPzNe34Q|5W|6Z2qNnb3E);32X9}-j*%ZLSE(`;@+nzW+?TfeEWKv
zU%Rwc2d=WIx%1@ZbH)CE#hYd=JGFObch9y*-L5@$_da=SKQ*T`x8GND8uzC!-vTp#
z^UtW_2@sE7a<BIJey=IMg|nZ%Xr8<8m7$7D+@_Zo#7}>D+5hp>mbn2(_}iyEjgIHN
zqdNaW-m4jcE0=ngonFHu`|tekTR*yW%fH>fclmPvo>gm0+p|AzQ?`=Y7|?(J>CfLw
zZY~%7_`d(kWY<$R+u~;bPY+IbydY>ZlWBM0SDU+2i(f9%dp7r!-}R$9<(1b~O3g;P
z($XRH(U1K{<VvO%sZ`!tD&MUyaPoZGHm${do_2ZHzezkzU$vTNb>z07V+GtFrcQr4
z=g~T`IWbpmeipm>^>=g3o_ANdPj7n_5Hl%AS8VQ|YW>RH5>J#)zKHfOJ;T{`cDLT=
zEkb9%t$wVQ|G#}6Z|*uV{=Um>+aLZq-X+O(cD4A%=qWYdUd`V>b#n6bZtkT@4vuD#
z#zv_t-YWL*30_zF<l(fHJzwUW;$cISJ69(??sh#Vy(Icg&|w+PqX7#}-`&2gXI^W!
z=B01%E-rkt`^whurrWDNat2@L+geia-7nH>rrGP7O>4fsT7B|r(_||yt~KhXe->x`
z_>*(?)wD(B?RS?tO!nOF_tWoXxLm4n^ptmR*SA$(-^qR=Wc!C7o%ZXlTnP_<?NU%(
z`sLT(J$pYmGsYaQ`O+fvntgTbz3+Yg-lm_uFMK@wQZ)`~*TjyxK7Fym$tl~t&6=Nt
zZJ4s??3Z(^?r-*dn0;=|C2RTRD}Kk{zplNv>8a+)y@e}|?KgM+dnr8o;wRyye%YN7
zt2L_2ZSCz`8jZA8oEQ1~@}Ed<<o~@rrM6GAI<Gb=r!Ps{Yg+f>Zg{zcM|W3H&&qbU
z{~z;aK3VsS`S_~%)lrj{G_bQ}-)Po-l0N0hy^y=@HVfL8_rGws<-5mjdhnE4@7CYG
zW%jhpbcNxiZ8Zz!W2&>x1iyWn$`kb8Q@Ql{M~z&Ym|OE45kcPY`st6PiD8zP@)mEo
z8=5t@x|_2)t&a~rpc;C_Rjk(dp`h*dFsXm%ZM`-`mD)XXwte|=YMg{sob;L08Q<@y
z&oW8tJmVbxx*%)PxBc>~gp222jo;^Y`Qg;}FBbPGMwOphWE^<;$@jZ#vO7PSXWi~p
zon@oy^l!5H>n)aH_j~p(@SS~L(>-YJ?e-U6O{+_+-Zrh_tls+Lpzy5UcT93m?dZI&
zp1j)b&vic2IeVNvIq$!EDmJBO0ow-R+KC}bH;PqmJMP}ZcT+Xhd)b^jcU}9uth6LA
zXKA0V@tnC*yj%WASx9!A+zM0u_~S<)BcfLi|LBmEk}_QU;c?&ED>r_JW##-UHeT<y
z1~zzk{pWM-|90!F?KE!RfsLGIrtjNlah7l8>YL&6(^tk8v%mPZbE&Q6f~wAFdso|Q
z{wv=g4b}#{wOkURa(miZfAPP|AE{*^w*_D4zrB)O7jyJ1(pl>a0Y^jntLGd!7wrGP
zaw^KAy8|zGhLj<ql!1Z4g6}BGdN|XLh3~gyRNp&zOj1@0ysRK^ySRm!1kcndOR_XS
zmTrbx<e<9Q`_cBf3=9iS?fk^3W@i`o@87>SpiN&#r>)T4ng7US)?|}wDrav>WlwsR
z(`)KkeC*h+C6>|2zL(~#-Kds%?pnlTzgtgOB(*kf)C2QO(zDli&bquUJ3t39j?cgl
z&`c^{Lfe{w;Xvb?pZm<f>kXJCPXsjW0pGYW#~9SCT8`SRYTleWA?sT;3wXeG!ores
zUy8eVQdTVB0eJ@zSOLuzDd`gzI3DDZy7T8%;g^>8Q>PYr=Iz^hHSwTx>p7&sA%+D>
zAf+uG!Cjp9mo?|V)7$j_#-sUKX^#%5Z&9jhR@<(-RBhRse(lNd2G`tLghdPtqURNl
zDsAJLZ8TX=Yx9g)XT4}6Z}}rPf(}1lbA8`r&rK0)H>n-hs`@L#n;+@acpN@x820G~
ztK9Q-eg2^4(mKiOtM}Xe&<)<d@4oxIrOR0hVtcs$?RULZW)m5<I<oM0*z2W!HOmn#
zc?Z`5kBukJ8m~Oxbbd?8jMdln7OZdDvsd)OKhL#$OdPzg?OXfL?y1GpYWLoXmzlN_
zPr@E-@xL$lD$PQ7dY<x?>mi>6zDd7f&RWypZu)uc!A&|Z)<xIc&U(`qHfvkKq+7eg
z%TN{=t#aGwu{f}*u%%xqUVg1){Qp%Zo-;QFMj4c?GOOsjuw(fwvD&%!D_o<#XNFZT
zeqtUc_)##*qPV%P&wJ9<Sxc1T{ljORf8Rg5vb@?iYIjuft!)=3&p&p0(vCo3DUYBA
zHeT+)Q@6~&v~FG9#{c28d1v6-`BAIhs-N97OSnX*XilE-E${cA(MKw?@}@|Asq%eY
z-xt2>=$g&!cGs_LXt;CS{Ka}nX@1RnIa9CBTJrez|8<Ras&m*^3E&zld|H^TfrwCt
zI`W%KtEZf`Q}JXA4(H9<8rZ-6W4Oa{&s+Dan$-HUK2+DAV>~PUwQFD3|4@0pY=vNl
zc-@ZaA|^i0@2%JJuD+Ia_4>zM^*5BWrj!R>Hmu5i$FOw&?P%YcysHO9_w8CgH~MAm
zB%Wmky|Pml>@QoXzyCtyudB}k_kO(>QMtA<Wc$yp7f!5y_4+*b|3v}*D?cVbtTOzN
z&Aa<*^gdswFL&mY-kKivr*eUoA*=bs_(S=>H1pr8$zSc9(zfeupVd^<N&Mp?-4eW?
zcHa({tBsv{RU=f+F7MNq&lB8N1V;J>KfQOy)h+ttQ6)F|{K_TKspocWJHAZhG;3wm
z?-f43`BRQ-J&Hdqnmo~{f9>^W|8I)iuvjrAyO*o3WP{b8PgBGG&sq3)_5Ld#`<zYJ
zMCPsc&oD1N({bgJ>h9FAHopJQYjgh`4a<sOmy#Rzr){6jL`_eluTrZFroaF4?oh7R
z7S*iRlS-|wd~y7mlR4#S%9^0&LtoCw|9%m6Te0@{Q~s{aD{p*uxSAMZ>tFsfX4i`D
z%H^9bml~dqomaZ**fV!T90yFb_@}>-J$9*#=e)9)#~*RKeA+y7?Wq;XllpIOwRQVB
z^Oe@&tgxA{l;4P{&i}jjk&60pJ@M&5r+j*JO_Dy;a=ow#t)8Uob?;}F73-blipqJ@
z11DYFKJV2GVUgM9InOdxXBFq01_#CGHK@$Bt^0cZaS`{I>G_8jMv0|QJeoA|>4TC|
zqa7>P?VqhPzwh#c5AUDYewu%)G^IbRwLG*T<W1#*R~s+~`ksfRA!o^HGVwY^_Z03X
zO_zG+q1nb1`)=RX)Gs<QdnRrx@8?UszjWPRX6~{dEi1FzqpWsRFV{((b1&|fGgn%c
zxfj3r{gu~ul?QrwbT~QfT5*1j#9h0DmRnM)vu`dc$*(s(qn%R7KVfCwyXmT5MW?E3
zTe?0I);8VK=&3f@Q*HA0S5;fDdQX&`q|^2DMJn&x8&0u@lCPw_V)EU0es2pX0_>`s
zI=?nwJ@@()=lp3|&!#VU+<sXbt!0kf)+$*{Y+LK;^!G(wL6`rQM&DjG>CV;66noR3
zAB)%C*cbo%`}1vUPhZzm`;plD=E=G(D>RPIsLJ>v|9+b1yDYncHNUp5QuS2*CVKSK
zTdUmC*Q+~)ovxnHIC;b2?csO9#*3ePKagO1$<kxqsS7U;A1|>xt?@l--O+jMt0ryH
zjeo&@eV)|kkm^dw<}3A=JnmN7cuDgY`>P^SltzA^zF6i|<+o;Dw_}%H+qr$lhV*AI
zF1*<bs#ID!f?Z=$E}9F=T`bOC`tbjq#Vo5spC{X0nJgFovUTC(tp{WJej06ib-4a*
zz~PhDDSiL_>fgr4@4jBY&iJQ%@uT3i_iuarbt|3t8haCK(%P1NN?7Nk70gfS{z%;W
z@2H|`+y)J^q@JGnXD%#~l>~WA<g}IV>>r(3{oMgEryO;;yRZKFaP!u@$eYM(IDQ#^
z0gcpD_xBoGg`7}X=N8UeS+`<M+m$=QWzO&3DTRB5_GISW`uDSb>a1B&il3PaY<BN`
zaL$pfdEpFqrTvrQw(CZ3)6mg*qo#hs{>NEfS2m*!Y9}A$NJdP4s-w>?nP=g=bIr-w
zX9Dt0JgAXWxu<<HKo_cF)!A87=DdC`CYfi!JYQ2=qq!v5@=e*xw)(p-dEN`|37@oZ
zR%(Lpq=inPbFQvhI76mNQ}_H_=ruj}^tI*P|AGsJP3A4>c=7U8%mY6C>05EMzbuwS
zEID+Pm<AaCvd_w}wE)AiG4{)^d)sr_oH-X48f|7+;r6Dix-q%=V1TFRs%h}3Tr)>a
zYks0v$gO%y$*eH8l~2HpHE=6!;Ty>%vp!2U@tm9NSoH5bFKp)a)Fj7UFJ-<N`8*V{
z-+%Ifjik!+|Mx)SX%1{gA^&;8kM;HUPv5_Pe~-DP48wv|QNfOn|0!ikNoF~<UAPe7
z>gqZ*zOSoF_C!E3G;KsoPFZn*$9%?OpVyn%YWftNS)V@GJBjD20&Ju)(or(c?D%5U
znD;#P`+xepw2@q=4oYpz@292|Yy%Hl#<s<)`0Cf(Qaq~U*xV#)vg7B~$1gbVYimE9
zbf+eJ_2H&=uDR?C7AID5Nqm+wx-y05ZghG0l~qPH5BAQJ5&dX2Vc{|Opy<hfhmuRW
zBBz@^DCUt|C;WbD$_huxh{<59PI8@5xLeWZ?!DuhWL@3onU^Y!JVM`BFXl=EIT<`G
z%C`J;z?mC**DcTRdUjYWo$(>p>c_KpO27Mc|E)|?<EwGfUSyt8bo<mD2i1tn+v6|r
z2TRANBd>vQ_*v|+u|xOpvNKD%PwAvxpKyBGnM>@3+pc`De7*I3$|Rkz)oV^}?25B@
zKO8+RfTJj{b>52$@%geF?k0Q^s`z@HeShk!>)(H$*!;(xJxf8qwC0`i-#N3Ee69bq
z@(og@6Oe1UB;v`lnOD*erbk(w34I;6Bi!-cJ+6%ZOTyx$7N}<55Bu-+WA`T1hGz0x
z^Be3}B#tHUR{xH!HPZ{w^t7Bh7ioN8)z(#kI+rr0#K}BdeB!!$_Oa{xwS0rpa;Av&
z%w5GhPub+%%4M$c&&}f|UaOsT^`6Gh-f$O;N#wGn7gSc9e;xJxa;EC?sHs(YQQhnn
zPveT8dHc%$pSbA!jHxoFp=Wz1i7aqD>@;Q5H{ROBx2qm9|M}#b74mxdw5;Ero7X&J
zk?odQe!J(}rQcmMS39o1zUANZiltF!tg0Ur`|@(1sI6)KH*4d^36IW(MXvR<+V}Up
z)QjLll?P_tT;ugSckVI%IqPD>yjCEpg#}8MOCpXOJ?d&Z|LwvFg0WX#IN7%LyjYaC
z`0zTpa;}#e$=k9wUav0Q<(?b!J^S^tA5F5)*4~mTj?A^Xzdp$9`vet9pIFlsSKVyy
z9gAm_RnM${%{j|vRpXThg=gcf+)C}GmtOn2=c>*VPu+iZZ%>&fN?B_-*1rvkuUokJ
z%WB`C3kJM<-)}Kn6SX=t{`l*EiTx`A@2U76f3bEa^OS#i`KBLthBAl$c(t!AZ|QeY
z)9L3ISH0f5@l(|26?vbt7fy`7dVRk5Ki7rxf*v0~^fl?hb*Zw|+iPaGyx3{^dW-(r
zAD<hFy{{~D&41Y)TqemgbuQ9+omJ-KwjxhNOjEeIvFpe6qN5Q@&LqFy`m^4Q)r@bF
ze}5y_$-XO-wr_tqN9FTHW~;Q5yEP(bi|=2k@1OE)Y2b&&%~u~zU%%zobDp>Hf0y=^
z%dAQ~TU1@^w0mW;+mdN|H+44~mHe=OpZ!>WThQ;WS*NdLuQ(L5@A7W$?V1;-tyt@Q
z?Pb7a_N$wh22Pv2(TkVsvT^;J#nHL#U*1l)*EqK<={$0u(PL_%^sMG}L47LzyT2rV
zWL5fk^GsOk6~mLq-`<j2{KuzsYNF|CpRWRC-ILD$iz}Q28Y}A#%&UhC$8m`+_BiEv
z>E>hc&%QUEl-cetZ#WmcRsH6oCv(@Y2tH6NW%Q^_QdP3{^~yd=$BmWAsq?}XS$^Lp
zrTSIWbb2&NbIhoY4n%4(vc5Ne_%1ry?fjwYmL+fRE_S|IzB2p$fhJkI#8<Z#PUCxb
zPt$wh>aH~XM{{%`bHRZNlcpTHzGJ)e()QW!uVmldt)ZggqNo^qB|WSsPu{>Ow|COn
zoWNb%_e(wNeJZCAd{_JPlndckVn~}mMjDZ0SkaRtXzp3IJH^-|kJa1!me2b&zielV
z?0a@bYwzd6u3i7X*6zKe9Wigx9qIP0Kh?%p^lP_@>Dm_tPt=)hp4DFMZ~Olb^QXyA
zZm!vE#U@^wEL~nIb<^Ls?oW*Nw)fZn{oR@S`cr8aXI+G{^-gVFEic1ma#DYf?@pbx
zdltXU>bkXAo<W_puFrmz&E2-^>(wKi3$s$Dq~t94miT?;%)n382^-{I%Bc9KzWDMm
z*=m03lxp4U=*c8d=aQEDt=uWwc6+TYR`L9HMn-nl-f+W*x2FgcuIlL4`IUA^xhik1
z$)EYvQxdkmsyWkMb?LG8UW1Z7W;61Qg5MPR)+8?7H2;s!{$)|3`?EXccHdq6;jxYU
zr4x2vw^sjsZZ-M;pHBa+b@|F)rLVfKQ^&H-aJh`<Hlbf#BKy6B-S?}Xp1{A=-Y|4!
zr(u-w&fQISRJK28|N2Y3eM-f_MK?MOjp~e?yUS8Us*%s*bePn2%Wm<Vo1~AoA+12X
zId!q{>t!p>@6x=^Q*hQ)Qn2dZw_mP4BFpQ){B8bM`1HkrP3a}?H>H32`v2#v@T1>j
zXX}U8Jn`Y}o)cMdO4NV#+pguO>*Q8&8I@-j{XTF>9x0vdNaWKLJGm|O^<~%pD|c{8
z`3JdtDJj(}aG!qq*4OQ2uT1UNJ$i;zI5Dh9k_plYdYJTl<?{b~PN5tX``}BAmNlXP
zXJBBEX-AtsTvBzXjg29zVZ#N3lW~2_|CC<NE0TF1m3q>vO>6GMj_(s+?_Rb=M)a@M
zgoU@#Vbi830~Si=85}QNdphfnWgCy^l;-bVMj_4MmPj<)wG`G=jeeP>v9Dpxl~a?N
zdCccDe)odTudec9bH1N>F?{)Li^a}Ad$<-H+kBgQ-(*RiHZQNBty8}2%DuVCH8C-<
zua8Bf+Y~-gD!CCcJt}=|N%5xJOK1Ig?RQNTz6McTUS7YxzCO<xxu^)3JZ#7DkiEI$
zkqpLSKV0*nsv;G0q8F-LeYqaZo}=0y`=IdMs~=xfdUq-3-(e`N{u=z&dg_uZTS{U*
z>{iyw+blh{RGlp#&5iZ{eWhIMc{;1FuGvw$`fHH-`BQvIN%86=!c(A{$5-C5&N|}l
z|N5YUx#y(x?bo+t6bZZb?(_Z^$C!21I_7<z)q>)u7ODY{sW#*J;`N_n+Lw0uZ@wk1
zy8mNWNB@OGGo`;2$4pvtO-1%+)viM|b@g}7?7p3S=@#<DWYxcISmrr>JdcU3&wSqc
z-gN23tDL5Zn?rZs&zNf+@6;l8_U@9yA6M>5uv?k?**)vWZ0XVuYa+!j35o3e<DYeQ
zZaxF9Db5GdNGtMB&35i`jfsi5^7*D5m*hp)UcD>9Cpz?AnOVJgRk(aYpHbRwvFg3y
z%d4*(E8V{>uS@?%;=NUC4X0mS_W7^TRK@m#S3;Yka<4qj{UdszaMHK!ps7fyKlkPr
zJA8KAyJ-ER`m61SrA~-k2<KK--g-KBLfYDSOOIQz#$EqxR$;%yjyF6rV8i~X{(Gx_
z>FZp+W4-@k^7h{=PBu(EC213v{O);}bc5!O&ObtRm;E!t{Z!~R?sg<QcmLky%jGLW
zqhIq~f0XN9Vv@8_ZvW~YR=JzYzua}tE;Tv4?8b@rU+jw~mDTKVG8EISEMM|%&ezbx
zYFkg4i3Q|JhMvD1YdKx-RnoncZ%Sg%6irW`yK3W4q*V<es(t^x-#CVAx=&gj`)lJ5
zrip)Y(pDS2n(^duS#Ez|-LhS$Hq1)ZKJ9?q67qhTs&?}B|Apz!vsWK&e!S&i?v8&O
zliHlRSBe`-d^$f}?eoq(KXZ2FcuzO|`f2Lp)2Ggvoj5wxzHRZc&BP3gtt`MlEVh0p
zZ;$_sU1cklHt#M!6?E+7TXTu%Nka88D_5>xcdhfmw^uJzZ*F?K>9p&*N>lw~$GBF6
zZ(w+q_KZ1;3+r+<v~`s=8#`vJ?2g?esj|IksYvd!^02@2e7WL_)2810Y2kYJ|F3;<
zFGY3yJ>T^@oBrD?`D*&UsP1X=HTKuQ=lP}v1|48-HhptY?31xqQU0oT&T;{VFT0m0
z_y(QQoV&MRwv*gW?|Gj>x)UFptSFtC*TJkXAJ-_=^vv@}hZ`IyEvVV(v05f`d*H2i
zT3gTUJ=$Vx?B1UCKGf%jnX1bitw&1T`(}RVm=~?h`~SI|YQ$PA`OgdIefi;~&m+5@
z_gT@I=^I1k|7EvJ`Q2N%q$S^U+hu{h`_lUTmd8#oO<XT#U*S|dfA%t8$w_DCXa3tG
z%T@K1{pft_HKmL7U)?_Y@s!?i3y0nWqV_!S-DEpFPx&U_t*NCa^faT5o<4b}R}^w7
z@Z^lJ=*VZ@r+oHC{88ApIO_8!hqaYIt<?PDK+C_@%RKoXHR(*0nMJ^96;JEWUvF;7
ze#dav?)!K5eKY@WVlvuNd{5x6;rbQ(oLQf5u300vy=Kn)OW@57uPclkzKU(H>#ABa
zKj@|*q7AU%R<XxMk8Qfq^YwoDAN9RZF-d9B^3}y_|ETL{U%!8A<@G;1x@IGvuD778
z&|{-VYxmsc^ZvQ!y+=&iFfcUK5Nuu?xlkUp#rA!|;~u`L;Qh|qZ*$8?L+kk;yKX{j
zj|G!%s(yqV_!0AyK^Rhtmx(bptV;5}GVP9eq10JV_;lX3W3!f6CMU-trt`oPc<Q-D
zO*~U?L`(<sW^FdTrgAp(wy6fvjjjv~D;!DX^VBYPz5SWb?A(ihnUM@3%4NIv9@uy=
zVZ{=aP;bxmx2Newi-?JZ`3X-wZ=kC6cK;k6Q|;!EBFKf9pi!ZKZ#+R~fATo8JwK^n
z`Tsj)bH;>5p45{HcT4!HC6^U+&A*>gFpp=_=lXo;tl_HqHtpo&$B&=Be?R`%Y#Ba=
zfY7xo7Zlb{H0k9rRdmkCSg~l)qEq_ru6y}XRv5y@W;~5TGTNli1THI$WVSm#VPR9z
zhd9r+tO<>#o^0u-56oJ#fX96H<BLM;%G>7OuUS?ykLUVi)OOh%y~FyGmWkWFosfiY
zx=|)2l(nalUoy+9?cLhl+F7BJb|2#W`?@O72DLu$1RY&-TIz#k8_)I5?_Nkt>{3|M
zCgj<GhP2>q#3ie~?+s)%{tyRotV;9cRE@Np>91v;wGp{?ET%6RdHU9(&AH1pN75`+
zEjA?0aCckGwv=gh9UGUnosV2!t3EkpV^pedU+9-#mQwdN8NFX3ydZCV-KyH<lcvm?
zwvPLx`quZ&%UvWn3zYX<`M9(HOL5kuYyVF!-*gy}l^nJ{oscwfc6!i!*7=z)4Z_z}
zKa69otkz!ecXCu^X2Y~Kwb6fN|CFcVUh-9(BeUR@F<bVH+xK5>E^PGjJhk!-(j5~4
zYeRdJCb}*D@`yv;b)Q|7@xFhdnUjo?7jH>;6O#KuW?`YfsqSF~H`BTwzO`RJM=o75
zYidc>`mlC;4SUZ?o_p`#STWyk-egN%-;?`YGTi1jtiHarE^8%tXM*2_jVB6sot+V_
z_vqe^g?}#hWn4dJyh+o!u=@I|=JVe)gC}h~ntiMCVYP4O6!ZP_)pE9FeV1MfYCd^%
zTv)Voc8P^usQ4N6t-1d^c<YN7^UX7T?G)9tX!?K8wc5p(MGj2N30wMio8M!0zw3Id
z_kKB!)KblxK}iEgY|%lFv+<vL?u7G(pRQueGFS6D8&?-^{ClR#Qf;%ewP|*j{Jz${
zyYhK=-c|31{n{RrX7yc+E@s#Gd-q<}qvF+U>ponqeS1g6GxN3*O7kZ>=|i1It<<_p
z3li$L%IyvP8XvK2XL;S?<9Xi$Co^hKHLlom?9Thj*kq>A@I_|2Ro?M)Hl0pb@kR%c
zA_DeWE{iylG2#B*eV<;uUbV(;<);sYlb6qLw{hw{l{HK2`!hYGTV48MhQBIKE8o>B
zzP8oYY-xnviOaX$m*yTyd}Zhmn=`TM``rIpfo4Z@XD#M0`?c!$1cAzv%beqNE-$w~
zrhU20Nzh&<Xj*=)X~FawY{Nl!Zq`@(``dq7we6bhx}&%IUSu3`vaJi3<hI%5|8jT#
zwO1K!z8fdre_8)b<?WA()+C*vPwzb5TE1T0=9@J&7s)dW4Ms(Oax?gLd09@fd3&k<
zsCdVdbmQo$fyY(kw`W)Pd@{Zo+ICeZS~GbE>yJ~Xi~I`1x&8EBy{YVe_3N*rUflOr
zt*Oza8hV~9#k&3e?VY|SmZxZ9%9pjvt)8(QeHJ^tGOF|0{rt%j_xzQwGTX8<%ErP^
z`cB(xdF?cfEi<YnGFhwLxSV1)-F$`b^xT)5mc{KWs)@XLlWpgYu-@G)NYzlos>2_z
zay;j~q+7N!v2RMyhdN=%?BO1Fk6ZU_t)|pY-}P<ZvoL+6u^`RMX><LjZH=on-5FI7
z<nGw`HN~>tk9Yq3wUKv&zP#J8TO`Bi<u%Rwntx9%&PnUOwD<2-gZEb78D_o`le7PL
zeCn)Uud?QH<gM?Eo1}YNZ+Fa-S>gQ2IcplKSi6(ow6-I;j$uVWAM)&A%pziE2T56p
z^=U^@Y*fgUBp+LoU;X8$Rmx4}EyDgrJ-sKV_Mq{g)VBogpOUIO%|pK{@~?RKQrT*P
zn&#6f=i+wwD)!$|^{-rYbVH%km0eqAKSiWv28Ixw<Ko?0PE9P6{$F2RE_W|v=8M8V
z;!;r>7cS)9&{ELQ(CcV=bZjXrYhikDiHz({tuCeA9S@9@dK#FOnopnT>EPl~GEhp0
z?6T144%p$rD85bX)+JS6uWnt<o%JnAnU_oa{bs*^UipdV?9F|ad(_`vxGtRO-EigQ
z8kLhX%fmP?mub|UNn3hEu5|N6-)uMDE0<3%Ir#s^I+5M6>W{@=Tx^Ta`q3~kDpmJ*
zVX%1io8ZqWvL9xCOJACOp8cYg&0o*GUqZKi)1R~2zvy@N&%oJz$9;o-w1rv~yerO?
zX@8!1hkIj}#P+z(Yspc@Z>C&Z=YKWQvsdr^`tWHv;Yr^#Zw8mWm>bQX{p<4NEoR}9
zz83E_{cP>(`y=e+%HLc6bYA{dRlA96)k_oPDkr2ZJg@Yjjke`C%c=7pObJnlJh$te
zUQxg$$CGpJI{dtLf6DaIU0>!}@MWF+QN1;#hLvxQVf6OaGj{(|i{6OvcFYYb+B;t?
z>qalP>6#bC`E%?yd^;z<>fPsdvB)2PcK)w*39pN|b+GvB-!uPq6)oGH$|+uQa+`;<
zUQ|fRWeeN#)b2}VJXc~XZ=0<1-n{8LQjr@nD_pHx#DZ%}$+`X6?-<q|*DAZ7ayMZA
zi^=I*zUp6JaX#A9v^)kW@*AR#X-{^|y0dZSF55$Ib#0KO7y?{u<B<le7#I#z&p=r?
z^}zkrvEvsQ8ss}V`zFr0y5sPC)!6vn-`eYJ)|y;-)yAyIuC>iKQr5IqE@Q=K-X2e>
zo@)nYELrF#t#vbSVPRx@ec!@`ZB`ZQmn`HnF;L4ih+<CO)F!oR@!~bPy&wM0p0C+^
zIba^2l-At?)vCO1*$F<E0-~jS{;IvTs^4)nc-`80dzn5|`#o=cpQ1MTq`T_Y?&Y7i
z6+U)5dgMrjHK&GXvXI;187&tL)Dm5Kyd`+y+}@Kfr~LUj-&%6Ifz&aTo;E|y#om5?
zVJ<E#@+jj;5B$-+ONf7FA0Gq56~}W*^=20zeCNINUe@G-L$jf@%Ky6giyGOaG8Qb%
zar?E;m6_Kqd%~aJwoM?R#<oq8FMo^inn<j5{Pg}ihg8OgMCNjo*6au6xSg9luGGF2
zE&Z?heE&VuSJF?@|1CSPfo)gWwf|EpkjFh4Ob=_9i+Oh1uKHm(d+YnJxp~w7e>wMV
zmSw>YkF}fMU%8@p)lz-gUbTG7rTOaH)*VB+$fcq^T&>%pNcNPs{n|Z2@1%;3O$-0#
zcShFq$Jwd3Dpg|5cE^6}Nxrr9lP~YP7gJ|1p7P*I>a1<b&b!mU1$_8<jIXLLcNL$$
z%o>mRA&gt6M!uDwv-oVgQOfq{sarl9+g{h*@#ZX23KM-c^`ug0`bF8zcGrJ5Gi|A<
zD0gjb(b#l)anz67wzIas$-OK3>aNssmC1Y==iWrL+J0)1+keC~QFY6G3*Prt4*zHT
zYrV8*Hp`W~iTp2mCBL6GTfJS6Yq!_oeY<@or}c+USBOU{q8;uATdQ?%sfswy$9Z*E
z_`C~K*4G7YTe2s)aF%J#qA*teiyg;rO-oi!+q&;}Y3<L)oT+J%Q|n6F?WBuWA5!%c
z`&yg3wM^b~s;PCfr2X-%Q?e7EZ-4#e#_wB2N3?dEy4tUmUg&++&ok)Lb7Ry0*QLL{
z*<rVdrS#(F$Lt=b(_g)>mNhLDYp`1Fd4Aukx~jGJ{%gdUxlan)`*r5G*t+HK-anXa
z&5o!~9AZIX^Yzu$%WwVfEt7N33tS!Sdo}rl?yIjZXMV2V{q==bv7^Jv+vYFJ_O9c&
zvDi0zvVKg$-6g&s(@T!>nx6~`bLnpXa&6B-u3se^tjv0^nED@m`RHT(vU*k6S^)VM
zz1F&uib{0qX5N#i=$+Lbw>Ev-w#&uIa)DD+w$^$XFT1~ZPWkUuz8Thce;3Zk+oN`2
z>-jx%XZ_x;FKSV+=UDHI73$Xi7L=#2o4EGVa;^{F)4t`3%=@&uEPZ~p(&Ghu>D#U>
z4LAFgDUOKoE3Wru>Li(I{@G<<`bK=gpRmX*38@tiKD1>?<c8JV)?tke@=tSrIob8h
zLdC2Z$<s~u{}5_7%3UrZBeZJMJNty4Z{_YjidbI${9kxxkw)g**}p#gHn@9jfBUQx
z3tL1#Z~fAq^3;+~``c}nr|qWU-3po}yQc4Yw(j!p&)ZI}ih2A0JA2gM&+p6mPDU68
z%fHzh_4xh^_1}*qe|=CW7iH8swr1HuN2}krGw15ntWt1a{<&G?&Wf@-e~`AVX9>Um
zuT~b{P!bljA^6wAFPuuQmTStMnr+fB+3-VX^C8{dsoC3Ct<jWfoS2xE<Q;nHC#U-I
z(nm{tdk_C#Q02QT`^O(&FAuNqX=j%y*S}sop-S!Q<P^T_Rc_ZrWVP?;=?7+prBB?k
zx9b01Q?I|dv&GKDEze<GA<_P3XQh#8#)`I9e^!&A!v}1hKAZD36=?zQ70-L~b&4*j
z#6Fo4HE*hsuec5uSGGcN!O7~Dl=nB*wKSc3b#wd8R&J-{E1z%uy0!i7ZzKC(s{d2k
z-uTX5efB4(dauaUH7j$}gRg#?nK|p0cJO<?S8LM5x^L~>;Ar{$T2VyqDbCJ-(}&(R
zDWzuCJlyyBl2NIae)P37MSJQPR3rH1!lFKZ+qLZEPIV{iSC2l3$ljX&xDaVZ!r^FT
z{U(nED$9e5W-M`d&f2|hMosgq`}dYTF*|O5^^WVzSASoz&$_UDZ<8wP*1l^ezAt-m
zZq9j==Zg=g%3TxN?NfN}UR7EOzv<-2!16r{{_oWcmJY8|E`FTAdZRD%edFUxM{7-s
z4wOEBUob&de0jy`+^R?Cub*6`5L@)#;BIB)U#<CU4Es;t+u?Md?asR8a<3PuJ=3^r
z`b{ymR>N=g_s<*s5NR~v?jHNdC!023GTXdG)#25XUmcw3_aaq&ZZG^{ID4v4^_8=3
zW@{x)1N`+Tv;9xr9rUJbZcqFIcj>y751HMvrf!uv?i;&iqyO2-r3cb)&7Zo?R^H|H
zhcD`1FNNQ{wZCAU-O0D>pStH-$(egTln#4&VCVfnYrgZBcZes68s_a4T6=s;+6~RM
zvGcX;WSCdDZF@S)q-vv7Pe*9bGrP0>mdmyu^SM0D2CZ28WE`K>@OjOX8_z@Pnu3EG
zQ!HQa+I_;&lU=pAjQMGFeQ?~hmzT;PS*%cf{Ql~skD?X@$8zq98U6k<{p7}A6NNIb
zlV9tiRwSD1UD>ec{NBQU2X0x{uh?~7J}TwmXYrhQyB|iz%0)KnF{rAnt#RDGw&vh<
z=vm;PPz!ionYziNaj}E<^FO<v@m;7|lT_||jaR(J>WpOW-_xeAK8FRJl|?E97#2+X
zcq(P0RC&&_y#5(4UnA|UVqiGD`uS^+sc>AuKN;o7);}F9?=OixcSt|Xf9JL7x(u%b
zmS3(scpcgRIR5#W2vn<lvDc$`Yeoi#wZ-q)XFPe5;_Bj3kS@ruLf7nAZpq%x&kqtO
zuqRJyzbMz^Dd$#gpjIjI@!*apiCa(vd4Ek{l&blW5cA34R<q&B!x{X?RQM0ubtP^>
zDis(S<f+X!tbVKz_<d{mmA}*H{I9ycB7e&KpR<_`OTD-i`9JtkCDe~#>I%>LJCQ1?
z-&Q<2o^x&A_3b-j|6R7;y?5fr-D&lgj_(f3yc3$HS+nHY&GM`)@%3kBYc7IS{@*?o
z-d*vsIH2Ue>-kzvHY?-{2CoFh&D42xqEtiw@0L$qJF`znM#tT>HY~sLz&t$b`NWc&
zZ^~{O_hnu`Wp27-aXTlU@4IV%oPVCOPMv)ASyhDa^sJ13$A4YkTAq0<&V3_q)0M9u
zH&*@d%J-M)3|TKeJ?r_!lGN1aFG7w9zWwvTP%`V3%LmzC50;zMe)U<+J&$i!<+8H$
z+SQ(OPgR}^^0xl|A$wu{HSv|~U*qmSQ1YL3_2t%FtF1p>U4QBzofQ2_ZoQA#N~`X7
zGrsP;Coi(#>EYelS}HCRW96<My8rItp49x;>jL;^TN!VCb#vRM2%Sw4I$V1*COnJw
zjx=Afy3OYMj=Wy3HJ##tMsM%@XZv0iS$u43;2q()q8C+yrhk=PTz>ss=&Eq7Q<~qU
zYd0DOU%y`&KQaCe`^xP9_p(0MXK#J8{MF1q4|S?V!O;RC0$8^uZ}M2({`Jj-fVI_6
zIemY=5>NF$Wi7Tm@~~P~=m&w`iZ!`Mzde7J96smkx=S}w=f7OJ+Umu{r6)HnU3+bX
zSWvf}e)iM;lczRCmL|OypCut&Stq~o`2WQxmF+LCdmNT}#P^D78vpClYyA56uGe*6
zvne|G%jI2yyRN*MB_()e*HWcT{28ymAAcIKZc>@f<@WjSr@XGy#%#;DN(CjErvHk1
z>woT&=4-*+y4Q-lb+uc+&E4=Sq^zr~_RIcPDR$fQURmw6&E5KK{)s8J+Mc(?d4i`e
zn6&)(-|Q1tpXr-vJ#MoujenRkalyJ*_jmXH%Rl@4*82|^B46#)|2qc~9AL6x*2AeM
zl_+T29Cf>VbMLI5A6RN<{^=Iu$x`%Au|Hd6vq17aZ}4PQ{uh@%@KwDy{j93)n|GJp
zcTY(*@1C~1(-(#3XRiD3V&yN@D~EsO&cD?2^1H_KyZf?)Z~1+%lUXLczVPlrmFmw{
zxx9P)gY9RpvM<XHTchKC{L%E6y^YsbRKB=cm6mO7YyNEF=ko6ct$+I8W&B+EE?a(~
zpMOr!=ZBw7|GqN)_4w(+tm5-dbNALSnYZhtXinB8=kl$w%N%77Us?6sWNyd2RomU-
z>K;B+@~-FI`Twtz^rdy-`-?ZbHtqTrzW&<otyMSIr<Uz{`VcL==AKkan|x?Jcktml
z##8xIm$ofz<l@U;(RMNI>Grch7gWEdlufaVJR&2tsLir0@^iO-p~mGhuY-yUjdtG-
znB<eVEBl?`%^b6vIc7`qD*~ra*?jv_dPCBy+zY!mn`@t)xXkzSH0_0N*Y4Vz{P3dD
zmVa}vf0=){GIgE2)Lrk`;_EN}R^CntUH9$(Z|1fCZhpO}+ZTWT@?+-sE6a{Ge?9k%
z*-FAXy1#_a?!T09*qQ5><R?viZn5S@zVH<JYcaZ9?>T}BLZs~?mo@L?la1c)_&?qE
zdU>~9*dE_gH@5lR_HS94I{Vl2>#^T2D*c_IoBICW^k=nGm+9G7Ywp>z-m<)V+FJXS
zuix*959A8E{%`LUtGeE4vPDzwzHD1wjNB*Ba$8xflXYev!^<^G5|)2)ZYasVIhk1|
zY<H3LU&n>2c~*&GJC<%NdK)%%mw5WJFx%>#Y&9u=|L*)S@u?SYj@ZHt)9#wuzm%)h
z@HEmqP!eMA#^QBcWv=h_PUq#Px9+oCvr;Ou{^G_%ocfiWUYq~@o2V@r{coN6;{WTW
z@3LFOX?*|6eS5prOQhYk^%m@IJO6KW+xMM8y0foL*Idvj^{42=_ei^QIg!EK<*zPC
zy7#?_Og~p!>&D8ec9nZYdEMPLUz&<ff0|lh<o$I$Uuegs)8A)JUA;CwC*aj3>(c*6
zKW5$jt#njBW?8(<q*+rgzI2Ox&hqc*%PBtZrdRAdvSZQY<R^cwTo-i^?D2&4a|I3c
z5>4P-6P^A4suN=lWk^g0^?7+tefiKDUtPcHgy-avn~^K`1>dTB_^|nq)QdOye3nJ}
zbAC<So4i|yD=z5#zOyFziLc(nT8`$=t8Zp0nA$4`UpvhG^?CNZOSkSMai722cR2Fg
zS7-fAn(8V}y3DFiJ-NE3EG;~lyzK9W0O9yIYwo>Xce%9pj8{#~tYzO$U0LEd_v^v$
z$FElW$ol)|NbBt>zr$ZHTh^5kC?T+-O!rX9v1u!HuIk^<o;GE7?#gTG`l0t1HecOy
zRj+o}n{dyx*iSw;=N`3O^VC}X19P8AYMOaq#pkw3T<mcRqRjcPW@hgys=1k_P^fG6
z>d7aG_;iNnuI}#IdV1%sOCG3%hRKl~;E@4H5M7!fSK$%0>FRaSeTNa^XU}V1da_h?
z{`Y?=6CHNuDLydpY!vq^-jVc)*DLB@_oT2tRSzM}SO3?S!|gA$OkQmI{B?Zj1*<6k
z^D`D%7u#J<mX}>OZ=3PVQ>Quu+E?E?wa3hH+xqF*msi(ceGz!^*6*}AeXCn{eaTq8
z=Is5`cLi<N&X*8h{^RB2FGuFDzr4)SUhC7n#9f~^{W>*8r1JCMSCRSi&FcP7He$N9
zs{H@#t44*}zuj8e*4r$aaC`Ay_C9a%?^jPSUSGNJTj;y5tXr<-_S>&HcYn`vW!d)3
zA9EkxGR@w*X4m4F^$#~V2fZ_^-Dl_OEib>OA-4Xi_;Wv(RIAOlbA*4)e3QSms5$n3
z)jNHuPlsJ}e|<FH`qtX<@%y|LzQWf}M17O{b82~XO)SHXl2_NG=jT0SkCR}BjIE%h
zxn=B6?*Df?jufC@-`=%<|1#Jq>sV^~(%iI6(gy)UWA}TWySs$-{~tfy<F(7bOj&Qb
z@1#&~-6PwZzw|H6+oF@Y^l0|U9rwDlJ{DR$SE-MB^{emjXOnlW5w1Pa>s?+Ou9YnF
z%D%q*)tV)4)4yNW_THv#_<PD5P3te`*0!7eed+nj>iojL+|OT4zVk1t?#i;qg_A}0
zB~Im=^7o|eJIimGw@)%geVQEFDeb16bhlgT^SOgX``M=3<i>A{Q$H-7aXmc#MMw7W
zsjt42_&vzaiTe5|!1w3I?qx@0C!Z|~vcCU*dCz|Ss~!D)zpaqYvv?)4{!ZkP%CMq0
z#ai|UHCH-pnp1i=_Q`@J&66y5H-1{XUsL~e$xG`(nJbe%e$P7jgG<KZw>NL@{XI`U
zOKvWWKJlhFUgYm)=ji447oT1(9{GK_xk<)dY1Qu&qf2(*S6#22T5Gwb{9i!neETgY
ziqFsgec!$0Y5TkQrpL=$rOF;V@oN7{dHC*gm+1|$%oDENT_(p~?$nOn@lWPm){m!q
ztxYN-Hb%-E{TBQy+hY5#`r|KNEijz=X3vL+rSpY<$i5ca`}c)!)$QxD;JO`51RTfO
zCHUHHSLqi0zo_@uo~a?l$JdtnOgecT`HZ*&)AtxfE;%MUd*yBAv|qcBOZNjGUL8vj
zoT7X9@9}3~JD|k>p!3%eqb3Xt3?Jm)=`b;DJ#k+1($}}Ov5Y%f=2#x6Xjy2W1}+W-
zAHxR)O?o^Ze|o-O{Fo|u2r$uS(Kdsxv$vd%{BiV^=Jj8jSRG^^m00QjxRqk`bH$Rf
zk0tla^6spdF+(C>opEWB53DTh=_uq}oCxDCF|?dJ$^L(_I>MfklP6Dhb#y#P&VafZ
zO#R_R>(_7);N$K0zz04>B6rTQ)~$Lq_i4qxO<J4nrC#MZr+)Kf<~6zCz|3nBk~6>b
zV>*Xa&*gxbwU<C57aW{(Ggl<FZ@%$tU&e}Ue=i0Y^G>~~4po1p<nYei$&)9G3JNL~
zvzoIrNO(pT7ifL*U8ME7GV77F67SaSj;sFtPxgn|FlFtw|2&S(g;Gm)73YHOyx`zm
z8M<Wgj~qeen*YlVTJQ$l-D#Gw05lxB;xcdCOdX-p_-fa?^(TL>|L${V(dFa*D-%~A
zdu8+Wnb3J=hEor|*~b?g4(TgBy?gyCx#Pl8ufm)|ts(jsG_t)fzu@3pS_ZQzH*>{0
zKB<fq=lG-`N<IWR?_HG}XQ#Q*UDQ5p^Edzh2j6}=%q_HMB66~M@O_U_<dKQ51LA&V
zK23kRGq&#7y!c~sN>^VWU3#n1BsTJ<rPb6z7i;?(pS7whPu`tXuMuClJZIC*H=CBq
zUtK4<{^hzAuj)ka2`pLH-+%RRxY)f9M-RW&+nDG2ja$N}^YxDj+w|wIxIJrUbl4jy
zbF7`H<clBg`CMH5f2l8fP|DW%LFZQbsJ{E>;vb)<x2^vA;aNLEoSjwAUtjP$HIVDl
z*~wwA!?X9DyU~|%IsUiC3XqFl^t_3VzuxP(KYXV3o;B|;?Z0$+Ve9#bQ|0@#tb#Wb
zge8@}S-t7_$CkETHv?|Mf@i_Oa#@oL0kWWhYETpgL`$vO-OMJnYH>4oY?@7K&y5G5
zQCiVEuT#%TUUw_>F9~y*>=Apdyysr*?(mhZ+7{6Ml#@v5XNlZ=>mcK}J+TM(^{nez
zuukgJoyWUOwE}`9*YCcuOZ29Gf`{w6$7S31uKOGAbK#ArA(z&+H7`u%RPcA&Jh_6t
zpY;Cv{-f-c^jCLx>8#nPr2l&P#`o(l^*Q$?9p82$X`{xMMUJ!bzt-?h+ot1NdCTZ2
zV{hEN6<17!OW(JwpQ`S8E=ROPW}T#dN$`Z*!U9XO-Sod5n~B)Y@!)xlUE~rQ;kc%#
z?IAAT7<2#r+aYr*^ip5yuMeN+7M0JR^rm_B)}-iF|1LaB`o4bxul&jzS4yj@Z)Lr;
zpQu?KHffu_#4<g{=hI~W_p9~)aoOD$Jyp;=aQcj;VzqJCPRUQ6n!5Sbk$d_khH96#
zt`{rMJoSo2HTvzQ+m}l-PTwziztfs!$}Zm@9!J%VXMK}i{UteQ*PCx8vOlsmEjqkA
z<ISe?U#^78etB^z<>sZZ*E7AnEAKk0UYEUjX3@U&Yp=aiUb!hPUHkF#2;ZH`K}DP2
ztq_y@#lU=`BYx4*|Gt5nw@qK=xG~jr{YSR7a@RIHJ8gY8Ro&$2>i8+Tn(rg#r18#P
z5qi+s<k7}$K~J2ezWcc6&i|y|`?I1wNH!?%QkZUK`Nq0}`pt_!+wA=w@}$;tQpkiA
zQ$KHiIZ4pi<e8QEd%uUwrimrjH~IUergQC@JvHL4vAnl+pSsDFw{2apoXO0)@Bcqv
zX5P@3;GDmpvF+BSfM8JCn+i*dABvjKt93`{_?E`6ufAgZx$5u6>&~yH)NEvWz5D<B
zx0}t^U%qzWx5?MdD}N<#Pm}v5wM6Xh|0V8=XTLiBew*g*DO-Jft6r?zcG_e`@{Fjj
zbCwBT4E}oU#Oc`6I)+kZ@m+Cym+m~Yc=@a87uZc6?@i3w^n@{1>YgKi?ai3;=j%K#
z9t?VI-*<8I*?pH5lqqs$Uv~E2zca>qv#RT~eZ8+GY)kK_uKs#LRIuxfRamuE@1-A#
zn&@57xbRc2&vonX%**QQJF0(Knt6TT=L@U9p1D?5m3KzDt7!8(o%ZK;2bP~%`0B=U
zN!y#+F`T!zohmzch<p9V&3D&b7iFy8l9~Id_4kInOUr&3?|RfWTcq;w+E<qnwqMix
z8`{UUtfK0@=KtS83xA!8{J!blz3QoMdo7*jcGqf!OrE{y>e`F^qB@8brVU-6Po_*f
z@T;-v!$C!<zjrijC5!`{<*R(^_dQL#d;7QC_Se>Rwf_D5HG#9G_^(;d=6{j!Cdc;D
z&J$<Yf@i#&RqbN7JJfyu+!f2X<t{2;E}#Cd`(e}KD9nCZ%%mv`zrNqI?7vLeUHJnz
zdX|eLw<#Z=A}YFgVf{Ymw=$f+e%asGI{C0t`ufLy&2RJNHSeiC{ktXT_5bq=)7CBy
zc~gEob^kl=>tTnwen$Or-t<YPUG#Tu)2G9;R=3XnX`cFxabG}%Y`V;)XO+$(AC7LW
z$~rcWd%EcEV`t%02j^r?ZJ8TX;IX3V(Ck%#e#<V^Yaid33+@CT_YG6u{5*R@()Hyk
zlRX)i)&9DDq`Y*+?TEcUbJSTyB6IpUf*%LZl=BbtDe<|Q#wB)tn_8M(Sjm2qD}UR%
z-pq!UjTr{VzUND2ta!686OyVIZZiofiIvJ&(RHf?TKhc+=e3)uQ&f6<wP}Jw{nMvU
zGk<nXjoNJ%*4re#GQ2-yYnJHKve`Yl_17m~oWA1lTIDTT1sneT`#9zP`<91iuB=MG
zeM;!2dvP64Sj1tSrB%CH8;qkPU$Q>mcOv}JNu8_woS_}iZtD5<Qa5y*5_Q=#>L$IO
zeqvkg<f~^zRvZ&-+@veJ@b~eYfD_LDFI;23{q^OHd0jfm($_!cp0fD(JAeE6d8Mo3
zY`+Ve+U$F`XjaMf4?ky5nJ{IY&TL=zf7jd<zxw3;Z#w;kxn|)9t8Fc-B7eUwIlsg8
z*8K3C$c@=|61Qq^pXj<!wQXJG!)eD(ZH=CqzEyjB;EF@t_49gfCr77jj1Uq0yG!WY
z-u8-JY^Q5$#U_6LJA2lb^&xjZ9V+?zUVe^Nje1eattpo+);KL+bL4bE*pq!-Q}2hD
z%C*CSc|l{_uG@~F`U%`*36PfVxrD@>%lqy}%BB;px_Ut=DJ??6lc!9axN^zk<5OqO
zT)84FFzfEJt;cgO*F~)8)UR81`0ef$*^`sFKkvKxrhH%EmB+$gGotye4NXH`x)0sg
zV_y~9Z7_FZs@Cf}#;*Q}b#BYnw2NmIaG%~8uhPDvaPsOecXq{injNh-ieuhYc0o7!
zQR&emky7Q!0g|_;*tk_z)Z8_CJt=)zX4ZP2z1Ax>&x+K^`hJM*>6A_DKYd|r3wa}T
z{@%t|PS&|sH|KBcZ{+L^JfHnK`~J4~Q@4S7-0Lppe%~>_BP?#-I>+<Z(+h7#egBoB
zd+OcN>2bT_c+c&XOv(QrSAD)@Wsows83`t)wEL@dr#wm1P&N*Jdn<O$w%l7UHucGh
z3JNZ~GI^y{;U|~QugdxR;+yl{E-2W%WWlyqcmJnt^|4v7_onLixnExL=z6?bIPvkX
z6<6-xWP^4aeO0`#CrZ_&?<mWS(O*3~G&f%V`mzIcQnp%AYtKwNqkBd5nOkhmv_tv(
z;+gY1f;JRQ-SJ<2?iq9K6?F~Ab8W09uUs>SBep6udgke>yRO%!E&+E_t(PHnQxi3R
zuPu>JU~pak@xkNyS??D4e69P+?EB(!+`&mrQSRAKs)I|?&!x}$d@=FmkL9y=Yxvs6
z|MLiDk6RhwY2^Oul=N<mRXv99UrMbx@jHl9Qv2(x)u*T4Up;@<75ncopOnu2dv4f2
zJGbZdi^63yPlg<;UEY-+eX=(6hsD&G>1|e*0w%&Lo&^Ub?(ffBuy7MnD=2GIGn<qa
zJkgonc+dw5<nN_fpV$5OnpE|@@1pMZJ1Vo!uCw*z)Y@UR;K;9D?2AgS-R(8_wC&Z~
zo7q=?9ORU$3)S4uS@!1gw#m#}o~W-DGVcjHvP<0b+1%!L*M0wmES_KCzwICQ7Ij_k
z$jpyXM(egOVp@9OTlwp$qMTmVsh2n2Se_DR<66A$^w%A|?mK61c`*O}%BMTtPnq{=
zYg_5(54*b8%%1vb>boCR=u=G%hyT_cH;)Y3X?K95E9SBCx>FZt1u}pAVwCy8ew+5u
zyz3`_uvTq(I9<vvVM$n|>d9rMi`ibUJi7d9{<ZC1XSSW5w=;hAGTxB(Lx%ZWmt%|j
zci2rknKs$9aAjLtRo#2d+Q<oc^R`6f->siG>#kv__Oh>m6J}2@{BwV@Y3|vRO7pd+
zt=-|tytj}I(eD0X8NA<f_qp@7k+x57&9aSX@N8VjtKzBH>-6QoU;b6DpT&yAEJ{^A
zZV+1^vF84pokdTqPTdF=ZRx+V>u~**KDoQ5h2^|*>>rAc+6%8<WPJ0_RCqsVM;cGq
zmlCnO;F9lZp?UG5w!}0?j`A%JF8Y0buG^1)zRiVFTDM?jOk9=+c!5aEo?i!*&oM3k
zbjja)(xprO+3((L&wiJHyv*jy-zzgG`CR=kjyyjU!2RP%a>kxVH+=5OB~CmV^hI#t
zKKXyoUK=s2nALTrW4g_Gy)`fPX;sVkXRMgbJM}H3GHGO!s=4mS%zO1B%pF^oF5G3E
zv0$N<6{K^s;9xgz+}_O{iYaHV<tlEjjYWhl1H%L1((mV#7y_nGEkBk}nWh|e{LSH2
zb#~IcrK=aNk~O*Dy5R0>1_r?rPZ!4!aIxyp{2gpKtaAmb%);4uO+wPyq20lXl?VTW
zy4R(irT3qmx}H(6@L(&OxKs>+@nf!_6@mwo@4285x@WQ4uHFJYG|B0=t_9>Iag~cP
zHrzTDa?U;Tc1T+MR7=0oeCOswDYIj_Ywp#CeXm?_@V%78WD|+CjfS4iy=Ear(*2Jw
zdGoqi@S9w@V5fcY0BnI!!WOn;DZKACYstRb_OYy)|2(sO@U;tenJY?|-%o|;Z%&k^
zc>2zen1O;BO4`$t+g<&4Up`zM8hW!fES(z~ju!%UOKI)4S2hVLhXw5ghvw~887rpo
zrEfgTYp4c|-^R9ER|9VT4PE;1&Nj#}!i1eovzZxsj$D?RbE+nIWzVZw1xlg&T46Qi
zidf#Dvp!K@Lz_c(|F=UjMd9k&`Ab3Zux9a#96{wCPH6vl!NM|AkG3C?r?e-#E_#=}
ze#LKn%l}{Jbw-!``k`r>b2Vb_@_R9lDj6Ikz5YDs&YN*6V!h38js8u2!E&K@=OI~p
z^<rX6+p8Dwq?_@<m7Vu0DE&f)9xgoS?#s$p5x({8X?5si<-eEqJ7Vi99GlFI&T+r9
z3)B9#{B+*=3-8K*Y28@uj=c2jgY0@AvBD=-Q>NEN{fw;4n?8Tz^XVJgJ-${It}K)D
z4G$~p^GlVvc#dB_INLL;X!|qyQ{neCXXlvh&Uxv+HT!7xF6~uYY9mYDe?I?uSMB4{
z(unB4=a+mvrTcY<<EvjkB5Z3?Q}YizTDaTk_qr_hgaXxdkDYJrD_NA6{VWgE$hi~P
zd_QL8T=z?<n{TI{w^$adegDdXKG*fr)4JsT>gQTbP+I+WN|9fxR^fN`s$WIL8~^T>
zyLM!$l$2KGzP7vi-9ezTF=~I*=h9<kF0=pLa(4vJm!_xO|FtWR=hxm-cOItJPX9M`
z9-nT_v7mjQLnqE`YTYcfdQ<-@ndLUCe)FkA1JS|xZN>_(c0s9Cn_(UFj0Fd0%bJAL
zBc&vB>s2ccYEO1urM2Vw=~>3>dLPYuv8F?9;;uFCCHC$vTOY(7UXYe`b#rd&#uklN
z&kS$fD_fGbr=nW3oF{Y5HC^YaJDy9+7N(p|E1pvK0N#t=@N2jBruSN93|pNhzTR;+
zcYXGMQDKwnpq{2KUG1t~_LGaO(`wg!XJ^g$`Qcp`7iVC~boZ?vwpW|v-@Ls`J7iMR
zmD*21f2(WQ-_ESsuE(9d=EUh=nQwlt-tu_D;u{-v;nT6U@rRe{dI!(j=2Pjgc)Ge)
z=~<V%)(^9vdQLKqW|eKvKE{1l<jFS{mY1_GcJD1*hA8uXSkCts(>&iYeZe(d(TTMV
z(fjT7+KZ-cNuFu<r)K$`yUSI6Kge2pW7;bFEjxES(NAf%%04n_z3H=GZM*Ug>7_1R
zcjfZj_-o&n@4LvomMfg=`iie*xwUK8AJhBo;~#s~v0u-3nr`k^x6;D>@3vjH@_eW)
zTK&g<mdz*Ow^n(fZg*U^YOl3k;oVu=pOY4N|9V*V_lY5qn>SDWSiEN09YM{Vk>w%W
zD_!SL-}>ozO6tuuRvW)_`}TCMxf9Qj;2`<Yd&`ggnpxMr1(|AqhD7cyxO%v%%wxs1
zy`Nf^)qL&Vr2160`|_GZ;jLN~9d0()!n$fa9?yHZ;%)H1C5H0v16Dbo^1Zc6^}XIT
z{&)FhCG(blpVzfV-*Xa|(AJ`VX0b*|ZO3Asr|t6i;ovKAck7$U!82zz9Zgpa`yT7+
zW$jlf@eq;3n%_%lT~2Iin}wX2-Pn0eku!5|UsleCn<;|bOIN;oUD{uj7PsF1Tb0M$
zN%~<4wcr2mt^M|_^p;e`{AG1!q4jUBojDxWd}Yz^`Cl?hg8%-wYnCb=KWDppvD}N*
zk=B0Mzoo-hW^S(j+JE`o_moS9XKN~&_WAsC<!0MDL3PvodnZ5sndLK0f_JyR>-u|_
zVjf-$E?uzY-JTypzvs=JwK)0X=`Y6)WM8rQl4ZMj>)d(LpF0-aSz~?rV?){=b06Pn
zHVeEDTfbPSKl}C1oBEo!{;myaxOG%!RbTDAi<9>rnz|u(b&j~so)1#?x8GL93T|+p
zeN8QX2mfoe+%EgY+Ic%XCz{_|rN>*A{q|D)(}#9Wd6hW&;H#+I2j{@n2M_-temHbG
zw6}duJN`SXj8}wVym!4v*u2LZlJ@%s)I}6;EikS>hN~OC^T{cP+(3%E&O4H>ZztS!
zewxlT%j;)x>FP7F{;<l3?SI~i7j?ZC0%m@N_L>{nq<TIS^YBiMpAV~0798ZTKOU0Y
z?61}xbN6Ewuf&D;%F4>9eMw8Nt?Lb4{J`ziRpqF)QLa1hswJPk|25@{>Z^j+9Ba8`
z*6gphdHUTt6FMsC^6lar`DW2;2Hq<}XJ{s^I>5Ov;qK;_R)sGPMNh4GqqoYloOk|y
zPfpL5r#9Uzd6Hi%5_JB_H+_u_ku8U!_%8fWxvsx0_qW>W*_r{p%1o!_`6PTFmgaDV
zZvJ-t<-Ee~E%xhgY)ZPm<8W4Zo^rR7H%~2e4WQQftnj?W0j=WyZKStD1}K$&m8#CE
z=Kom2JN@@BJ*D@*%}rm%uiW*t_2sYo_7<T9?<(Dw`C9fK)+#xrSHXF%>z?}RN55CD
zOTID<-cMQhtrR-Y&CF|Z<rv%I5(M``z;0`;Dw+8{VmntHb?xfu2}nwsWMpJCwdBtZ
zBV%LHt6E-bZ*TdwBli|Rx9P{D=Sz0fikIdF<s{zQKI`u}^RB9zMRK{(`^4NEgZ-`s
zJ3Op^l%Kcu#4^UIaoe|Ce!np~E%wtH6W_N}SC+Gvh8cIezKy%F;F8@}HON%3>5kT?
zcl5VAU)gaov??z)@20-QinCp@k8fIU(_Xk>bJaK9Z-xd74PRB}*1o;{ZSSSBoN%Xu
zrn}zCeHXrX>hxvPH$Q*r=|#Veo4>~O=H4%tysxi5xYNA%&D;Cg?`P_TX0d?#xget9
z(}$BO6MOFPq^6{;irw9Pb(^nr?6r-Hm6VjGR2hY;`_0qXSoh@Y_v{O6WkvF)iChWW
z`}_WyX`Sp_%y&Q8H`#9PLRL>(lcE^;sXwiwJx|%J5?-zs+TS==bWK93go)GlQmgNU
zdg(?}rwT9hUZ1619Qk_J!;QO7>{DHth`!n|D`LmuBw5gWZg7Hqubk-Gur$wSVy}dX
z7wbI>&UnDy75Dfcfz^$dKKh%M2hOV6_h;fVzCA$;C#CdVnQC4Z7$SMSXL<GxrT5;d
zDQm8*UauEkx4!OG(EmHdC%a94&p&RHx6Lpwd8P3spVYfTCnHbI*WNJSs6X)7KJ86)
zQ(>KhMz;0l6<ZH;@XB3V4w`KNH_cX@`BnFI^AtfTn>Fw`8&Fp4{kM6FwcSjeNq4WW
zJiGg!w&$z6)^6MH6iv=C+x<;Nb;W~IlghtllvLL5-ITIhXtV#xd7GTy->HhYJb&Jj
zt?%-dOxzxte?D&YF@C=bA6B0-tUmN>=e=1!=PpvKS^eb7->82_GHdtR-TZn{0JY0{
zthGAgI&c47*Kc#N_gWnuy1x{8Epb1k)6M?lyqv${8U9hzHeGtOH6<qB^`oZA2X@zW
z9|gmoW}IEoSXDLC<cIw2sY%;kr~F~Ez4gJHSKc6K^_od3muCewf4%bP@+<S#(W+^;
zQ_tU7A9|T>mGeW>WN2UZ8oV#NugyDNY{_hO-D}a`<CmnB`&{kHtQC3kELG<J_Y~jg
z;!P)>ccp6QU2@2ugLHOr-MK6Im)3nQ_7C&lxh;)fEFmZ%gV{64W3hm3!T;v0i+ft<
zu=>e*+N?RME%w^HdY#P9KBI3e2VQj5tA)9yZ~Ce2|2+5cvEHuE&cd@2Yfik>iP>Lp
zT`jameyXKkWk@+n&jDNIeD%`95--(%J1=u2Lv|IgNoA~v<(>L+MOzzW@#Bfr@-dHe
z3bge9Zc)+H{k!Gaxw_rY_^v_MYoblgLig)}avXz!!FoTjkE@c6ORJCg#D_CDd|iE_
z=isGlt5@EfJ~c2_7tu7$BCct=Fz%`ua_in9_fU!;!-`(6be^i9YdwcPy;*FtD*0PV
zXf&+O0Cj_8W!*AX^uf!*Mz;8p3jwvTAsYu~-hD4tw6*Q}ZD_*qfPYo?ruIOIg=oFg
zf`t#u-Cis}@3ex1Mc=OI%u}Ajjog_nSa@*hHdB$=a}LdQWmvGyXzJsKd4Id!E#7o|
z<;k}h1}=w>?dY}3H#k(e;GhL;d&`=Ie<c?#6#HLmpa<U2GKp=mDR^&-^UCB+n`Ez6
z%4HQhhgx^#KkjCepT~Yr8(Gzgi+@9xE|gH~28TP8_#rsThXw_h8uH;W@jts^XMCRC
S<w=V{9`bbcb6Mw<&;$T!i@&Y_

literal 0
HcmV?d00001

diff --git a/docs/img/OperativeUnitSequence.png b/docs/img/OperativeUnitSequence.png
new file mode 100644
index 0000000000000000000000000000000000000000..d1e7329b86841ac9da9af52d9a7f2868350a440e
GIT binary patch
literal 55542
zcmeAS@N?(olHy`uVBq!ia0y~yVDo2SU=rqFV_;xd7u21=z`(##?Bp53!NI{%!;#X#
zz`!6`;u=vBoS#-wo>-L1ke-*Ho2px!T$GxcSDcYw@}7CW9RmY{21t=}QEFmIW`3SR
zNM>#-LvCV`o`QjiLT+MFv4W9-k*R{Asg<d*m62JNXV_&11_cIB7srr_IdAS()(EFQ
zI{q;~BV%Cz*Ou5Qjp-+L$}CdY(dEsp_2}r@?h2iC+^<%hSg6hYuJuRsRMC%NdjbSq
zTw+{uc8akGK8bn~v`|JjpjvIO#*(w|`J*^;CoS{5<#YS|?dzW>rL22@W^%gPTy^7Q
zDXZl6Sk<s|yJ8p`UL|k8tzVUEG?Qrx3j-K9tgLF}1G7Lxf=bCe5Dmr_3qC^?F)$op
zoU0BN1QC2MY#2c_2p2d!=LFFp+`w$*52C?XW`=D1n%LcD0hSC`j0y`2#rMW-&x>uC
z1eRoAaCkc7^uEHUQ^VPm*c+l!USC`5cR&4qkzx34sBsPnQ(xO`y#2QE1mgm}g#Szs
zeGAkyu2(9Z%Vk=j^(G!7#Bhb->3W-oZ`2yJ4*g?=h&lK^c(N_Fv4e4e9+K7vGu0VZ
z@%|Qvs9`X5{Fy$lAX=6owCyi9RP6ENZLuh77`MM=3dp^&A1c3s^VQVq<9-VRH0;E}
znI<f?$eyZJnz4*8c;B?GH{^cgK0a9nb*VtWQ!D>BasR?|ZZ8#Qb6Z{MvZc;Cd-9rZ
zk0yQJ{__7X*VT`MrAu}_vHkbh{@?1m|93t*yQeF+{p&y3+%K!`>MxuB6#u4}&cAnY
z;M+x|SB>v$-9NkUsgu6zg6&<;5n=T6?M(G=k1o}VX8r$DpZ)Pg>#p^|A6mb^eg5q1
zOQ!|zKBfInT7Nxi|IUT$rSd#3m{-rgkpIhM!rizfQ{IPV-=2AG*Zb|ZR~^)*f7aW3
z!=-HHj)+au_uqr12fas6w#Amc?{2#CY?t&^f9Wr$PRG9v3EX)2=B47tH@b_qyx$yI
zRq#dO>)Tt7(|LCV*Z(e=U)0qVI%lg_f9abA?Du1rZddQWuyyaQSGVr}4UK<uZ=vhu
z_W^fO=U&bK@%UFt{kpF1?o@rfuy+Ta{<<!o7cl>v?A7!W@?Za4_tetR`<82~A<~t9
zR8;?2YS4sRakehuEb^g`mNi=i$LNSI&$@l<td(%}<VV$J1-s*St~h=zFFf#;c-0E$
z;?jBh{8*13-8A2J&G+M5LRbI$zx@6Akk55Xh2E6?{`u%_$+>0U%OX;zMP5D4J!RIW
z+7<lgmoK#YvwT^O{Hw#CGgt8cH~#f)p`Di8^j{y=cWui~UH0|3fwHc?z@D}Ix7@FO
za-aJndB((P;vQ4a`utuYb#9yXTjhd1Yxx&%<KKUG+v#=1&o#Pt-(7nA-v!r|^Z!S`
zubsd8(P#7Jnv&;57HxQtWcsRb^1Inap5|@uFTB2-mgPBVZOR#)TBFD3qh!UF2b#yM
zx>Bm2`G>bWD=@IWch}bCT>ESNjpHL1^X!g2IxXMi`M=Jpzt6Wx*;cN~%P)8MtoG0I
z{X2c0A8#vu^#6bLsa~(#EAC(T`n#sP9&S>QjrqHlPxNN!oSnX}ugwoTE&ce)=7o2g
z|8{wO3n=qEntDmZx+ie&@2RH@X8IV-^qD$q*_$n?&jYIx3TpO$u`NG*=VRHtdwTi-
zZ_287zRf!F*10yM=i9^jE&Ry~Yp?Ka;eWiaHiS3)_Ep(i?pHr9v%BN#Ui;(NO~bpB
zGgWT6hkjUQ2cinL`DV&DKF+P(F)NHKr*_JFn~l|xDxR)d8XVU|zuPRkJ?rh&Xnnn_
z4~@Tu=z9d6te6xzvDW>?H0>l&xl1k^-$rTgc)+eYSI26;;+F3$XaAgTu6m(ge`)Q*
zwAPYed;fjf-fsHw<VmT!W*)5W{u*mNv~KM6n*Uc~3E$qjwJ+LuzpU1~dv)Cl_O*ZC
z?KmfPdvClrSL>tT!oA6#HeK2663+ip@9&1F`?A`Tp8hV4nttEOnJsQvTiN+<+E&}8
zcWK1m+*20%zV7H{*~-u&8;Pm5Gj>XciGKc)R@ujHmVSHnm)h{d+J_5&oyzIow5s@b
z$oEfKNwqtiYb*M`1#X-bA^$EweqOQZ|5Mj^-yV+m`jGGIL(BTw`%7yjR@>cKXlL`>
zVz2&h&0FmoUmccxb+}l@UMo!a_2Ib=SKW7}&#NeLJ3sT$q?cVeLEX|{mb2F_UjOgs
zqPXb4`djl9RxbH|r0j{Xt<9&v+Icy}Gc25nb{q{qp}Mil?B>!3Zd}<4tlRUKzEIem
z!XG+W&2iG&h;NUSo~A9+ygqIHl>UF~V&$iv(+*Lz{CRIZd&8>7sk==yJ*LOmhS+w^
zyIQ~f-<oUH*R-r(sU3~K7NF+2ZOKv5ci|yA?d%I)IQe(&^>9r;zc*2T)!g4xH?bS0
zvkR5S|J(C7>BU<c?cFxVKgRyuy{huF>%v{z{7d!MFT2YAc5zn4rdaJ+^S(W_zjqD9
z{b5yqtG)i`rdXMac7K{5yB|HhlJl$M?-f>kx2Jsztot)7Kz>XAs?;xUgYTYRo%uEK
z$hU|4*^f=1H+hTqQLeD#hLcOav+uvWyZC;{eq+`5;^LaQueH{C=B;r2AG0p}{o7Sd
z|7{lBx7+dY&e;;L=ZWj|r~bJer?WC{g4g<(odx$c&y#9%*SI3HjIr4K)!B)<q9JLj
z*)J;n7F_$Z)c4x!g0*>XzV*c2+~RR@x3Q?DO59#2UoAboOPc=Qv{nD>h1t$j5q&QH
zqGY2;(KB&Xb#V{JNm&o;mcBW+|BE>5x~eO-GuyYHUbpJ$PU-z$4n)h=hx{@&|N3z6
zDV^qTfu&y`TKI?GzIyrPU(+)jr&oJ|d_C*e3ZLiew72m8|G3RALq0TEeqP@7Q)dp#
zAMV+(XI2O(D6HpAzuUK^zw5YpRP6=3RsY^Q=3lg9I=|xW;+p54s_zfiC+;#@e`wvM
zAMW|rmiOyiwVEv=Sf4ki&G*pN12t~yWi@M6UFT<Ceq?Hu&8N3Hr~2e-?)Cb0UI%v8
zo4%=?eC*FJ=e?i4%>VLy%Iqt)Z?*(|Q+s`h{r}2~vZvxhm=!kcxx3$E(%qVs>vnEj
zJ#X&!iFTHEeU#H;)*VyZ{l+LXYkyLf%vv*D=P8@Z8sp-t!rt=NuJP}`y`=2<v6XLs
zi%vfIx%%$)lGObtrq!O8@A;N`_(YZy$QRFwW2SFgy*<mR_R7+#HRt|@zT9uww<ApW
zRr9yRHGe~6gM?o#*1MV<dY%1v*C}uU`SAbaoU%tVX9dW+Wy(LDS6TFL=BcT=sm~IF
zCPdx)q3-)ex@=$ju_aYp`_r2K)#cmIy=f5tex3d5+yB1qX>-3)Y&pMZr_A(=JNC=f
z{?)a$+%Runb^f-0-wwIosxk{(JL}5lI#9jy{-~(@v&^8{QmKN)R`bpV#eIG)T3;!A
zb+V`0Os(zlEAI!F|NC5c_fpb)u|Ka}|EfQ)vi%n@zgT?Uido;a>z<u;T>9oDlYjfp
z=y}^eugR#~x8hX1)XrJurTOx&4)6Z8B4ggVWy|eiKC8&TJ#4CcwSD>J0Qsr2=O-6#
z<GIzI?Y4@)d`15%=idcqJ-$ACX>z5#{-RxsaZqiA74O%F@mu(>_k_sL^9!E)HBrhc
z`S?%8;K!S<ZauwTd$Kgcshjl)>mNOOq*s-HcUS3~_)`Vj=ElT+d!6I{{!M?i|Gx+2
z-?*Dk@fB~iiGLP(@zWg5*$3~Meg4Yr==wFVO!Dg9+7<nuv{v!oa)0_P{ATLT+4gS_
z{{SV>JAbq`ujy*LXx9@jzoq}w+4GlED}UE+Ulent{rQjIhj)B^c=AuC{IT_5ozLHH
zvXQ+1u{M0?3jXsy7u)sRvinnZTaRG{$8YiXp(P5%r3x!DtjZ7XoqO$eWmWM8J?q}b
z+421UrbgyPzT2k}a`oFnyO_nbQ|e=<ehs|%CsY1uy!1x94Ed{vFWbMF)BCzV@m*W6
z{1*Q7^}Q7~>ocNW9k#C7|0zdmvHW@MS$)4g$lYJBHSgGquYng!uC#y83jVE8&s+~}
zHm>q1ofqM7bOnF%Lc5;UUj|~Y4o6_;Oq&%Z|8%`gVNoX!!w+Ue!?AJlxycSk7y`sM
z)I%G>0m4)E=Zb&7${3IeZz~$u<=tjoa0^LI!EHT;t8IV16K=jO+iy2x^}4g?@AvH6
z=Qe--e#zR}UOW4G<6pm|YU}=`efeto>(8IFFJ5PV`T2A9#p~B!eEw{{c>Vf|&!6YJ
z#m6r`k4xi{uTMcOSV6dBj~$<i*6Q7PZnATGJ&y|?Ln#AXnQ3F@iyqnM_1yc4u03r$
z!?Zw5^LIgo@_lc^33qarZ#oQZ|AyF3KB>YxcjMu>sFHV$EFxU3kBT+5FI4tK-N{|P
zHTyc7jeP4bgRF&iJ)tZ3lSN-0j%fX55Qd#Q^;V4hR~D$j3=N-=dld(a{TV_y=T$zE
z+<yC{9#qZMvqz>PdLiP5;m4hl+Dil8eDFTM|DJ8_WUip1s~bX-zs{;H-T56FF$@e$
zOQY7=SWYbnv0k2Y;a~dxax0sce`~J_E>04hJSF4qx|@6Vz6tJ{vBc$d`7ihHSuZC;
z8}JMap$np{{BwSqi7pd=;ZwHo^27AC=~^?Kr?A=Xbh+KXOxs%Bf2XATmfC-Nvx@5~
zxR3hQg}>&X6Ew{<ymIGEt9`GXZ7)pq<5}>GBX~Z$-4*_uFQfjor#131L%g$qZ?)iF
z?uE_sF73(8{d!e)?-hMT?~AqhS0-$8pQ7c-75IOq_m`8-j!{2;=<ce0X!g|Q`*q#z
zTP`m=yp2Jf{efUHd+)r@jq`Tf`5(X3%{-S4Vyc6z_y_i5vuz~Qm-u?SK9jjHEk8IV
zc;BS$x>x`BZ(kiSY0<H)Tg`K~mR`F%Yg5APpxmIkPhz@txfA!5*DdT;(foF7f7Z=)
zk58T0re$5)9=FSM%^8WbtvjF2|8<^Ueag(aOTR3>y`|t3gKLG=-k(8tzaC_ijW>4=
zpFY>Lq5I~VQ<htNCg;swsT)?k;4;KP3@aWpU8@w5yBBhp?e40^nc-P?7HoV~wrOwE
z>vjuS^K|D$+gSK7ziHiUx-P%FyR9|W)M2mmn@g)y+n;<aRWmHlJSQ!D<;P{~t7|ib
zx9<NYxA)8aIYy6ruFeU6AAG_+`O41BDSERv_`Q{{5B9$=^6uc5vn6-e@PF}H|8-W$
zb)8%-<7|f1rI}avJpb}DaJSFAFDszY>mbT?B6oMwYxdWD)_K?E{~5#`Ja}-DN_Jo9
zw)xy!6aMXfdThIFRqSJT)|WFQ`(G~Q<<EF_?7M09t&-Xe*746emSs(uzVgfEdHVK$
zcHJ(?4O}EwQ+Rl1biM4I#X6qP^|dF8)ZJaX>)5mv?D7BHGAC_$6+i3$TiaQ7kD^>%
zcg){+bA$QUV{bEmUEkoo)nw<V+w9QLVqo!eSZDL{=Fa7AeKHFV9dgqBU9&T6tyjR}
z3vN;+xpShnc9mNB9$Oav<@gTotC<IsqXcc-*6Ykzx+CD^wA9eL#c5p`4}N}XuD=?S
zI4wkVccou#LCDQQu98RM-BRv<ym;^W#;;%fuBKvxQf}lg)w^eFVOg7@L6LRI=Ov{+
z*{-gxuYP<?Nli)7vQ%}QIdf)LuIOsB+}k_mn!WwaZ}RtKtJkXw(Y1$`%*l=3y8eYx
zZ29WXe&XplGjE>a{y#N}b+_E}Gy0O7%zDFae|~Hdl+(Rz)3T+jF5La{ZSt=#{N^v?
z)!Saoe181o-&-(GGc4xxc<$*|*Jfm7TzXRV?bUU;(WNi%L{6MI(d(~jsCu1cVBVh<
ztJ|}`H28HHcynbgKd8Je?DS*yE$Z$;r`)T4J~rX4d1ZT6?rQ8=m6I|{--Uk-Thl#z
zQtH~cm1h;Nzdp#mKCETQg>QeaEI)iyIa+91*4)|+a&t=7Mx9u5Lwf!N`MSv~x1RpL
zI;8ya?aifHnVaX;m-J0~l>Yku_fn2CdoRM=*$~cjsdAF>k#)S*`zEUJ%E_$HUiY(C
zM{ISO`upI(?+c0^o4>Mhs*l|hdc3$O)po0|KCj26&HQIBtg7+c^kVh#V;P4QG4a+W
zF7A6Ck$U#ddeQSeLF(b*(`2gsC(HkGaQ$AhYX0W6Ry&`D_P)OL;Zb3bc;>5?@TsOn
z3%{i=&;CBU(5vcO|EzsV#}~ikF8>=n`CtD2b^nfY|4L5Z1s{1?q3PqC{$|7UeG!(g
zKdp+5nBaNv>^a*xOFS2=?W+AD+tt}Oaqo$B%Eu>fdGXoRD);Pk-TPrnEg3>=ci*kM
zm_7NV$O4sP&&sTCLWfco?3x*}w|vSb@tdJD;uJi+y!9`fE{<Pwi*?(~RV}i{SJ&@*
zJUe~sQ~BvH+bmCp?#_V)Re<u<cfUPltK+V_+sVHWfe9~Q<2+#ucPm3r*EwOB6azc@
z;1f^Q+g)2Hd5ScP;H^lItC_6a+75(~4c!+iq4}MG;XwmJ0@E(2D^Lfz&RpF%;YOmY
zi1nXKpVycB-(S)+CB`)8YQ)~O_}F)~P%R7%4lAc=`}?WNTs@~Lz2*PS_jCFDtLo!R
zkH8c#tO(dzGVjKxTrD5{3p3w1EkArd>U@Yny9RGnQRg;!-|#ZOc}2#38NdHjUw!uH
zgIL$>KkKf^TP)SdUiYcUxU}Yad)0#MtuYagojQK?%wJ`_o85>xAb7^rhkcc|3M`z%
zvZqbju7BlltuwPMXpEDAfx$sGRC!*dkkt##v$L;-y?*z8>4^;*rIJRj>(|~|9O4<&
z@#}odB7eE}OYUyY51jt2SM+M?ie>vYI^E{G%_MHMq`&U_hYH>fUcMN%1<N{i#roTu
z$4nDvTV48DZq|;S-Dzj$uDYk#$Oo-+7U*$RJnuZ&T<CObOGwH&#@wG~u4YfQD{lv#
z{a>=1OI0&#%`)2?k<saQ*J^Ta)4ZzoR6YOVqw8WXtDlSS@(`RnWx}V{G{0W~)<K)5
zY|PuGXX<TeZnN~M{hW|(s^03~YL>nH82J2H|IWzGVec-V)LFgeT8ZqvFZp+`tx1`%
zIn${s`_-k^Sr-;heivC3G;Pb&DxG8Bb6Ky1B{H|Ks6VK+_v1ak$efc=hUp8xmF@LB
zY+d+N3=%O7uf#W;oBZL!GAXkcVZ3Md1U+W!4c$KZt!T{spXbjMo2g`Ze=s;3XA%AQ
z*R~xQ5jR4auk1dkw>GNCW9rUxM?~b-cOLe8nSb3yTPbf_U0&JldDXRx4vF1g*C#mF
z<eUA)s*-o{BH~(GCCy#yvpz0$i@)S@_IBKA_J3P_ZWpV#?wp~Pv3%bC&*y)gKRN&F
zzZVj%?z~sI)%V^HbI29Hw{WeJpX{Y|b0(P^@o8ypUTRgAFLEIU;%<gl%Nk1Nv0UfB
zCR_f;Z?b%yPx+DlOSQ@GufAWuGk81izN;^PY;#_p{bz;x)_or(_HKFDz^8WRYV6)~
zw)_WWE{61eGMZYqYm=tYmS0QvzwTaAJbih~eS@HnU$4E`x>I^en)l^j;nOqYcQfwV
zw>ff}^6@FM((8ZM)i2iD`1bPk_?(bUXaAZo*rbMCz91kvUoXJ%WwdLhmWA-53vP`2
zEue#k4zeN>j3?V#f4_2KqH;(?#ER7U`qx*5a%PF#@@QVy8@xJfqu=tAH@<ZJlPF(l
z#arQ`-E{oLRMyQR;#xO1tvQu{#Yd1=OLCD#tUBL(`_R=7#3p9lD_U~TZ`+BajVCsh
zFZ(sC>8gcM#5C3Zj2}}>vy8s!=2~tDmG_lgv4U$E;{(mvYksyGnP#kLTbj>m8g%%9
z)6?go>_!rh0Bo?*Qkbj0HaSXLODpJjzq+=va_~t_%~NO2gygJ_*qV9!*xN0c_v;tD
z^w$dsYQOhNSnX-f?Nzm|(_ik2o?E_aM{@a(?=yRRQdMgg7#cq0;^z;qs1P>gd%dt-
z__J!{md}Ten{M(~k2OwRUT6E4)pxhnlBP_C3a!@(lP)c?ez9r8B=cFNVZS?qt@haK
zFW3oj6T=l>#+NoHPn-{MOIxP5bIIOYTXR?I&9&AxG74I`f61#O`s$~8<x9U7^vYfE
z6io9}d}~s1<Z4#spN4NwJiLB-=-1C#*66=?`OU?<R?k$Kx%kT8Rrk8q9=W?&Ctm;Z
zuLlcf{fU?pHB)tF@)hfUT3gM%1;hETuc+I|6}qqQ{r|xIa}2eY)J?dZSz;D^vvT6A
z8;j@e=oEhtckb5tt%fhEe0OZ$e(21`U6rpQzS`{Z)BYa*%+n3(?g#Q9cS|N+Z<D?6
zF^P@8@4D&rKW<{(p}Qx4U%8?>VCP5aQrU(3_3o{DY`JsOyj#nrv#Gqi$)1)Gx=$tY
z%hlv$lf=NrwtX7{j~B1ml=kj=SGwEE;M8~XN<S(4?)zUF>9%M6-OQV({BEy2dYMhz
zEQ0G@LHEC3Kkb%2jVjSeY&z4OmU-`4&GyS0^?UEtr*Dq(yS?U1aQy3!ekBVT^dhHa
z9(&@N^}(ZQlJWOfZ+|4uGJYzVtHo1d0Cjz7;MRE;?Q6CCt(WIaQ2Bc$qunUjNK{x>
zxq4!(d!Ksd#pm56x1#<{3wM8Zf9bo=chB6|7T>+>?jqOAHawFI!>^mpjDGiaa;JZG
z$)n3pkH;It*^2d)TWL?fydofa-rmsI`Cko$1CFce*E?O;tyj8W2(@-Wm9_sH*D}#%
z^Q%3oqpBL?=KW5(s<+{6i0YdeE9<_>-nUt;`+tXz`5Di3w^E@U28ITym1Xz6U)}h;
z%kI-phviVC7#K`F9Ofaaxr7kpYSaMSS^Jgrbj@kznXC@FN$?4vBRB5ksqcmw5@IeK
zK98Xxac%7OFr&_-2~M>Vs{`bZX<cdG$n`aG4Q{U2+O_-_5tbJSB2NL~tbCU(G%ma0
z9lvHyX{Ewuh83=F%6_}9_f-pSm?Sa#_S+P328M?JJAX%|wY-;q^4XX7)b_HsQAdv-
zkFPkK@bi>n{L!9y{0t2KGgXQg7&9=$EZEP<!0=<Xy1G8|PuZ55eTwt*Pdt}i{G6F#
z!K777KQHl|tR}wKuJ+fKb8{?}J-PV!!kXE5^`!E)My*x`R|Qve)#h3seEEiD+3N4B
zg@4uryZ!`C6lgDz{hvFfM*Qrt2S00q&i?dTKk0i#wN&kIM$;+&zfBqJR!%!N`Tkwo
zI9}!CbNl`5e{Hs_`L64EX@>Rtz?9qG=lQig>{pt{&5PdJ7<FeYYkcp;dDU$%ciL`S
z6+2DP#V07~QtYj7x9eu@+swB{pMhcPjNP~Y7M^xtxO#GOt>04reZL}IC7I`*7yb5J
z)cBW~(Wj5Q-h7(-^g)U)14Eph!M^zYby9{&Eyo{U=g(fX?&J13`m(=|uPojkzZ!&-
z|L^E-yUL&aDupjl>dO<MT>Dw;-psLinkIAc+t2T-&i;I;D`NgLcCCGZSLC&rr%%&f
z{aDBU)gfHG)_Em&$@iz`;fcpRq0NoydixjsIhOG5%anb(IwA8^qc!LI2K*_$JtcAD
ziK2Z^UVXXgqq~29<V{V?7K!TC%UfDXBG*)Eo$<f2q{Cs2Xck-e{Doa@j1G4nRZKen
zQT%`?FLOiplz&!t0#)lp<EoObZv2ru|8aZGIwL8~`Pz2*x3$haH@2_+w?xb8S!m(!
z50<;-KUrprn=Y+oU<jDI=ar48pI_Miy1yoQcP!S6N!?vKeVwF5#PuV*VI|Y!MEm5)
zXaupp(CVIG_v`NA$EFHqH=6$(OS0eVSif`0%BbE7=j`QQLtQF%9P&Q3KCd#E-FSP}
z+go$G)w^&slia`D`8u)d#b^Cod+7;R9ep2uG7dRtz_6lO#y@Y0?Zt<A5)3~ktN)(i
z@UQ6Z<WzR6`N@STQ~qvWT_ei7TJK$7rQ3<;eG~hy_uW2w;<mtw?3lOL@5uTy{5ZGz
z+~g@!M9$7O_qVP3@?jP8(OHw0%y=Qz)z>%8RCVQ{kIp1E#(q1A8~1>QLCVg)%bljA
zrui_X>6zVLCwrCUr?Y&oH|nQr?Yp`2qfn6W*GI<MTmQQ(TXXZ|tzZ9KzVd1A^iux5
zziQFdpEF-=yc(AK^S6L+?5+Ues*+2#-hQVhZ42TrE14YsQ0mk7skcg1LL)6LPyNtz
z+?>~AHQ)GQNYgXh-Cn=_)3nWBcbJ`#Ty6M2X60V>O;ctUds*N6-Mnk&-E>{;XKl;3
z{Ar(jYFo<7BlFIi*xH?Hj_SU!fm8WP$=T{(A13%d%j?%R{JO$U?z#`ImS5=U*oOh!
zJAU(g{K>@d{LbIPBl3O>T2FqwF0lWjb?4?unfZQPzcuIYp6uIrCVZy0_nuqJf6rL|
zW~%VhwD+$?-Ru7Rv5c-;@Oi4<^^H4ruZ=Bzu04BUPI}+ts~T}zh3((RGwisxKJ;ej
z0tS`Jo0UqH_ZugbChWE{<Gu9acHX5K(e0~ZSHwzIZ{Ian?FrMiG}u}Id_y2_OVyTr
zXq38^y7b+z(j|+2`CNUM*PnT7?(=yUlel(=nQxC?b#d<O)mIOsmnh|Jd*<`%RaKnT
zvghyrZ2njLDSfZKmD|HWXH)ZwrFEMA$IJAtUS6yhT(WsyRl#Z1s)|#wUAlAQC*OZ{
zY?^##M7u}n?)trp<g233fBWP0c$Zo5?P&Xej~_f|eV83^ezV)%b<gAGuh?*O`|4yD
z_1?e!Tjb{c7C#zVAg)r}zT2d(VBNkM|67ezRw~45y7#?u+2;FD_LkP8D~fNm_A018
zUNFfhdR6jww|O~r2i~T4oEK-Xc>XS>?PA?gt_5Y<zwZbvp0h*qHe<lIZJv$KPU^Sz
z{_+u1Hhi@0<CkmMZfE!wJbQRbc)_cRyOm0FUpxGKv-y0<)2ZQE4-Pbn_QhwM4LYsH
zdu3f}PubgBYv)>*uT0*3?N{jHUs_wzcFs9h_M)^`{_B!!=5mXto5@@_*s^oi>gzi<
z|Amj?*sbxnXsEj9^SrXCC5PmvXMg>llXX!oEX`BPYSoeNcGvhVgU+oh|N3fr<}2HR
z38(D79+oZtsXF^x?Z0i)YU+bpx2dS++*xjXcCl!1)lrX&fop#jtmJ!kyF{n3_uurX
z>(0vSU-0Xm@-cSNws{%rrsck#FMmBU?6`X`&PMm{_>b)?&i{Q}a@4>3>$A2$#VaS@
zySVr7x~o$}S+k_17CpMms%5d?_H#&qn#;o8nqL(Q&l&9g!(+;`_V{yd28IQzK&2`F
z{$J%^S69uq(y2M~{ABKKrm5=}X<N$}SJpP&<^LMJ)F-GWX3xUUlC{5o{$3@#-{{vj
z<}6PCK6QpY&oAFR9=0|r^GJuFm#62&^82;Vi}n~#<9Qpi&%yJ4&C)X6=od#iC;zJZ
z&6j(BWAMtAE3<BIYUTU;-5aDLT}RUI`NWMcMgJPU3o4cR&=JPce^Hw|O;ayqQ)=YY
z`zvNDN&VQMRMu_eH^=h-rX4rdReklc5`JTO%1>V_R#^7GYV_CHCc(n$n+v!17fp>%
z{QLF7?bloNEn{zrb@%=G&1SkXeOG|tH<{F>)6zD-T%+rM?ad|jjya)$6Ft8+y{epJ
zy2EwJtnD%@w@>z#W7-evD%>dj@j-Ex!LF_Q{(gvkt65&z?|bc!(@ZIoki(a@H%YBb
zELiyIb64znVT<!$(^n|$pK)HCVamgbrt>#*Gh%p|8S0B|5+ASdl6QN{?si^%=e=z^
z7O2U8&MGQnV0b08-r7HEYt~YCxk{IrGiS~+&!5M|#TC={``K#!mtQUaFP}QUe$|{g
zF|IBq;g^^Bib_hZe0FxWwz+zEyiV+r>(BqpzgP2Bb^B@G-~X6>>x&jnvicG>-#|0{
zcGz3(T|U?EO1&=I)wSc#uB5D0CuUsgYfXq1x*U_PJM)yzrqk=HxVW?=Q}PzCSmwCy
z*&~zxqP=H!eL0wJQXQ}?PWrC5?xDk_Cxx@8JkK=a-<)RMQ>3OG9O)^a;p6kN`N8ZJ
zg%SL=b7s6R+Ln9l-ui{h)_*y7{G?xdx~xx7R0(^mSlB1G&F8Y@3%%OEPmdKoU|Bw2
z(Jr2$pz*P8;i-R0H}4uSeAvE;e~Q_yw@x;-&s-KvIs5zs$L(ve`!?NV+8C>C^-NN6
z{_6Ad1N*MKWeYRhDc%~tI-{QVfO%Z$ypUy&k6iS(|F?oy+FVOR!(*`<-}Mc*^CtSJ
z<=)y8>E16>Xc>Fu>S5C=2X)sOs;yftKM)LG{q!UAt;x!oPnGxnDV)V-_jO)c|Es!p
zWl_&GO82kYp7i&l&C3O5yYl|beI=^a`hDh#_PnkOeOp&gomv^9US$31R^VQdRRN_^
ze>>VrwId(g^htg0x+=N9%xL%N{HaoAe4!Jb&iE$dG4U4v#U&HNV}7*m-M`7IC+TO^
z%xmoYSDzezbmf%JNtwm>K8CN{yE<3&QuOz`wOjr4=B}SQjXQt#?fc8WZ_m53Kc6!g
zSHG$Hw}{jg(|2~xHTxJIB%P}>e0$P>L1X!sX;$~{Y+QBb-?6)O$6x=Q$p3RrYKi&0
z@KqY|soT~HeyTjHw>EbBwF^I2z54uodQaVn=chk#{hYynfK~LZ@egT+6;^Sj^Nt)l
z7PjlL%cQRE?yuKo=Vv`U)W7xTZQWnbX8T6{xaf4Y{<W^C`0jnI{)^Wsf4P1%y3|HJ
z{95qsFP9orY=0l%S$k@_O8361oE|kDtqT{#&0baXg<d=JH|paVjnblGb*=uJ-(KyG
zcgy<NA8EBOZIhDl{3}zY^La(i&pP*cw&?HYy;d`K#h-qsf8qLS|5YB7K3#wPx7=*?
z(=BJc?7wbR`&K&d|7)k&-|9bXvO6QcFx6exE7IIqFgxr;!}jMbv8kmcSi5`Ij&9@X
zp1s1_>iwnT?{fkdK5l3`D|&g?)<v^tsGc@DJ7bRrubgK<(X+U{vh_a2%WM|=ww`;=
zIpy&?<0GJw5Y)SIFg50#yYca#_Y7g@cI~+(CKFP$fAyk8i@1*QEa>p`@{wAed;Qz>
zFR^U@r|y>DG|Sp{DerBz7n8l$U5<I)KhN+=O=3=}zWCGY{O2~#Wnge{m{L1o^F_Hg
zK6dx7OsL7ezAm(;W>4bhoi(x<Pna1NME&@3pdwyGR5Y~qxaaJZULN|D2ETqzTDN|A
z$g`gs%cM#sd^gN4oOte)*5Ahk+x&E97rYb8u*+PY$@%is4YN?&?sF#|+=Y*idQAHB
zF+||8)wezC`fKbiXlC);KJWi}`$PZVg?-?5W!1U<V$c-Y1LgGnOy)Z)>;+Cdm;Us#
zt!AHLl^p|v*21##c|Ww0*R9JoGQXf{6Fhkn6DUj=7#I=~7<cD_m%=TWwe$Da@|Wum
zGk4aY^qqEmVqxg%ve#o^a8Qu=Yt8Yq#@X)vg!ATZ=h+z?G~pe_Z+G;!XtZgdbrsvz
z@Ru{qUEB5f=Tf^@AHFHiXY>+%wp#e@Vm47{Ir~=y&^f`WQPJ{?5bF#dC?R)V7a$~1
z$7PX5xE<0M1G;a#{ni~f+h-Yrs-YQZ!tnp+bkhmv&7XYcg}E6I^Kq`-<dkp8JPZx;
zpziO-{YQSMr`dbXdN@BaHf7`dXAn>0F#x7O=kS^0|7)h5n~Z13xS_fKk3qloUjzPd
zSWH2>tKdk(&irUH-?=TT^4ZCiEsJkirZ#`G|GoF}L(li`SNBPXZ`l#eUAE@J7P-<V
zhh}$pdTq(O{^nljmVJGn%XjBmXFOXLlRM|$vaBL#v@<+lzj~=M>GbxM274XSH*wG9
zv(L5-J}9%u<i$zVTXiO}H!UkqowZo>_VfFzt-&8~^bzN>Li&iWciNiiUtgi5_{z9$
z)w?%wyZ&^2l6H8}TlM+4N$t;s)!p~_cK)h-d+Oc_$-6dhDpr2V?Y?z#4PW`0%Wa1x
zH$`opvZr>T+&gKh7XeV`y;_m=(&l66iv`O~@}r{H?%qFL>F}Z}sV7ACZp-QqQuS1P
zWnbKBSGi|$+4+60v9rv>LZ&aA_3Ic{uC>ep;U9{%Uv{@2&vwY!!2Xk0>Hq#;Il0T$
z?a7>xbbi0&B0FWa)o=fYWxnkHcXL|k$3F^Jm;$%Ie)+uZ^ru4!Tl4NY3!9f;?AaN7
z)mr7kL8x=CxH7)CF|0K3^O!xY>x|_B-TTW<EUQt?k6!h2eqQ)O71!RYTiC6$U$5D1
zntIS|>8&Myp6EvXxusP5{*Tl2?tEiWLr+e1VUzDKMSm$;#)f6@;;XR?{&@CW@AL_?
zR*27!T=`esDD|x0wQJwiSCx09fI8S`|E`~BQNPfwMJ_t`>a_j*7q2YkHeKkhes}Ye
zB|4efUlZKTe~PYCtdCzA>mD?9>g2^e^My=aA6xO+QrJ&5<KE`gTmGkPE85(bad^%~
zsn6%9-l|se-f6jf%j3QFD`wxUy|yVSufpqey~WBL?`eK-e)?Q~yjbOF_TlvGsTbd?
z@oK-d<$SqVu=)0@`uN&af6dG-V{@ZtUVVGde9KFZX=@^ve@T9%{3>JFZ<eR6yfTaa
zv9A7dtlBztqiXiiIjy&De^ujsAL5?37CM`GAOP3Yul4_#mFL=AayjLe-Q-Tsj=3);
z&da?!tD(yL#?nx4zLU4Jm3<yEo31(Sb@%IQ57)0VSKYlUcX^9-@;P2^%DX+!*Z(sx
z{kb+T=jX(>(vFbrzprcmTDbjd&iVBw>#~iv?)}Ov%0GVz=YGzwHrZF+R=xY$$rW9*
z+sduv(vANvuP^Sb4~$tc<^S3AsL5qZ+opY)*YZVjp<`}Y=F>~gqR&cJ-DR=;{$}l|
z>fDZ7X1iUs>t?K5t;hXsM_fR8OL@lXj^Oq!+RLBx_B4B)%30ZWSDMuXTnsZXH0;t;
zn5%y9Yjf#w+5MNFw98+X{kXW~{*CIdzq8+6$!*Rrwc7Xg!fPq}SDtJ0ZQS&8EfzF$
z7MF&vFP3FPbY@tztd_Nu-@EMB9rELG(b|9WeRXdgIi=(2>OS?yz2m<W-A~>8x-Rao
zk?&r1zM#!sXYR$;om^HsfB#z5`}0E<>UwfYe}C!oIpgS*Z_1*wS7-50f0eM$_qfpY
z7xj@ZZ~ghm^mdDf<bBnw*PYL@Zf^Vcvv6)|Z@~$ny2BnvuSYMw{wyzGmagyX_}FE&
zZ`oe_I+vzdKY7}^f3D&8Oky^21<n_2zLNGlJgVwOSfQW#tfRa^^Z&T){rIZ<RM5U}
z^`Dl7{X4QbAYfXgud{PV%`OMlrTL;yS3dCRaFS;I^T-04D@y|$&iQ<Mb0=8Yy)Pg!
zapAPueKD)UR96IMDD&<z^IIEbxqq5s@@4H`$#<5%GI=1lmP2lFxY(JXm=!6fH)-t;
zG<G%lpg7^~q8?#!xv2P<obbI>s@u6YJ=d__yCUU;BvKE=aOY0;nXCVBy<XpbFK&J9
z$(N_LMU*_bZ@$9Q_Ht|2#^)N^TTN#Brsq~}N}I1+SsUi+s&<uo)$_t@xaKQ@_HC=#
z=C-mn|I&wVwWdi0*>7WZhS=Y>Iq|Y(XJxSIDXXQ)OFwe=YwLF3E?cNWvq_A*_Z(I^
z>G{8$Jxj}I*_W{3gS%9g?K~G{G&M41dhAybkr1O3ciaMfn`6!%&HSe=HM8_(^ZAT#
z3w-vP?V29d(*Amq`ZbO7mviLO&X!3$^>JIaX_DQA88Zr6+uOBm_H;_Mf4y+H^XH@`
zf8I~a_<Hi5RMCA2-khz@@p`r@CpXVYy}3!}RJPE{X-g+MEfTqR<MLF;*h!@Zo|zYA
zOClpqN}sh_U3)}R_FnwO<vh?B|D&%kS6%X_<f#*9uBcAm625y|?$xEm>8@^WT3@G!
z%>D7yW#`Am>*Us5WVX^gq@%fHE?fVODBXVf3-e?=HRWGE?Z0gDVdZy|>X7fn+b<ir
zulyalSM+s%x-a|Ii=~g>hpacy+;iT=wj{WvY)$OiHD?xEO}rg=z3<i1fYw!)U$~pB
zi9X46K26J7KS;KE%jBD}b*ryzC|uE`b9~ElhpsDi9csOM9vxc${_oVQi6W=3pWb3u
zu|myWY<XCk=QD|~efz!MdT$T?SN^Nyt7fcWUC*sIKZ53~&aJ*ZdyeJnYnkakN_YML
z9<C9ixa-Td&Rr|LYxA%3ZH>UygD9SD4h@v6pg^&7esgQXV`<Mz4-S6Z^=#Jt6G<Dh
z%0JnOmg?7kbtAo@|LOSVleyJj@9HaW{c&7JHr_aLVyA!BDR1_rYy3^0|2*6E_p{qv
zpS$Z*zl*<keN{bF#q;Ouum7xPUoE<owsiilDB<5$=l_>3^!;sL5h?$y|H7ulVoTS|
zUf5x(U2@>|=cDU3Sy}y@sCNJVE*<52*WYc)NnQT-%A?F?(dxQr(X7{(uKrS8)L2&Y
zb7tS1*&D+xb3NB*-4f>PnzJqH_~yQst2=i_ZM6!$)4};PVp=AFd7X*&>&||-@iMp)
z)M8S3x!lcq%FIbCXS|TO+5N_<$i}nVE`G`7Yk!I^%g(HyzUzFsaq{gt-C1SbV!odZ
zJdVZ0UQfw8$$h-uJ80THxqD9e-a#(*o@Rgl{OXl5-k9U>xNl!=UCS=}_cel$X`a0w
ze$6kQ+n@gXBeU<GSIoX8HJ@Kj`Y%51WZKV&{n>vvI=7To?WjJpv)=tg={2LzmNS2B
zaZ|U`4d>cFbJ0A-;5}z5KW_TAr*{6Y{k8KCyY%mh(R;P+$y5IqyPo>9SV8C68A9@(
zckVs0?$8$|&mfFRBk{YMuhwk)^VsOFf%?tEGiRun^Ea7Kn>9rgnp_x?S6w?dIjj6l
zWvu+mN0$U(!VKzJ<<C3U8=bm$>GXlDpfH#?kB$O=540j@U@*{HXT!*#{M+-=)VewI
z@AiM5bmF--o;E8|OZKDL{R@)TZ<a1q5o>i?_#@^NSBczWvGUuQZ*Og7Jnz2Ht|yA%
zT0zhfkELeU*|)!i^<NkYaPB-po_0DA@@YYZ=cQAT)7g!<8={`v*?eB#V5SeFS5i*i
z_Q|o0PuHDdn(M0+pPT;Wtvk4P^W<~y#m@)L-PXs0ytj4s#qIKlPK0ml+4M<g?0onb
zR(LhO$^Z1^fs@_!o_XhA^z8e40@3sOaqPucZ@c>w)|VOo`lbw?jsh9BZ{_2kgeRm9
zh)zBy+b~BqA!cv<+!NuuC2N0Ag!W~Uztnu_z4&^1%|6BWw`m9$^Zu*}KK?n>`}xWD
z@8s6EO*DKSzg+%rr2M|$(^N9g%>Ayh>Fv_z?V?NOUy;^7zxI>Q!oSP29`3FWtI?j!
zSNmg8-HZCA|H{uB*p@%?_ww20eY@_q|K;*qt89+5%9<2k&Wrt@YR)^Oc8%2Z*Dgnv
zFyt~bOnq8$d;O;EZrat}2I-GO*vq%886SFk*XCq^m0#|TzcH?_vs<h_sxQ92e&X%+
zi{JI%eVQu!E^E%Kv?D%Cd*=8W)!8jh{$06#QEjiF#NN8zIp;Z!Z+`V8r*`SCt>@fg
zuh07Mo$dGGQ!C$;XQn?6H4VRg`o&Kzsj$0qw#F}hQatT2NAAtL>1Q~8%2a+m@T2bU
zFVIk>Tz|a%nw7hb-7nf#@<x97xx001LAdYlV?C)b`!y>kTe@UknC!jfZ^o`o#h<3k
zE_Av5{QhdQ=k41A?mQ{q`tN{dYGm=$>9bcp-fee<IX3nyZ|m!A?($bftL4MbKYMHP
z;pEL-uRqQHdS~7ySyotw=We<A>WtdngLhtP)<#FHu<_m&WH)=kkLTMo4<}80T66O1
z%gr;R>gqRd3SM<s_Vbp91#N4t>8R$%uW0|>=9LBN^>2#!95Q463Qxht2yK?O)#q8X
zl8k2Fk@N9t4>y_jxij?Nr{iAVZry(Stw_>~zojqUK<n2ev5p$M&(3!Bt21in^77BH
z3fS+KFYk7L|BmQ&g4^bA_NmK`uRHhr`?jFtpCsp=f4gwm$Kt=g{#HaBztw*6v!|Wh
z`BP_o+V1VQhz?htGs9{<U){eytGYf*zWwbTX?MNcRL*`+R`=B#c0KdXuimxp*thH{
zHS7NU<G6A^U;OO3vygDVS~+RXoHd}Jzq6w-PS<3Yw|=Z~!G?96(raGm?bVX8FS!sr
z%Y5zHYj^K^PfQGaWt7qt7roVe<)kG7U+3?1nQwE?b9egvMS5qYwO5@E@U2a5-6mUB
zdetR)YvxU#<K_Ru-E%BAMa1-oUvl4HU9pGl2wU~mc~e89j=#HpJ>t!B^B4NlO+r@-
z=4ZU!vagGSKfJ>8=T+VOR|(I;*2c~*{^$~jy=(WRW8QANAi>&yOW&q5Z?fVLnLfYM
zfxUUXmem7GKK>)}dGmBOf8ln|eUN9Hb-8MqQPp3my|q_L+-}vm<;T07Q<Joh_f1&x
zjp4shRjrh*d;*Kx|9K6!PQI9Lx%jzu>XmQVS7J;jhR;>k)YJrx0#|)`@i3IV%T(3V
z@S?7WtgLRfS5WKY_AQw!Pt0My^(nV2=T!KoZA)kUe_1B#6?7%Sw|c|oZRd7H2aDwd
zukt<Re{a#z^}3h7x1LM8=H0LJFDiIl&I;{+dz^2sJZ-x1tcq&X_Uy9v+~G5By#9It
zt)CdtBT{@gIO^YF&(!EmB}wPgXUWNz<~4PAdU^bGGFGo$A*&gAD#AQ=`mDP>`kQy%
z{oQ6JdvcQL>nU|UH7>Gezja*?$DFS#IZ>eH_<3IJ(!c7Pr_L^Qk$%>5bw>TVEBAyW
zPo1?~D*OJg<J~i=kC&;vI+`Aq^}o|6a`WV^U;lRc`G@U}V=q@S$vMPf8vA*kolVN*
zowpx*`Pi=NKW-X)<ACC;_zhn9d$tFQMQ;i_6S-n<Z2qMWephQ-`FonqyIkFP`>ps?
zui`g*zdNtryP7BNKhv77&p-dP9zOr`&)J)0-(P-ylwZ20uJ@kZDWhBej*2Sh+V9`C
zUhBsnOR@d`e%(6%HT%fh@L-9g`*E^8ar;Zx)JoL;fAsm|8lA=U|GXr=9hoJ+J^tnT
zy}kc_uHLlzcF&KWs}I%Gt$fdrv)}6}&w-E16Mn{AU4FeZmi>0Vuf@Kfxz=HUcI&PD
zRo+_%Y~sraKfcUSs`j?8RPpP8t=wC+li!DJzx*V}<I1!LiL>?=Y&-TfxA5YI4XfE!
zlsCslZGAGqrpn6wVcyx@wH<Lc!WOLc{~mBzZ2QZvdp<3m+4cR!PwW3{{?GXn`M$p|
zu;NO<-h|z;=l9wLCU)nCAMfy!`aM6x*6O={m-f!x6Etn&gxlv{y1%Y7%;iqb-#cf{
z{&=hQVrP1O=)G*`E8A|W?#gv6jxCKWXnn2y^yQ6nORK7`q;}<93+B(;>B8^7(|hC6
zowNUhnQ!~!aoEab)$PBpMgLy7UA66bxYYHnGjHAd)!5bUf2rmE9PS*fvyD|BA1%7G
zezW#7zVggJ4`ON!|8u8$1Uc>s>XW(JnKS#4^_I{hE1hqJRyledb5u>;7IOSuugyxw
zD^4|PtJ*f7R$#8YbmV5OgSX^E{_UH7X8q<=3;&+_^>!{V&#@O@v%h=`oObb>{yT}(
z-;#T4uTJ?@ay=}-a9i}-v@72dpZxXqwVJo^)2c6G>*^Ag==AY?P1aJ6dCDD9d;QAW
z&@vfKgX8?=KV#m`U%h$V-P(IGh1Yflhu+aW%u!!2vA4G3(Cc%;FJstGrO&TjroDbo
z5Hma9nu0%0+0&xTG8dHTCU42Up7-|7PH)-UTd%E`x*ERF^z_q`ZCF>;&E0G6ZW6k9
zkI&Ni-%@>TgmLwSm+tR7f8o{if9v!oacRr!kG!Ms^`!q5>GgHXb)IJbSI^IS>c5Ta
zdibWy`_J>UPkvVq&F~I7bgb6uvQ3oX((oP;VtV7k_kTy&Kk=~Keede(_+=}<A8;(H
zx#Ds3^&6j#Uw7>N!vAcE$j>`rKi%r3?A_b%qkJO+7c5(-sAU(spy|@Z?oY58MT<qp
zj6Tm?p%zy!sP%U5_m6S2?NSStcYU^$dr|wVbZ_Ii<Q-A*i|6J{3{ih|{l(kEi;uDt
z{100CXU2N9tLyJ?`eix){_U=d_VpinFTUR1w~hakWOL$rE6vmoZ^K33USIxxaq7<*
z>)&u@?_Ztzv*zUE%U2ESPK95*@6~wjxy8Krkb~cfuhq>hJr`bXr~K#d!;{}uPg-66
z$HII5IiGD=SG|<odIG$>y7v8kXFdJ#+>I$2&p)wx{d%UoHT$NMy5F2D-*1;^{O+6U
z<?9=Idzx;^i~aFg&p)wRC7&#?>hJMg|DwNe`l`zf?pu^9dg3AtybBjj(mlQEkK0+1
ziwCBge)K8m_At%249ZuZZX;0p-sh_+>*~Uklg5EvmrEZNG`c^YJ=;8V@tR<(vSlex
z4n6idz3ak>n6>K<{d&D{`}OSjqFtMG#bkee=gkT_U$Ri?t>q~%-MK3vg9Ov<9G<M{
z>hf779`gRrj+-xCRS%zgk;M7ho&kC;zz_YKtL`tEIV&V>?p#j!MVyPHU*G1xe|28b
zbmp5WXZ5G7baXb=snuRFQHo7m%YOZhyU*O$9!+1gt@zK@=FN%c{>9FXd0PA}_4tMQ
zl&TZ4wO8ES*2gd2-ao77?em?pHq^elweR%(4F0XNC*EeCZ+^gZagDs|-J>55S5M8{
z-}ZjPx@N7<KR^9yWLg`w^^$VG&7w1Bd_W6KSXo*1j@N#^I$gzc?(UL{4;c3crlhpY
zGRxK4d~*$GCezZ=6*QB%<Z!!oOx~^U@)^G-?=`Xb$n}+T^0i#h%1Qs1yP}i7eu_SM
zZ(X1Jxs((w?U&4d|83%oni`*$aPp~C8UOM8Yqu8dQJa`0sk1U5$YaVO>FM#y9{-BF
zcw)`t$MY|~ahy5JaM$#Ef6QEa<)c>4f49Fvs(<zUD<KmNmohe$dioU4-I}5m{na*#
zy;%ME=3L`%b-bpvOFQMQ*Y~e!_Vkjz?>N2H^Q7e@$jZxKW&0=pUcS)pf$>Azbam%D
zcZ(M6;=i%3&hcr*&5WDz=@P2(xlcT6r_}COGp_o3ZOXTYKk}8|#moGE`=i}^!S?ye
zi?{19er{Y9ZDzH2Yx}HYclRCR=(%T?b?V>Ii4#ACw(cqsI{#w+{#nOf{B~Y^wcPc#
z_J!Pyiypf#_`Gwq|Kh8qk!~lR<w;8})!qH8M^ZIjbNxD-l|IKi7tgQz6DVz#7ZMOK
z!L3(jUE=n;N;7?KZK>ScCujMnXcwtlAl9FsWjA}}n>`+-Z!Y?!c}`nDJ+t;hfN!0S
z-`X>i&KSOut)F^pcSPUrkHy#4UOxBXb;<wt>jQQ;mAuRqE)Aak>)rM1TBbQNs}xQf
zzO|g7v{inQr}Fw8AF}_R;>ue5`q=%Iafv|{^E<0vEaP|^u{)~IJYe-3EA1uQ-|haL
zH8;j8URziEp4s;O{_peeuBg8+v~14S`Ps=AzRoJh&u^WXT>AOS##faUbHm<!f3ebZ
zMe7friuG^S%gXR;ylV^fda2rf@6N>&o^0ikz0=me*>QF5`TMJu+WlE8c0J!WM}8iU
zv6Ef;;nmjhk)fCNz4F+1YRglHC#yMmcGj=Y3jOi(bGOwMyR2K^K65j_kIy<{x1g`)
z->FrcKYPCYU8SS^^z)P5t1f<;`gfoFiJEgpRaR?ueVTgqak1ITsBQE8b8nvi8M8P3
z>Q60W|Mgb>Ny*9DcMFv~j~+c*_4=&&)`Ew}Z~b{IR{Qy^n(m*Bh0peXoz~SIdw<^Y
z!0Up)UO!rEHE*)^>y^1xnGTcY)h4)|oGKtz`}Kx|Z$!v~h59*HKFX|KGxPV>M>7Lo
zJ$ZI6NbY3(`tSE|u9<e(O#APZ>s2;68?lU!yw0*zw=c5Xr~5M0w_3?@;^nZ{71s=F
zO%20Whb>=q<Ls}x*o@2n_~Wj%|5V=cW`(Qf>okp|vs|(tzWv#hyMEvA)Fop7XDof3
zt)^}C(bKo`<$~j{ANWMvak+c3a=KkzdN-FWyGhQv8Q0c|-aVp&wrKW$`ulT_7p?kk
z-?yLLEkI;~u6dEJ=~-P}?aOzr7y0hKxo-QGU!iKZ9$gKa9{uX<rtH&eqcdlwrcImJ
z)O2%ejF3*bvA7FkWE&%6W8=@ijS@=HeCK2ye66wB*Z+Es<>}vZ`j@{;wyQn=QhIT#
z;^!@@yPwo9VteqO1v(DFY{)xTvUH!gu+?v?<+3;K-i@6!W%~5+bF+5nFfG&g^TPJl
z#n*0UZ<J=<6)%4Md~2n<f9=Jh2C=DsR!KR(6+f5SlGzp7_;7vjNAcXyPu<&P-1faX
z`{H_kO`qcY<P*;&7C&!J?um>4WZ718b@s*e@;_yq?T$}4Z}_CxhxhFB3*3)oCr+PU
z{!?1rce$p{%RAlY&iAXV<>%bD=lEJ9pT57Ur_Kkj%e!>iJ*YJ6>yjq-WtK~JE^AlS
ztUPW~dginse-3Q8Vn@^Bd{)D4Ggzf0b{x2>xk~r;L(5%P%l%Z}eVp-(pXbUSuRF>N
z>{G5RHJ`faj_8T-y^?=_O$0fE=ci2amzsi}i?6-yj!#%$W&G=_GT7xHC-<#9_;XeH
zXO^YjaZ4EOx?|?TtYbJ_cr$r70|Q%FSoyvU)$hHYtiIP%_xHqe39vT9Dm#l~FTSeI
zPe!%L+3xt24>f0O7#S89ecj)1%GvI?gzfx`M7m|&Palxh!(AOc8ZRd8&YE&`>du%c
z@Aa=AesTT$i^X$ZAOD-UrsnFqe=8pS++p7{_59PXD>4`BxUF!v{2606XHI{8y+n3F
zy@a;>_x6pS3So@U+rOPn;8WrZdJc$L;RPJC5F0{WFCdPk(P(<c2~9u@4uVL!y9}%!
zD;!jg)~vV^`rQ7%RdV+xzj=Xk)xKWI%<J!-#thT6qboRV-jR0M@RAd0Z|mPq7us+C
z>!V%zksS@!doO?a`cGx)QdRA$lb@r+wuW7{p0Tt+lgt11%AK)uE`@6?nwpktxmZ5k
zr1Vpvcfro}ju-!$@9_8LUjNsXr^FX#gK1mvEcI<Zvo}rNG-dLv*hk-YW?DXdqv-K>
zUi8Yj$0tvjHE*Hu$>Uo-|7HL3-2WBtmGoEbw@MV^rfo4kWife?@NT<{%GalSd%S<W
zo6XN9yWZ7C$^M<a`g?J4>HE^{ng8;lH-5FbntRCPZtdF5(wa}_u4k;^y;$8Y^!4sy
zU%iMoH<KI7mi}8Y^Q~6JS)pmK_6e=G%@Wzq{x$TF<6h$%t4}y>zO{FYZ@QWN@`UNE
z&`=2w4(%+TSMjwvF5fSI*@<>5%?s8Zx$NyP-j?p3s^IzQO7G^dSKZ5_Utiz3ef8RU
zTh^_zt1{oOyV|F`^shp^zpwP~mq*syznGyL8<ws4y0YwYY`=fN`Go${#YsnRod27D
z^Le(wO#2tBJ4Egp<fKfL-)4I?x1`?mckbLU*;RAWI`;kvg$3S<5WW0)Gqg7JrC&bA
z<zv5Kt^NEdzB9Y-ZuELzzccveBoULi%ksI4-)?>%eBzR<*9Om-8>7GeysiDk+fz8!
z#`<OF`Rm$G+N@sv%9#=*d@ZBsa<_bNYWeF0nX9K|2en_@Ri8R%P3OX@RTHmdT=*Qd
zS>11CShwHXe_qDF*hF&#3|te{_g`G2IbY+|64#RxjZ3EP?D?7+wwwLl)oICMOCukZ
zYW(4h&D}P=e&46752ib9eI3!H^YW$FKU<&8?II@y<d>PpM=$?dxY8=wa@kq#<Jot#
z7v8EqwaED8$F5&5-e;xTZO?Psac_qBs=v#Frc9Gs{Z`&TO#FPee&osL6IPy$ch%VW
z+n`tH&Af`T%P;<&{c`(zeAfQ8J#$=RKXh!kv>u-F&pq1otaE*SZSNcNn7zSy7i|9?
zTOAw2U3o?8;q+?Hm$MIVy_&q_ncn=>)$8&L4wm0D{~9v=*6uBNPvuu#h-rTl|Ml72
z=C8l{baLlkcKj;cbHQ3te0|!f`)gUt?N{eN=6n5kWA77blaTed-(C4ru6FwOXY0~Z
zhwR?}+ZN7?`NIFz^7|6S$@%fVa+2%C^D|d8*cF%FSl{z?w*RF+*W0uozde}s?0S&$
zv|q26os)YyZ~c^oGhT$wDt1pd{qlN+`}S>D9xHyIH~(+qr=6kBQuV7Qu4TIVZlQ11
zj~nb&Yaf5#^<2u{RC=G!&&A6!E}dm<^u4#YB>Hox)a_&OzE$Thue2-PT5!r#TuyJr
zm*NwD?uXx+QPJEs@AbTjGU>H-zU7)FSLL5R(+QNk4y$Mw(hEI5A6Y!D{ol9kyG_1+
zT>fSIzVeJmKiI!K&@In)`TtOLUH<RKvc5YWTjs7Z_v<#7zi@Da>#ps=j?4#S0^&=J
zgQk>x`}F*qtchFgU9(qjU1v|t@V>H4b@kTumHxar!4}D?k<w!Om*n@QP2asQetzAn
zX_D*8r{_#|oq6m3(Q2;0cf;QO^fG(<`xo=tKdOE=w=L%ST{yq!kMyd*#QU1>cbL^}
z@?tm6?c%(@;=YdOua}#bc5U-IdHc}Tzf<;0nXfLbyZfQv?()16&D!hjQJ-QL?fUX=
zc|+H{;%8!OZxuwD^GGjEs9bgXMO~KE-@Re78>i0S%#s=l-+Vde=*@hm=_;i;mjjcJ
z^@Np`ZQIBFH?B16`o#vuzgO0W%jf>Fi&6JK7PrOs)3o~65AFV6v)^@hb-MVTRrUI3
z!{7J@f9+QFomncawP2gd>!)YmUQ`J9*PDNZedFP;`&3S<lwU4<%lTzOlFC!{mscjP
z;aZ#jZT<RP(++o?wA^0wjZ-!1UyVy>=5y(nb&KVqzxdmly@)dXwoY|&b?#NWnh)po
zX4u9~YdJLc)|Bki0a01+cAnpP>a_96vv=SA*|pNM^7+2g7dtPnTy^!Lzn$0m8<yI^
z(fe<$XqkH0WR>fdS>N{uT|6E3a)a-ra!X6^fP1EnU(>cv|0c12*6;EK{xR`4?Mq9!
z=D6GTv{p%Qe>D($m8f&&aKwuCjRE}03+0YE!6c?-Me$$0tCuw|=H?48R#w(m2O68b
z{QM@WEM2lhV~6L4S!UT$NuQSlJkmdH@_47;EBSk&clRtkA$xnP{`*Q^3Ar`f{{{al
zo2sa8={jxk=`RV-J)@>azByfd+4PNQ%>DOXtBq=yuHJAC+NN?|;pes8KW4a|-<}=2
z+0SRj@|}N!Op0^V_kREEd9_+6WWRmMLo?BTD{qFxH}-NdSFA`?u74U8JY`jssCByM
z%-dmE&Ud_c=UMpi&USfuIkeux==Cc1)8`}SoDJHT-IR4=+KMS9`K%^ulvjSxpVdCg
z>`U-8RZmr$$n|a=IU)-$ul~KuitXIX%gg(fl$B#;nV+Kt1bPSs-F@}3dXGtV>cRQ3
zmux)G`GggDbwzE<Ss1+hQONGHTvj%=to#4|T9y8~;`*BXtHjcKdDWsHKP#&4HV^-Q
z(e}!ZBYJQBrce2~^4_mEf@>@ODWBcybMcS<_Y+Bcm$qJK*DiJ6k|bOCDmbqz$e8Ks
zF6ZKz*WXSq{hO6r^>O~JUm@~u>r8{xuX@B*nTEf5HotUB%h}UW|6Nj+EQ+7Ku3hfU
zD&PEfffqVXN?qGFE$EcheUp`bw)#7x>#x3JR$nUCY?|s5e)Zx#Z)^MS%cKk*=9T_>
zC@Y(79NEvy9dPBfbjrmETyqZx&R%jR_g9hgo-jWN_3ta{U#%_{e-u=-Y<i(@z}cn$
zSC~p){_^m#{_Az|X)iY0reCf3u(|5jH>+DFkE<hN=AJ)lclXgNmI+JBoPWQ*tb6tO
zf1Z$1tZ57pv?1H8?p%0v-hTI!x#};Q-`<k>YVR5J|6gtCX<hTvS-zLoZ~Q%_eC==7
z*Vp%^ZHfC)v)gaU{J^L`e7f%{L)P0GeZJ$vv3g&9)*Q99CoWyMUVo+Yi^<ZZZ?4~}
zEMKv8@q4NBcb4@3|2<jC|G%f_$JbxCe1AUc*9GfMeg7i%t1Z(qHH@0?|9<_0OMSxM
zGgrR8-KTf)OZp)Zi`r(th@>xnpBP7NS9JWDI_LeboY~*>tG(x^^j&-PIBaguoRtl|
z*`*JHq+Pb{y;WA#V`raov9mW=RN~(pCo%aoA1p;qT>BO(U1GIh+oFBHy^eF0)p%N-
z-lewU-I}Q1cU;ciyX?AIIXPwPyldym+qHh)7yf$0T|HJ7wyTu)@s*kC>5q*?WH&`l
z`ci#YW9b~9z{PF7Dd#u8zR|fj>f=|gxxTx9+zdE=Z-4mpeeuWoa({14`t>%-aPK0|
zB-8cbwr92f%-G|>Tq8F3cfgnPX1TSwJJwaMJ+Z9)Sw_x}Io;(mBTIJMA8nn!X<yE*
zzXx6J{y2C<>GV9C@B5d|U;p-kk?+3DnQG#(5j%drNs?SM|K&Pud+kYvLH+T!S3Ee+
z$STRV-`M4`n)vH1k=y<+pBLnP{olG`arz0>XL~+GER7cqsh*}=_4wcHuWhS~p>3HJ
zALrb%+4%hC)VU!aoW34eqO*7F3#0!&w@PLmT9mf3dhH_a;;mnwo|D@0;nP0(rCA13
zzqRE-wK8PsOyBeQNM@2)M#2N8aKw(lP>-*9^A?nxRJBioEum<bbxu_uc|JF79z#Rp
zUpf7|7fxN(RPeOh$-bhskx)io``WN1ZOYyPk0b+$9xutGJFWTy-6OASDk&X$`cvY{
z;T6qQ64?R#$-J);*Erhtn67Bw$oAFX+5)*_QdbT~KqY%$#qcjbV^jIjb+<7eGjr8P
z*U77u4=nic9M*eTY&3Uvp^_)p+}gXTmK^qzuEm)6XRT}U6sb3wsrP610ef+-Js%FW
z&hL&>Und9&iw8CO`!XK2euVHC7#LhH64M)4fY`bi;L@8j@5bl4D{V)Gx7uHh<NSSV
zX>R=fCCZW0x9PiY*RTISwR|1S_$w@-n<|sGKi5{)3*t=IUi?#Vsr-LquZz?6DE;34
z`pv<URgZrcJe^j|F}XUuRQ_jE)HTD{pmmFGLtD}e4A)mrIxBorxTNIuZL6tM-@j53
zH_Fn3nI4dsmM*JtU1-(X+0U}iuM9r*eMjW>p1OG1zjsqM*Q}ne6nA+aOZS~uch~rB
z-?!xTY}0Ac|N3wJcxYw1<ES(H_PVtet3AU@W-WHK%?o%nty;7$VfUxxTd(W49)J1m
zH~WhZpM0~ft>5=8ug1U7_uo=Z=3l3Ew@#`3fAr0_J(D)`yt8z%`xPiXy=KWV{(r%7
z`(tKg|2Q)J>YF>F+iw56aPaK;m-WBvL*43PS+~?gIkWxu{r7xZzR}0pt!tH^s_0y8
z`@m{7>DgNCTRws8{oh3||H$Ue+PL`(PoCLQxvI$7+ty!RIYneAYj5y;Mg3K4=O1r<
z9_ZD^@ZC!jwl|jHYE$qm^|IURZ+$##llnbkVY|E8#3G$dGw&|l6MO65*_x-{Vpg_C
zn}yW9(pvlf6X)Nu<6NcRCQkXctxUCUx#9PH3vO-8$o>1M&h==1`22a@C66~g53N4p
zRr;}by6t;+*=_&A?d2*$mEZjgWt7X?bi3^3@=f3VhEJW4`h2C`tIIncWKWJ>FiZ4w
z=oH;&xw$^ltADH2FRb#KYHAu>dRIks?vkY6uD7r4TYu}X`Kya*jHi4nqO<M(`r0X<
zIw|mGrB$PH$>|r8TpvyaU;4KH<>&aiu+{&z#|1nHGKNKO!{<4lE?3rFs(M?z+k0-b
z$;92BMc=<nUcP(Ee35m3-<7W{{_Iv{J~L&Vea!W(omcNEAD@yw{mC`wx9j&h#=V<#
z@^O@D_N$d!zL-q?5umd@?A3+R9wwVR=VUj}sr?_iG<D^JeRuXmpHMHH%Qw$rk<8Wb
zzYWJ$g}yOW|9Ntv)sxEUR<V_>cYNP{+LJWLYReZL^X6E!t9&n3E!eg7Y1*pQ4G*NB
zOq{qv=6C#gn0p<3pU<gePZypV_ns^Ei{F>cou_sr?A-n8O7?;^Jg;|_gs<p(K3~u`
z@7<=`-j(w^qK&V9)+(}k`gXU*<d7u(BOxju;!g$z?K$8nRs1_3_3y6*|9*cwe5*?5
z+atAeH&s%vWPeCco7|suePw7KTXkKN-y5Eb;_{^z_ls}8I!Rybbk_bKJz7&3p{{9=
zI%j!S_&mc^>&YuNAFW@pURLG3@yAm(`)&MZru(hTyZft8e)FN<-=<CvU$p7mGttOt
z>(}&DT>Z8xK2!WeiqYxS4<+sz**NjvD_i_%-~V>LG?DCm6~9hvFMd&aD#WiW?qh7M
z!u`DPcN>5Hs*0bGx94%X?WcP7FE3;#KdoIU33UX+iidM9*%WfWS-)-P>8-CT=6re+
zRCg{j^~sH=VkRMPw>a(Yn-encu3q%3>s`0%m)_czq4(WLUOm)*>uZ_dNvg4`J{vSF
z(p<m4z2>94Zl_cAwzU^tZZ^C5_UERhZCSt9nZ#Cq-TL&2#<7s9b$h-vd!0EkDfZo}
zr+0(wwiZnde={>auW|dUS8L91u{H~={ATl1t#+xc`=(R2IdOTra^lX0?Dxyn{Jw%u
zo+CKT^L3~KywEY78yqL0UmRX4Z|C~ITViqfKL4<N?)+cnKFgPW$X}ng{rsi#6CZz#
zi&zx$U9D7ZL&V%acS1jP`<^p2y?XU}|D}b!=GmQ@TiE*LD?Vzy6TQ9tWXiPo<Mr7c
zxqXN1=4pHPrF`4zDqCH<;vH}H|E-^Ore&YlyMD#ebjR;U=WX<~U-NgmU&@Rp53h!;
z&7ZZ{?B3CHmunX1?RjgL%kg^c_Hz~5E3T?+&3L)=iV@$-G^3SepWUzi{t%*D{aSVA
zU-nhMeTA<Sy+8VT+ALU7V3?CGWo7*8&z0s2H?PM|Yu@uiX|uFm>)pJI3%8nUEqk2D
zoAK=7D~st*j*3?**ZQXFoBchNvg^ZprvEV-9}aPg*XdQw-2pwupyBi0AB#?UzPE8~
zz412F%hzVr=E}00Z!1rE{&CuW?9LiB#&a_j;9bQzUvKDz^w@fztacXJS-yT#%A}on
z<)tz<?zyinJ%-g{2O=}(NpzfC+ZgrrpV!)|-B$2^xv6-t6f7k%bRpM4EN9_8vIeC&
zpUzDdk2kS=Y{0Ne;NQ-G2d(07*7ZR(E|5q=9MvphN}XC6*~mmI^T#feM67;Wg{LtF
z^osZ_`Z4+B5o<rMpl79<xFN1+*gUfWasKlG)-&fUJU*NV<B}`A`ty9C|KA03rfmN7
zVg1_Iar?h6dJ544I&%Bbl$q)u6OW6^Zi=jqzZv%Ed%M{CT{Z0dGZuZ=GI!SPr$WD7
z7=uOTZS&QSe?7$x9wY^Z%T4@qW}2m{r)ut=5~;fL+8d*7-$MFlF8p<P(&CuchPl6<
zD^$kLo52usD*CS6PnYVT$y=ViuUiK{bB%2^(|enf*QVVp&wBMr*y~4Ko14w<xS8wv
zSQZq`jLv)`{rc-uVcsc|ro4Y;0(EZI4y_9|D|b9l)X(_3PT0%OH#o~_iWvWQy=`rg
zzWMd8_upAAof6VLsqg)TPZQ>Gt$6Y6+3J$_o0zQxErV^l-b)==x_PPQ-~Ow!jFP5p
zTXr)Reo`Cn^C{w$Gknx~Wp9L*?tR1M^W}=^_HE&DW%KUX-W8qkV&%5Gw_X;^+nvmw
zS+ZVipS9=Ld%tJjI(u);95Y!Fk@<BGCC#MPw|c9cDn4nkb>{ZadCkwWo*sXnd9G2~
z)H18W^7ik{18-7iUC*36PsS=b`jTun_ktBJYpaX*J-Hcc>$C10G@&k7Rvh&?#YLUJ
zH?Po%J;-Rw?$+%p=3Bp<4Y|JS;;*&I3+8QPow9rWrXPF~3*#yuR(<I`>os9X^X}A%
zOBxov=DDuBt-$uzwf|EzJYUCM{cV4Lz2xF*O^pR_-u`vlx4itl&z056^RKLmXkP@i
zkAZ=?_*e4oJy}n+pXTVw`mfi0A5}SZTYSIvpQpLL0Xcq>uUSOn)xDSdMThD?;JT`r
zUA;ZK<on~lq8XcClmtcUZ-=i+44r#<wz}`$%~!6y?}_@AvT|$uG1mK)zphWa`TCOD
z;Tu6gQy*5h&Z?NQ&+pvJl%-emSEN0^zH;>gwy#sC%~<ee)3)u$U!LC2e|hzCw(NUN
z)mOeu5`ww0Vby^E{hoaL)w`wma>_h!N13&}Qa|5V_2r@**Muim7wz7)b=L;LWZvkd
z9@X`>R!gT#omlfg@%)ST=F2sb&hmR{WLH<Oder}K39G-}m5cj|zpn3GJLmHrcpKnB
ziC_M_mZL0LFB{dmI(RSKQg)oAvOD&cd4BsMXESZPHx(OoZLTIi<I<HWT`I*hbM^Y?
zypzH`t^0m@1j|P++w*(>m4{bMj^@TLs$RFU_@Nc2wn^?Kp~z!8*Z*Bn`THwQUbgma
z;@ODVaSPvWF8}Kt{BLKxZCqU}|MrEoFfTfkrbt;CpNjjTSn{oO`cs9xyu7NyPXV!^
z<~xtP7tXb-dU!b3%hz|W&T;k!HD$a1Hl0_SeA3mkXYHBSDbO7Y4C%%vE&b=8I)DE9
zXYXra=g!UY3y!#=Q@TF1ByrugeRm?8#g2uYgE#CPdPBd?vlZR!z|1VZ!-^G}!5CI7
z<N1210>)zqUyRf!M=209<}oxly1KY|s2TDYVeMLA>33Y+CbtM-U;!h^GX}`zbIVOh
zc22pQUI!Piv-)rOYNh;?$wgsu|F)LieZ4(yiww*U2SVo<eO9r1vtyC7S@wJF+g^YE
zU3e6Gt^;0k1?XWf$z+z*HNw^cV3ca24_4+0FMIhed#+I;`wGW1SzouCZ~f`9>Cz>g
zuDd&9`s3g4vX+H7!QpHe>%GcJYjrojzjEcO`jiiUd3r0#_8P^C9^E|qT~$p}6<hTV
zEye&_*1Ib$Kf9m&RaGDR^1kEb`mEJ6yO*u(UcR~+>edyVs~kQrX<}NY@#cy7EYqjL
zu5-6&SQfRdGxF|bvt4gm{b)+o))!aatv6YmA8_zjZ{FoM7izmUFVWQ1^>p9%$6NW&
zx!TZ6X7gfAa<3NozPb?geSOblQ^OE{)1tdCnXY!0zdyLkFnjL3zZy|t(QB^h#jM+V
zo#*n3YiB+|)9Hg6zn`g>Qj9X+99XyNb!vFRqUGy$Zr%H7)8{AeUamXf;=lFnHNW=H
zcVzARKx556`{Z^mUAWJ3mM-hvmHzi;>b(DdZ{_OeZ^M62zy9L0>;DVq&HXOQe{Xs5
zU#e#L?I^$NVOxXu&ttqbTTk<a-&y_C*{tREtJhV>P58R-wMpgN)6e!ZT<yzWoyOI{
ze|6oLV(v-&uQI%nV{3C`Gq+z|dJ_`d3|DOYW>zK{%`{mwxqTXs&DxJQ+pjEdo&9V3
z+NGUy&%b)Q>tfZC<Y{Njj?Y#P+GM6vw{k(D(#i4*5?@Piuh=f08@5O{aQ3t{*Y-WL
zHQmq~c`ez{i}&5?PJX^X@7s23|37m-dh66ZwgXQS!fN&XpUyuU6!vh@9-h>v6{l9`
zeVr)-Ee{vGD)^;8Id1ExsYTnS^)0_Xt$gjCQ+F%tCi%%){{Ot%MvCRs{*My10@+iq
zW=$=9uM?a9DPXSye`L7b!azmor^f&6Z&fAiw&Q)dc0tiL#sbS5Q>M+-4Ss92DtO0<
zz3X08q-Yx}-=7NK8yDc0KhNarxuEF%##t{XFTGYLDPHsZWqfp+{_(Vm8{K~_A>*7T
z>n5(RsjYqb`t{<fd$M0DDwe6NUSwYxmAq@~HC@GvS@w@hefOW-JSUeShHqN4`_vm7
zrdn0ckA867O_%9WX-d7@%-hhUc;IQmiu6ZY=3kBFWj9;B<!|Km_1Dz;7lK9rO%1(`
z%!8)yGhf*n$@Rj}tGtAN+H|ARr2mR@&l#THJgs`>Z4GnRm6DCSb~zV6-=o8}Lh+LF
zzH9yOm`z_c>U}a}f4wd9@zswWOPs#nj*;bs#Hs`DlP}LaBYYN2lgn7taf4y4t&oc6
zrrS$vKbL7(rEGq5xKI4{p<vszbr%i=hOas}Vdt-^dkU4IUlI!EXT5TMf5Pfl^50oA
zR$V!gQERt%f0M0Bf7tfdt?R#Qe(VV~Oq`q&XBeITZ%X7dvs0*JaV6PN8V*Z0th;t*
zrFO{gPJ^S%-dts`P2OUVGH+YTZYv3h>l$XA$lU+$f8nwC)%_wiA+NVhTRCY-Ol8*B
zi3zH=YNjMxu6lE2jnK9;*QVV(TyphI5#I#xuvhFB3%#1YV{PYmR{UKmd?oQ^i%@y!
zE3;GAu3c|?J?r|ZlS~ivyJJ81u&t>)b`@4~AFw<-`Olvk+x;oIPv5M0Y0~O?Ht2hl
ztN635*Qe*2W+di3m4SCMu0({s-x+MkZDLT+xH=f(RtAQKtWyC<!%qdK$i?uCwsSq3
z#OpZb*3Q-5%&@}kP1$eO`MzqCyC+FR&%6#l|My&4(lbs5i7=OxmK34G3eeFcEJZ$I
zs0kz)nEP50$u@E1@*KT-fjL(sQ3_r)t~<lDKnk=1wZ?3wkDgajPHLR`Zmsy#X^pT&
z1mHP!$a(^-%o*OGT?11km>B{(m6VjPd@s3w>gLV&lhVS@t<(EZsrt5^NRz@h@@(e%
z_hQO3Pdu&c1|_?-Ewy(7IDc#KB9=4o;Ae`S4OeLnzE>$EUlwywarV~lQ*%}8>N7s-
z9p}IFz)rU}YV}LmtP?4-VDsF1mw$@gt)F>)8*Hw7uZ<-v@CuBuS8k{k+SDykhl1{w
z-_4!1|8|(<MDH^f!L!x5iOksMz*AO;HC-3jTdom!-}cs%rla$0#WKQQ_0PJwuBmtW
z>AGCT%~5~f`sUhATC>HZJE>gn`s3@<E0#kO<$=`aQ=WAuZ`zvrZSJgHzgmR$&0i>Z
zeqH!7?W79WEHuC8r11UEzdrkt)>X4&GSlAXD`f>8_u{rkbFMoPHtUT1mr$3kJPEU)
zgU`jQR^5K_FYDWFtF=}kmS-oN{^+N0#lW#V>)fBfP5bV}hyN<S@MQk2tY?cdUp;#H
z3Us*;C}gr0f%*{(7WL2Mnz3lZ4g=j;o5QM;CQq3*Z3*-JvqACuo4y_rI`s9}o`ARZ
zQx|Qy5|R7AV$-e)uV>fJzkY6P|6=B6VN<3rSK3T+Ycn@2TN|~`Q#R`Lzo~_r)Y@KM
zTrI6_@V8;xznUPkRIRe(T6_OL^%Fg_@FT|TGt1obl41nspY^P>3o&Z<2}^u(|7kg$
zyxX3clKB0|=GkuF^OxP<_dn=&Zt4@Ax3^BMDdXR>TKBk)*XARy1AYkay5;kmrSIcA
z+X(ZtwU>9xyPnSKzIv<m^w#gQ5~Z&0YO`tM2Zaj*L&HLgrQpglFTnh6ZFconpD$PL
zZr^sj*4cXgf172|)-ylf(f&ST-(J&Axhvk~%CA_Eclk^9?&T(b-?_2gul#-~_iT7(
zj&!~0OI!ctzs_7eq<MYHKK-K^2k)MLb$iF*UllpZKiPP%F8WZA`&DA)&eP9d&3i2^
za$CvS@ZxHd=Y8k0UR)3C&&yqT-1(iY{qMt1idVOp{0mWzW?gmHdG?hL8~MLP9e-bX
z-n1^utY+pXci)RkX7AOSXZphLY<>EsJ@)&9!`Zi7`;jmG>ZI%c0C|1Q&-L+{$&YxY
zs@7FMx|zG>m+9T57eec&%--yBRz34y_Ti}?za^M{&R!|#{kJqYy`Sqkt41fdh4J5c
z_oef?9(F4hYxb6Y-C7zu3);_iIQwMFvy&Zua#2Pfwj4{B51KEQ_v-$(X=-J5tEazq
zdp)r)(&)C<_R2+jBwGJ|S9XnF`o=kX%R}?oQYO69A}4+7)Bdyg{1)|CulrvYPOF)|
zLT~TylkfH4-1~OidRD>l;#(p4bHwuP7BnYzm*g)$RBm!(%lp^-TRu&<NWI;s_2zi(
zsgL<9+**y@KJ{fkR$pCWaCzB`552$6PFgbGE_zkQ>M474V{ZHZTwS<1>iKnD&1{E>
zI{TWBtyq8CZSUTU%|f}Ix3;8g{bu@pWn=D{AUyNYb+x}%MyKt#7rV(<EH&%D_<PMS
z<@Z{d+-HQ|KOOutee;yi9UA)1k(Tp5t%|NyI}U9YtgxE%@AQ)JwKYrUtX=x}<<_UM
z+V4Nfp2!RRv~td8`Olx<78P56=TNBL6*QTvf9_)8<-wt+kNexHzB!hn^;h6z^uM+3
zzhjnOvz>n-s=x5p_e(clJyt#W+s*ddLAAHtzCo+5u1fwdr6O;BdA|7Vd3xSXiDt8l
zawWaI&)R;s46m$I+qrXhsKsjcW{02Va*O$s)^3VgyXoEBrLTSER*An*)Pzh$zi!VA
z>3(gx>;Kd)zSyOQw{bnuX}r0A->axcqQMgOzgvWKZMJduZP3&;j$U@FG-P?f#Om0q
zA5Vm(x$V=2H^mpuxo4C4YVOi)b?H|wse7;eYozz%+~xh-&csdq-q##df64r6c*5dC
z?!J|<3E@fU(_{0B^yjc7=dD`s>*@Ip&p)X%(`>fKxJQ=;Uf-1#`}Kv**|*adZ9iTX
z#2g>LwI*$`QCDv8!!l>h$l&fZlP1qmI(;!!>iUWBef#!(`ua7{_B~&fjZN^RDLZqQ
znjI;<_@MLgmEE3G_C<efU()qd=Um!Gt;6a8z1+%`Y$vzJx%#td%zXMFP}MAF>A8E)
z#eQrJ>~5%#y%~S+z6T`lGpwj-t5Wm(9eMX^LBi(K^$O5A*iX}HcKcpSKFlN{y3ujk
zl*d-3&$7PmT0D8rlcm>^=U+Hc_I#G*PED_~99OQ&&N{85x#GA+@7tSEjA@?p&R(rA
z;WxD_bl81)iPhIVPx5*%!Y6vK+yC$Wb?Q`9is<WKS8qKr3<;k9YOz|H=QFogm%giQ
z)p+Bans<4+^rNe#hb&BcfBD7B>PFOPT3%LG7JX~&z;Amabl;~dp~`C65x1kJSCuuW
zXxcu?{`<l%V6xl`rTpRzd9%&pWcyvTO6>bvpq0;q8ZvtN*N^W0o1Ig=_3aml+PV{q
zgr%2j8T_$q)v?ov+;`;=tG(uroARR5H^i@&KXvIBZ{exQOV0TI`mx`B<;O4cr-<y2
zo4j6rt+?I&b;2*xr-<!$?yi=*n%#fq3a;7OdS*S{>_F_z*7N(1+eY6G&%N-n;a1nL
zciOVrH$JL9-69*i=;iqq&4OQSb2l7$@%v=@mOSUCiXB2SNwI5Z28XZsCY;#idvi7a
zuVWdBCv0+0mX*SeTr~}RYOB0jrhd-H>#vkq`B(2+n|jcBdC}9ASKXVYW_%9pd%9v%
z@Mf<YM$yq}{Fg&FpSE=Pq{RCnvgDofMSJf_uJS!u3;0zu7wlG<4V`U$FOu@=IqTxm
zw@s7yH-6pvaM!b~_V)WXt$6Y^r+r%HC*RX1J6{~KY+W9#GyALY-O{J&u1YSiK4wdc
z{;_meny$NT{*DipQqp$rOHclb@35+Bi%B}i-M{sBbLXy)&7H1hRhzCnW)c<M{Y;WK
z;&adHWN6B5D4wPM^XJd1x|6p~-Hg1P$<;k;={s>7O|9_g_;+g@xs$bb2u}_<*8QM&
zsa7g%uw%ii;#+4XdkKTaK)h#4LHoE23=bBpx^7b#QuNWiN-`q!6c^ME2h)dN#E(H!
z5(9%o<k{JkObnqX-%rY0apdn!?ZSAWpE9n{6{`fAc++R)zc`~&{m??Z`(T2Bj2!us
zw{o{(-eCy9*@AXNUZ%NuH8TT)*R}2b_nWQ<iyB#eeDLj4_)d<W|6J^jhbY9y7uHYd
zs_9$F_H&2*DF?gbA<Z>+<Wm*qC$Dm}d)IF?;k@Bh1^Mspk|&<e$eOU;>b|^*Kf{3q
zkfwC}%W_ZSSb+zhxz@Swn>6vf;nOFtAuCsSXTP`kG_|Lu@8Q1!o}V(oM?V+VPid~{
zTlolNjicT1kdHNY<e!49so3|brru&{rI5|0sZH*&rT>br1lwz<KV4(<C;RoT>-l>#
zEEx_c*sVQaWghcQWU2D}<W&Xx{=NOB{pqsD;b+$UH6WoK_MpYXArEWr$cutJfsJwX
z=f8qe*8YzU_i0<DZ2q=y)7m5N`E~C-?U6VJZ)eZ=1B>v6iJ+Fz=`EVpn%*~;p3yqF
zHEsIFt;?&<@LZLjCi66^Kl8FZ`^ndzj+CrxS-R`}uG=Q{+uvUL{;+npoyDZZZ;Znt
zFJGFH=X+t{e7o!3i=#f<n1wjrd-d&v`mM>cmYCLmFD`|4CK*g;1kY0M!!|OWqVi2-
z?ce>+K7IW-G5+<!D!W6`-~X2P-rKi$;_AtaqUm`{H|DRoKHc9Wz$<9q>dVlCyW$~i
z*hoh)CjSig)qla7Uf#V|zxAuH?tZ@Wy<gqp$Y8s`E1unxl+#yCJ(E@STl-hpdCjFu
zqNbG8v`=gQ5^`YYT~_`|$JOO?1B$X{1qm<OQn6#Z%F2rio^MU_pA)oJZ0@bUQ`5fi
zYTa0HF?W{iF8{)5CQFy9zC1ClKC>lW@-*`@4V&EM&&mwNpLBCyUSyfQRo%=pGt<-R
z!(E-PUni?<zIA7_OLSl4l(f#vjT>02zP?WWmFRZ4Tdz?!ae3I8J>LJfUy3dFtBsg@
zhV}OJs(Gn$+k1*xwPx%3zN}w!ZHnOLEv9GRA*Kl|mYewds7*e``)b+l{M_~PI_K^B
zeeK(>{EX+H=N~W0Rxh5n`|<IQ@0Q-4wf@o#vwvBas^|HAow>R-c%9~tbKBRe_4i!w
z-fj}&Fn{N-#TP4dV~hgl&F>4I{mb!{jb@PUygL8lwx3?T@2(yyS6_K=dim<uTKTOn
zO81NXpP<KjcjeFbKGVKGFMsu_;hNO{TxZ*zFXUf6RyEzL?(+Zo1MA-0m&*Us_I^3B
zdi7T0y<eV+r+$CCc4Dq`Y5s2q*3#L_;&0n7eOYcksY(9I>d)?4f7do<J-Z&Y*gkWC
zV6)V;K#SF8>;Fe;UM~sfkM~TSn;v;w_i;?)sp%_9^Uqzse*CS`%$T{*62ZauIpLP7
zO#VEL_d5>R|MIE6wDe1?eAdbG&R3Uq-`{yt<>ajmKU8arw><c(wU4>&wOI6ydv&kt
zy{7nX1Wzvf&OY!Wj!VkGY44{)Tc3a?B2p}OM7OV*^nQWitJm8C^Yw47afx1JrW?7o
z_H1=lg7oG!$CIW=-3b?#msvDzTW!?;v;AFLS6+E4p57mLquxGfOXw-PEpF0vn^!ts
zPWbs%cFDW+!(ZR+woVD$^{w{bw0)K{!oQ_@_=L8($5vj~`)#xLlvSSOb9nJw`s~Z`
zvdXKc!cX0dvYHnceQ)cjJf=UX-%6IMiM}&-SNB`KYFhvN8Wl}@&*gn7bK|cnpNPMA
zjpgVw5xtX_R$dOf%Duy>be+b(yE}7<ADvSGyMMdZ&nxrU&z#?W`s`Vqeuw(X<zgo%
z$u3sOzWKgo#Z?UtyP0CD>C<ZGZN+f$Gtc1nI$OV5FP>%>ne}#h;lc8G|F))nD@sUf
zUb&A+TJ+zJ_Y0@>{+XKX8~AFcs_XqF6N;@OGrvl&y7@ECA(qvA#riErSW=fS(K`H{
z%T)84`Uy|JiBDe`^=3cOj*pN3`Rmt;dFAZ3a`QA#u6p4=@7j#KwWZ!3TW+1Nh`pTu
zNhkT|QLn1Y&1WaA;<>M2s&^)7pVPj$RNLz-8rkmGZGQFk-lDTFQ|G7WNgmhz9RqFR
zGpyLh^Yze=Z<}|mes~~l`aWi?twf=)t!-<Amh9axH}{e^Z%WvQ3F%+H&kh#Z_>5gO
zhvTaMJm#-27Tby_oLuc%UYeac4YY#rlf<f({$B%yH>(sYm%gl?95rKUgWTd0_wNan
zn!)Pd<S)IH*=&=&bNa45i`Gb+XSm;Gtorf(?h|eOSkqsIy&3UE%_n!e>i_-QtN&&F
zLd{<vwtg=?5%#Te+Eq4B{l^IBpPO^fhO?XV$|YuB5kct%Tiv<j5>mH&|9<v1pyWi_
z(RNw=ZLQ0z&crNiUA!)&S+V%**FBC}U*|r>wunxr@@|XhEVIl`o#biR^;whZYS;Jo
z?fbSNZH@2rB)dyjj!)Bk|4+Zv!qm4gdislb*{?jXH|%V2j7Pru#MNEt?{6=y^?&)H
z(nCcdujNi(f4A2)ZdTH#>$&-|lTCGf)$4zT_;0gcQ{THcHu!qvDf{zAJEj-Hmq&%9
z`^|R$a9px}tzFQEh84G?#B4mY!{<fSszh{}$v=(g)3P>Q-J-jBYw9<HSkv7~?i*iz
zuy!`dE81wjDP8{(!+clqwX))7(aDFrZf*2+54T^exO)E1irL_1+Ve-g)BFP3mkMj`
zv)P%k?9or1UkM@1wFU1k@8g%h<|}U(^Woqf$Mrdq>ldui(fk|joAYvEr|O)8tTVl>
z&p+AJAN^`4({%4|X1?z)9k{9qt*@>W_+`#}^8EShpQ_o~>FJ=|K3UUtUDw)W5N>}y
zR^g<|)UQ?(R-L%x#_YcJ!b)H1E$SDY*B-Z9dNG&p_3pKq>-=D6v^Z=H`Fbxw5Y)aC
zkJ)3B&~@nl!#@_&=kA`r>)w73@B7(0`jca~8M}*od=;|ySMj#hlVNE*KsR*nGtW%D
zDwa^wiF-po=Vh<1Tz3v8>%fcF68t)|l8NE!#rLlNa>@^G%>TjtI{b4@?bYw=-!{K~
zKBMT9><7z&hu_&wmru-^*Z=!*5vwNa_x9u+_ON!)@56?nHuB%yEzyMHx9+v~nFJn@
z?JJ&bB*D{mc(FzJbHi5}udQ5NTz1&VH&;oN5?bR29(bMm`XFc%5;TZ$FLcO2TFH=D
zR?5KOAo<4iUrteE*Cp5<DA=wnoNe6V&Yv?7(|iFf!D;hY`g4{(2n?V5U*_u-{!^Zo
z+Wh}-?Rxh$T0aW9oRop#ftKIQO7t<$b*GCTz{WYXHoIT(+PrPf#eU;ialbZ7-&wvi
zFxzym^TF~sbHB^ie}l&F*2H$RpI$9=8P=F@*ndp(tZ?))-<zJ1r}R?8JlC1-{xf&R
z?TkCCv$uSXn6xG{)62Ur@XD-k({;Vt@wbJR)SX{@<=kUWFW>VQW<E*2_46OI*7CJV
zsybI|uistvb9e5f8q-H7)vuPmzx?XO{TSPHmsNLLGI#xsigRzCb!1IgeRc5ceid!q
zvx{!bJ^l5^*DZq3RI|fPwDNi9`nEm)mfn+nz3yuN-4zM)@~ovh<4>RCyFMv2|Am?T
z^JSVf`+IPVeb#5@f4#D0<9xqAznc4QUYa`ZvTwPqouh5Dt(Lt-LI@Fqo~tg}WEO4z
z_g>Gwb^nJ6Y4zn1FDGqER{HwuiDcC4(&_Um7F4p9C52tS9e;6qwV$Ty)kRAlTW_&h
zvYbi9bDz|opcQ4Z-V;=9V^8jH&-^xF!ROmss~^PXTBZfgQjKdrH2=cYXHDNavF-wL
zeSXnoZ~4};<7(Qvx`Ds<&tAH(&g#F%ddpap{XaS%Uw{1=e%f@UbN1c+Mt;|h1lV3(
z@kviPA>`g(_SeVOlvaIToDrG3F=}_g&TnzXK8vG_nW4jS4WBKSRz7<6Y*`~ef57_P
zd4ZFSzg~U4F8a#*h5Me{$KPK)`%LA#rFrjb|MF(N|F_y~ZTIdE+^5xYyUvCFerJAq
z`yPSbW7!WUb$<F&GUwJ6{-Ecc6SF>ksh(wgCOoJuX;FCQIoY{aFRWiIclTCMfBT))
z37%PYo}c5T*Y#{?Up+J4yEo$1`q@_EXSh7?%dA@~r)*mOGv;n_ZF6^j=Dx7)CcIbo
zzGW7<lDn%e`}>q-_y4AwADOa0JpZ0$#QOIqE`76<eR92E!aMo@Yxeb8ujxN-HYxu?
zz+SoXk9m!+j%^P$W<BzMVeHH2Vk+`iE>1bN>({MadS{`nyaj1RexHxz1UZD)UzL2d
zZjRc@Rga%5u5Ykfb@}+3InP#p+H=Y`E&AnI;mz~=U!^QLIVt#$^z5KD=}(?MUjFId
z?~?nBhfBM4WAgWZwO2j0>_+MOSAXaKHBBhduROi1Hoktr)cr>^Z<mE6+P@3#t9Lg2
zH095)FTvZ-6ztudGF#`=m4Ev8f?vGf*0lKl$3C??ALp1|m0o^yHvfx+8%lR?uRKvL
z^~q1;&0+s7r?pb$qZae-zj<XrX2H(L##u+#{EC}*%6|6JHHTa)B~P9DI3@h<Dnm<X
zap3Uv(Vuv=@4LKzPTKS4lKxV2pRP@jm!?Es53dv7%XVZ9!~LpLKATU3owKuP0xwm0
z^OMbIn%~@QtKtrb7Jc3q*k8NB^LNtZDOp=z-Pq4|^7vU5bI-K@zgA^;hWk7$yQw}U
zW8?h$`H=lH;VVnet8clMJm=rul5M|4zWKiiQ=M=1zj(tZ(17LQQl^+|TX(;Otpzx-
zY|ELPnOWBZ=N@u>R~mX;IeMn#&viV|N@qb^QQhY!TReAN(lb3bJ;+DA<|$|%c76A3
z?w8ivvg6~_zskkDxfoGbd-eCOs9U?=rbnK(%sB^J5+iL;Dtc$ZzBS8*ul%@JIqSB*
zYkvRRC2aflW&J$kmO3Z;G;C0B`)8g>`Fp#+&;N1S=xjya&c1E07UkWm*V`VyN2?)8
zhrM5GW1@Dc-k$P5w^q11?CE=RUZdC=TKeyhnRDOf<Ajv$-e!}Z6eeab{JZ8|mc@pf
zM{m#h6Ovy$ZP7pdeaq9?w@#X_a(-E@$<w(vrKW9+$*(Kfa_4Z=;V{ih_u~8gUM^)b
z(ylmR{Ok8w&8~>g&1cYu_dfq;t*J^`vZ$8*#X|Por^4s$$~uz5n;q>vN#siMlw;p=
z)-r5XIsfdH{jPSY`4$WAzFc}tBObi1q_njCnaw?JQ@5%k*MD8CU7%)r!S&v=gu7<B
zd#vO-Ltgy*^bEEJ)!}Jz-RC8_`?j3b$t|3IOVno4@p*IC*M5f03QylKG4#HDX}esc
zYVYs!Wk;7UeOdD5_^$ouwpZnx5m~!6<iQS3Y|C~2KHgpOHvZc*yOT0&uO44(C21MV
zD1H6a|C_r%f6!P})B8AI-Pei9b8-t$G>gdzEiNu4J|)g>9lq5MT#sFx=old4XqK9A
zMesvcfn$h>`!g*LJ|!h(CN&2E{Y{(`9mA%ovItFEa(OPRkk!lI?m}-I%yeG7Q@MZs
z^PKjOxvQ-5uWxKwUcYjcGwc1ER(-k`j+``HWn0+n7bUzUFQn~SzQtl2Ptz!#E8eHe
zU!_#Fy*au2%eC6$Ca+hnjGCYN;7>~KHTVC2{JyH!E&qHnK07GbOJfC7&T5mdKkHZi
znjxXO?A`T6FIdh>{-3oit=bAY?9j04gzo(_)}K|5*DWvNvrv8NHEqwI-Tr*uRys2;
zuhu?Z6?ijK+{>=x@rG&sua-ZZye{#<l*(6fHt#<r1)VA3?V4Hlwak55M#ynhsi(X0
zjy-MMnt!q2?&gdg-p`9!>+M$Ew$r$OV^U~0+m(w-o|nv~?{nFEZRfJM-FsrUZ9D&J
zwb<rUzX}|lPTEnmY1jIBZ(c%O#qeO>BhPip;o8sYs~)puSU4J1FI(wsdVT8jEq~wV
zemOAbh_pF;t^SG<t>eZEh0<2Lzr8un4_fasFlaG;-;WpoJJ217*o{z-_{z4le{(_N
z+b`C(Gg%!}AC*{j>&*61<5&Hdw{)I5Y#Yap*`3z@3<t7vyKbw@boo5#SWQKEvfZB5
zhVu8W&ftHab*8=C^x5IvQlB5j_Wt~^4q003ZB54`_;OPRK@r6E>6ic{mpFceFKIo{
zdh;?P1H;mSU4L>uMRZM?cwXX@q_bV}iFBTyJ&N-UpBT5*9Gie4_{{v-W29>p#q)N4
zR#{ZPM2XF0=bk<3cg#<D?$y|T;nl9<cYnjzLf5G<Fnkc%ey4JhOtI6)$J;ahueR=b
zYyasQzj@jNtNKfiY_m-^mP(o~`ji*0H*wZBQ|J4BnH9b8+ZGQ<?^s&#=tPmm??0@m
z(+k2+sz#UHWH&UwJmGqH_V<sUH{E=@X}L<q`B&FXmqco^_8WJ9U2ZLZMf$hQQu*B{
z%Kl}2Kf=Ph`)$`_Hro~abtkW#fB!uw;btadjPPcq|JnPt$@osZn-}`%U24@f+i=)2
z-SaCH-rH<kasAHuEd?9ahxP7r7d&{7EC2V5X)$kBvufYJ(yVyBP~(4@)UP+fyYvsO
zKk;h9J?E=eOM>iT+gEL0`o-CzK3P5>wbg!&WIoS?+&uHUBDbOz@5^4d^0V5CwfmRD
zr+B)w+&(TjXkcq>;<8b{_rjO;bz!@fylJsGD{JbS&D5TC=5cTLef^v@NpE+XZeAP7
zCB1sa5{a|3H@exY&6|F=F0OswtYt4I#BZNn7#{5#vC~I6+Wcy=_M{Z+?O~5!c?RwH
z#s6;2m8t7ra94}-zLb7u)DfvGAHQPl@wJ<xCzq;}_2ijapMJ+Jy?RFQ|7%+|%4eU~
zTpjdi*^17{Ro@TX-}39Y%F>%_toFVy_U+_5e}CV-=2`o`HB4GlcJuA?(vsKzSHyd0
zzHa1FS>f$}w>WXHSe=CaY~{f0XF8YviPf4cSQ#C68kXQ(L9zJw@nSjuvhe2%=U!1*
zJk5&#?UHrMi}dxkzrW-6Eatw@cmAyU?NLA9U48b|)75&-?5&pnCPkI*2v5DkqvUB6
zxobuJ=dD#+LUUWU&t9-s_ju-`4ORQUJ%4-oO``sb(@W-mz4)MNQ_J^jcT_wDn@v|d
z_FNmeE697l*QLG9@<Gjq=1TQnk>31E>+{`tSt3Q<zjXORkDJw{e)lwrTx7bcepB4L
zknqGn<FCah4p%+i8^{&)Z$ln$_A2*%YgRvh_BS-JG3c;;-*w~CeU~0YH;LX_v3Xuq
z?bNd6)Az`&@X(pqD>Cg*cb&$ceDkLp`j%e1zIOZ74;iphBXn|gmwMXdL+`jk9rEL!
zns@{|bj)Wu%p@X^8s_)d<fr+^i8lj_J|2+~TGQaOdyQrIH;XA*rvnlSI!=B4{c<N~
z*U#teUE4ixx`$;Su9sPL*<evm?b)uHiiUT8UV7{PHuSEZ{x{nFHt(eevp-imFWR*#
zHf)WU-VMdon@T=h{=W5_y8F!9pFvw5t_}b4KKbYSnvFIF9vAJTzrNd}YxUe>`C0C;
zmky7AUs*gea@%80ukMa(AMC$h((PmZ_3Qq9t&0nVt}~0aM{Z^MJGJ~{lb*=BQ)jQV
z%G9%7TOnZ%pOlTc^Z)FVx_C|hpasFd_C0Cp)Na4JZjF>!>yOx*$zd~=F5C1bZ0hQ`
z2U|3sepxR%V~@@9nwnD@yJyVKdeP9w_1?hMIylGc=C`I>?d4YvEYwYzmcqAosnoR;
zRS`L}-%HL#ewIHQ8WmsH@Y$;N%ctu{KdlaqUig(gGjNfw*=*l!e=lFT_hk93t$$OZ
z4c(ZJ<%fJw{(s?{v-Q@J8u3<d)phJzeC=-{ZyJU22RJ;`x-Z4`;dEG7+B|i5K3sGs
z-o`R}QeKhQ*Uw8rf+y<zzwpY4Judc)$NjCr>_zVbrq3(wDr<3BeR}TIE%nFEZl1FI
zvvuEwyZg@?{9Sm`?C;uW_0o5DBIjC{2d$s^G3#Raim2JD?N^tbd2nl0e8i29{L`1F
z{p(-)Rdl+#x@zdPWN(ut^IXNcUB$dNWU+0%ws~XZq@1q*6_ePuX0P&l{P=3tsTkik
zFXB&CEqJs+&Td(8sq$5|6$!2$#&>0=ty#=&Yztp1aP`T*N1gLkmIoKjP}#p|X;0W?
zs}*_uZsG5XvsT{wrxJQMt}b5RMqs6b=Re-XCg1kHd^LHe&u4p^vcI2Vy+WhXa_`>S
zwNa&hYyFabsoMWL#q#g2-dp|o_A~x)O}kJ0RZI5nzx4Q#NdC)pg7y2n#eS~#+#mSr
z@}5~LQ*VXK+`hhg%gy!M=gEsNWlQsXR+S}vH_&|c(hc|dFF4C8iLB6>Yg6HpUVP@$
z`e)IPsun1D-v83N@9W!z6Z^M^POx<1_p5N(F5i3kR<lhrv`yadd*}X&OE&(u5~pqZ
z<)!;s{^a8`=Poy1FVn31Bc^(Mjz*gL>}$Q%9{y{mwEan5KIv`Q+}{5l{j4=BFE$^`
z>iuf<?DVZQ{r`T>edYhh@7j{ys-FjU-P-u8cy7_%XE(Uk-n;5h+g3X1{YKVV7M5;X
z)$=ZRW;b2yJ$2z1Z~7GVlYw6G8z)VB^;7WuNwW{iZ*8Y9+fw{7%5LLqr9UeBPuRa$
zs?YZ#rp=erP&xJA^^&vy^;RGG>d=}Ly>#XI|K3-RAJH%@-7n^<o^kHS^)05iFT<8j
zhPXbhI=?IMoXyFN_gBtg_Ve61Nmc*<yUB+q@p(V{^6J#axRA12t&{j4S*&?F`B&!8
zCD{@dsq=QRpVy8k_!~KCO_a%kJ~7Wp+jf4j+)^7Bzja3Ftw!Ome(&$UoZGatw!7{1
zJlDgqzvl1$6MV8Z{MpIrBG%5$$>}k+PadgXsro4VG@d`ipmVkQ>ff(U&g+9US>9>R
zdtz0zHR<1S^Sp*OVUf-0v#dlam9DAg{;!s{HBkR}tQXqtWnj>f-7eyvx1;6etHA1Q
z%MpwGybk<*k7!<eNJ4IR%&79e`&cI?!uqeDe;Q*zr^VcJN~hH(pLF)z6TR~JN!UQ#
zyR=8=gc&|W&3<I&sdjPC5+YhV(8kbKFKhWl6|g}Vh5(kM@Qou3;>a#RZUH5JD`8-8
zu(YtX*tSyUc1UB*#jbz3#~=OyHGOv2fg3)Pk9>UyYW}=F3~mv5#>mS#+a*IJuUpUW
ze8kBRB6Iq#%{~7~%i<1yn`#pu5;En{qerLqGd~BlmkxhT1T~@J1!LsRwLCaar1M;F
z2bovnT2Omug@UTWe8U*|V-wELcs=*My65Fj^(EdP@wK6*B&?J^zU#O7o8ZpRrP()q
z3%%Drluw^y4{E9*Ozx;zcOsnSdi#mzT_B4P+*ei4i!J9x-^1Jf+Nz$vq4Ua?wUWQe
zybfo-fz3xU%zH5HQK$0hg>OEKPl-Jgt2#aBW~%&=J3h{F@oVoMKYu!H`{{X;7TB(i
zzdj*tsfgP-oon;o)db|fDgJZp$x@E00Qo<Y*3Q5Ge(8bN^(70s47ES)f0ljvqR7p+
zVXE)qmZje=PK74N4?NpN{ChUzZE?Nx7r9iuV%IFcQ_EimeBCx>UvB36g&&_-moTgs
z{I?-(kD<f1op(!uGqQVst=qZm-0TJ0{>|fqb(ytRNgSQbcKFMg3j#;?nK)hE|10w4
zq)oz)Ov<l_tmTwhW!P`7SGRw|#;&dJubtVJrkS%QX;P9&{xPY)Gb-ZBepkx=%9^!^
zn@eACZ``F-@_t&XXV+~puKp5sf5D$xzrWF!kJYWQUB1SvbM_*&G*7PZ{|Dzz-StVd
zHu3!;#oEI$Y^JN9KIY!~bw_Af_NKlgeHYiJrQfVo?FoI~xIgo2zo*yc$kM9&&u0nA
z&f8zRm)*4XEu(7W+fBF6zkYG;f8hQJLD!h$ba1t@)a7?VTiOd&6?uO=a{Rcv?YuYZ
z&N*AJbac}#mAmB`>+TXCmv?{X+%r4(slJoH@-J`er@O1qzMkAw7Ga)U{6}@`tAh2X
zcKmdnl(MNL@c*;yuNkXvapjvkR!8?;K9cx#NmYN=xkD3I{k&<gb&cqn+1B>Uw(s-Q
zzo8zr_?P<Yr+%fPVy40N)+(+%*B11tZ%upYrTbUQ^E+Ri2QL?UrcF@(nOCdNlvl3`
zJfZt)yG4lX?H^O`hTn-$<bU1!-Ayy&=iyzZYgWqr+_Y}q?C;^OtX{nTE#l5!`RUc1
z&l#4n_*~Viuc5c*#(k<fFgsF7T2tqz_}_pZ_p?qV%6hL|ADzGY;YHX@*{jsPi};^W
zYrQMFs^Q+gQ<)Q%H3-<Vwy|m`oLV#ISmvkvN6MQQKY7$?sS?3Bvn;ZB-J3#<tJ4-9
zcpxzK_4mug!Xlr~^NZ$B-qgS5THAk%kmZRjPP@JbKfe>9TT$G7&SR6@z2(Z=4$Xx%
zrCQ&o8ft%f$hhnCa{aAuj_bUQ{gyAAYwppUf9^eR=c`p^ksG6SB($$Nb?Ko0{p+{p
z%cOo2HJ|ph(Xs2>%@yU(b1zyRx6F;(;KeR=f4SV*Gn-`n1EO5EwEf>XJ+Dya!u9|E
z!aDh;?vVQsmv)uwOZdH`+`9sHXqg9#7yS{9Se$eRz7e3P@W1)w-?d9^JRAL9{+`6G
zwoWE&_ol1}kw@jJ{gH{&W}Vs;9lGvU!{udDF7FRZ`1EPcr;moG-<L1s+B7%tQRq=g
z&&ubezh1P)Pe1ExTH@f|T0UdZTurm3In|DD4_jwFxFBZaZPb1(h&Owa5Lf@y+Mwra
ze)d1pTDyM7#y_vFgk}77jGB@$`E1(c-2Wz9&3~>;%eB85)^oApqqOLs6ZTvFUhvbE
zz3=)ksPi@B`==9ZwWF84V&u|@c^d7^Sa<W(sWYEbV2g!9r~K|#Pxrm#>$kFQ-jpn@
zOHbvaVxJvY{a(=%M?-Agt<@Dr_b#|Qan>@Q)9<f5SoP@4)!#q1OD?lFxw&kA>FXuu
z=31{Ve(v|m)HqJ}7hh=h<|i9&O_7X={>t6|R9?^fY*V4vOYOWhbEbqu_Um4pP$d?r
zBNnN1aFyhhsPo6tJU40nd+aH7W!*}v-v02_n#HCY7uRdPW_+J&TjTTmmD?)cfP-BV
z(so(uM7r~*Rl)CeS#|R7QDJ*ezvWL1JnLM&CD$%14Y(`UyYAleD?xkzdal~F_s_n#
z3WcD?Nq?l>GT&5RzN%h4^I3hxnE;jBZCihx+uuH~?$>v&$s!fS>|48a-Z>`x%lhvj
zZ~E(BvF^QH;nm-tZ9gl&E~w^-{1=bv`lZK@YTmmPtNgEKy3VKY$#sjbEUz^6)Y`iK
zMoj$rOKJP>Rn^5zk(!}8^YzNy68F0~(+<_yJMfow1T5H8^67!#+_Q$K_s`1je9f3Y
zZQo1o+Sj+8mF4qSD-^fL&H2!gXD_vUD|_WL*mkGy#q}STRL<LSSSR=E)Tn3nla9}v
zyPSFb+n_%`biMj41JC%Gt(E>hVP2Fb@6Y3Ys<+=-N&lZH$NeK{F?;V-snrL4IOSjc
zyy!jU_l@*lmS@*3dCUFfxEN!2zIercxtF2g)?xMQg4ez7Uwh$IEbo={2=$+G(F-~!
zCx=u&Vw<}~E#vMAp0)Q2+$tx@Ed3&?ow;(J{Kt7Y%=VY=6=!-`i?4qwyj$~-|EKOh
z&2hgTHJnSmF-5>Q=vlq*^*`@7dByNonBLa%t^F6j%1+I#bLH=?8di?Q$M!E<do%St
zY?l9e^v-*m^QIX47riOhdfpHmv@Yf3>HWW-Y*=!5lI7lAMQg;T&MjN`q}hTm`{$Cn
zD7%+ZY<@GBzdQJuODv{-n#yW1-W7{oS-p>k`G&0zoxI-Sfavd(qc2{Hm3B*N1it_B
zZ|*(Kh+Eq;cD#!;xwG{D&eQf&@06$RtMS}uY+_M%?5eX~ozq%z`@nx+8>V~5*hbzB
zkFVr~Hgg(&?_63j>Gg@LT|ejF+rcR17jR}?=Bt1woDtZ^s_q2Y?0iVlU{#<cXtmeW
zfRd0cPf8*`PF}LxR^UkFH?>Jxwfme8w%6VMH^)BN>x8)Oy8fbvzYLZ&J$w91Lwy~8
zvQ~!Oy8fa^xP(?;x$gdcW%ZoawFw+jy>7;L);)P?bMKaywf}6h+)ovDQlB5LMIY9J
zHuTU(y;h(3j6BbXbA*c(xj~rb&(6Rw<<QGdn@=WddbtrC=mL*^&A30K-x_HwZO7Ad
zlRN%R?2@?f<kP2lh4(eozb^XYwEFeAo!{fP%0WlI84d*BDSGZ%bcXY2|NTXO*T2))
z_Wwk*{hb+&um5;<e_wO)$hFif9jA6fx9%}8toU*#$fhvGa!T#LrcK^ERzI1fyZcS^
zjM<qdUa#Kz`$y%?H)S`2g|?)BeJyoq&6K9&GmrkdJeU7fZ*AYD{@4`j`mNPTO>K9>
zD()1N))uyw&9_*;<%>`15}E7o_~pV6ZxUwKFvl3`s$H<$8QSz(u}JHLwZG0Q)9=Q*
zGH+IgE`A^AQn2CBZM$Wv;=i*Fo-+TMaH3S^)c(0`cE4NRb$^(w!dmus_A~#SB|8Mm
zSCj?pnDt#|efjnGmuJ4VPziWCpkrSC_oL#sUgppIy)C#%!@F~L*sJFAW!lk`cD}s7
zMfb6^Z(c#AL+|Z`>wV!-&-$m!EdIIq+Uta%Sw?G)Z%sX?EcNMC)LZ-9P`9d0-)eq{
zZ++sK*wD1=%N1MkYuOLmKW?%V+M0IG=xzQ*cjj3|jnL>Wu-`7?UsF?a<?+dPuWYtV
zmP)x21RCHfb@}r2>9@JJPtJKA{pg?L+xKDG_xIk~W4AJ+y>zqL@%W(CeBVz6^~tDt
zi+|1E7uLUR)!Gkb!dtdKweXb*dw>1kp4wTqZ&+(*e%Wj%72`kc!@XJJ(yQOr{WUGV
z>GOAE?cD5Nca}Z)eRcJ}ps)RF)=pD4Tm9~Z>#Wk>R)06Tc1V4VU9^WW+4NJKoG(iR
zw9PajZiCWOdJIlosg>QoXSupuRp9JwzKhY(d@l>m*w|LNTXM_oRNwOP@l>lhPXnCZ
zxcxm|6?eaOQ^ZFz%~ba_W%Kw#|GHg0Rj#w(lF{n01^Ykg#a(DU6uNWft_hFVACMA#
z{0w#@*wpUd`)A&Hul>}MtHkU6^caJ}ryFif4L!U_V83?t8LrJ&LZ;naWfaFb|9ocf
zsh9EE29=e5KYvV#E%Utjt8ZRU)}m$KdoN1uSFB3^SmHW0z}|3X%yIAOUws}{@WmFT
zoSIj*GU4Z>qGI1qAD^C13@PQixp`CSD!By>heG$6iaeOUcFh?Ze>P|*DZq8-|JlDc
ztG!fP9%AqBWh(me6aQMh;s;lc_I!!8E>-x>SNgK@X!2&KMOUAm%eu8cd3MfInR`E_
zlf5tPd_O(oRQKr;olR?Rv3mB!ET8jfVtyj;i8}!gw|0rO^z^WYh+aE<ykuS8l3OgI
zYdA8O%|1M-^h?4r_hMMyopmSP=HuiiC0=}!pM-DOav>{<{p#C`FJ9I9>0Z4(f61!b
zr{BMBWmgi_IJrM@%CUXsv9(6iGyk<8Ul+g6d!^UuHEW}z&8I~M{dmuw@uAjNG;P|G
z`w@59{>6Rum%FsD#B-&3iPht<(z+LXtXcuS?EQiD_ZawNHh=Mre-V9OPU>exH0w;|
zFArMWOMYLpSZf=xuKE--TRhlRL`f^*b?KSuTO;NFezv|k|Hs^O>h)^_-?G12`qaAA
z$kzGWlh~@)`;upUy%Mta{G^n>KE-ME%R9vPg<hK39A)~bY2UpGOYXduU|%6b%!Jf-
zPYaSKq}C@K{F?5$DeGc`Rg{Y7t!I~>U-%YTdo}6W`sjVHc0~(D-ZHCRkT*Lv=6>U%
z$2aXRUYKoHc+=GH{JpUEQg%E6J5|@sTk~$UdNus2rya|&RO@F;pJE-2nS1JEQy*wB
zX7`QT_R#QVU|84r`#xet%!8vEh*dT_48G3Wbv$y%hO%FC=bd3%AXI2I*L9k&+T`|0
z72AW(Plk=?+&Oz>t~$emt!77aC;2R@^dxfn2~<x{Ewgh$%q}h9=t3OStB3581&9%w
z2Z!FgWMF70DyS;R3AWs}lIiCn(Z9F*4*vvAJr&eSfM%oAJ6|708t9m`!_KCy<`_hB
zUAdj`QDKG^7SncD?wPORySMGFcICbmD>RNCJvz0X{Tz4_3o?qcPico;UdRNY3Fjr&
z@q^6!+4bPpjzC8*2gJzEeg8?zp8kI^?UAR;e-BrljH1fQ^E>jVOs)#7TX?nf`JLbE
zqxcy#4hEU`m445^v0Ui+>uZ~4KbjVI*#4YFJ!q;7VY0x_n2GB-*6~j~FAA~<!M*zR
z-18!v?R!3}SUzicbUgp!zwmOA+x1V@%IBRqkeDo*eShuD-1X_bdx9rihOGtA2)ev2
z?Zs_P-t~_Sq1m3HVS9o1$0HM;27LR;eM;})x=G&K-fZGe%3G$me*Lv~kDsS*zMbmt
zd12nw_1PZA{&tl*F|qM63-79*)7G^Omef4H^>_1e*GSeKVQH@auGi#R&QjWach!-*
zr@!R<cJOb9HZ}uXcP_0+n&Z0nS>3YM=Gv`UUu?TL_`)h~AK&sMDPA|?=Q25-!u(l>
zzMo>j-XM_tevDCT--mY7$Gwc3&c0nX+bKHxO8HsS(t9jhzkV-)_xS>kYRof{SeD+_
zrtxj5;H-aF&wEXuGFM>v&c(|zd>;h#R_-aZ<Vy-WY`<rfe!b1wD<-SY&A+iU_3``Q
z87e0i&0D|bD*y5GCuYrCH)Vd3$=>iEPya^Q?F)$Rk51jL_S)l(#NDiO`tyI7uUUWV
zW&4)e+nSbBmL7@K{(3Nd_f*}JcfRD`n)b0*&0Isq-siE|jjfNTP7Asbaa84a#=kXR
zt!7MF#`C%`cjo7gwmrMTe)~sHoj7let^7Y%UE9iF-Un`uu~o0;alhXB;c&%Gna(KV
z^qJqbzgW!ZyORT&F?Y<5?ozk2vwQV1r5rRC!)uha^7941S5`{DJ{A2w_crC+SKY$@
z3%-@Fp87s6H*S8&mczRuXFt|o8QNZ*s;TX=*WD!jV8Ks)*+pqv4_!@5nUgo~%f7TP
z8$C{iIQ{WDTd|_|Rok6)%eUWOlz!ZP6^CaQw&51fNtd=C$=V%Xe>TP}xIQQT`K)uD
znm_8kuG$~+S2=t2(~h&Ntg9xb-QDL`pCh=)t#-Ob{(*?zJ=2eiEzH{BVipwfN&lDP
z-UL_b4!L~&{c_s7+N&o8SC`-aXFqqcbLZ>$>+H9kuGS``vz}bY9Itb!>;2>@Z!MP1
z$miEk-?Hx2PjAJ**sFTlVcg<xCWJ;>{doC1>i1;j`Y-YKE(`PTU2)d5{l!|{_7aP<
zd2)Lf&tdJqBYf+}M=#lPMH-4_i~l9tu77VAxu#<FlugQE*8c6Q>K11`wH6ClI5SlH
zLVTsT{tKsvtM2&3p7<D^(A!m54DInYoI3jVzR$bwQ$MM&S}lF=tv6#wQN-I*s}ch{
z?oYK%YmLm(I-NIlaZmD()^@EEWp16*xedfiey#B}JzS?ge?hfL)x|Vf51aKSvwe^M
zf6mDId&U#xlQL1>rfW`mY248VO>fPe-amWOS6N%ht1iCpr5BslIe$6#=w+AI!n!1*
zojMkmQ*DDQ0^0Q~jZ*!0his_v+__Wj=Z{ZE4OcM{n%DaO_D|&GlF7lV=BqEA)m8Gd
zJ#4z=hga1em&)H>X}n{7W$AO#{$pI7VbiTwXa4WY-89uO=iE(^o7q=G7oTRGA=R}a
z*n8XN^pO8AubXVnUUg5lru|if&aTu?ZmD89Phle|-#_zDU;6Coar^Iog?uXuXZE>n
z3*D`7a^mYL!FHc?*YMAj*4h(xF=^uGSBDuwCw={3ICr)5N;5}RZKqi;pKVBywVe_9
zst%fA3Y2&3uXv<>^5qm6wUg^JelEDWg>TjE#TT#so)fidyS-=V_UZSpbMw1s1x%_x
ztkGMWzw1|u-sM01{ZlR1=%y`wH0SP@FE`GuQr@go<$Epuo$u9USMQ&QF%H<bjpeO%
ziPx^3%a8Hxdi^Q?z;Y~uCjTC9IaF`&(EL7omBD6}|HodLefYkr{?mkSOTE2p7haa!
zzUs4cxkf-^mQ_X1ug`213nE_5-gja9K3kJdAGdKCxxYBb+5h6(;=-uv4Qmi>p<fh@
zm}FZXv;C~^E3wnzn&asbgSUIW?UP@cym{W0`2Akj531(Be!QkJs`SvZ;KE?hZH2vj
zqBZHSEw(ubs~=zS?Fg?`rtgJafs#@CANG7wxtd`Zps#y><>Z%=o7CT5ivBKpdCl@=
zS+&ztUZ>A8{%!5L=fm1b=VY#|5)}XPwN2$|-15sDAzIr)XZ`xKKcv>DM=1Dvc3?@<
zvz~h2=*_mW(8ER@LZ3{FR-98gDS3aeCHtI7MXKI$|L&@{tIAG0^YZG{<h?82Y~faw
zKT;TRTK((gPoCEe3QpOS^3N-;G}KJn#dg$Zf7NbfZ<AGzg?fu(%^!a{03RLsytBz(
z{%WrL6qAZ++s?|ZxSb|`y?lX(9!isH{Ss#8sX>okoqV;gYSVJ~cD?E@^__h&YiIm_
z*(<%^A<NM>GnesQjR}vt^lkt4i_FDB`FD0eQyjyBODkpcA9~DKE4Q_K?@?&Oo`K=P
ztR4U7BHA%=J%}|n4c(_c-q2%M(XwdqBGu)myXLFhecPyTZj<@Mke8ojx$M5&{`UNj
zWU$k|jKbO}+}GO;MNQ<dyIU64N(4K8F07p*j7unf={`H~%vlbr^MwqPSr5xp{hxol
z7Iv%h)|Sl4$3I`3!JnUtXDJY<Ee4g2N}b0K8q9(E@<9`l^SN=g&kU-q7#I$8TgKLz
zRqD8&B(NN)4YWpRhSn@<j*=Wcrgd#!wDIk@^HPCJmgSzkusv8R?szh^QNqBG|B(1O
zsd<&T-N9$S-dZQ}*I@T%CjIoBRnM=@zqnD=S>)Zs5C>PWC1w7#YveDV%l;bgWFrfm
zEOHPHbG%oX6u5rp{LF_5@oS}O`<0rSMeltx)ZKX_oI7maO7^>dY*z<Q@@zM$kLz)g
zpSwnV))Vmprz-y|FUs1#^heHrJ*QfkQFOLtQ1ksiQS*COF23@)a-JVFWi9AhO?Wcu
zxZ|0uAMN2^pY|`GIxFq!PvPBLa{knEO;(A#@_FjkUk`ssMqa)=^;2-qvhQ5`_r#w*
zE}r$eaP^yODYBiii=)r_c?Mld-@Nt3^uDUJN6edkY`<zenay)`+UvQsebR+u4W~{g
zhX2xEZL;mYN$z%Q+qrPBKcDufv%kN;%I0S5-1f4d=AJ0fsK&0oj(vOX>?^jOSzPOV
z$9~1%yID_nhtIyQF8X#u-nC~xytA%8jEg+Q75HB8Y@|WVKkxPxk!uUKN=h!Dt-g1?
zWUbC5qp1S>gN)^}7VlEr{`%$H?JsVA?ALOdgmqEQ&*NwAt=<0rx%W?76HnpeJ{KpS
z-FJQW=IbZF-S!s^aGiVk*U$VH-NJq|edfVWwOdu}|M5sp(2dxITrb|Pp2L>O#qlvX
zA)<k4(V0`3bJYH;*%?`T>CKzr+$1_7bGqsCQ*!M=xsqHwe1Vbqb{k9HulDPU*!%n2
z|Ce)Qykh?Evb!O+>K(JSwg;c=kEDrVHS?F`95*~#Q|(~6ur&01JXa^?>`vX@%_>j3
zZ&uace>=-$@%A_WtDNO!o~?t=N^2Q?x8Ih%fBh77%?p~f@kIxZOg)?x9>&}4^k>~0
zL+vv`!I5RFPiaMx--?Kp(|&SedF%VNmuGfcf4R6PV(IkP!mvIAL;ll$k50nd0%Emx
z{q-58Gnv=#Ygehg?k9U<uXAkGHO*UsLTmNP!!G}my?v@CB#g|qK-$IR^!eVQZe_dH
ztbF?853~qkIIwyrQr-TddttQi>jk@PrDFG<U%9mE_vDb$>YufHKR7I5QvGS(bLqzS
zWvl(48J+$AA!6p?TS;MB=WhD?_rG0ZWu(36ddlCY_d;@`{`Q_RWqz9NePN&T@+GsY
zv)(M&EfTOHzA9$UBi*~EkIt8$RH`?9Y3@}!E8MsSw!V*{p&WI1!gJD`o0V1{Zs~!>
zY@f^!KKdr*@~%Sumn)}Uno$2HWYgVsn?K6G+}NORT6G~}?Z#=zcZ~mEYMIELBD=+h
z{qni&_rC+J%wBu`{kpnDHs;edyK_=2Le+DuM9o7JrLVsTI9Pl@=D1kKqbCwq=k0J*
zU&|R~uI2pNd`{rKQfozc;LM)#b>7$4hi0l<ezTrhd_Yq(eAAPs_iKwHE+w9v6BlcF
zt^1VU?to8@GVNPyg6wtYm+&$#KNI}@LFIJu$w|wy1I~O|z1@0~%VI0NiyGHUjrI7~
z)It}BSk2eoRDJDO`W2-=Qbmj!ao_lSbE22Oc?-4r0b7yxN0sXnSBrkO-&@FRGH1aI
z+sjuLp18b4FZ1{P+b<d|JI(W8bF2&jFLx}hC_1cqCanL~X4|>&f;oigT|MG-&<63v
z$X)#_)vx5zA0E2(vb@TOyTR+o%M!6jvzb0}UXSj2+4{p4(iWHtm(OEpxPD7yyQfj-
zGgTsI1VG~yp|^etAO`#!lyD6?5LXNCPM$#SK>rTqW9whRM*6`E3BYSNqhERGDVgSG
zx9yvI>B8B^VvpVCGhctad*1KW6&EdGc{C(4PjjBdCYe)q^^P}H?}QeeoEH1d@yuD1
zCtt7Ls{OMkr)>8dP0m}Jf0goPhMf}s=U9JX(PGO}=Qf;7JDq=RvbKp$`o@1H&zq}~
z=RfX}{d4tQC#O`|?A_d&4`2Oy#P!y$FfQ}u%u^ng>+Z_i=&jdMWchaKxBI!5tCvfQ
zM85t1`{pmjx6!`2k>`rbmMtmn*V27*{@0%~iF>abUr1dVsT+TDVUMo9)}ds?!Y0ut
zbJfMZ&iXx5jPKjkRTsZ&C|N{2%$2`9sk?S-!qeGR21};I&RrSx*(Vu1t18xd$0VYA
zjcU&8`+m;zYn{Hk{<z!0Us$@O$)0)lKi|*lW<hs4tV66dV$N3gUElrs`^%o_pee!E
z%zxDcuX^|H^8KgXIrUqmZ}|59+Z?CeA2UCxWAhtdXewsdv5@d;+VyWMj@m3c_40C*
zaG2iZ6W4sd-sn=fd2a319aTYl+5auOYOkhwHtp)m+WFaDB|n}fADQ!O`?B7@5!HT?
zQ-#c3ea|e-(cg?Yyda8wc%k0D&($_Qa<zQl{E4Qvv6}WrGph8b^PDuCWuLv5Pi?8@
z;i{PEXEuuz*RQ+!?{Zk`67}r!?e&4)#Rs>gCw+aEon88&WYwF@&Rah(YHa<w|JN6h
z|B{=wJpJtJ_40!IU+&fF|K6Hxh*tiaemC9fg@$T+=kt9}ieVYDVL1hBZpE4<eJg*P
zRNvtKW%M$=)?~*#oril(uYcTduOfd+(=_`v(T8WfnyCN!)y~TL(2#?ZTYaWw^uC(E
zX1ev&(1<HOe`SJBed~39)f-tm^=F>HDc4TdzhdpHl4t+1jzJrW=t`OC)e*l^l7DO9
z-3hn9e)$&t<;@Rw{ri6tx%S2HeWf4z|HQ2<%cRY(`r?*EZeM>{KDuQ^;C<Co(gtD5
z@6Yn*aJ^c?F1`NBgS3TN7oM8V?VGjIGC5jAR&URf^Ao<-&(D6mbNAKV3#uO7&s(s|
z?2lu1_`jgEb4gQ+{9wuEg9zD+Mt&UQ4PGHv^ZUos@_BRa|5!9@it%<F%R8pu-#lp%
zZ~opz_423nihoa7u`X-6=;~JPvJ<OxWdD4rUHez_e_(hY)`5%<cloh3L)L%jn@D(o
zWBT^qzaNDE&d^)>|HJ;M4_7U>zP%Kh`1J3Y$mp#_ha>OaO>a<ovc8OY_o~D{R%>lu
zX;`NldRfD}Jr!NM@Av4vg$;hxF8zJ#-=;f>TeVjodoNOS)t3`G{t?>gUbrmltg)`i
z3+L(Lb6a|K!$bC4Z556BFQ@DEW;MTxr`pe1elL#SstambvIn$`*J<ZAbI_ua*XO-7
zbQn_SU$K0>zJG-mc#5XVy*~H*intkncioGev;0WkdF^xG<$C9Rzc2OoK<+B}5MQ<X
zuU7s0{4joX+_{p-^CEIr7JB#j+`OzOsuLfSx6adUpWXEBzSi@jFHB!NIsJ0T+iwD_
z+Iwr}M@HWI_tR}|<VAPpyuR?qdFGmRC%yi@nIID4b}ng3sb9cWi@B3l{*W_Q|0oye
zd9g_EYYA7(v6>3;XNPwi$lq)I`9beYdwHVW9`4T%V`uQcKLnRrI_X?{<_z^N^>p8$
z&o(P(1>cG+srY~4)v4VtCMd7Y(1f(H{;uBjWzAw%@0hs7a?p0x&)X@x83T)hf>Ld_
zxzy(w2fg0B?uE{d*u#<M-a6-P(lp+&?bUD3Q`4S+7OSj2ZUY`-`N&t=z1O?^s=@0g
z!s|2Bu7~)_*0kTdU*=`%zW%Dea@V`yck8G5ZVlNTm&jm$H%LEZdd^C(;H{r((>x=s
z!!n#NaebAsb19X6bMeyD{T@cEPhL)azGu?msC~svS_caKR=#xPS}m=x@`JsNz3Ce1
zMcmgupZbzw#^1GiyJvd1Yqb>{my4^brk>up%Z33BxB~?mUMq7xe+=GalI!~K{5$=5
zKQ#Y`pOIBNx&FoVf1qVCk5<i}`s1j+>vzkoA^G*6rcB%SXJ4Gf?>*1z|8^HiyS-d#
z4sHe7{(HFb_2joft1cO3ug-jZ%xJy6!tLv;xBPti|Jvuri?+P~YB4v+cI(?a5t-53
zR_+k=zWpyHX3nE@-zy#V_m`giTpv=gAG~xqNVWBUUBj*)Z>}%Pb)WL+Hgv-4--6mQ
z%>`)-YI3W$zQ3UP^4UBo>lb>g8UOQt*X-lH`nNN2*W=mWOZME<=zS-=%(mG4#HzTb
zzj%(zOxjfTVl(f_sE<bke!lyeUmI7TROfx}OVByaRWrWiZ>?G!`~UZw`=(!hYyNt2
zygTd8XI9@|&JAY%k?Pqu-B(<hmiM)U$s_3Wy}MgVvY-Au?%;YHo~WSVhmqbww*A~;
z{ptGryPwWYR+{zP)B4P%u=tg!^HfffuoP$3>#t|CuBFv}IsZPS{!zKr{6AaOSaq*|
zR=zhm_ksJZYCYe~=a075B$>NT`QrC^$x_w4_aqG~y?UL~&Zk!yEuYSNWtFhm+^*TH
zHuPqfyx6`^?8wG=>vMdUJ}HOIz4K#F*71ESKIY5neNR6zeeGOr^A|Z0rEh<}DwaKO
z{~`ZW<Sk3b(B#Xr#M`rf_2-(}>N%R-j(i(;BU<x4&-EGn{*_j+VOp(Yy5;jon#}v6
zZ)&sY-PPB@&sJ7{*we&%eZK51yVdKX&J^AJc7lhuZ*JjN!%Z>Ye}@L;aNa(>5Z0W&
zW*h$D`Qlw~n;+RXJw74S`)Y^F;+SwTIlJFoJlp(DzAW>PF*^40=IvKc|I199|M2<u
zs>~BBv{y-m%PfC#K48Po|Gn4W{(D@Xd~Q+l)Ed8lrxDv<ojv~U>g;*2?f(l-6=Q3V
z&w72z`_{kjW>pD(k9wuIA2OW!#SMNrP{4t|?`?JpVy^aSJQZl;cr&Q0{tRrx8-vEF
zzeuOEen`4g$;1%%W_ilXcOL%B8&p{1t&YT02of&yg3d!0_4o?)x-E{KPT5%1_B)lI
z{$7kJ=V_K+b60oYyuJo6m0x@1ZDnDt<F2F0yBR9ZtdY~Tfs3s>#Qhj1&k$4aoRcBM
z<eYR(&E$iBwKMl+xK7WHN0|srDy$Vzm~S{0G#tnHNix{;GnzmccvA60)WyK?KgkDP
z#B=RGy7iB%`@Yo^&(8?@6#KP5RsVFk=%?6T*fgY80chcq!hAzf3(yeXg!41F=JdZ_
zEOR2=hxJ_e%=P?T>I?yacRTj?%wc_7B{Lf|WCmVY4xWbuFF6NIc%tvMTPkx{_vqxU
z>eI4v4_!Kax_<9#+pF9;E0(NbIeWwQ)vB)y4MHMemuv%%>hlw`aj&iB*c9XW(Qy*;
zR~O8W%lyB;YTMT{Nx$XujBd#qGBBiS{_%bsl~-P(PMl$r?vy<DY}mPs>5S>r$<t$V
z>I1e$Eq(XC<k^ce*S3H8cgTOs`;@MtER)Nz{r|SB+19lG`P`Rv^#fnk_ZRO|K9+m^
zGk>}5Uh<de>c2D=?b<$VTi5fFXPUYH#pdQ$?9byhoBD6(Q=Lt3x5|iraPwOpdwgGA
zwD+{NSM~)*o?0!p@OHKBQhQr7hwUsgpOhSVy;yO*R>?oEue~31ZyaCQ<Lm#r|32@f
z{c(Fd<NGBS_1EuGNnNh_a!!c#qG_vE&dQV9=d|87<<kEDmo`55FS>J5{gPW>q?bSJ
zFAa{JFV7?Ud%yg?(0yv=G0{_(WW5J3+y_nW;tLiZ)l=b$_bMk%|FZJloI;n2|9^x9
zOaIVR|C%oA%RM>%A=|#QCU5SZt=bc6`gEE6rEq=TD}UDKUCw5f-uayS?CYbIZoBQO
z!o}zB_TSF-d)mL9>u-FE>f^4EUVeGu<<;{qb;p}rzVULl>DOhmjADJR@OvN6e07EA
z?5xi#q^1<|OwJGf8owd@uj<o(pZbpNd2{8*f7!LsXMX<YFL?GZ=*fT4>1#gzN_wR{
zOI~hqc=dBhtFom}*Y1xE=pZH?t~~Vf&ZiseE=_s9+I{)gEt9hDFN}U2I=%m7_|?O+
zR;H%p{uBw8__(ri^}AO$LOPe96RW;AXZpUY*38Q@V$YnOWwN7}t*rmjm;Q1M-Sac=
zfB#TYyZv+S-aj8?t5VX|Y?|ZuRAt@eMbE7=3%*-_nZ9v-c6E^7zm1#fFPaz2Uz=aO
zE#UvUw_o0PpPu^Qg#6YcwR*Ly%h`Od{<^V0`_zTqCI-9as_okuck<@*t-s$M|8i~D
z`mg8YqhBxFr=MN^X=RfA_LDP<zHSpQF3Ea)`v3iVweIer&+29V`v`Gxs!VoZ5)$B0
z=-?3K_286cj127Z*tj7nc6USoOPEOE+SQ8=<zL_Q;!)R%hSeGq`7ZT{1Rmg$P)PBd
z%_7t`<>z0<gAQtnAABW0{IzPgKXK=`&HI^itS!^$?fjngh*#EhjXQVmuBV2&{x&-P
zjvouncX-$NIZU;fv*7%_8>_XC*{<>Hb$ar?;Dr8*n61A*hwv8eUGejN%Jkn;7!z}^
z?yUBH>*e$5=YGbi=dNFGc~RP3@soi;g2&UvF=X??^KEw0zj9t+XY5-QZF90{Y1Z#g
z6<<AGFZj};yGubcYG>a2>0du}zgxHN=H)M^UVr`?yy|=I66NX3Px*RY*yQTjm3w{7
z5%uSub>7_N{8w7On`fP44;KCUGxyi^TRx&96;C{?qVww`!;|mbTk-u^{IUgia)15U
zxV$vsM9$f+|55(DH`ZRC|I_0ntK3fWGZ$8ShQGe)zx~zY*6uGadSgSc$A6N!7xl<)
z(vzF!&h!6W3g_QHdjY?FrL&>5+{HcbvtLfKj=R#aZExxG{o7w0_LmEP^V4>ZU4DDK
z%f?)NzBS7(EMqo(wlsCt-jc=hdTuYue7|<$?ZD+Xw!M{6wO3!DCGzI(lKjuRw3kIb
z_{jgmeYNlLgxp{6!k>yxsVh6N@<T;YX~B7~qxye*#gCh;{}uWq_@KNV?f`^@t)=&`
zRZol$?>U^88~3%ds<h{7M_l;o{OJ~VCCb;<#clSH^Z&^I;ct`vRbJzJLEG1CH~H7a
zSM}lN{};!5{@gb|@!xUtKcU5mwTrH<KhKgHYaU&G<m$Jov@VsEQR-h`RZn#KEBlH2
z^@4xRvtO;=dSBar(iNXtcb>q-50v$N|LM=q4m?;8D=EJ8Ro|;sw{tsg)$WeCzk5l;
ziHxhiGZ%){Y!0^MTfS(P%gVOHfv@b`pS@pW$9zj$=YXR7*=t$X?E-#(OI`J#<$2wu
z=dmq^9|m}QQSDk6>s;42E9=g>=BA+U+P6<vo$&nK;PvcenWnK#%)z7gCdzPe@rJuS
zYy4zsz2w8*Mfq#q&H9r6l)ut_`_b0Z=im24*{zgGKPkUc%XvO;t=X5G7t(PsMDK*F
zez)=bRk%7y&&J=<(lTmGMQ2kM%garttF(93*}nC^wQ^VB#iQR=irw^VK32boaeMx?
zO)tN{czCkz*M9MJfw3=d)bhA(zqQ`=F`L#w*)PBU2JHE`er=Q~cYLIMYs>Y^3w39n
z3f>U1cH*lm|Nib%V4e9t_|f+FumAm9FI&6u)6{5z)zfaWe#$Rfz07Cs`by*DFP4c%
zXI!|^DRpUKW5_AHRjMcVuXA#)`@iAZ!}t3A$A52s<z0Kvwc^v{txubuo4lU9^p~ls
zyIlFKn2neB^hMq(4zl>};`p?f#aeXMKL49X`I!4R83&7sMS1hdPLolMIksWVcQdV`
z^OGN^X-=qpb1J~8>-gh|i(AfJu6?rwXE4D-aJfOqRGoP{VkNn<CD*>aT_2E@HA_WP
zSJ!vz;W@YF?>qnck>|o!Ta&Lmzh=EP>BaS5y6>f~>VAsce^}ou)}8-4yZ@e*xpU=1
zUq29HJLx}7d$R-A_sJm&;iuwQ+bu(Vce)?b+_W-&Er)CApViBJ_6PF*>Ds?3acx`H
zm&?s2UzX3T`uC4B>f`$DR~ApHwb`j<<{l|heb05D#`}*IQ#QY=wEgJ1L~YL|uUzFU
zjrzwavG%8bN2pi(Ii!B>-sO?YEO+r)yj<w*Fju|1Q~$5tw#ITv_q&W$4F7AnR?l6;
z6Ta`th7Sky1JZ8UwYFL3=BM>t=#t)TQ~Bh!_CL7)aWT$nehEFfHS3R4wuY&$ukUQP
z)t--!S?y2tc6D*lP&Ey8Joh2#w*0;Si_28fwXQ#&xctF_Z|maM#fonWn=;+sgjru=
zZQ$Aei_7iqFRjs^&M;Z!>AJ8#P5Dnweb=zBooe^DV!Bm%mTvy@m>=fv*V|ccHhI7L
zZc(+(rwTqz<;?k;Y~`N5`yXf>Toj^U&w4#~*)G$fsk7f}md+2d%+frv)7x5TbI5~_
zQ~vGw+m#o+V9y(!o!RC=e?LeCozq*}*B@T>u%zyIfAy_e2iKKytL^XJahPxWzv<dr
zy9G7<?yQ!bw|=ZYZleE&F}Bom!;|X~8~-Y8eKGg@*W$E!HuIQdZ++hRtY*T}eYR2B
z2R1RqPD~DOx)gTb|NF*IJN;&@ZM2JKuHDA=D~I>XO{o`~O)sQpFF1eAVLn!g^(mpB
zT3_9?e81{^Ti>tX?f+g~UOrt#Q~B^x-rf5`&z_Jmz3}sR?)?4lz5jkb`SRAB3Xev`
ztydQuF5Q)WNyGF*@rmL?x(+gRU$3No@ttM+%~bVEW!QA9y)0KGR-Bvo+4SkG=&!+P
zxkvl{wZD5OptS$bqx~H23DUgPRddqz+qjwA&0G>=zx>==>%jgy*ZmWJPk%XI`2RZJ
z-?ChN87p^4&ky=uRaa!;bx(c87V%^6V@h|{O$hs|>vx!$?e)F(*O>>ojrZ8+`!7zu
z-NzxZraf+V>~@`ckMggzL08Z1^-<2>7dY2WyGE$DPx|}Anf_C5h`+f#U+}Tc(*hQa
zSF2uDX%)=6CwtxZ{F~Dg<9pk7ZTSDTD(RGfDNlh1S3tAl`mCIDA-=oc<=>jD9BRM3
zTW|k!Uf%r++A9BbN$tKf*LC&x&?ZQAun<?Bdv225@di%mzP0CdW)u~+Zc+JDmC~ww
zfAVsk@>MD?KOAhnwezL6=6T)v{aHa@9ZYvx_$fLja&fH)Rg|6d<Yes1>%aCdz9#?A
z+4gYlPxBYmNwbUWMW)Ps-eNq{FGls9>0@i#+uK7^)qXDVUes^T_+iKOl}oOE?y7sO
zHRpPumviQe-?ehT{ABT#$5StauS}o!!<s2%x!Ydl|0@-*zQ4QW(6diZbS}R>{O&?f
z`NjEctDndJU&duWpPfPLQskzp_*5LN3s`78(|PfE=5K}R=I#OfcYnSNyIXnayzNfy
zuTfJ)7#l9WT(@LC*XbW$E~dNUt1Em}zs}v-t*%-a@_N;7vGTRAco;UUd~vn<^$lD_
z0=(im>-p<nLAN?Xft&U&tGJ7+e=Ki?ISpsyNYi`1^C#_xiVO$jLtX2X7uXOin&(t9
zEqG-kvw!U>;Z=7Y-Hw|6<Hn?=-d9$KuYbfJ@=SjH<(aQ_-bQa)(sJLu@_gyEeRa#1
zPTA@8r}l?O{LXj9yDaQ-&ONZ}>*t!){rIri^!_h}H6a&bWaPK)tXb0kcyZISM?W^4
zeX3k5BQI(qe!M+bPyVI#?EZeQNSn^wSMidU87!K0cK$uI%I^d3_MeY-c0&fM56DYT
ze+wJmXV7Rup74sWf%k(o4jCpKYD*Ts6L0YJ(W)HA0<n)3efy`k9}b*Z-f2}l<(Jjm
z3hOD0^b=k0XdSh(tKIhT<>p@h`MEW}avon!m!5BT`^T4?$CjTr!zHEv`d^>du0$J#
z1x!<(SB1F#H{84kS1y62roLd!ZgpOE)8NAg&-VYV|NLY9l+Di@nxw7-ow=fSw2qHK
zqt*TC?{;_h<J#*BUv9>k>mcUMHtGr1{BCnoe80D}e8j@s^J*2fHOJ4aojS45r{Fld
zX?}E<k#X?W%&2<S@K<jjp~t{*V0!4ON1mW7_cSf7<~;oNt0M2&!^u4YEARE6yJ)^U
zXv)OW0QR8rSF_Fkt#O+qVib`7uPWjlv);~_nMF(ATxzSFT<Usw(y^jftE%5$RlRS&
zX4}@;D}J}Qp3*D4=Ww*IGT?e##V++1Q!dqdB}ZE`$cqUq)_iTe_1j18{<&P@ck0{f
zO5R^)zuqc;Tjx(3|I{t7V|lqPe>G3g{&Gw|@9&@f)7?4^Y^zSUu>Mm0yP+u7dh3^&
z>|f94zAV}qQzUD#WX~RFs|Q6VpL$*YX3nPV5-0P0UeS~Isz)++MdE%$tTVc9x6-fC
zb)HkVXVlzJGye(I9r`zO=BXmp*T=7JHmxk^{$zP|y;9g)Zqar3q6uaIv(z`MryLbt
z{ovl@FQ=S)w|<!>;o9Qz>d!y^j9=o>Q(|^Bs{78rch$u&eT7t6rNislca}ewdmDX7
zQg!PU4Xv2Y+0(Pr<EF)|+cQa>ujlHC-N#pCAAa@peyvurv^B&0Y2PP@sl2&bDZ5KM
zXp+c^ZF}`Ye;mxps*mhn%q?>;>;6lp(A%4$v^Vv=5wlBJQe`dl^m_FYHVba{;@7J+
z51W?dEt+I6zuxijyz9Da4?Qihy87XK@s{fI?NXOdXf8L7d4As}JW|zEw%Wh&)XNgB
zKX($VjQ4)nsPlCDp5XJn+3OEXek(7v>Vp`wNv3B<UPbo4W%051{}e%U(29!CeHB5|
zSXUfy3+y^^Yu(oDZyNqLZ~gu1UiJ8J{*$*h)7Hp~W!&49ay3^b_D}QK0}^+Qs~5_>
z5Ln_ZcXL6{lb^r5&#0`m+z|6vN+d7D{k#6DE4NPm{rbTD{mX4;x+YbAlVX00zxY>n
zZ+ooyPo|aUYP;@#?o_+?JwNxx<aJk;KEAqT$-4t(N004WKQH*Ny8eY_b5DAmKNa-K
z|KqHp$|X-yZ~uPn{q?u+r9bZ{O?l{b{hqFTcFp<|mw&S|gz81V4$Hl?@Y>ufS2Z;k
z%=}eq>CP#CWc7l-sjnn-G#9MgwPp4Cx7p&_p_4>bIv(Do`F8QiS<`PiUyq4g{;BA*
zu<8G^rCD|7i>n??5}NMcchdWx+k1bBmAjw)$o_F7S~S`6?-Hf(x7?9o?_VxH--WN(
zo$d8%^`@i3%Z+`StKwJ6Wy+Ssz7GU-N3X7~{I6h?eRO(MbnS#?`^-bHZ(SdLYDMF!
z`ybhQ-$^ceAGTim-`b+@X?u<K?Em+#wC|ezRky^i>n_<B@9BSXA;0S1E%z_?m&`XU
zj;OU%X3w~F@Y4Lrhi`gs=fAc5_~UbdbLRzb-Mb|3`>~|t{ZncJ_UHcb@Q<yXc#boF
zwe>Z#t9SWh*0;rN`&)glz}Eb6S?d|Ob)R2txv=8@yv5b=RX(;mE4j}Ftb3aMrPiaz
zYCG?gMZdJy-RzIDRF0FLJNJsdTEMEyv2SO;zw&G8?kVb?yzQA8%Ux=%UMrvdrQ<ob
zJ$5ad`s^ilLM|WFSAY3bH@D=!@%Jf(hDVl)a$nb2dv)onM>apNK}(}mVN-SHg{%*Y
zRd(ySa%1=V>m707ua^bZU+XQr{%Vz7c-39I_tPb%ioY(73VSW(8@(~s{>c6%uamph
zh*)I3?g}qIHFf5?SncE5InTcC`ghY;>uFPrs7h$}GT&?J)^}20+xgAgcCI?OSZLCd
zbGH}%m>ONW<D2EG_o=ogZ|1M4`l);E%k;2}`g?V{)wS!knY~=KPj{y}*SUa`-dnyc
zck6n4FXHR3((u>!!?GW4tI+*#=2bX-dqBl??^4HSYHR;o)75l1+s1$GsQusY_qEg4
zo_$}tC_cG8E26~mo5;T9GJBtUp1RbzYr%&PMHWxq|A^D?o4+ppw*89qce@<^rAipp
z*SGP7tzS7oYMS2ReNzqjYqwRt*nHM`ey-fFoX;21XSdtkw)t}N*~0T?xTGvw|E@~;
zxFq-VHYux;jyrkVpPie%UVmRl_}K@uUfo^0T*~A_-SY2O*2i65Ydd?j>-Fj{nP0QN
z+_Da?v%kFP!#}2pf4`h6Ke$SE%EFYxr#c^t#2t9NP1ob%z4(6nsY|cCTjXT*>YVxX
z%-8QkQg_7M`k7?k`pa_9zou2!y93^?SXfp3{i1YKy?x+Y*{h-2P1TDY@J#*QJx%>h
zX!p0;E8DAkE?qm8J=;2xJN(~*XNBP!>x!dUb0e3AMowai%6Rg?uWL`qo@>i@P1*HK
zaBKgYC5p<?Q_u6>T$=RVrt`(mCEMnGnD;2|bC24dxodV5o`3ahm)z6&DJQbNDvQpV
zyY9-HPhDTmJ=Xj==jbK5)jEV4Oz}TgOgcKb`1SnNCr)svs=W)#%9>U7{^sH>TTD!I
zE(G*SnQN8a|I7J4>(Ao%neLm*x_B)gI)3-sYm&QDs!wwLMc-4`CQW}o(Y@}I{-0MB
z4$7`=mH*``Be&_k43Ey*&UEEZ-*VHf<+GOYcL&-o5B5E-8|E3#X+3AwvM;jlU(Nb;
zZn6BkwpC#s(s%!+ves*Hhs?-PU2{vNe6@Y%57(bw5px#gJk7j*DD+lg9e;0U?uxhB
z)3suQ9=Kl5O89I#>x#PH-Cd=@+w%%{)x2`sx~pi(t&mqI!y|uvI@$TlKP=z&TLk-6
zjjy$P=l$Y;vnDEfpH<o6YG?-Ax7th0KWKCC)LGM(E%RM`bxC3J-20oBDk&*V`LjRe
z?ce+Nl5V?~Nv=tZjb7o`u;hT+E8`{Ii-fjkcTeY6EI(i6XIx%>xt9NH@J3Uv{7Yq-
z?;Af~dHYI&RXakr?e1d7*6Ytt`UW36$T9c&vXc|@w@lx*R(xrhxMcLCrYzRe_rK2G
zmUCa(_51Z&XZ^r{hYso`JH*ai-Smpt<lDb_Spo{03-;am`f5qWx?8QrGqR6n)rRk0
zy{j$f%A}|6e0kruRjl=Vz2IK1(AK)lMV~}gd|Ui)$uUm(*K^mKWq*FA{D0ct>~&^l
z(klYr`qwdkFPdr<-*0ah-d@cgusiFXIcMj)WwYb|Iq36wT)usN-$Hra>%uyR5A9w&
zCHT2l^_!6AmUsWY*!<Rgey;tmoZlDIZ};2XuKRNH+v4-v<ZHKKms(%*ZS|z1lh^!{
zTYF=J*Q6`U{q>I?EuD6H>dLdbw`=`B^eDadN{*>Z{oK8AossNQcD~Q{eZ9;1{J+$u
zeILpewN|f<t9!|PRqA8MJDt<lFI=|2!hL>y*kSiDEzQc=&+biH+}b^<FQ!SodS=?b
ztx<veYr{_MYpq)0r+K?7FsppxM&oa_%T9~$TUDRVfALPN-rGnk$5OHSRc~A6H_f~7
zvEW2b@7~n580WOC&;NK*-`rnulmBa=Gbn5$KLxG*y>ph$?P=MCzb?*CtzUffwXw*I
zeU94u%e@cYHGTD|cuU^D<F|rK?#mXN{C~|RWw2`YF29O+eIC2;uX^)WRr-Gq_AHmw
zy2|<8#?yb!;@OAx=I?sm+*$SO^MBd;y+@M2C!V@8uO)KV_OIuw3U4^{{#CmBTLGE|
zYr2vTwD2Ck7A5Ahb4QZ;=kgU*KPK*0ZNJ8I^}|8skpFXjeR@*8#kjEj-u&<T_)^of
z^>=^D|H`+ZW^P68A*1zD{||y%>pO(rS^V1ERinv$+<xxW>R&JLH@BBf`la>N$$wr=
z{~b;DycPTGRo&O``%-k&_u31`qxmydc=zv~;<xUaUE#!EdpGQU^o+G2ZN;kZ9P2+r
zyA~f*r&c}VY_R7$XQMeg*zWU^56?wE7KzDzFA0C=FSFd{BCg>-SW$F5<fJWP-+YZI
zsJFJv@@}@(v}HE?c^&X|40%EQ*(nRx2feRkV$g7Z`j>sh9)k9nseZMz_{_pkb8XU6
z@4hun;{UWy1v36$^LYN#+M{*ycGsT2ULRlCfBxgc=vo>1eOHeY!6?5bC!d7a()hqa
n2eF}2<RD@#y@MdVB|iQaubk!MJ$3COP$Khm^>bP0l+XkKYK{%#

literal 0
HcmV?d00001

diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd
index 3057bba..451347c 100644
--- a/src/hdl/controlUnit.vhd
+++ b/src/hdl/controlUnit.vhd
@@ -137,6 +137,10 @@ begin
 --  O_FilteredSampleValid <= '1' when _BLANK_ ;
 
 
+  O_FilteredSampleValid <= '1' when SR_presentState=WAIT_END_SAMPLE else '0';
+
+
+
 
 
 
diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd
index 19d2e1f..fad6850 100644
--- a/src/hdl/operativeUnit.vhd
+++ b/src/hdl/operativeUnit.vhd
@@ -41,14 +41,14 @@ entity operativeUnit is
     I_initSum        : in  std_logic;  -- Control signal to initialize the MAC register
     I_loadSum        : in  std_logic;  -- Control signal to load the MAC register;
     I_loadY          : in  std_logic;   -- Control signal to load Y register
-    O_processingDone : out std_logic;   -- Indicate that processing is done
+    O_processingDone : out std_logic;   -- Indicate that processing is done -- loadOutput
     O_Y              : out std_logic_vector(7 downto 0)   -- filtered sample
     );
 
 end entity operativeUnit;
 
 architecture arch_operativeUnit of operativeUnit is
-  type registerFile is array(0 to 15) of signed(7 downto 0);
+  type registerFile is array(0 to 15) of signed(7 downto 0); -- chiffres entiers
   signal SR_coefRegister : registerFile;
 
 
@@ -67,7 +67,7 @@ begin
 
 -- Low-pass filter provided with octave (or Matlab ;)) command
 --fir1(15, .001)/sqrt(sum(fir1(15, .001).^2))*2^6
-  SR_coefRegister <= (to_signed(2, 8),  -- ROM register used file to store FIR coefficients
+  SR_coefRegister <= (to_signed(2, 8),  -- ROM register used file to store FIR coefficients -- chiffres fractionnaires entre -1 et +1; premier chiffre est signée
                       to_signed(3, 8),
                       to_signed(6, 8),
                       to_signed(10, 8),
@@ -90,42 +90,60 @@ begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_shiftRegister <= (others => (others => '0'));
     elsif rising_edge(I_clock) then
-        if(I_loadShift = '1')then
-            SR_shiftRegister <= I_inputSample;
-        else
-            SR_shiftRegister(6 downto 0) <= SR_shiftRegister(7 downto 1);
-            SR_shiftRegister(7) <= I_inputSample;
-        end if;
+      if (I_loadShift = '1') then
+          SR_shiftRegister(1 to 15)  <= SR_shiftRegister(0 to 14);
+          SR_shiftRegister(0)  <= SIGNED(I_inputSample);
+      end if;
     end if;
   end process shift;
 
-  incr_address : process (_BLANK_) is
+  incr_address : process (I_reset, I_clock) is
   begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_readAddress <= 0;
-    elsif _BLANK_
+    elsif rising_edge(I_clock) then
+       if (I_initAddress = '1') then
+          SR_readAddress <= 0;
+       elsif (I_incrAddress = '1') then
+            if (SR_readAddress = 15) then
+                SR_readAddress <= 0;
+            else
+                SR_readAddress <= SR_readAddress + 1;
+            end if;
+       end if;
 
     end if;
   end process incr_address;
 
-  O_processingDone <= '1' when _BLANK_ ;
+  O_processingDone <= '1' when SR_readAddress = 15 else '0' ;
 
-  SC_multOperand1 <= _BLANK_ ;   -- 8 bits
-  SC_multOperand2 <= _BLANK_ ;    -- 8 bits
-  SC_MultResult   <= _BLANK_ ;  -- 16 bits
+  SC_multOperand1 <= SR_shiftRegister(SR_readAddress);   -- 8 bits
+  SC_multOperand2 <= SR_coefRegister(SR_readAddress);    -- 8 bits
+  SC_MultResult   <= SC_multOperand1*SC_multOperand2;  -- 16 bits
   SC_addResult    <= resize(SC_MultResult, SC_addResult'length) + SR_sum;
 
-  sum_acc : process (_BLANK_) is
+  sum_acc : process (I_reset, I_clock) is
   begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_sum <= (others => '0');
-    elsif _BLANK_
+    elsif rising_edge(I_clock) then
+        if (I_initSum= '1') then
+          SR_sum <= (others => '0');
+       elsif (I_loadSum = '1') then
+          SR_sum <= SC_addResult;
+       end if;
     end if;
   end process sum_acc;
 
-  store_result : process (_BLANK_) is
+  store_result : process (I_reset, I_clock) is
   begin
-      _BLANK_
+    if I_reset = '1' then               -- asynchronous reset (active high)
+      SR_Y <= (others => '0');
+    elsif rising_edge(I_clock) then
+       if (I_loadY= '1') then
+          SR_Y <= SC_addResult(14 downto 7);
+       end if;
+    end if;
 
   end process store_result;
 
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000..438139d
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,53 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Tue Mar  4 11:35:53 2025
+# Process ID: 51844
+# Current directory: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo
+# Command line: vivado
+# Log file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.log
+# Journal file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.jou
+# Running On        :fl-tp-br-634
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz
+# CPU Frequency     :1100.200 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :33538 MB
+# Swap memory       :4294 MB
+# Total Virtual     :37833 MB
+# Available Virtual :35155 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.xpr
+update_compile_order -fileset sources_1
+launch_simulation
+open_wave_config /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg
+source tb_firUnit.tcl
+run 10 us
+save_wave_config {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg}
+open_hw_manager
+connect_hw_server -allow_non_jtag
+open_hw_target
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+close_hw_manager
+open_project /homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.xpr
+current_project tp-filtre-etudiant
+current_project sobel
+close_project
+close_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000..e3588f3
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,362 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Tue Mar  4 11:35:53 2025
+# Process ID: 51844
+# Current directory: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo
+# Command line: vivado
+# Log file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.log
+# Journal file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.jou
+# Running On        :fl-tp-br-634
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz
+# CPU Frequency     :1100.200 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :33538 MB
+# Swap memory       :4294 MB
+# Total Virtual     :37833 MB
+# Available Virtual :35155 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.xpr
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+open_project: Time (s): cpu = 00:02:19 ; elapsed = 00:01:09 . Memory (MB): peak = 8316.770 ; gain = 873.531 ; free physical = 23307 ; free virtual = 32217
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+xvlog --incr --relax -prj tb_firUnit_vlog.prj
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 8316.793 ; gain = 0.000 ; free physical = 23224 ; free virtual = 32186
+INFO: [USF-XSim-69] 'compile' step finished in '13' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1322]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1325]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1329]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1332]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1386]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1403]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1406]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:3161]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:3164]
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 8316.793 ; gain = 0.000 ; free physical = 23216 ; free virtual = 32202
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -view {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+open_wave_config /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+xsim: Time (s): cpu = 00:00:21 ; elapsed = 00:00:09 . Memory (MB): peak = 8420.949 ; gain = 89.762 ; free physical = 23150 ; free virtual = 32167
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:50 ; elapsed = 00:00:32 . Memory (MB): peak = 8420.949 ; gain = 104.156 ; free physical = 23150 ; free virtual = 32167
+run 10 us
+save_wave_config {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg}
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+connect_hw_server: Time (s): cpu = 00:00:06 ; elapsed = 00:00:12 . Memory (MB): peak = 8449.203 ; gain = 4.074 ; free physical = 22454 ; free virtual = 31561
+open_hw_target
+INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79435B
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1435] Device xc7a200t (JTAG device index = 0) is not programmed (DONE status = 0).
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8475.203 ; gain = 0.000 ; free physical = 22324 ; free virtual = 31459
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8476.203 ; gain = 0.000 ; free physical = 22312 ; free virtual = 31462
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+close_hw_manager
+open_project /homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.xpr
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+open_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:08 . Memory (MB): peak = 8709.871 ; gain = 147.777 ; free physical = 22534 ; free virtual = 31736
+current_project tp-filtre-etudiant
+current_project sobel
+close_project
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Tue Mar  4 12:13:20 2025...
-- 
GitLab