diff --git a/docs/img/ControlUnitSequence.png b/docs/img/ControlUnitSequence.png
new file mode 100644
index 0000000000000000000000000000000000000000..a01d856e5d429acec1144781b492a57448d579e5
Binary files /dev/null and b/docs/img/ControlUnitSequence.png differ
diff --git a/docs/img/OperativeUnitSequence.png b/docs/img/OperativeUnitSequence.png
new file mode 100644
index 0000000000000000000000000000000000000000..d1e7329b86841ac9da9af52d9a7f2868350a440e
Binary files /dev/null and b/docs/img/OperativeUnitSequence.png differ
diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd
index 3057bbaeb48c3a7a349496552fe12487075a97b3..451347c3bbde3a0b3502c43a14748a848a9d0b57 100644
--- a/src/hdl/controlUnit.vhd
+++ b/src/hdl/controlUnit.vhd
@@ -137,6 +137,10 @@ begin
 --  O_FilteredSampleValid <= '1' when _BLANK_ ;
 
 
+  O_FilteredSampleValid <= '1' when SR_presentState=WAIT_END_SAMPLE else '0';
+
+
+
 
 
 
diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd
index 19d2e1f65abda588cda120e3f78f3f13d09e0fd0..fad68509e71987b54a198dcf68ce5bd5edbb1e70 100644
--- a/src/hdl/operativeUnit.vhd
+++ b/src/hdl/operativeUnit.vhd
@@ -41,14 +41,14 @@ entity operativeUnit is
     I_initSum        : in  std_logic;  -- Control signal to initialize the MAC register
     I_loadSum        : in  std_logic;  -- Control signal to load the MAC register;
     I_loadY          : in  std_logic;   -- Control signal to load Y register
-    O_processingDone : out std_logic;   -- Indicate that processing is done
+    O_processingDone : out std_logic;   -- Indicate that processing is done -- loadOutput
     O_Y              : out std_logic_vector(7 downto 0)   -- filtered sample
     );
 
 end entity operativeUnit;
 
 architecture arch_operativeUnit of operativeUnit is
-  type registerFile is array(0 to 15) of signed(7 downto 0);
+  type registerFile is array(0 to 15) of signed(7 downto 0); -- chiffres entiers
   signal SR_coefRegister : registerFile;
 
 
@@ -67,7 +67,7 @@ begin
 
 -- Low-pass filter provided with octave (or Matlab ;)) command
 --fir1(15, .001)/sqrt(sum(fir1(15, .001).^2))*2^6
-  SR_coefRegister <= (to_signed(2, 8),  -- ROM register used file to store FIR coefficients
+  SR_coefRegister <= (to_signed(2, 8),  -- ROM register used file to store FIR coefficients -- chiffres fractionnaires entre -1 et +1; premier chiffre est signée
                       to_signed(3, 8),
                       to_signed(6, 8),
                       to_signed(10, 8),
@@ -90,42 +90,60 @@ begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_shiftRegister <= (others => (others => '0'));
     elsif rising_edge(I_clock) then
-        if(I_loadShift = '1')then
-            SR_shiftRegister <= I_inputSample;
-        else
-            SR_shiftRegister(6 downto 0) <= SR_shiftRegister(7 downto 1);
-            SR_shiftRegister(7) <= I_inputSample;
-        end if;
+      if (I_loadShift = '1') then
+          SR_shiftRegister(1 to 15)  <= SR_shiftRegister(0 to 14);
+          SR_shiftRegister(0)  <= SIGNED(I_inputSample);
+      end if;
     end if;
   end process shift;
 
-  incr_address : process (_BLANK_) is
+  incr_address : process (I_reset, I_clock) is
   begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_readAddress <= 0;
-    elsif _BLANK_
+    elsif rising_edge(I_clock) then
+       if (I_initAddress = '1') then
+          SR_readAddress <= 0;
+       elsif (I_incrAddress = '1') then
+            if (SR_readAddress = 15) then
+                SR_readAddress <= 0;
+            else
+                SR_readAddress <= SR_readAddress + 1;
+            end if;
+       end if;
 
     end if;
   end process incr_address;
 
-  O_processingDone <= '1' when _BLANK_ ;
+  O_processingDone <= '1' when SR_readAddress = 15 else '0' ;
 
-  SC_multOperand1 <= _BLANK_ ;   -- 8 bits
-  SC_multOperand2 <= _BLANK_ ;    -- 8 bits
-  SC_MultResult   <= _BLANK_ ;  -- 16 bits
+  SC_multOperand1 <= SR_shiftRegister(SR_readAddress);   -- 8 bits
+  SC_multOperand2 <= SR_coefRegister(SR_readAddress);    -- 8 bits
+  SC_MultResult   <= SC_multOperand1*SC_multOperand2;  -- 16 bits
   SC_addResult    <= resize(SC_MultResult, SC_addResult'length) + SR_sum;
 
-  sum_acc : process (_BLANK_) is
+  sum_acc : process (I_reset, I_clock) is
   begin
     if I_reset = '1' then               -- asynchronous reset (active high)
       SR_sum <= (others => '0');
-    elsif _BLANK_
+    elsif rising_edge(I_clock) then
+        if (I_initSum= '1') then
+          SR_sum <= (others => '0');
+       elsif (I_loadSum = '1') then
+          SR_sum <= SC_addResult;
+       end if;
     end if;
   end process sum_acc;
 
-  store_result : process (_BLANK_) is
+  store_result : process (I_reset, I_clock) is
   begin
-      _BLANK_
+    if I_reset = '1' then               -- asynchronous reset (active high)
+      SR_Y <= (others => '0');
+    elsif rising_edge(I_clock) then
+       if (I_loadY= '1') then
+          SR_Y <= SC_addResult(14 downto 7);
+       end if;
+    end if;
 
   end process store_result;
 
diff --git a/vivado.jou b/vivado.jou
new file mode 100644
index 0000000000000000000000000000000000000000..438139d7060f15cc90e18ae2355fa192f14284c8
--- /dev/null
+++ b/vivado.jou
@@ -0,0 +1,53 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Tue Mar  4 11:35:53 2025
+# Process ID: 51844
+# Current directory: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo
+# Command line: vivado
+# Log file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.log
+# Journal file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.jou
+# Running On        :fl-tp-br-634
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz
+# CPU Frequency     :1100.200 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :33538 MB
+# Swap memory       :4294 MB
+# Total Virtual     :37833 MB
+# Available Virtual :35155 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.xpr
+update_compile_order -fileset sources_1
+launch_simulation
+open_wave_config /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg
+source tb_firUnit.tcl
+run 10 us
+save_wave_config {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg}
+open_hw_manager
+connect_hw_server -allow_non_jtag
+open_hw_target
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+close_hw_manager
+open_project /homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.xpr
+current_project tp-filtre-etudiant
+current_project sobel
+close_project
+close_sim
diff --git a/vivado.log b/vivado.log
new file mode 100644
index 0000000000000000000000000000000000000000..e3588f37eff6accde9fc8476a6f25c8d4a16c000
--- /dev/null
+++ b/vivado.log
@@ -0,0 +1,362 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Tue Mar  4 11:35:53 2025
+# Process ID: 51844
+# Current directory: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo
+# Command line: vivado
+# Log file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.log
+# Journal file: /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/vivado.jou
+# Running On        :fl-tp-br-634
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz
+# CPU Frequency     :1100.200 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :33538 MB
+# Swap memory       :4294 MB
+# Total Virtual     :37833 MB
+# Available Virtual :35155 MB
+#-----------------------------------------------------------
+start_gui
+open_project /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.xpr
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+open_project: Time (s): cpu = 00:02:19 ; elapsed = 00:01:09 . Memory (MB): peak = 8316.770 ; gain = 873.531 ; free physical = 23307 ; free virtual = 32217
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/opt/img/Vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+xvlog --incr --relax -prj tb_firUnit_vlog.prj
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 8316.793 ; gain = 0.000 ; free physical = 23224 ; free virtual = 32186
+INFO: [USF-XSim-69] 'compile' step finished in '13' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1322]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1325]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1329]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1332]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1386]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1403]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:1406]
+WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:3161]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant-g24obuzo/src/hdl/processingUnitIP.v:3164]
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 8316.793 ; gain = 0.000 ; free physical = 23216 ; free virtual = 32202
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -view {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+open_wave_config /homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+xsim: Time (s): cpu = 00:00:21 ; elapsed = 00:00:09 . Memory (MB): peak = 8420.949 ; gain = 89.762 ; free physical = 23150 ; free virtual = 32167
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:50 ; elapsed = 00:00:32 . Memory (MB): peak = 8420.949 ; gain = 104.156 ; free physical = 23150 ; free virtual = 32167
+run 10 us
+save_wave_config {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tb_firUnit_behav.wcfg}
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+connect_hw_server: Time (s): cpu = 00:00:06 ; elapsed = 00:00:12 . Memory (MB): peak = 8449.203 ; gain = 4.074 ; free physical = 22454 ; free virtual = 31561
+open_hw_target
+INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79435B
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1435] Device xc7a200t (JTAG device index = 0) is not programmed (DONE status = 0).
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8475.203 ; gain = 0.000 ; free physical = 22324 ; free virtual = 31459
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/g24obuzo/Documents/UEG_MEDCONS/tp-filtre-etudiant-g24obuzo/tp-filtre-etudiant/tp-filtre-etudiant.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8476.203 ; gain = 0.000 ; free physical = 22312 ; free virtual = 31462
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+close_hw_manager
+open_project /homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.xpr
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/g24obuzo/Documents/UEF_Integrated_Electronics/TP2_Sobel/sobel/sobel.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/img/Vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.0/1.0/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa100_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa100_2ms/1.2/1.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.1/1.1/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admpa101_2ms:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admpa101_2ms/1.2/1.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part alpha-data.com:admva600_dev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/AlphaData/admva600_dev/1.0/1.0/board.xml as part xcvc1902-vsva2197-1mp-i-s specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v1:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v1/1.2/1.2/board.xml as part xczu3eg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.1/1.1/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultra96v2:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultra96v2/1.2/1.2/board.xml as part xczu3eg-sbva484-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_7ev_cc:part0:1.5 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_7ev_cc/1.5/1.5/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_iocc_production:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_iocc/1.2/1.2/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:ultrazed_eg_pciecc_production:part0:1.3 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/ultrazed_3eg_pciecc/1.3/1.3/board.xml as part xczu3eg-sfva625-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part avnet.com:zuboard_1cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Avnet/zub1cg/1.0/1.0/board.xml as part xczu1cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/E.0/1.0/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-25:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-25/1.1/1.1/board.xml as part xc7s25csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/B.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:arty-s7-50:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/arty-s7-50/1.1/1.1/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:cmod-s7-25:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/cmod-s7-25/B.0/1.0/board.xml as part xc7s25csga225-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys2/H/1.1/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/B.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_3eg:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-3eg/D.0/1.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part digilentinc.com:gzu_5ev:part0:1.1 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Digilent/genesys-zu-5ev/C.0/1.1/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4cg-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4cg-4e002g-e008g-lia/1.0/2.4/board.xml as part xczu4cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4eg-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4eg-4e002g-e008g-bid/1.0/2.C/board.xml as part xczu4eg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c4ev-4e002g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c4ev-4e002g-e008g-lia/1.0/2.8/board.xml as part xczu4ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e002g-e008g-bid:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e002g-e008g-bid/1.0/2.D/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c5ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c5ev-4e004g-e008g-lia/1.0/2.5/board.xml as part xczu5ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-lia/1.0/2.1/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7cg-4e004g-e008g-liy:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7cg-4e004g-e008g-liy/1.0/2.H/board.xml as part xczu7cg-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lea:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lea/1.0/2.0/board.xml as part xczu7ev-fbvb900-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g30m-c7ev-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g30m-c7ev-4e004g-e008g-lia/1.0/2.B/board.xml as part xczu7ev-fbvb900-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-11eg-4e004g-e008g-lia/1.0/1.2/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-11eg-4e008g-e008g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-11EG-4E008G-E008G-BIA/1.0/1.9/board.xml as part xczu11eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-17eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-17eg-4e004g-e008g-lia/1.0/1.1/board.xml as part xczu17eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bef:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BEF/1.0/1.7/board.xml as part xczu19eg-ffvc1760-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-big:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIG/1.0/1.6/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bii:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BII/1.0/1.C/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-BIJ/1.0/1.D/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g35m-19eg-4e004g-e008g-lia/1.0/1.5/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIE/1.0/1.4/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e008g-lih:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E008G-LIH/1.0/1.8/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e004g-e128g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E004G-E128G-BIA/1.0/1.3/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bie:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIE/1.0/1.A/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e008g-bij:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E008G-BIJ/1.0/1.E/board.xml as part xczu19eg-ffvc1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g35m-19eg-4e008g-e016g-bia:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iW-G35M-19EG-4E008G-E016G-BIA/1.0/1.B/board.xml as part xczu19eg-ffvc1760-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-2cg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-2cg1-4e002g-e008g-bee/1.0/2.2/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-3eg1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-3eg1-4e002g-e008g-bee/1.0/2.1/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-4ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-4ev1-4e002g-e008g-bee/1.0/2.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bed:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bed/1.0/2.4/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part iwavesystems.com:iw-g36s-5ev1-4e002g-e008g-bee:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/iWave/iw-g36s-5ev1-4e002g-e008g-bee/1.0/2.3/board.xml as part xczu5ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/1.0/1.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7cg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7CG/2.0/2.0/board.xml as part xczu7cg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/1.0/1.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7eg:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EG/2.0/2.0/board.xml as part xczu7eg-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/1.0/1.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:brk1900-7ev:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/BRK1900-7EV/2.0/2.0/board.xml as part xczu7ev-ffvc1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7305-s50:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7305-S50/1.0/1.0/board.xml as part xc7s50csga324-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7350-k70t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7350-K70T/1.0/1.0/board.xml as part xc7k70tfbg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T-3E/1.0/1.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k160t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K160T/1.0/1.0/board.xml as part xc7k160tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T-3E/1.0/1.0/board.xml as part xc7k410tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem7360-k410t:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM7360-K410T/1.0/1.0/board.xml as part xc7k410tffg676-1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-1E/1.0/1.0/board.xml as part xcau15p-ffvb676-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8305-au15p-2e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8305-AU15P-2E/1.0/1.0/board.xml as part xcau15p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8310-au25p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8310-AU25P/1.0/1.0/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8320-au25p:part0:1.2 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8320-AU25P/1.2/1.2/board.xml as part xcau25p-ffvb676-2-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060-3e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060-3E/1.0/1.0/board.xml as part xcku060-ffva1517-3-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku060:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU060/1.0/1.0/board.xml as part xcku060-ffva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8350-ku115:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8350-KU115/1.0/1.0/board.xml as part xcku115-flva1517-1-c specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part opalkelly.com:xem8370-ku11p:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/OpalKelly/XEM8370-KU11P/1.0/1.0/board.xml as part xcku11p-ffva1156-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_3eg_1i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_3EG_1I/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:am0010_4ev_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/AM0010_4EV_1E/1.0/1.0/board.xml as part xczu4ev-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2C/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_070_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_70_2I/1.0/1.0/board.xml as part xc7k70tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2c:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2C/2.0/2.0/board.xml as part xc7k160tffg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_2I/1.0/1.0/board.xml as part xc7k160tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_160_3e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_160_3E/2.0/2.0/board.xml as part xc7k160tffg676-3 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2C/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_325_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_325_2I/1.0/1.0/board.xml as part xc7k325tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2c:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2C/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0741_410_2i:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0741_410_2I/1.0/1.0/board.xml as part xc7k410tfbg676-2 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0802_2cg_1e:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0802_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sbva484-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/1.0/1.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2CG_1E/2.0/2.0/board.xml as part xczu2cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/1.0/1.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_2eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_2EG_1E/2.0/2.0/board.xml as part xczu2eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/1.0/1.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/5.0/5.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/2.0/2.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3cg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3CG_1E/6.0/6.0/board.xml as part xczu3cg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:1.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/1.0/1.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:3.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/3.0/3.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e:part0:5.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/5.0/5.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:2.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/2.0/2.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:4.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/4.0/4.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part trenz.biz:te0803_3eg_1e_tebf0808:part0:6.0 available at /opt/img/Vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Trenz_Electronic/TE0803_3EG_1E/6.0/6.0/board.xml as part xczu3eg-sfvc784-1-e specified in board_part file is either invalid or not available
+INFO: [Common 17-14] Message 'Board 49-26' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
+open_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:08 . Memory (MB): peak = 8709.871 ; gain = 147.777 ; free physical = 22534 ; free virtual = 31736
+current_project tp-filtre-etudiant
+current_project sobel
+close_project
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+exit
+INFO: [Common 17-206] Exiting Vivado at Tue Mar  4 12:13:20 2025...